summaryrefslogtreecommitdiff
path: root/Test
diff options
context:
space:
mode:
authorGravatar Checkmate50 <dgeisler50@gmail.com>2016-06-06 23:14:18 -0600
committerGravatar Checkmate50 <dgeisler50@gmail.com>2016-06-06 23:14:18 -0600
commitd652155ae013f36a1ee17653a8e458baad2d9c2c (patch)
tree067d600fe3cd1723afc11682935f0123a1eab653 /Test
parentd7fc0deb2ca6d7ebee094b6ea5430d9b41f163ec (diff)
Merging complete. Everything looks good *crosses fingers*
Diffstat (limited to 'Test')
-rw-r--r--Test/AbsHoudini/Answer978
-rw-r--r--Test/AbsHoudini/f1.bpl64
-rw-r--r--Test/AbsHoudini/fail1.bpl36
-rw-r--r--Test/AbsHoudini/houd1.bpl38
-rw-r--r--Test/AbsHoudini/houd10.bpl48
-rw-r--r--Test/AbsHoudini/houd11.bpl30
-rw-r--r--Test/AbsHoudini/houd12.bpl120
-rw-r--r--Test/AbsHoudini/houd2.bpl58
-rw-r--r--Test/AbsHoudini/houd3.bpl58
-rw-r--r--Test/AbsHoudini/houd4.bpl58
-rw-r--r--Test/AbsHoudini/houd5.bpl62
-rw-r--r--Test/AbsHoudini/houd6.bpl92
-rw-r--r--Test/AbsHoudini/houd7.bpl74
-rw-r--r--Test/AbsHoudini/houd8.bpl62
-rw-r--r--Test/AbsHoudini/imp1.bpl42
-rw-r--r--Test/AbsHoudini/int1.bpl52
-rw-r--r--Test/AbsHoudini/multi.bpl134
-rw-r--r--Test/AbsHoudini/pred1.bpl50
-rw-r--r--Test/AbsHoudini/pred2.bpl28
-rw-r--r--Test/AbsHoudini/pred3.bpl52
-rw-r--r--Test/AbsHoudini/pred4.bpl46
-rw-r--r--Test/AbsHoudini/pred5.bpl52
-rw-r--r--Test/AbsHoudini/quant1.bpl18
-rw-r--r--Test/AbsHoudini/quant2.bpl52
-rw-r--r--Test/AbsHoudini/quant3.bpl18
-rw-r--r--Test/AbsHoudini/quant4.bpl18
-rw-r--r--Test/AbsHoudini/quant5.bpl26
-rw-r--r--Test/AbsHoudini/runtest.bat56
-rw-r--r--Test/AbsHoudini/test1.bpl80
-rw-r--r--Test/AbsHoudini/test10.bpl104
-rw-r--r--Test/AbsHoudini/test2.bpl84
-rw-r--r--Test/AbsHoudini/test7.bpl42
-rw-r--r--Test/AbsHoudini/test8.bpl54
-rw-r--r--Test/AbsHoudini/test9.bpl184
-rw-r--r--Test/README.md348
-rw-r--r--Test/aitest0/Intervals.bpl683
-rw-r--r--Test/aitest0/Intervals.bpl.expect2
-rw-r--r--Test/aitest0/Issue25.bpl14
-rw-r--r--Test/aitest0/Issue25.bpl.expect8
-rw-r--r--Test/aitest0/constants.bpl142
-rw-r--r--Test/aitest1/Bound.bpl60
-rw-r--r--Test/aitest1/Linear0.bpl24
-rw-r--r--Test/aitest1/Linear1.bpl26
-rw-r--r--Test/aitest1/Linear2.bpl26
-rw-r--r--Test/aitest1/Linear3.bpl26
-rw-r--r--Test/aitest1/Linear4.bpl38
-rw-r--r--Test/aitest1/Linear5.bpl50
-rw-r--r--Test/aitest1/Linear6.bpl46
-rw-r--r--Test/aitest1/Linear7.bpl42
-rw-r--r--Test/aitest1/Linear8.bpl88
-rw-r--r--Test/aitest1/Linear9.bpl62
-rw-r--r--Test/aitest1/ineq.bpl166
-rw-r--r--Test/aitest9/TestIntervals.bpl144
-rw-r--r--Test/aitest9/VarMapFixpoint.bpl120
-rw-r--r--Test/bitvectors/arrays.bpl84
-rw-r--r--Test/bitvectors/bv0.bpl30
-rw-r--r--Test/bitvectors/bv1.bpl38
-rw-r--r--Test/bitvectors/bv10.bpl24
-rw-r--r--Test/bitvectors/bv2.bpl26
-rw-r--r--Test/bitvectors/bv3.bpl10
-rw-r--r--Test/bitvectors/bv4.bpl50
-rw-r--r--Test/bitvectors/bv5.bpl26
-rw-r--r--Test/bitvectors/bv6.bpl22
-rw-r--r--Test/bitvectors/bv7.bpl22
-rw-r--r--Test/bitvectors/bv8.bpl50
-rw-r--r--Test/bitvectors/bv9.bpl50
-rw-r--r--Test/civl/DeviceCache.bpl (renamed from Test/og/DeviceCache.bpl)420
-rw-r--r--Test/civl/DeviceCache.bpl.expect2
-rw-r--r--Test/civl/FlanaganQadeer.bpl (renamed from Test/og/FlanaganQadeer.bpl)148
-rw-r--r--Test/civl/FlanaganQadeer.bpl.expect2
-rw-r--r--Test/civl/Program1.bpl (renamed from Test/og/Program1.bpl)66
-rw-r--r--Test/civl/Program1.bpl.expect (renamed from Test/og/akash.bpl.expect)4
-rw-r--r--Test/civl/Program2.bpl (renamed from Test/og/Program2.bpl)74
-rw-r--r--Test/civl/Program2.bpl.expect (renamed from Test/og/treiber-stack.bpl.expect)4
-rw-r--r--Test/civl/Program3.bpl (renamed from Test/og/Program3.bpl)72
-rw-r--r--Test/civl/Program3.bpl.expect2
-rw-r--r--Test/civl/Program4.bpl139
-rw-r--r--Test/civl/Program4.bpl.expect (renamed from Test/og/lock-introduced.bpl.expect)4
-rw-r--r--Test/civl/Program5.bpl (renamed from Test/og/Program5.bpl)163
-rw-r--r--Test/civl/Program5.bpl.expect2
-rw-r--r--Test/civl/StoreBuffer.bpl187
-rw-r--r--Test/civl/StoreBuffer.bpl.expect2
-rw-r--r--Test/civl/akash.bpl (renamed from Test/og/akash.bpl)210
-rw-r--r--Test/civl/akash.bpl.expect2
-rw-r--r--Test/civl/alloc.bpl175
-rw-r--r--Test/civl/alloc.bpl.expect2
-rw-r--r--Test/civl/bar.bpl (renamed from Test/og/bar.bpl)114
-rw-r--r--Test/civl/bar.bpl.expect (renamed from Test/og/bar.bpl.expect)26
-rw-r--r--Test/civl/chris.bpl (renamed from Test/og/chris.bpl)56
-rw-r--r--Test/civl/chris.bpl.expect (renamed from Test/og/chris.bpl.expect)4
-rw-r--r--Test/civl/chris2.bpl (renamed from Test/og/chris2.bpl)68
-rw-r--r--Test/civl/chris2.bpl.expect (renamed from Test/og/chris2.bpl.expect)36
-rw-r--r--Test/civl/chris3.bpl19
-rw-r--r--Test/civl/chris3.bpl.expect2
-rw-r--r--Test/civl/chris4.bpl16
-rw-r--r--Test/civl/chris4.bpl.expect5
-rw-r--r--Test/civl/chris5.bpl19
-rw-r--r--Test/civl/chris5.bpl.expect7
-rw-r--r--Test/civl/chris6.bpl14
-rw-r--r--Test/civl/chris6.bpl.expect5
-rw-r--r--Test/civl/chris7.bpl14
-rw-r--r--Test/civl/chris7.bpl.expect (renamed from Test/og/one.bpl.expect)4
-rw-r--r--Test/civl/chris8.bpl15
-rw-r--r--Test/civl/chris8.bpl.expect (renamed from Test/og/termination2.bpl.expect)4
-rw-r--r--Test/civl/civl-paper.bpl (renamed from Test/og/civl-paper.bpl)350
-rw-r--r--Test/civl/civl-paper.bpl.expect2
-rw-r--r--Test/civl/foo.bpl (renamed from Test/og/foo.bpl)114
-rw-r--r--Test/civl/foo.bpl.expect (renamed from Test/og/foo.bpl.expect)16
-rw-r--r--Test/civl/funky.bpl133
-rw-r--r--Test/civl/funky.bpl.expect2
-rw-r--r--Test/civl/ghost.bpl45
-rw-r--r--Test/civl/ghost.bpl.expect2
-rw-r--r--Test/civl/linear-set.bpl (renamed from Test/og/linear-set.bpl)210
-rw-r--r--Test/civl/linear-set.bpl.expect2
-rw-r--r--Test/civl/linear-set2.bpl (renamed from Test/og/linear-set2.bpl)212
-rw-r--r--Test/civl/linear-set2.bpl.expect2
-rw-r--r--Test/civl/lock-introduced.bpl (renamed from Test/og/lock-introduced.bpl)210
-rw-r--r--Test/civl/lock-introduced.bpl.expect2
-rw-r--r--Test/civl/lock.bpl (renamed from Test/og/lock.bpl)114
-rw-r--r--Test/civl/lock.bpl.expect2
-rw-r--r--Test/civl/lock2.bpl (renamed from Test/og/lock2.bpl)126
-rw-r--r--Test/civl/lock2.bpl.expect2
-rw-r--r--Test/civl/multiset.bpl (renamed from Test/og/multiset.bpl)648
-rw-r--r--Test/civl/multiset.bpl.expect2
-rw-r--r--Test/civl/new1.bpl (renamed from Test/og/new1.bpl)84
-rw-r--r--Test/civl/new1.bpl.expect (renamed from Test/og/FlanaganQadeer.bpl.expect)4
-rw-r--r--Test/civl/nocollector.bpl8
-rw-r--r--Test/civl/nocollector.bpl.expect2
-rw-r--r--Test/civl/one.bpl (renamed from Test/og/one.bpl)36
-rw-r--r--Test/civl/one.bpl.expect (renamed from Test/og/new1.bpl.expect)4
-rw-r--r--Test/civl/par-incr.bpl31
-rw-r--r--Test/civl/par-incr.bpl.expect2
-rw-r--r--Test/civl/parallel1.bpl (renamed from Test/og/parallel1.bpl)96
-rw-r--r--Test/civl/parallel1.bpl.expect (renamed from Test/og/parallel1.bpl.expect)16
-rw-r--r--Test/civl/parallel2.bpl (renamed from Test/og/parallel2.bpl)118
-rw-r--r--Test/civl/parallel2.bpl.expect2
-rw-r--r--Test/civl/parallel4.bpl (renamed from Test/og/parallel4.bpl)90
-rw-r--r--Test/civl/parallel4.bpl.expect (renamed from Test/og/parallel4.bpl.expect)12
-rw-r--r--Test/civl/parallel5.bpl (renamed from Test/og/parallel5.bpl)118
-rw-r--r--Test/civl/parallel5.bpl.expect2
-rw-r--r--Test/civl/perm.bpl (renamed from Test/og/perm.bpl)96
-rw-r--r--Test/civl/perm.bpl.expect (renamed from Test/og/linear-set.bpl.expect)4
-rw-r--r--Test/civl/t1.bpl (renamed from Test/og/t1.bpl)206
-rw-r--r--Test/civl/t1.bpl.expect (renamed from Test/og/t1.bpl.expect)18
-rw-r--r--Test/civl/termination.bpl (renamed from Test/og/termination.bpl)36
-rw-r--r--Test/civl/termination.bpl.expect (renamed from Test/og/termination.bpl.expect)4
-rw-r--r--Test/civl/termination2.bpl (renamed from Test/og/termination2.bpl)38
-rw-r--r--Test/civl/termination2.bpl.expect (renamed from Test/og/perm.bpl.expect)4
-rw-r--r--Test/civl/ticket.bpl (renamed from Test/og/ticket.bpl)293
-rw-r--r--Test/civl/ticket.bpl.expect2
-rw-r--r--Test/civl/treiber-stack.bpl (renamed from Test/og/treiber-stack.bpl)407
-rw-r--r--Test/civl/treiber-stack.bpl.expect2
-rw-r--r--Test/civl/wsq.bpl (renamed from Test/og/wsq.bpl)1102
-rw-r--r--Test/civl/wsq.bpl.expect2
-rw-r--r--Test/codeexpr/CodeExpr0.bpl110
-rw-r--r--Test/codeexpr/CodeExpr1.bpl138
-rw-r--r--Test/codeexpr/CodeExpr2.bpl104
-rw-r--r--Test/codeexpr/codeExprBug.bpl30
-rw-r--r--Test/codeexpr/codeExprBug.bpl.expect4
-rw-r--r--Test/commandline/multiple_procs_unusual_identifiers.bpl75
-rw-r--r--Test/commandline/multiple_procs_verify_four_asterisk_wildcard.bpl28
-rw-r--r--Test/commandline/multiple_procs_verify_one.bpl22
-rw-r--r--Test/commandline/multiple_procs_verify_one_request_twice.bpl20
-rw-r--r--Test/commandline/multiple_procs_verify_two.bpl17
-rw-r--r--Test/commandline/multiple_procs_verify_two_asterisk_wildcard_begin.bpl17
-rw-r--r--Test/commandline/multiple_procs_verify_two_asterisk_wildcard_end.bpl17
-rw-r--r--Test/commandline/multiple_procs_verify_two_asterisk_wildcard_inbetween.bpl23
-rw-r--r--Test/datatypes/ex.bpl26
-rw-r--r--Test/datatypes/t1.bpl50
-rw-r--r--Test/datatypes/t2.bpl50
-rw-r--r--Test/doomed/doomdebug.bpl88
-rw-r--r--Test/doomed/doomed.bpl174
-rw-r--r--Test/doomed/notdoomed.bpl116
-rw-r--r--Test/doomed/runtest.bat32
-rw-r--r--Test/doomed/smoke0.bpl158
-rw-r--r--Test/extractloops/detLoopExtract.bpl4
-rw-r--r--Test/extractloops/detLoopExtract2.bpl27
-rw-r--r--Test/extractloops/detLoopExtract2.bpl.expect2
-rw-r--r--Test/extractloops/detLoopExtractNested.bpl23
-rw-r--r--Test/extractloops/detLoopExtractNested.bpl.expect19
-rw-r--r--Test/extractloops/t1.bpl86
-rw-r--r--Test/extractloops/t2.bpl108
-rw-r--r--Test/extractloops/t3.bpl86
-rw-r--r--Test/forro/prog0.forro78
-rw-r--r--Test/generalizedarray/Maps.bpl120
-rw-r--r--Test/havoc0/KbdCreateClassObject.bpl10314
-rw-r--r--Test/havoc0/KeyboardClassFindMorePorts.bpl7564
-rw-r--r--Test/havoc0/KeyboardClassUnload.bpl6670
-rw-r--r--Test/havoc0/MouCreateClassObject.bpl9840
-rw-r--r--Test/havoc0/MouseClassFindMorePorts.bpl7678
-rw-r--r--Test/havoc0/MouseClassUnload.bpl6742
-rw-r--r--Test/houdini/deterministic.bpl54
-rw-r--r--Test/houdini/deterministic.bpl.expect6
-rw-r--r--Test/houdini/houd1.bpl42
-rw-r--r--Test/houdini/houd10.bpl50
-rw-r--r--Test/houdini/houd11.bpl30
-rw-r--r--Test/houdini/houd12.bpl118
-rw-r--r--Test/houdini/houd2.bpl58
-rw-r--r--Test/houdini/houd3.bpl58
-rw-r--r--Test/houdini/houd4.bpl58
-rw-r--r--Test/houdini/houd5.bpl62
-rw-r--r--Test/houdini/houd6.bpl92
-rw-r--r--Test/houdini/houd7.bpl74
-rw-r--r--Test/houdini/houd8.bpl64
-rw-r--r--Test/houdini/houd9.bpl68
-rw-r--r--Test/houdini/mergedProgSingle_dac.bpl15232
-rw-r--r--Test/houdini/mergedProgSingle_dac.bpl.expect380
-rw-r--r--Test/houdini/mergedProgSingle_res_ex1.bpl1242
-rw-r--r--Test/houdini/mergedProgSingle_res_ex1.bpl.expect16
-rw-r--r--Test/houdini/mergedProgSingle_res_ex2.bpl1242
-rw-r--r--Test/houdini/mergedProgSingle_res_ex2.bpl.expect16
-rw-r--r--Test/houdini/test1.bpl76
-rw-r--r--Test/houdini/test10.bpl98
-rw-r--r--Test/houdini/test10.bpl.expect14
-rw-r--r--Test/houdini/test2.bpl80
-rw-r--r--Test/houdini/test7.bpl34
-rw-r--r--Test/houdini/test8.bpl46
-rw-r--r--Test/houdini/test9.bpl150
-rw-r--r--Test/houdini/testUnsatCore.bpl72
-rw-r--r--Test/houdini/testUnsatCore.bpl.expect14
-rw-r--r--Test/inline/Elevator.asml110
-rw-r--r--Test/inline/Elevator.bpl312
-rw-r--r--Test/inline/InliningAndLoops.bpl44
-rw-r--r--Test/inline/codeexpr.bpl124
-rw-r--r--Test/inline/expansion2.bpl38
-rw-r--r--Test/inline/expansion3.bpl26
-rw-r--r--Test/inline/expansion4.bpl22
-rw-r--r--Test/inline/fundef.bpl16
-rw-r--r--Test/inline/fundef2.bpl18
-rw-r--r--Test/inline/polyInline.bpl86
-rw-r--r--Test/inline/test0.bpl100
-rw-r--r--Test/inline/test1.bpl92
-rw-r--r--Test/inline/test2.bpl66
-rw-r--r--Test/inline/test3.bpl58
-rw-r--r--Test/inline/test4.bpl108
-rw-r--r--Test/inline/test5.bpl162
-rw-r--r--Test/inline/test6.bpl78
-rw-r--r--Test/linear/allocator.bpl24
-rw-r--r--Test/linear/async-bug.bpl72
-rw-r--r--Test/linear/async-bug.bpl.expect6
-rw-r--r--Test/linear/bug.bpl32
-rw-r--r--Test/linear/f1.bpl96
-rw-r--r--Test/linear/f2.bpl44
-rw-r--r--Test/linear/f3.bpl20
-rw-r--r--Test/linear/list.bpl100
-rw-r--r--Test/linear/typecheck.bpl233
-rw-r--r--Test/linear/typecheck.bpl.expect32
-rw-r--r--Test/livevars/NestedOneDimensionalMap.bpl62
-rw-r--r--Test/livevars/TwoDimensionalMap.bpl62
-rw-r--r--Test/livevars/bla1.bpl4204
-rw-r--r--Test/livevars/daytona_bug2_ioctl_example_1.bpl8024
-rw-r--r--Test/livevars/daytona_bug2_ioctl_example_2.bpl9720
-rw-r--r--Test/livevars/daytona_bug2_ioctl_example_2.bpl.expect3
-rw-r--r--Test/livevars/stack_overflow.bpl195938
-rw-r--r--Test/lock/Lock.bpl248
-rw-r--r--Test/lock/LockIncorrect.bpl106
-rw-r--r--Test/og/DeviceCache.bpl.expect2
-rw-r--r--Test/og/Program1.bpl.expect2
-rw-r--r--Test/og/Program2.bpl.expect2
-rw-r--r--Test/og/Program4.bpl68
-rw-r--r--Test/og/Program4.bpl.expect2
-rw-r--r--Test/og/Program5.bpl.expect2
-rw-r--r--Test/og/civl-paper.bpl.expect2
-rw-r--r--Test/og/linear-set2.bpl.expect2
-rw-r--r--Test/og/lock.bpl.expect2
-rw-r--r--Test/og/lock2.bpl.expect2
-rw-r--r--Test/og/multiset.bpl.expect2
-rw-r--r--Test/og/parallel2.bpl.expect2
-rw-r--r--Test/og/parallel5.bpl.expect2
-rw-r--r--Test/og/ticket.bpl.expect2
-rw-r--r--Test/og/wsq.bpl.expect2
-rw-r--r--Test/optimization/Optimization0.bpl84
-rw-r--r--Test/optimization/Optimization0.bpl.expect135
-rw-r--r--Test/optimization/Optimization1.bpl32
-rw-r--r--Test/optimization/Optimization1.bpl.expect5
-rw-r--r--Test/optimization/Optimization2.bpl12
-rw-r--r--Test/optimization/Optimization2.bpl.expect3
-rw-r--r--Test/optimization/Optimization3.bpl20
-rw-r--r--Test/optimization/Optimization3.bpl.expect31
-rw-r--r--Test/optimization/lit.local.cfg3
-rw-r--r--Test/prover/EQ_v2.Eval__v4.Eval_out.bpl4514
-rw-r--r--Test/prover/usedot.bpl9
-rw-r--r--Test/prover/z3mutl.bpl46
-rw-r--r--Test/secure/tworound.bpl116
-rw-r--r--Test/smoke/smoke0.bpl110
-rw-r--r--Test/snapshots/Snapshots0.v0.bpl84
-rw-r--r--Test/snapshots/Snapshots0.v1.bpl84
-rw-r--r--Test/snapshots/Snapshots0.v2.bpl62
-rw-r--r--Test/snapshots/Snapshots1.v0.bpl28
-rw-r--r--Test/snapshots/Snapshots1.v1.bpl28
-rw-r--r--Test/snapshots/Snapshots1.v2.bpl30
-rw-r--r--Test/snapshots/Snapshots10.v0.bpl40
-rw-r--r--Test/snapshots/Snapshots10.v1.bpl42
-rw-r--r--Test/snapshots/Snapshots11.v0.bpl28
-rw-r--r--Test/snapshots/Snapshots11.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots12.v0.bpl32
-rw-r--r--Test/snapshots/Snapshots12.v1.bpl32
-rw-r--r--Test/snapshots/Snapshots13.v0.bpl42
-rw-r--r--Test/snapshots/Snapshots13.v1.bpl32
-rw-r--r--Test/snapshots/Snapshots14.v0.bpl42
-rw-r--r--Test/snapshots/Snapshots14.v1.bpl42
-rw-r--r--Test/snapshots/Snapshots15.v0.bpl34
-rw-r--r--Test/snapshots/Snapshots15.v1.bpl34
-rw-r--r--Test/snapshots/Snapshots16.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots16.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots17.v0.bpl64
-rw-r--r--Test/snapshots/Snapshots17.v1.bpl64
-rw-r--r--Test/snapshots/Snapshots18.v0.bpl48
-rw-r--r--Test/snapshots/Snapshots18.v1.bpl48
-rw-r--r--Test/snapshots/Snapshots19.v0.bpl22
-rw-r--r--Test/snapshots/Snapshots19.v1.bpl22
-rw-r--r--Test/snapshots/Snapshots2.v0.bpl24
-rw-r--r--Test/snapshots/Snapshots2.v1.bpl24
-rw-r--r--Test/snapshots/Snapshots2.v2.bpl26
-rw-r--r--Test/snapshots/Snapshots2.v3.bpl26
-rw-r--r--Test/snapshots/Snapshots2.v4.bpl26
-rw-r--r--Test/snapshots/Snapshots2.v5.bpl28
-rw-r--r--Test/snapshots/Snapshots20.v0.bpl40
-rw-r--r--Test/snapshots/Snapshots20.v1.bpl40
-rw-r--r--Test/snapshots/Snapshots21.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots21.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots22.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots22.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots23.v0.bpl44
-rw-r--r--Test/snapshots/Snapshots23.v1.bpl46
-rw-r--r--Test/snapshots/Snapshots23.v2.bpl44
-rw-r--r--Test/snapshots/Snapshots24.v0.bpl50
-rw-r--r--Test/snapshots/Snapshots24.v1.bpl50
-rw-r--r--Test/snapshots/Snapshots25.v0.bpl28
-rw-r--r--Test/snapshots/Snapshots25.v1.bpl28
-rw-r--r--Test/snapshots/Snapshots26.v0.bpl28
-rw-r--r--Test/snapshots/Snapshots26.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots27.v0.bpl28
-rw-r--r--Test/snapshots/Snapshots27.v1.bpl32
-rw-r--r--Test/snapshots/Snapshots28.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots28.v1.bpl32
-rw-r--r--Test/snapshots/Snapshots29.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots29.v1.bpl30
-rw-r--r--Test/snapshots/Snapshots3.v0.bpl36
-rw-r--r--Test/snapshots/Snapshots3.v1.bpl36
-rw-r--r--Test/snapshots/Snapshots30.v0.bpl26
-rw-r--r--Test/snapshots/Snapshots30.v1.bpl28
-rw-r--r--Test/snapshots/Snapshots31.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots31.v1.bpl28
-rw-r--r--Test/snapshots/Snapshots32.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots32.v1.bpl24
-rw-r--r--Test/snapshots/Snapshots33.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots33.v1.bpl16
-rw-r--r--Test/snapshots/Snapshots34.v0.bpl7
-rw-r--r--Test/snapshots/Snapshots34.v1.bpl6
-rw-r--r--Test/snapshots/Snapshots35.v0.bpl7
-rw-r--r--Test/snapshots/Snapshots35.v1.bpl6
-rw-r--r--Test/snapshots/Snapshots36.v0.bpl14
-rw-r--r--Test/snapshots/Snapshots36.v1.bpl14
-rw-r--r--Test/snapshots/Snapshots37.v0.bpl9
-rw-r--r--Test/snapshots/Snapshots37.v1.bpl9
-rw-r--r--Test/snapshots/Snapshots38.v0.bpl13
-rw-r--r--Test/snapshots/Snapshots38.v1.bpl14
-rw-r--r--Test/snapshots/Snapshots38.v2.bpl14
-rw-r--r--Test/snapshots/Snapshots39.v0.bpl13
-rw-r--r--Test/snapshots/Snapshots39.v1.bpl14
-rw-r--r--Test/snapshots/Snapshots39.v2.bpl14
-rw-r--r--Test/snapshots/Snapshots4.v0.bpl72
-rw-r--r--Test/snapshots/Snapshots4.v1.bpl90
-rw-r--r--Test/snapshots/Snapshots40.v0.bpl14
-rw-r--r--Test/snapshots/Snapshots40.v1.bpl15
-rw-r--r--Test/snapshots/Snapshots40.v2.bpl15
-rw-r--r--Test/snapshots/Snapshots41.v0.bpl35
-rw-r--r--Test/snapshots/Snapshots41.v1.bpl39
-rw-r--r--Test/snapshots/Snapshots5.v0.bpl22
-rw-r--r--Test/snapshots/Snapshots5.v1.bpl22
-rw-r--r--Test/snapshots/Snapshots6.v0.bpl34
-rw-r--r--Test/snapshots/Snapshots6.v1.bpl36
-rw-r--r--Test/snapshots/Snapshots7.v0.bpl38
-rw-r--r--Test/snapshots/Snapshots7.v1.bpl40
-rw-r--r--Test/snapshots/Snapshots8.v0.bpl30
-rw-r--r--Test/snapshots/Snapshots8.v1.bpl32
-rw-r--r--Test/snapshots/Snapshots9.v0.bpl34
-rw-r--r--Test/snapshots/Snapshots9.v1.bpl32
-rw-r--r--Test/snapshots/runtest.AI.snapshot4
-rw-r--r--Test/snapshots/runtest.AI.snapshot.expect18
-rw-r--r--Test/snapshots/runtest.snapshot5
-rw-r--r--Test/snapshots/runtest.snapshot.expect1410
-rw-r--r--Test/stratifiedinline/bar1.bpl56
-rw-r--r--Test/stratifiedinline/bar10.bpl86
-rw-r--r--Test/stratifiedinline/bar11.bpl66
-rw-r--r--Test/stratifiedinline/bar12.bpl20
-rw-r--r--Test/stratifiedinline/bar13.bpl72
-rw-r--r--Test/stratifiedinline/bar2.bpl50
-rw-r--r--Test/stratifiedinline/bar3.bpl82
-rw-r--r--Test/stratifiedinline/bar4.bpl78
-rw-r--r--Test/stratifiedinline/bar6.bpl76
-rw-r--r--Test/stratifiedinline/bar7.bpl90
-rw-r--r--Test/stratifiedinline/bar8.bpl88
-rw-r--r--Test/stratifiedinline/bar9.bpl94
-rw-r--r--Test/stratifiedinline/large.bpl33522
-rw-r--r--Test/symdiff/foo.bpl38
-rw-r--r--Test/test0/Arrays0.bpl10
-rw-r--r--Test/test0/Arrays1.bpl44
-rw-r--r--Test/test0/AssertVerifiedUnder0.bpl8
-rw-r--r--Test/test0/AssertVerifiedUnder0.bpl.expect3
-rw-r--r--Test/test0/AttributeParsing.bpl80
-rw-r--r--Test/test0/AttributeParsingErr.bpl50
-rw-r--r--Test/test0/AttributeResolution.bpl80
-rw-r--r--Test/test0/BadLabels0.bpl30
-rw-r--r--Test/test0/BadLabels1.bpl166
-rw-r--r--Test/test0/BadQuantifier.bpl10
-rw-r--r--Test/test0/EmptyCallArgs.bpl44
-rw-r--r--Test/test0/LargeLiterals0.bpl18
-rw-r--r--Test/test0/LineParse.bpl28
-rw-r--r--Test/test0/LineResolve.bpl90
-rw-r--r--Test/test0/MapsResolutionErrors.bpl60
-rw-r--r--Test/test0/ModifiedBag.bpl746
-rw-r--r--Test/test0/Orderings.bpl42
-rw-r--r--Test/test0/PrettyPrint.bpl134
-rw-r--r--Test/test0/Prog0.bpl106
-rw-r--r--Test/test0/Quoting.bpl36
-rw-r--r--Test/test0/SeparateVerification0.bpl54
-rw-r--r--Test/test0/SeparateVerification1.bpl42
-rw-r--r--Test/test0/Triggers0.bpl34
-rw-r--r--Test/test0/Triggers1.bpl258
-rw-r--r--Test/test0/Types0.bpl20
-rw-r--r--Test/test0/Types1.bpl18
-rw-r--r--Test/test0/WhereParsing.bpl72
-rw-r--r--Test/test0/WhereParsing0.bpl68
-rw-r--r--Test/test0/WhereParsing1.bpl34
-rw-r--r--Test/test0/WhereParsing2.bpl8
-rw-r--r--Test/test0/WhereResolution.bpl128
-rw-r--r--Test/test1/Arrays.bpl452
-rw-r--r--Test/test1/AssertVerifiedUnder0.bpl8
-rw-r--r--Test/test1/AssertVerifiedUnder0.bpl.expect3
-rw-r--r--Test/test1/AssumptionVariables0.bpl114
-rw-r--r--Test/test1/AssumptionVariables1.bpl12
-rw-r--r--Test/test1/AssumptionVariables1.bpl.expect4
-rw-r--r--Test/test1/AttributeTyping.bpl74
-rw-r--r--Test/test1/EmptyCallArgs.bpl42
-rw-r--r--Test/test1/Family.bpl98
-rw-r--r--Test/test1/Frame0.bpl34
-rw-r--r--Test/test1/Frame1.bpl198
-rw-r--r--Test/test1/FunBody.bpl30
-rw-r--r--Test/test1/IfThenElse0.bpl4
-rw-r--r--Test/test1/IntReal.bpl100
-rw-r--r--Test/test1/Lambda.bpl4
-rw-r--r--Test/test1/LogicalExprs.bpl16
-rw-r--r--Test/test1/MapsTypeErrors.bpl258
-rw-r--r--Test/test1/Orderings.bpl20
-rw-r--r--Test/test1/StatementIds0.bpl24
-rw-r--r--Test/test1/StatementIds0.bpl.expect5
-rw-r--r--Test/test1/UpdateExprTyping.bpl90
-rw-r--r--Test/test1/WhereTyping.bpl94
-rw-r--r--Test/test13/ErrorTraceTestLoopInvViolationBPL.bpl64
-rw-r--r--Test/test15/CaptureState.bpl58
-rw-r--r--Test/test15/CaptureState.bpl.expect18
-rw-r--r--Test/test15/IntInModel.bpl10
-rw-r--r--Test/test15/InterpretedFunctionTests.bpl38
-rw-r--r--Test/test15/ModelTest.bpl24
-rw-r--r--Test/test15/NullInModel.bpl14
-rw-r--r--Test/test16/LoopUnroll.bpl170
-rw-r--r--Test/test17/Answer16
-rw-r--r--Test/test17/contractinfer.bpl46
-rw-r--r--Test/test17/flpydisk.bpl4590
-rw-r--r--Test/test17/runtest.bat24
-rw-r--r--Test/test2/Arrays.bpl368
-rw-r--r--Test/test2/AssertVerifiedUnder0.bpl78
-rw-r--r--Test/test2/AssertVerifiedUnder0.bpl.expect22
-rw-r--r--Test/test2/AssumeEnsures.bpl142
-rw-r--r--Test/test2/AssumptionVariables0.bpl113
-rw-r--r--Test/test2/AssumptionVariables0.bpl.expect4
-rw-r--r--Test/test2/Axioms.bpl62
-rw-r--r--Test/test2/B.bpl176
-rw-r--r--Test/test2/BadLineNumber.bpl15
-rw-r--r--Test/test2/BadLineNumber.bpl.expect7
-rw-r--r--Test/test2/BoundedTypeParameterQuantifier.bpl14
-rw-r--r--Test/test2/BoundedTypeParameterQuantifier.bpl.expect2
-rw-r--r--Test/test2/Call.bpl124
-rw-r--r--Test/test2/CallVerifiedUnder0.bpl42
-rw-r--r--Test/test2/CallVerifiedUnder0.bpl.expect14
-rw-r--r--Test/test2/ContractEvaluationOrder.bpl72
-rw-r--r--Test/test2/CutBackEdge.bpl84
-rw-r--r--Test/test2/Ensures.bpl154
-rw-r--r--Test/test2/False.bpl36
-rw-r--r--Test/test2/FormulaTerm.bpl282
-rw-r--r--Test/test2/FormulaTerm2.bpl102
-rw-r--r--Test/test2/FreeCall.bpl168
-rw-r--r--Test/test2/IfThenElse1.bpl4
-rw-r--r--Test/test2/Implies.bpl76
-rw-r--r--Test/test2/InvariantVerifiedUnder0.bpl54
-rw-r--r--Test/test2/InvariantVerifiedUnder0.bpl.expect23
-rw-r--r--Test/test2/LambdaOldExpressions.bpl126
-rw-r--r--Test/test2/LambdaPoly.bpl4
-rw-r--r--Test/test2/LoopInvAssume.bpl44
-rw-r--r--Test/test2/NeverPattern.bpl140
-rw-r--r--Test/test2/NullaryMaps.bpl118
-rw-r--r--Test/test2/Old.bpl268
-rw-r--r--Test/test2/OldIllegal.bpl36
-rw-r--r--Test/test2/Passification.bpl342
-rw-r--r--Test/test2/Quantifiers.bpl312
-rw-r--r--Test/test2/SelectiveChecking.bpl4
-rw-r--r--Test/test2/Structured.bpl692
-rw-r--r--Test/test2/Timeouts0.bpl170
-rw-r--r--Test/test2/TypeEncodingM.bpl4
-rw-r--r--Test/test2/UpdateExpr.bpl166
-rw-r--r--Test/test2/Where.bpl330
-rw-r--r--Test/test2/sk_hack.bpl68
-rw-r--r--Test/test2/strings-no-where.bpl1994
-rw-r--r--Test/test2/strings-where.bpl1994
-rw-r--r--Test/test20/Coercions.bpl38
-rw-r--r--Test/test20/EmptySeq.bpl16
-rw-r--r--Test/test20/ParallelAssignment.bpl48
-rw-r--r--Test/test20/ParallelAssignment2.bpl24
-rw-r--r--Test/test20/PolyFuns0.bpl114
-rw-r--r--Test/test20/PolyFuns1.bpl122
-rw-r--r--Test/test20/PolyPolyPoly.bpl48
-rw-r--r--Test/test20/PolyPolyPoly2.bpl70
-rw-r--r--Test/test20/PolyProcs0.bpl70
-rw-r--r--Test/test20/ProcParamReordering.bpl32
-rw-r--r--Test/test20/Prog0.bpl74
-rw-r--r--Test/test20/Prog1.bpl56
-rw-r--r--Test/test20/Prog2.bpl36
-rw-r--r--Test/test20/TypeDecls0.bpl94
-rw-r--r--Test/test20/TypeDecls1.bpl50
-rw-r--r--Test/test20/TypeSynonyms0.bpl66
-rw-r--r--Test/test20/TypeSynonyms1.bpl98
-rw-r--r--Test/test20/TypeSynonyms2.bpl48
-rw-r--r--Test/test21/BooleanQuantification.bpl74
-rw-r--r--Test/test21/BooleanQuantification2.bpl38
-rw-r--r--Test/test21/Boxing.bpl52
-rw-r--r--Test/test21/Casts.bpl32
-rw-r--r--Test/test21/Coercions2.bpl58
-rw-r--r--Test/test21/Colors.bpl52
-rw-r--r--Test/test21/DisjointDomains.bpl72
-rw-r--r--Test/test21/DisjointDomains2.bpl140
-rw-r--r--Test/test21/EmptyList.bpl104
-rw-r--r--Test/test21/EmptySetBug.bpl72
-rw-r--r--Test/test21/Flattening.bpl36
-rw-r--r--Test/test21/FunAxioms.bpl90
-rw-r--r--Test/test21/FunAxioms2.bpl52
-rw-r--r--Test/test21/HeapAbstraction.bpl50
-rw-r--r--Test/test21/HeapAxiom.bpl66
-rw-r--r--Test/test21/InterestingExamples0.bpl26
-rw-r--r--Test/test21/InterestingExamples1.bpl66
-rw-r--r--Test/test21/InterestingExamples2.bpl40
-rw-r--r--Test/test21/InterestingExamples3.bpl66
-rw-r--r--Test/test21/InterestingExamples4.bpl96
-rw-r--r--Test/test21/InterestingExamples5.bpl42
-rw-r--r--Test/test21/Keywords.bpl28
-rw-r--r--Test/test21/LargeLiterals0.bpl50
-rw-r--r--Test/test21/LetSorting.bpl42
-rw-r--r--Test/test21/MapAxiomsConsistency.bpl206
-rw-r--r--Test/test21/MapOutputTypeParams.bpl76
-rw-r--r--Test/test21/Maps0.bpl124
-rw-r--r--Test/test21/Maps1.bpl84
-rw-r--r--Test/test21/Maps2.bpl62
-rw-r--r--Test/test21/NameClash.bpl26
-rw-r--r--Test/test21/Orderings.bpl50
-rw-r--r--Test/test21/Orderings2.bpl46
-rw-r--r--Test/test21/Orderings3.bpl86
-rw-r--r--Test/test21/Orderings4.bpl32
-rw-r--r--Test/test21/ParallelAssignment.bpl122
-rw-r--r--Test/test21/PolyList.bpl134
-rw-r--r--Test/test21/Real.bpl132
-rw-r--r--Test/test21/Triggers0.bpl98
-rw-r--r--Test/test21/Triggers1.bpl44
-rw-r--r--Test/test21/test3_AddMethod_conv.bpl3654
-rw-r--r--Test/test7/MultipleErrors.bpl76
-rw-r--r--Test/test7/NestedVC.bpl46
-rw-r--r--Test/test7/UnreachableBlocks.bpl84
-rw-r--r--Test/textbook/BQueue.bpl864
-rw-r--r--Test/textbook/Bubble.bpl164
-rw-r--r--Test/textbook/DivMod.bpl130
-rw-r--r--Test/textbook/DutchFlag.bpl142
-rw-r--r--Test/textbook/Find.bpl80
-rw-r--r--Test/textbook/McCarthy-91.bpl28
-rw-r--r--Test/textbook/TuringFactorial.bpl70
-rw-r--r--Test/unnecessaryassumes/unnecessaryassumes0.bpl13
-rw-r--r--Test/unnecessaryassumes/unnecessaryassumes0.bpl.expect3
-rw-r--r--Test/unnecessaryassumes/unnecessaryassumes1.bpl23
-rw-r--r--Test/unnecessaryassumes/unnecessaryassumes1.bpl.expect (renamed from Test/og/Program3.bpl.expect)5
-rw-r--r--Test/z3api/Answer518
-rw-r--r--Test/z3api/Boog24.bpl34
-rw-r--r--Test/z3api/bar1.bpl52
-rw-r--r--Test/z3api/bar2.bpl48
-rw-r--r--Test/z3api/bar3.bpl82
-rw-r--r--Test/z3api/bar4.bpl76
-rw-r--r--Test/z3api/bar6.bpl72
-rw-r--r--Test/z3api/boog0.bpl98
-rw-r--r--Test/z3api/boog1.bpl34
-rw-r--r--Test/z3api/boog10.bpl48
-rw-r--r--Test/z3api/boog11.bpl36
-rw-r--r--Test/z3api/boog12.bpl44
-rw-r--r--Test/z3api/boog13.bpl56
-rw-r--r--Test/z3api/boog14.bpl22
-rw-r--r--Test/z3api/boog15.bpl20
-rw-r--r--Test/z3api/boog16.bpl22
-rw-r--r--Test/z3api/boog17.bpl52
-rw-r--r--Test/z3api/boog18.bpl30
-rw-r--r--Test/z3api/boog19.bpl460
-rw-r--r--Test/z3api/boog2.bpl46
-rw-r--r--Test/z3api/boog20.bpl36
-rw-r--r--Test/z3api/boog21.bpl38
-rw-r--r--Test/z3api/boog22.bpl20
-rw-r--r--Test/z3api/boog23.bpl824
-rw-r--r--Test/z3api/boog25.bpl568
-rw-r--r--Test/z3api/boog28.bpl34
-rw-r--r--Test/z3api/boog29.bpl40
-rw-r--r--Test/z3api/boog3.bpl14
-rw-r--r--Test/z3api/boog30.bpl28
-rw-r--r--Test/z3api/boog31.bpl30
-rw-r--r--Test/z3api/boog34.bpl20
-rw-r--r--Test/z3api/boog35.bpl32
-rw-r--r--Test/z3api/boog4.bpl86
-rw-r--r--Test/z3api/boog5.bpl86
-rw-r--r--Test/z3api/boog6.bpl48
-rw-r--r--Test/z3api/boog7.bpl42
-rw-r--r--Test/z3api/boog8.bpl52
-rw-r--r--Test/z3api/boog9.bpl46
-rw-r--r--Test/z3api/runtest.bat30
617 files changed, 190634 insertions, 188288 deletions
diff --git a/Test/AbsHoudini/Answer b/Test/AbsHoudini/Answer
index f0136d80..2ab37f22 100644
--- a/Test/AbsHoudini/Answer
+++ b/Test/AbsHoudini/Answer
@@ -1,489 +1,489 @@
-
--------------------- houd1.bpl --------------------
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd2.bpl --------------------
-function {:existential true} {:inline} Assert(x: bool) : bool
-{
- true
-}
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- true
-}
-function {:existential true} {:inline} b2(x: bool) : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd3.bpl --------------------
-function {:existential true} {:inline} Assert(x: bool) : bool
-{
- x
-}
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- true
-}
-function {:existential true} {:inline} b2(x: bool) : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd4.bpl --------------------
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-function {:existential true} {:inline} b1() : bool
-{
- false
-}
-function {:existential true} {:inline} b2(x: bool) : bool
-{
- false
-}
-function {:existential true} {:inline} b3(x: bool) : bool
-{
- false
-}
-function {:existential true} {:inline} b4(x: bool) : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd5.bpl --------------------
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- !x
-}
-function {:existential true} {:inline} b2(x: bool) : bool
-{
- x
-}
-function {:existential true} {:inline} b3(x: bool) : bool
-{
- !x
-}
-function {:existential true} {:inline} b4(x: bool) : bool
-{
- x
-}
-function {:existential true} {:inline} b5() : bool
-{
- false
-}
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd6.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} b3() : bool
-{
- true
-}
-function {:existential true} {:inline} b4() : bool
-{
- true
-}
-function {:existential true} {:inline} b5() : bool
-{
- true
-}
-function {:existential true} {:inline} b6() : bool
-{
- true
-}
-function {:existential true} {:inline} b7() : bool
-{
- true
-}
-function {:existential true} {:inline} b8() : bool
-{
- true
-}
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd7.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- false
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} b3() : bool
-{
- true
-}
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd8.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- false
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} b3() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd10.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- false
-}
-function {:existential true} {:inline} b3() : bool
-{
- true
-}
-function {:existential true} {:inline} Assert() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd11.bpl --------------------
-function {:existential true} {:inline} Assert() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- houd12.bpl --------------------
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- false
-}
-function {:existential true} {:inline} b3() : bool
-{
- false
-}
-function {:existential true} {:inline} b4() : bool
-{
- false
-}
-function {:existential true} {:inline} b5() : bool
-{
- false
-}
-function {:existential true} {:inline} b6() : bool
-{
- true
-}
-function {:existential true} {:inline} b7() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- fail1.bpl --------------------
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- false
-}
-fail1.bpl(16,3): Error BP5001: This assertion might not hold.
-Execution trace:
- fail1.bpl(11,3): anon0
- fail1.bpl(12,11): anon4_Then
- fail1.bpl(16,3): anon3
-
-Boogie program verifier finished with 0 verified, 1 error
-.
--------------------- test1.bpl --------------------
-function {:existential true} {:inline} b0() : bool
-{
- false
-}
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- test2.bpl --------------------
-function {:existential true} {:inline} b0() : bool
-{
- false
-}
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- test7.bpl --------------------
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- test8.bpl --------------------
-function {:existential true} {:inline} Assert() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- test9.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- true
-}
-function {:existential true} {:inline} b2() : bool
-{
- true
-}
-function {:existential true} {:inline} b3() : bool
-{
- true
-}
-function {:existential true} {:inline} b4() : bool
-{
- false
-}
-function {:existential true} {:inline} b5() : bool
-{
- true
-}
-function {:existential true} {:inline} b6() : bool
-{
- false
-}
-function {:existential true} {:inline} b7() : bool
-{
- true
-}
-function {:existential true} {:inline} b8() : bool
-{
- true
-}
-function {:existential true} {:inline} b9() : bool
-{
- true
-}
-function {:existential true} {:inline} b10() : bool
-{
- false
-}
-function {:existential true} {:inline} b11() : bool
-{
- true
-}
-function {:existential true} {:inline} b12() : bool
-{
- false
-}
-function {:existential true} {:inline} b13() : bool
-{
- true
-}
-function {:existential true} {:inline} b14() : bool
-{
- true
-}
-function {:existential true} {:inline} b15() : bool
-{
- true
-}
-function {:existential true} {:inline} b16() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- test10.bpl --------------------
-function {:existential true} {:inline} b1() : bool
-{
- false
-}
-function {:existential true} {:inline} b2() : bool
-{
- false
-}
-function {:existential true} {:inline} b3() : bool
-{
- false
-}
-function {:existential true} {:inline} b4() : bool
-{
- false
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- pred1.bpl --------------------
-function {:existential true} {:inline} b0(x: bool, y: bool) : bool
-{
- x && !y
-}
-function {:existential true} {:inline} b1(x: bool, y: bool) : bool
-{
- (y || x) && (!x || !y)
-}
-function {:existential true} {:inline} b2(x: bool, y: bool) : bool
-{
- x && !y
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- pred2.bpl --------------------
-function {:existential true} {:inline} b0(x: bool) : bool
-{
- x
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- pred3.bpl --------------------
-function {:existential true} {:inline} b0(x: bool, y: bool) : bool
-{
- x && !y
-}
-function {:existential true} {:inline} b1(x: bool, y: bool) : bool
-{
- (y || x) && (!x || !y)
-}
-function {:existential true} {:inline} b2(x: bool, y: bool) : bool
-{
- x && !y
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- pred4.bpl --------------------
-function {:existential true} {:inline} b1(x: bool, y: bool) : bool
-{
- (y || x) && (!x || !y)
-}
-function {:existential true} {:absdomain "Intervals"} {:inline} b3(x: int) : bool
-{
- x >= 0 && x <= 0
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- pred5.bpl --------------------
-function {:existential true} {:inline} b1(x: bool) : bool
-{
- x
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- quant1.bpl --------------------
-function {:existential true} {:absdomain "IA[Intervals]"} {:inline} b1(x: int) : bool
-{
- x >= 0 && x <= 2
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- quant2.bpl --------------------
-function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
-{
- x >= 0 && x <= 1
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- quant3.bpl --------------------
-function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
-{
- x >= 0 && x <= 0
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- quant4.bpl --------------------
-function {:existential true} {:absdomain "IA[HoudiniConst]"} {:inline} b1() : bool
-{
- true
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
-.
--------------------- quant5.bpl --------------------
-function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
-{
- x >= 5 && x <= 5
-}
-
-Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd1.bpl --------------------
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd2.bpl --------------------
+function {:existential true} {:inline} Assert(x: bool) : bool
+{
+ true
+}
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ true
+}
+function {:existential true} {:inline} b2(x: bool) : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd3.bpl --------------------
+function {:existential true} {:inline} Assert(x: bool) : bool
+{
+ x
+}
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ true
+}
+function {:existential true} {:inline} b2(x: bool) : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd4.bpl --------------------
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+function {:existential true} {:inline} b1() : bool
+{
+ false
+}
+function {:existential true} {:inline} b2(x: bool) : bool
+{
+ false
+}
+function {:existential true} {:inline} b3(x: bool) : bool
+{
+ false
+}
+function {:existential true} {:inline} b4(x: bool) : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd5.bpl --------------------
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ !x
+}
+function {:existential true} {:inline} b2(x: bool) : bool
+{
+ x
+}
+function {:existential true} {:inline} b3(x: bool) : bool
+{
+ !x
+}
+function {:existential true} {:inline} b4(x: bool) : bool
+{
+ x
+}
+function {:existential true} {:inline} b5() : bool
+{
+ false
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd6.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} b3() : bool
+{
+ true
+}
+function {:existential true} {:inline} b4() : bool
+{
+ true
+}
+function {:existential true} {:inline} b5() : bool
+{
+ true
+}
+function {:existential true} {:inline} b6() : bool
+{
+ true
+}
+function {:existential true} {:inline} b7() : bool
+{
+ true
+}
+function {:existential true} {:inline} b8() : bool
+{
+ true
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd7.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ false
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} b3() : bool
+{
+ true
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd8.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ false
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} b3() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd10.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ false
+}
+function {:existential true} {:inline} b3() : bool
+{
+ true
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd11.bpl --------------------
+function {:existential true} {:inline} Assert() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- houd12.bpl --------------------
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ false
+}
+function {:existential true} {:inline} b3() : bool
+{
+ false
+}
+function {:existential true} {:inline} b4() : bool
+{
+ false
+}
+function {:existential true} {:inline} b5() : bool
+{
+ false
+}
+function {:existential true} {:inline} b6() : bool
+{
+ true
+}
+function {:existential true} {:inline} b7() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- fail1.bpl --------------------
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ false
+}
+fail1.bpl(16,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ fail1.bpl(11,3): anon0
+ fail1.bpl(12,11): anon4_Then
+ fail1.bpl(16,3): anon3
+
+Boogie program verifier finished with 0 verified, 1 error
+.
+-------------------- test1.bpl --------------------
+function {:existential true} {:inline} b0() : bool
+{
+ false
+}
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- test2.bpl --------------------
+function {:existential true} {:inline} b0() : bool
+{
+ false
+}
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- test7.bpl --------------------
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- test8.bpl --------------------
+function {:existential true} {:inline} Assert() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- test9.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ true
+}
+function {:existential true} {:inline} b2() : bool
+{
+ true
+}
+function {:existential true} {:inline} b3() : bool
+{
+ true
+}
+function {:existential true} {:inline} b4() : bool
+{
+ false
+}
+function {:existential true} {:inline} b5() : bool
+{
+ true
+}
+function {:existential true} {:inline} b6() : bool
+{
+ false
+}
+function {:existential true} {:inline} b7() : bool
+{
+ true
+}
+function {:existential true} {:inline} b8() : bool
+{
+ true
+}
+function {:existential true} {:inline} b9() : bool
+{
+ true
+}
+function {:existential true} {:inline} b10() : bool
+{
+ false
+}
+function {:existential true} {:inline} b11() : bool
+{
+ true
+}
+function {:existential true} {:inline} b12() : bool
+{
+ false
+}
+function {:existential true} {:inline} b13() : bool
+{
+ true
+}
+function {:existential true} {:inline} b14() : bool
+{
+ true
+}
+function {:existential true} {:inline} b15() : bool
+{
+ true
+}
+function {:existential true} {:inline} b16() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- test10.bpl --------------------
+function {:existential true} {:inline} b1() : bool
+{
+ false
+}
+function {:existential true} {:inline} b2() : bool
+{
+ false
+}
+function {:existential true} {:inline} b3() : bool
+{
+ false
+}
+function {:existential true} {:inline} b4() : bool
+{
+ false
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- pred1.bpl --------------------
+function {:existential true} {:inline} b0(x: bool, y: bool) : bool
+{
+ x && !y
+}
+function {:existential true} {:inline} b1(x: bool, y: bool) : bool
+{
+ (y || x) && (!x || !y)
+}
+function {:existential true} {:inline} b2(x: bool, y: bool) : bool
+{
+ x && !y
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- pred2.bpl --------------------
+function {:existential true} {:inline} b0(x: bool) : bool
+{
+ x
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- pred3.bpl --------------------
+function {:existential true} {:inline} b0(x: bool, y: bool) : bool
+{
+ x && !y
+}
+function {:existential true} {:inline} b1(x: bool, y: bool) : bool
+{
+ (y || x) && (!x || !y)
+}
+function {:existential true} {:inline} b2(x: bool, y: bool) : bool
+{
+ x && !y
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- pred4.bpl --------------------
+function {:existential true} {:inline} b1(x: bool, y: bool) : bool
+{
+ (y || x) && (!x || !y)
+}
+function {:existential true} {:absdomain "Intervals"} {:inline} b3(x: int) : bool
+{
+ x >= 0 && x <= 0
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- pred5.bpl --------------------
+function {:existential true} {:inline} b1(x: bool) : bool
+{
+ x
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- quant1.bpl --------------------
+function {:existential true} {:absdomain "IA[Intervals]"} {:inline} b1(x: int) : bool
+{
+ x >= 0 && x <= 2
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- quant2.bpl --------------------
+function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
+{
+ x >= 0 && x <= 1
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- quant3.bpl --------------------
+function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
+{
+ x >= 0 && x <= 0
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- quant4.bpl --------------------
+function {:existential true} {:absdomain "IA[HoudiniConst]"} {:inline} b1() : bool
+{
+ true
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
+.
+-------------------- quant5.bpl --------------------
+function {:existential true} {:absdomain "Intervals"} {:inline} b1(x: int) : bool
+{
+ x >= 5 && x <= 5
+}
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/AbsHoudini/f1.bpl b/Test/AbsHoudini/f1.bpl
index e5ed85ef..b7ee9011 100644
--- a/Test/AbsHoudini/f1.bpl
+++ b/Test/AbsHoudini/f1.bpl
@@ -1,32 +1,32 @@
-var g: int;
-
-procedure {:entrypoint} main()
- modifies g;
-{
- var x: int;
- var c: bool;
-
- g := 1;
-
- if(c) {
- g := g + 1;
- } else {
- g := 3;
- }
-
- call foo();
-
- if(old(g) == 0) { g := 1; }
-}
-
-procedure foo()
- modifies g;
-{
- g := g + 1;
-}
-
-procedure {:template} summaryTemplate();
- ensures {:post} g == old(g) + 1;
- ensures {:post} g == old(g) + 2;
- ensures {:post} g == old(g) + 3;
- ensures {:pre} old(g) == 0;
+var g: int;
+
+procedure {:entrypoint} main()
+ modifies g;
+{
+ var x: int;
+ var c: bool;
+
+ g := 1;
+
+ if(c) {
+ g := g + 1;
+ } else {
+ g := 3;
+ }
+
+ call foo();
+
+ if(old(g) == 0) { g := 1; }
+}
+
+procedure foo()
+ modifies g;
+{
+ g := g + 1;
+}
+
+procedure {:template} summaryTemplate();
+ ensures {:post} g == old(g) + 1;
+ ensures {:post} g == old(g) + 2;
+ ensures {:post} g == old(g) + 3;
+ ensures {:pre} old(g) == 0;
diff --git a/Test/AbsHoudini/fail1.bpl b/Test/AbsHoudini/fail1.bpl
index 02bcb8d3..4605c7e9 100644
--- a/Test/AbsHoudini/fail1.bpl
+++ b/Test/AbsHoudini/fail1.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1(x: bool) : bool;
-
-var myVar: int;
-
-procedure foo (i:int)
-modifies myVar;
-ensures b1(myVar>0);
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
- assert false;
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1(x: bool) : bool;
+
+var myVar: int;
+
+procedure foo (i:int)
+modifies myVar;
+ensures b1(myVar>0);
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+ assert false;
+}
+
diff --git a/Test/AbsHoudini/houd1.bpl b/Test/AbsHoudini/houd1.bpl
index 0bd4831a..eeab11aa 100644
--- a/Test/AbsHoudini/houd1.bpl
+++ b/Test/AbsHoudini/houd1.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1(x: bool) : bool;
-
-var myVar: int;
-
-procedure foo (i:int)
-modifies myVar;
-// comment
-ensures b1(myVar>0);
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected end assigment: b1(x) = true
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1(x: bool) : bool;
+
+var myVar: int;
+
+procedure foo (i:int)
+modifies myVar;
+// comment
+ensures b1(myVar>0);
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected end assigment: b1(x) = true
diff --git a/Test/AbsHoudini/houd10.bpl b/Test/AbsHoudini/houd10.bpl
index 5a0942cc..02dd91c1 100644
--- a/Test/AbsHoudini/houd10.bpl
+++ b/Test/AbsHoudini/houd10.bpl
@@ -1,24 +1,24 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1():bool;
-function {:existential true} b2():bool;
-function {:existential true} b3():bool;
-function {:existential true} Assert(): bool;
-var fooVar: int;
-var xVar: int;
-
-procedure foo()
-modifies fooVar;
-modifies xVar;
-ensures b1() || fooVar==0;
-ensures b3() || xVar<0;
-{
- fooVar:=5;
- call bar();
-}
-
-procedure bar();
-modifies xVar;
-requires Assert() || fooVar!=5;
-
-// expected assigment: Assert->true,b1->True,b2->false,b3->True
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1():bool;
+function {:existential true} b2():bool;
+function {:existential true} b3():bool;
+function {:existential true} Assert(): bool;
+var fooVar: int;
+var xVar: int;
+
+procedure foo()
+modifies fooVar;
+modifies xVar;
+ensures b1() || fooVar==0;
+ensures b3() || xVar<0;
+{
+ fooVar:=5;
+ call bar();
+}
+
+procedure bar();
+modifies xVar;
+requires Assert() || fooVar!=5;
+
+// expected assigment: Assert->true,b1->True,b2->false,b3->True
diff --git a/Test/AbsHoudini/houd11.bpl b/Test/AbsHoudini/houd11.bpl
index 638d8ec2..a493574d 100644
--- a/Test/AbsHoudini/houd11.bpl
+++ b/Test/AbsHoudini/houd11.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert() : bool;
-
-var fooVar: int;
-
-procedure foo()
-modifies fooVar;
-{
- fooVar:=5;
- assert Assert() || (fooVar==4);
- assert Assert() || (fooVar==3);
-}
-
-// expected assigment: Assert -> true
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert() : bool;
+
+var fooVar: int;
+
+procedure foo()
+modifies fooVar;
+{
+ fooVar:=5;
+ assert Assert() || (fooVar==4);
+ assert Assert() || (fooVar==3);
+}
+
+// expected assigment: Assert -> true
diff --git a/Test/AbsHoudini/houd12.bpl b/Test/AbsHoudini/houd12.bpl
index 12727d65..434cdc5b 100644
--- a/Test/AbsHoudini/houd12.bpl
+++ b/Test/AbsHoudini/houd12.bpl
@@ -1,60 +1,60 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Example to test candidate annotations on loops
-
-function {:existential true} Assert(): bool;
-function {:existential true} b1():bool;
-function {:existential true} b2():bool;
-function {:existential true} b3():bool;
-function {:existential true} b4():bool;
-function {:existential true} b5():bool;
-function {:existential true} b6():bool;
-function {:existential true} b7():bool;
-
-var x: int;
-var y: int;
-
-
-procedure foo()
-modifies x;
-modifies y;
-ensures (b4() || x == 0);
-ensures (b5() || y == 10);
-ensures (b6() || x == 10);
-ensures (b7() || y == 11);
-
-{
- x := 10;
- y := 0;
-
- goto Head;
-
-Head:
-
- //loop invariants
- assert (b1() || x < 0);
- assert (b2() || x >= 0);
- assert (b3() || x + y == 10);
- goto Body, Exit;
-
-Body:
- assume x > 0;
- x := x - 1;
- y := y + 1;
-
-
- goto Head;
-
-Exit:
- assume !(x > 0);
- return;
-}
-
-// expected assigment: Assert -> false, b1->true,b2->false,b3->false,b4->false, b5->false, b6->true,b7->true
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Example to test candidate annotations on loops
+
+function {:existential true} Assert(): bool;
+function {:existential true} b1():bool;
+function {:existential true} b2():bool;
+function {:existential true} b3():bool;
+function {:existential true} b4():bool;
+function {:existential true} b5():bool;
+function {:existential true} b6():bool;
+function {:existential true} b7():bool;
+
+var x: int;
+var y: int;
+
+
+procedure foo()
+modifies x;
+modifies y;
+ensures (b4() || x == 0);
+ensures (b5() || y == 10);
+ensures (b6() || x == 10);
+ensures (b7() || y == 11);
+
+{
+ x := 10;
+ y := 0;
+
+ goto Head;
+
+Head:
+
+ //loop invariants
+ assert (b1() || x < 0);
+ assert (b2() || x >= 0);
+ assert (b3() || x + y == 10);
+ goto Body, Exit;
+
+Body:
+ assume x > 0;
+ x := x - 1;
+ y := y + 1;
+
+
+ goto Head;
+
+Exit:
+ assume !(x > 0);
+ return;
+}
+
+// expected assigment: Assert -> false, b1->true,b2->false,b3->false,b4->false, b5->false, b6->true,b7->true
+
+
+
+
+
+
+
diff --git a/Test/AbsHoudini/houd2.bpl b/Test/AbsHoudini/houd2.bpl
index 97a73464..5fce886e 100644
--- a/Test/AbsHoudini/houd2.bpl
+++ b/Test/AbsHoudini/houd2.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert(x:bool) : bool;
-function {:existential true} b1 (x:bool):bool;
-function {:existential true} b2 (x:bool):bool;
-
-
-var myVar: int;
-
-procedure bar(i:int)
-modifies myVar;
-ensures Assert(myVar>0);
-{
- call foo(5);
-}
-
-procedure foo (i:int)
-modifies myVar;
-ensures b1(myVar>0);
-ensures Assert(myVar!=-1);
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected end assigment: Assert(x) = true, b1(x) = true, b2(x) = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert(x:bool) : bool;
+function {:existential true} b1 (x:bool):bool;
+function {:existential true} b2 (x:bool):bool;
+
+
+var myVar: int;
+
+procedure bar(i:int)
+modifies myVar;
+ensures Assert(myVar>0);
+{
+ call foo(5);
+}
+
+procedure foo (i:int)
+modifies myVar;
+ensures b1(myVar>0);
+ensures Assert(myVar!=-1);
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected end assigment: Assert(x) = true, b1(x) = true, b2(x) = false
diff --git a/Test/AbsHoudini/houd3.bpl b/Test/AbsHoudini/houd3.bpl
index 178c0e36..3a9f87c3 100644
--- a/Test/AbsHoudini/houd3.bpl
+++ b/Test/AbsHoudini/houd3.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert(x: bool) : bool;
-function {:existential true} b1(x: bool) : bool;
-function {:existential true} b2(x: bool) : bool;
-
-
-var myVar: int;
-
-procedure bar(i:int)
-modifies myVar;
-ensures b2(myVar>0);
-{
- call foo(5);
-}
-
-procedure foo (i:int)
-modifies myVar;
-ensures b1(myVar>0);
-ensures Assert(myVar!=-1);
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected end assigment: Assert(x) = x, b1(x) = True, b2(x) = True
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert(x: bool) : bool;
+function {:existential true} b1(x: bool) : bool;
+function {:existential true} b2(x: bool) : bool;
+
+
+var myVar: int;
+
+procedure bar(i:int)
+modifies myVar;
+ensures b2(myVar>0);
+{
+ call foo(5);
+}
+
+procedure foo (i:int)
+modifies myVar;
+ensures b1(myVar>0);
+ensures Assert(myVar!=-1);
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected end assigment: Assert(x) = x, b1(x) = True, b2(x) = True
diff --git a/Test/AbsHoudini/houd4.bpl b/Test/AbsHoudini/houd4.bpl
index 3268ce12..8639726a 100644
--- a/Test/AbsHoudini/houd4.bpl
+++ b/Test/AbsHoudini/houd4.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert() : bool;
-function {:existential true} b1():bool;
-function {:existential true} b2(x:bool):bool;
-function {:existential true} b3(x:bool):bool;
-function {:existential true} b4(x:bool):bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b2(i > 0);
-ensures b3(array[i] > 0);
-modifies array;
-ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b4(j > 0);
-modifies array;
-ensures Assert() || (forall x:int :: {array[x]} (!b1() && x == j) || array[x] == old(array)[x]);
-{
- call foo(j);
- result := array[j];
-}
-
-// expected assignment: Assert = false, b1(x) = false, b2(x) = false, b3(x) = false, b4(x) = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert() : bool;
+function {:existential true} b1():bool;
+function {:existential true} b2(x:bool):bool;
+function {:existential true} b3(x:bool):bool;
+function {:existential true} b4(x:bool):bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b2(i > 0);
+ensures b3(array[i] > 0);
+modifies array;
+ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b4(j > 0);
+modifies array;
+ensures Assert() || (forall x:int :: {array[x]} (!b1() && x == j) || array[x] == old(array)[x]);
+{
+ call foo(j);
+ result := array[j];
+}
+
+// expected assignment: Assert = false, b1(x) = false, b2(x) = false, b3(x) = false, b4(x) = false
diff --git a/Test/AbsHoudini/houd5.bpl b/Test/AbsHoudini/houd5.bpl
index 9a4c274b..71045f23 100644
--- a/Test/AbsHoudini/houd5.bpl
+++ b/Test/AbsHoudini/houd5.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1(x:bool):bool;
-function {:existential true} b2(x:bool):bool;
-function {:existential true} b3(x:bool):bool;
-function {:existential true} b4(x:bool):bool;
-function {:existential true} b5():bool;
-function {:existential true} Assert():bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b1(i == 0);
-requires b2(i > 0);
-requires b3(i < 0);
-ensures b4(array[i] > 0);
-modifies array;
-ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b5() || (j > 0);
-modifies array;
-{
- call foo(j);
- result := array[j];
-}
-
-// expected assigment: assert = false, b1(x) = !x, b2(x) = x, b3(x) = !x, b4(x) = x, b5() = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1(x:bool):bool;
+function {:existential true} b2(x:bool):bool;
+function {:existential true} b3(x:bool):bool;
+function {:existential true} b4(x:bool):bool;
+function {:existential true} b5():bool;
+function {:existential true} Assert():bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b1(i == 0);
+requires b2(i > 0);
+requires b3(i < 0);
+ensures b4(array[i] > 0);
+modifies array;
+ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b5() || (j > 0);
+modifies array;
+{
+ call foo(j);
+ result := array[j];
+}
+
+// expected assigment: assert = false, b1(x) = !x, b2(x) = x, b3(x) = !x, b4(x) = x, b5() = false
diff --git a/Test/AbsHoudini/houd6.bpl b/Test/AbsHoudini/houd6.bpl
index 4d9cc9e8..4279e4ce 100644
--- a/Test/AbsHoudini/houd6.bpl
+++ b/Test/AbsHoudini/houd6.bpl
@@ -1,46 +1,46 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1():bool;
-function {:existential true} b2():bool;
-function {:existential true} b3():bool;
-function {:existential true} b4():bool;
-function {:existential true} b5():bool;
-function {:existential true} b6():bool;
-function {:existential true} b7():bool;
-function {:existential true} b8():bool;
-function {:existential true} Assert(): bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b6() || i < 0;
-requires b5() || i == 0;
-requires b2() || i > 0;
-ensures b3() || array[i] > 0;
-modifies array;
-ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b8() || j < 0;
-requires b7() || j == 0;
-requires b4() || j > 0;
-modifies array;
-ensures Assert() || (forall x:int :: {array[x]} (x == j) || array[x] == old(array)[x]);
-ensures b1() || array[j] == old(array)[j];
-{
- call foo(j);
- result := array[j];
-}
-
-var p:int;
-
-procedure main() returns (result: int)
-modifies array;
-{
- call result:= bar(p);
-}
-
-// expected assigment: Assert -> false, bi->true forall i
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1():bool;
+function {:existential true} b2():bool;
+function {:existential true} b3():bool;
+function {:existential true} b4():bool;
+function {:existential true} b5():bool;
+function {:existential true} b6():bool;
+function {:existential true} b7():bool;
+function {:existential true} b8():bool;
+function {:existential true} Assert(): bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b6() || i < 0;
+requires b5() || i == 0;
+requires b2() || i > 0;
+ensures b3() || array[i] > 0;
+modifies array;
+ensures Assert() || (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b8() || j < 0;
+requires b7() || j == 0;
+requires b4() || j > 0;
+modifies array;
+ensures Assert() || (forall x:int :: {array[x]} (x == j) || array[x] == old(array)[x]);
+ensures b1() || array[j] == old(array)[j];
+{
+ call foo(j);
+ result := array[j];
+}
+
+var p:int;
+
+procedure main() returns (result: int)
+modifies array;
+{
+ call result:= bar(p);
+}
+
+// expected assigment: Assert -> false, bi->true forall i
diff --git a/Test/AbsHoudini/houd7.bpl b/Test/AbsHoudini/houd7.bpl
index 4035755c..9272fa3c 100644
--- a/Test/AbsHoudini/houd7.bpl
+++ b/Test/AbsHoudini/houd7.bpl
@@ -1,37 +1,37 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1():bool;
-function {:existential true} b2():bool;
-function {:existential true} b3():bool;
-function {:existential true} Assert(): bool;
-var myVar: int;
-
-procedure foo(i:int)
-requires b1() || i>0;
-requires b2() || i==0;
-requires b3() || i<0;
-modifies myVar;
-ensures Assert() || myVar>0;
-{
- myVar:=5;
-}
-
-procedure bar(i:int)
-modifies myVar;
-{
- call foo(5);
-}
-// expected outcome: Correct
-// expected Assigment: Assert = false, b1->false,b2->true,b3->true
-
-
-
-
-
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1():bool;
+function {:existential true} b2():bool;
+function {:existential true} b3():bool;
+function {:existential true} Assert(): bool;
+var myVar: int;
+
+procedure foo(i:int)
+requires b1() || i>0;
+requires b2() || i==0;
+requires b3() || i<0;
+modifies myVar;
+ensures Assert() || myVar>0;
+{
+ myVar:=5;
+}
+
+procedure bar(i:int)
+modifies myVar;
+{
+ call foo(5);
+}
+// expected outcome: Correct
+// expected Assigment: Assert = false, b1->false,b2->true,b3->true
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Test/AbsHoudini/houd8.bpl b/Test/AbsHoudini/houd8.bpl
index dff155aa..7fdf514e 100644
--- a/Test/AbsHoudini/houd8.bpl
+++ b/Test/AbsHoudini/houd8.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1():bool;
-function {:existential true} b2():bool;
-function {:existential true} b3():bool;
-
-var myVar: int;
-
-procedure foo(i:int)
-modifies myVar;
-ensures b1() || myVar>0;
-ensures b2() || myVar==0;
-ensures b3() || myVar<0;
-{
- myVar:=5;
-}
-
-// expected assigment: b1->false,b2->true,b3->true
-
-
-
-
-
-
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1():bool;
+function {:existential true} b2():bool;
+function {:existential true} b3():bool;
+
+var myVar: int;
+
+procedure foo(i:int)
+modifies myVar;
+ensures b1() || myVar>0;
+ensures b2() || myVar==0;
+ensures b3() || myVar<0;
+{
+ myVar:=5;
+}
+
+// expected assigment: b1->false,b2->true,b3->true
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Test/AbsHoudini/imp1.bpl b/Test/AbsHoudini/imp1.bpl
index 29cbf567..09be87e7 100644
--- a/Test/AbsHoudini/imp1.bpl
+++ b/Test/AbsHoudini/imp1.bpl
@@ -1,21 +1,21 @@
-function {:existential true} {:absdomain "ImplicationDomain"} b1(x1: bool, x2: bool) : bool;
-function {:existential true} {:absdomain "ImplicationDomain"} b2(x1: bool, x2: bool) : bool;
-
-var x: int;
-var flag: bool;
-
-procedure foo ()
- modifies x, flag;
- ensures b1(flag, x == 0);
-{
- flag := true;
- x := 0;
-}
-
-procedure bar()
- modifies x, flag;
- ensures b2(flag, x == 0);
-{
- flag := false;
- x := 0;
-}
+function {:existential true} {:absdomain "ImplicationDomain"} b1(x1: bool, x2: bool) : bool;
+function {:existential true} {:absdomain "ImplicationDomain"} b2(x1: bool, x2: bool) : bool;
+
+var x: int;
+var flag: bool;
+
+procedure foo ()
+ modifies x, flag;
+ ensures b1(flag, x == 0);
+{
+ flag := true;
+ x := 0;
+}
+
+procedure bar()
+ modifies x, flag;
+ ensures b2(flag, x == 0);
+{
+ flag := false;
+ x := 0;
+}
diff --git a/Test/AbsHoudini/int1.bpl b/Test/AbsHoudini/int1.bpl
index 0ee0f1b9..eb4e6b51 100644
--- a/Test/AbsHoudini/int1.bpl
+++ b/Test/AbsHoudini/int1.bpl
@@ -1,26 +1,26 @@
-function {:existential true} b0(x:int): bool;
-function {:existential true} b1(x:int): bool;
-
-var g: int;
-
-procedure foo()
-modifies g;
-requires b0(g);
-ensures b1(g);
-{
- if(*) {
- g := g + 1;
- call foo();
- }
-}
-
-procedure main()
-modifies g;
-{
- g := 0;
- if(*) { g := 5; }
- call foo();
-}
-
-
-// Expected: b0(x) = [0,\infty], b1(x) = [0, \infty]
+function {:existential true} b0(x:int): bool;
+function {:existential true} b1(x:int): bool;
+
+var g: int;
+
+procedure foo()
+modifies g;
+requires b0(g);
+ensures b1(g);
+{
+ if(*) {
+ g := g + 1;
+ call foo();
+ }
+}
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ if(*) { g := 5; }
+ call foo();
+}
+
+
+// Expected: b0(x) = [0,\infty], b1(x) = [0, \infty]
diff --git a/Test/AbsHoudini/multi.bpl b/Test/AbsHoudini/multi.bpl
index a33817ac..e53bb075 100644
--- a/Test/AbsHoudini/multi.bpl
+++ b/Test/AbsHoudini/multi.bpl
@@ -1,67 +1,67 @@
-function {:existential true} {:absdomain "ImplicationDomain"} b1(x1: bool, x2: bool) : bool;
-function {:existential true} {:absdomain "ImplicationDomain"} b2(x1: bool, x2: bool) : bool;
-function {:existential true} {:absdomain "PowDomain"} b3(x1: int) : bool;
-function {:existential true} {:absdomain "PowDomain"} b4(x1: bv32) : bool;
-function {:existential true} {:absdomain "EqualitiesDomain"} b5(x: int, y: int, z: int, w:int) : bool;
-
-function {:builtin "bvslt"} BV_SLT(x: bv32, y: bv32) : bool;
-
-var x: int;
-var flag: bool;
-
-// Test implication domain
-procedure foo ()
- modifies x, flag;
-{
- flag := true;
- x := 0;
- assert b1(flag, x == 0);
- flag := false;
- assert b2(flag, x == 0);
-}
-
-// Test for PowDomain(int)
-procedure bar1 ()
- modifies x, flag;
-{
- x := 2;
- if(*) { x := 16; }
- assert b3(x);
-}
-
-// Test for PowDomain(bv32)
-procedure bar2 ()
- modifies x, flag;
-{
- var s: bv32;
-
- s := 2bv32;
- if(*) { s := 16bv32; }
- assert b4(s);
-}
-
-// Test for EqualitiesDomain
-procedure baz ()
- modifies x, flag;
-{
- var y: int;
- var z: int;
- var w: int;
-
- assume x == y;
- assume x == z;
-
- if(*) {
- x := x + 1;
- y := y + 1;
- } else {
- x := x + 2;
- y := y + 2;
- }
-
- assume x == w;
-
- assert b5(x,y,z,w);
-}
-
-
+function {:existential true} {:absdomain "ImplicationDomain"} b1(x1: bool, x2: bool) : bool;
+function {:existential true} {:absdomain "ImplicationDomain"} b2(x1: bool, x2: bool) : bool;
+function {:existential true} {:absdomain "PowDomain"} b3(x1: int) : bool;
+function {:existential true} {:absdomain "PowDomain"} b4(x1: bv32) : bool;
+function {:existential true} {:absdomain "EqualitiesDomain"} b5(x: int, y: int, z: int, w:int) : bool;
+
+function {:builtin "bvslt"} BV_SLT(x: bv32, y: bv32) : bool;
+
+var x: int;
+var flag: bool;
+
+// Test implication domain
+procedure foo ()
+ modifies x, flag;
+{
+ flag := true;
+ x := 0;
+ assert b1(flag, x == 0);
+ flag := false;
+ assert b2(flag, x == 0);
+}
+
+// Test for PowDomain(int)
+procedure bar1 ()
+ modifies x, flag;
+{
+ x := 2;
+ if(*) { x := 16; }
+ assert b3(x);
+}
+
+// Test for PowDomain(bv32)
+procedure bar2 ()
+ modifies x, flag;
+{
+ var s: bv32;
+
+ s := 2bv32;
+ if(*) { s := 16bv32; }
+ assert b4(s);
+}
+
+// Test for EqualitiesDomain
+procedure baz ()
+ modifies x, flag;
+{
+ var y: int;
+ var z: int;
+ var w: int;
+
+ assume x == y;
+ assume x == z;
+
+ if(*) {
+ x := x + 1;
+ y := y + 1;
+ } else {
+ x := x + 2;
+ y := y + 2;
+ }
+
+ assume x == w;
+
+ assert b5(x,y,z,w);
+}
+
+
diff --git a/Test/AbsHoudini/pred1.bpl b/Test/AbsHoudini/pred1.bpl
index 4db4810e..51c310cc 100644
--- a/Test/AbsHoudini/pred1.bpl
+++ b/Test/AbsHoudini/pred1.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b0(x:bool, y:bool): bool;
-function {:existential true} b1(x:bool, y:bool): bool;
-function {:existential true} b2(x:bool, y:bool): bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-ensures b0(g == 0, g == 5);
-{
- g := 0;
- if(*) { g := 5; }
- call foo();
-}
-
-procedure foo()
- modifies g;
- requires b1(g == 0, g == 5);
- ensures b2(g == 0, g == 5);
-{
- assume g != 5;
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b0(x:bool, y:bool): bool;
+function {:existential true} b1(x:bool, y:bool): bool;
+function {:existential true} b2(x:bool, y:bool): bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+ensures b0(g == 0, g == 5);
+{
+ g := 0;
+ if(*) { g := 5; }
+ call foo();
+}
+
+procedure foo()
+ modifies g;
+ requires b1(g == 0, g == 5);
+ ensures b2(g == 0, g == 5);
+{
+ assume g != 5;
+}
+
diff --git a/Test/AbsHoudini/pred2.bpl b/Test/AbsHoudini/pred2.bpl
index c9ac3f74..f34bf5d6 100644
--- a/Test/AbsHoudini/pred2.bpl
+++ b/Test/AbsHoudini/pred2.bpl
@@ -1,14 +1,14 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b0(x:bool): bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-ensures b0(g == old(g));
-{
- if(*) { g := 5; }
- assume g != 5;
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b0(x:bool): bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+ensures b0(g == old(g));
+{
+ if(*) { g := 5; }
+ assume g != 5;
+}
+
diff --git a/Test/AbsHoudini/pred3.bpl b/Test/AbsHoudini/pred3.bpl
index 38f42088..ef76a073 100644
--- a/Test/AbsHoudini/pred3.bpl
+++ b/Test/AbsHoudini/pred3.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b0(x:bool, y:bool): bool;
-function {:existential true} b1(x:bool, y:bool): bool;
-function {:existential true} b2(x:bool, y:bool): bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-ensures b0(g == 0, g == 5);
-{
- assume 0 == old(g) || 1 == old(g);
- g := 0;
- if(*) { g := 5; }
- call foo();
-}
-
-procedure foo()
- modifies g;
- requires b1(g == 0, g == 5);
- ensures b2(old(g) == 0, old(g) == 5);
-{
- assume g != 5;
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b0(x:bool, y:bool): bool;
+function {:existential true} b1(x:bool, y:bool): bool;
+function {:existential true} b2(x:bool, y:bool): bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+ensures b0(g == 0, g == 5);
+{
+ assume 0 == old(g) || 1 == old(g);
+ g := 0;
+ if(*) { g := 5; }
+ call foo();
+}
+
+procedure foo()
+ modifies g;
+ requires b1(g == 0, g == 5);
+ ensures b2(old(g) == 0, old(g) == 5);
+{
+ assume g != 5;
+}
+
diff --git a/Test/AbsHoudini/pred4.bpl b/Test/AbsHoudini/pred4.bpl
index 06e504e2..be9fd1f5 100644
--- a/Test/AbsHoudini/pred4.bpl
+++ b/Test/AbsHoudini/pred4.bpl
@@ -1,23 +1,23 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1(x:bool, y:bool): bool;
-function {:existential true} {:absdomain "Intervals"} b3(x:int): bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-{
- g := 0;
- if(*) { g := 5; }
- call foo();
-}
-
-procedure foo()
- modifies g;
- requires b1(g == 0, g == 5);
- ensures b3(g);
-{
- assume g != 5;
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1(x:bool, y:bool): bool;
+function {:existential true} {:absdomain "Intervals"} b3(x:int): bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ if(*) { g := 5; }
+ call foo();
+}
+
+procedure foo()
+ modifies g;
+ requires b1(g == 0, g == 5);
+ ensures b3(g);
+{
+ assume g != 5;
+}
+
diff --git a/Test/AbsHoudini/pred5.bpl b/Test/AbsHoudini/pred5.bpl
index 1c96fe4d..ee270b15 100644
--- a/Test/AbsHoudini/pred5.bpl
+++ b/Test/AbsHoudini/pred5.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} b1(x: bool) : bool;
-
-procedure main()
-{
- var i: int;
- var x: int;
- var arr: [int] int;
-
- i := 0;
-
- while(*)
- invariant b1((i >= 0) && (forall j: int :: (0 <= j && j < i) ==> arr[j] == 0));
- {
- havoc x;
- assume x == 0;
-
- arr[i] := x;
- i := i + 1;
- }
-
- havoc x;
- assume x >= 0 && x < i;
- assert b1(arr[x] == 0);
-}
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:PredicateAbs "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} b1(x: bool) : bool;
+
+procedure main()
+{
+ var i: int;
+ var x: int;
+ var arr: [int] int;
+
+ i := 0;
+
+ while(*)
+ invariant b1((i >= 0) && (forall j: int :: (0 <= j && j < i) ==> arr[j] == 0));
+ {
+ havoc x;
+ assume x == 0;
+
+ arr[i] := x;
+ i := i + 1;
+ }
+
+ havoc x;
+ assume x >= 0 && x < i;
+ assert b1(arr[x] == 0);
+}
diff --git a/Test/AbsHoudini/quant1.bpl b/Test/AbsHoudini/quant1.bpl
index c3a8814c..d4f2b76b 100644
--- a/Test/AbsHoudini/quant1.bpl
+++ b/Test/AbsHoudini/quant1.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} {:absdomain "IA[Intervals]"} b1(x: int) : bool;
-
-procedure foo ()
-{
- assert (forall x: int :: (0 <= x && x <= 2) ==> b1(x));
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} {:absdomain "IA[Intervals]"} b1(x: int) : bool;
+
+procedure foo ()
+{
+ assert (forall x: int :: (0 <= x && x <= 2) ==> b1(x));
+}
+
diff --git a/Test/AbsHoudini/quant2.bpl b/Test/AbsHoudini/quant2.bpl
index 1091155b..08fafae9 100644
--- a/Test/AbsHoudini/quant2.bpl
+++ b/Test/AbsHoudini/quant2.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
-
-procedure main()
-{
- var i: int;
- var x: int;
- var arr: [int] int;
-
- i := 0;
-
- while(*)
- invariant (i >= 0) && (forall j: int :: (0 <= j && j < i) ==> b1(arr[j]));
- {
- havoc x;
- assume x == 0 || x == 1;
-
- arr[i] := x;
- i := i + 1;
- }
-
- havoc x;
- assume x >= 0 && x < i;
- assert arr[x] == 0 || arr[x] == 1;
-}
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
+
+procedure main()
+{
+ var i: int;
+ var x: int;
+ var arr: [int] int;
+
+ i := 0;
+
+ while(*)
+ invariant (i >= 0) && (forall j: int :: (0 <= j && j < i) ==> b1(arr[j]));
+ {
+ havoc x;
+ assume x == 0 || x == 1;
+
+ arr[i] := x;
+ i := i + 1;
+ }
+
+ havoc x;
+ assume x >= 0 && x < i;
+ assert arr[x] == 0 || arr[x] == 1;
+}
diff --git a/Test/AbsHoudini/quant3.bpl b/Test/AbsHoudini/quant3.bpl
index 951639ff..4a87404f 100644
--- a/Test/AbsHoudini/quant3.bpl
+++ b/Test/AbsHoudini/quant3.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
-
-procedure foo ()
-{
- assert (exists x: int :: (0 <= x && x <= 2) && b1(x));
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
+
+procedure foo ()
+{
+ assert (exists x: int :: (0 <= x && x <= 2) && b1(x));
+}
+
diff --git a/Test/AbsHoudini/quant4.bpl b/Test/AbsHoudini/quant4.bpl
index ac24d7ce..38029355 100644
--- a/Test/AbsHoudini/quant4.bpl
+++ b/Test/AbsHoudini/quant4.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} {:absdomain "IA[HoudiniConst]"} b1() : bool;
-
-procedure foo ()
-{
- assert (exists x: int :: (0 <= x && x <= 2) && b1());
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} {:absdomain "IA[HoudiniConst]"} b1() : bool;
+
+procedure foo ()
+{
+ assert (exists x: int :: (0 <= x && x <= 2) && b1());
+}
+
diff --git a/Test/AbsHoudini/quant5.bpl b/Test/AbsHoudini/quant5.bpl
index d511e9ac..fb73a137 100644
--- a/Test/AbsHoudini/quant5.bpl
+++ b/Test/AbsHoudini/quant5.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
-
-procedure foo ()
-{
- var arr: [int] int;
- assume (forall x: int :: arr[x] == 0);
- arr[5] := 1;
-
- assert (exists x: int :: arr[x] == 1 && b1(x));
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:HoudiniConst -z3opt:MBQI=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} {:absdomain "Intervals"} b1(x: int) : bool;
+
+procedure foo ()
+{
+ var arr: [int] int;
+ assume (forall x: int :: arr[x] == 0);
+ arr[5] := 1;
+
+ assert (exists x: int :: arr[x] == 1 && b1(x));
+}
+
diff --git a/Test/AbsHoudini/runtest.bat b/Test/AbsHoudini/runtest.bat
index 4d70be0e..3053f5fb 100644
--- a/Test/AbsHoudini/runtest.bat
+++ b/Test/AbsHoudini/runtest.bat
@@ -1,28 +1,28 @@
-@echo off
-setlocal
-
-set BGEXE=..\..\Binaries\Boogie.exe
-
-for %%f in (houd1.bpl houd2.bpl houd3.bpl houd4.bpl houd5.bpl houd6.bpl houd7.bpl houd8.bpl houd10.bpl houd11.bpl houd12.bpl fail1.bpl) do (
- echo.
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /abstractHoudini:IA[ConstantProp] %%f
-)
-
-for %%f in (test1.bpl test2.bpl test7.bpl test8.bpl test9.bpl test10.bpl) do (
- echo .
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /inlineDepth:1 /abstractHoudini:IA[ConstantProp] %%f
-)
-
-for %%f in (pred1.bpl pred2.bpl pred3.bpl pred4.bpl pred5.bpl) do (
- echo .
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /inlineDepth:1 /abstractHoudini:PredicateAbs %%f
-)
-
-for %%f in (quant1.bpl quant2.bpl quant3.bpl quant4.bpl quant5.bpl) do (
- echo .
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /abstractHoudini:HoudiniConst /z3opt:MBQI=true %%f
-)
+@echo off
+setlocal
+
+set BGEXE=..\..\Binaries\Boogie.exe
+
+for %%f in (houd1.bpl houd2.bpl houd3.bpl houd4.bpl houd5.bpl houd6.bpl houd7.bpl houd8.bpl houd10.bpl houd11.bpl houd12.bpl fail1.bpl) do (
+ echo.
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /abstractHoudini:IA[ConstantProp] %%f
+)
+
+for %%f in (test1.bpl test2.bpl test7.bpl test8.bpl test9.bpl test10.bpl) do (
+ echo .
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /inlineDepth:1 /abstractHoudini:IA[ConstantProp] %%f
+)
+
+for %%f in (pred1.bpl pred2.bpl pred3.bpl pred4.bpl pred5.bpl) do (
+ echo .
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /inlineDepth:1 /abstractHoudini:PredicateAbs %%f
+)
+
+for %%f in (quant1.bpl quant2.bpl quant3.bpl quant4.bpl quant5.bpl) do (
+ echo .
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /noinfer /contractInfer /printAssignment /abstractHoudini:HoudiniConst /z3opt:MBQI=true %%f
+)
diff --git a/Test/AbsHoudini/test1.bpl b/Test/AbsHoudini/test1.bpl
index 10015723..80521921 100644
--- a/Test/AbsHoudini/test1.bpl
+++ b/Test/AbsHoudini/test1.bpl
@@ -1,40 +1,40 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: bool;
-
-procedure foo()
-modifies g;
-ensures b0() || (!old(g) ==> old(g) == g);
-{
- call AcquireLock();
- call ReleaseLock();
-}
-
-procedure AcquireLock()
-modifies g;
-ensures b1() || old(g) == g;
-{
- g := true;
-}
-
-procedure ReleaseLock()
-modifies g;
-ensures b2() || old(g) == g;
-{
- g := false;
-}
-
-procedure main()
-modifies g;
-{
- g := false;
- call foo();
- assert Assert() || !g;
-}
-
-function {:existential true} b0(): bool;
-function {:existential true} b1(): bool;
-function {:existential true } b2(): bool;
-function {:existential true} Assert(): bool;
-
-// Expected: b0 = false, b1 = true, b2 = true, Assert = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: bool;
+
+procedure foo()
+modifies g;
+ensures b0() || (!old(g) ==> old(g) == g);
+{
+ call AcquireLock();
+ call ReleaseLock();
+}
+
+procedure AcquireLock()
+modifies g;
+ensures b1() || old(g) == g;
+{
+ g := true;
+}
+
+procedure ReleaseLock()
+modifies g;
+ensures b2() || old(g) == g;
+{
+ g := false;
+}
+
+procedure main()
+modifies g;
+{
+ g := false;
+ call foo();
+ assert Assert() || !g;
+}
+
+function {:existential true} b0(): bool;
+function {:existential true} b1(): bool;
+function {:existential true } b2(): bool;
+function {:existential true} Assert(): bool;
+
+// Expected: b0 = false, b1 = true, b2 = true, Assert = false
diff --git a/Test/AbsHoudini/test10.bpl b/Test/AbsHoudini/test10.bpl
index cb2fe89a..4acc862d 100644
--- a/Test/AbsHoudini/test10.bpl
+++ b/Test/AbsHoudini/test10.bpl
@@ -1,52 +1,52 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var sdv_7: int;
-var sdv_21: int;
-function {:existential true} b1(): bool;
-function{:existential true} b2(): bool;
-function{:existential true} b3(): bool;
-function{:existential true} b4(): bool;
-
-procedure push(a:int)
-modifies sdv_7, sdv_21;
-{
- sdv_21 := sdv_7;
- sdv_7 := a;
-}
-
-procedure pop()
-modifies sdv_7, sdv_21;
-{
- sdv_7 := sdv_21;
- havoc sdv_21;
-}
-
-procedure foo()
-modifies sdv_7, sdv_21;
-requires {:candidate} b1() || (sdv_7 == 0);
-ensures{:candidate} b2() || (sdv_7 == old(sdv_7));
-{
- call push(2);
- call pop();
- call bar();
-}
-
-procedure bar()
-requires{:candidate} b3() || (sdv_7 == 0);
-ensures{:candidate} b4() || (sdv_7 == old(sdv_7));
-modifies sdv_7, sdv_21;
-{
- call push(1);
- call pop();
-}
-
-procedure main()
-modifies sdv_7, sdv_21;
-{
- sdv_7 := 0;
- call foo();
-}
-
-// Expected: All false
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var sdv_7: int;
+var sdv_21: int;
+function {:existential true} b1(): bool;
+function{:existential true} b2(): bool;
+function{:existential true} b3(): bool;
+function{:existential true} b4(): bool;
+
+procedure push(a:int)
+modifies sdv_7, sdv_21;
+{
+ sdv_21 := sdv_7;
+ sdv_7 := a;
+}
+
+procedure pop()
+modifies sdv_7, sdv_21;
+{
+ sdv_7 := sdv_21;
+ havoc sdv_21;
+}
+
+procedure foo()
+modifies sdv_7, sdv_21;
+requires {:candidate} b1() || (sdv_7 == 0);
+ensures{:candidate} b2() || (sdv_7 == old(sdv_7));
+{
+ call push(2);
+ call pop();
+ call bar();
+}
+
+procedure bar()
+requires{:candidate} b3() || (sdv_7 == 0);
+ensures{:candidate} b4() || (sdv_7 == old(sdv_7));
+modifies sdv_7, sdv_21;
+{
+ call push(1);
+ call pop();
+}
+
+procedure main()
+modifies sdv_7, sdv_21;
+{
+ sdv_7 := 0;
+ call foo();
+}
+
+// Expected: All false
+
+
diff --git a/Test/AbsHoudini/test2.bpl b/Test/AbsHoudini/test2.bpl
index 1272e7d9..38ec8c8a 100644
--- a/Test/AbsHoudini/test2.bpl
+++ b/Test/AbsHoudini/test2.bpl
@@ -1,42 +1,42 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-var h: int;
-
-procedure foo()
-modifies g, h;
-ensures b0() || old(g) == g;
-{
- call AcquireLock();
- call ReleaseLock();
-}
-
-procedure AcquireLock()
-modifies g, h;
-ensures b1() || old(g) == g;
-{
- h := g;
- g := 1;
-}
-
-procedure ReleaseLock()
-modifies g, h;
-ensures b2() || old(g) == g;
-{
- g := h;
-}
-
-procedure main()
-modifies g, h;
-{
- g := 0;
- call foo();
- assert Assert() || g == 0;
-}
-
-function {:existential true} b0(): bool;
-function {:existential true} b1(): bool;
-function {:existential true} b2(): bool;
-function {:existential true} Assert(): bool;
-
-// Expected: Assert = false, b0 = false, b1 = true, b2 = true
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+var h: int;
+
+procedure foo()
+modifies g, h;
+ensures b0() || old(g) == g;
+{
+ call AcquireLock();
+ call ReleaseLock();
+}
+
+procedure AcquireLock()
+modifies g, h;
+ensures b1() || old(g) == g;
+{
+ h := g;
+ g := 1;
+}
+
+procedure ReleaseLock()
+modifies g, h;
+ensures b2() || old(g) == g;
+{
+ g := h;
+}
+
+procedure main()
+modifies g, h;
+{
+ g := 0;
+ call foo();
+ assert Assert() || g == 0;
+}
+
+function {:existential true} b0(): bool;
+function {:existential true} b1(): bool;
+function {:existential true} b2(): bool;
+function {:existential true} Assert(): bool;
+
+// Expected: Assert = false, b0 = false, b1 = true, b2 = true
diff --git a/Test/AbsHoudini/test7.bpl b/Test/AbsHoudini/test7.bpl
index 118a1c99..65f311f6 100644
--- a/Test/AbsHoudini/test7.bpl
+++ b/Test/AbsHoudini/test7.bpl
@@ -1,21 +1,21 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert() : bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-{
- g := 0;
- call foo();
- assert Assert() || g == 1;
-}
-
-procedure foo()
-modifies g;
-{
- g := g + 1;
-}
-
-// Expected: Assert = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert() : bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ call foo();
+ assert Assert() || g == 1;
+}
+
+procedure foo()
+modifies g;
+{
+ g := g + 1;
+}
+
+// Expected: Assert = false
diff --git a/Test/AbsHoudini/test8.bpl b/Test/AbsHoudini/test8.bpl
index f9a9afaa..1a79d188 100644
--- a/Test/AbsHoudini/test8.bpl
+++ b/Test/AbsHoudini/test8.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:existential true} Assert(): bool;
-
-var g: int;
-
-procedure main()
-modifies g;
-{
- g := 0;
- call foo();
- assert Assert() || g == 1;
-}
-
-procedure {:inline 1} foo()
-modifies g;
-{
- call bar();
-}
-
-procedure bar()
-modifies g;
-{
- g := g + 1;
-}
-
-// Expected: Assert = false
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:existential true} Assert(): bool;
+
+var g: int;
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ call foo();
+ assert Assert() || g == 1;
+}
+
+procedure {:inline 1} foo()
+modifies g;
+{
+ call bar();
+}
+
+procedure bar()
+modifies g;
+{
+ g := g + 1;
+}
+
+// Expected: Assert = false
diff --git a/Test/AbsHoudini/test9.bpl b/Test/AbsHoudini/test9.bpl
index 7d624167..9e7778eb 100644
--- a/Test/AbsHoudini/test9.bpl
+++ b/Test/AbsHoudini/test9.bpl
@@ -1,92 +1,92 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var v1: int;
-var v2: int;
-var v3: int;
-function{:existential true} b1(): bool;
-function{:existential true} b2(): bool;
-function{:existential true} b3(): bool;
-function{:existential true} b4(): bool;
-function{:existential true} b5(): bool;
-function{:existential true} b6(): bool;
-function{:existential true} b7(): bool;
-function{:existential true} b8(): bool;
-function{:existential true} b9(): bool;
-function{:existential true} b10(): bool;
-function{:existential true} b11(): bool;
-function{:existential true} b12(): bool;
-function{:existential true} b13(): bool;
-function{:existential true} b14(): bool;
-function{:existential true} b15(): bool;
-function{:existential true} b16(): bool;
-
-procedure push()
-requires {:candidate} b1() || v1 == 0;
-requires {:candidate} b2() || v1 == 1;
-ensures {:candidate} b3() || v1 == 0;
-ensures {:candidate} b4() || v1 == 1;
-modifies v1,v2;
-{
- v2 := v1;
- v1 := 1;
-}
-
-procedure pop()
-modifies v1,v2;
-requires {:candidate} b5() || v1 == 0;
-requires {:candidate} b6() || v1 == 1;
-ensures {:candidate} b7() || v1 == 0;
-ensures {:candidate} b8() || v1 == 1;
-{
- v1 := v2;
- havoc v2;
-}
-
-procedure foo()
-modifies v1,v2;
-requires {:candidate} b9() || v1 == 0;
-requires {:candidate} b10() || v1 == 1;
-ensures {:candidate} b11() || v1 == 0;
-ensures {:candidate} b12() || v1 == 1;
-{
- call push();
- call pop();
-}
-
-procedure bar()
-modifies v1,v2;
-requires {:candidate} b13() || v1 == 0;
-requires {:candidate} b14() || v1 == 1;
-ensures {:candidate} b15() || v1 == 0;
-ensures {:candidate} b16() || v1 == 1;
-{
- call push();
- call pop();
-}
-
-procedure main()
-modifies v1,v2;
-{
- v1 := 1;
- call foo();
- havoc v1;
- call bar();
-}
-
-// Expected:
-//b1 = true
-//b2 = true
-//b3 = true
-//b4 = false
-//b5 = true
-//b6 = false
-//b7 = true
-//b8 = true
-//b9 = true
-//b10 = false
-//b11 = true
-//b12 = false
-//b13 = true
-//b14 = true
-//b15 = true
-//b16 = true
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 -abstractHoudini:IA[ConstantProp] "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var v1: int;
+var v2: int;
+var v3: int;
+function{:existential true} b1(): bool;
+function{:existential true} b2(): bool;
+function{:existential true} b3(): bool;
+function{:existential true} b4(): bool;
+function{:existential true} b5(): bool;
+function{:existential true} b6(): bool;
+function{:existential true} b7(): bool;
+function{:existential true} b8(): bool;
+function{:existential true} b9(): bool;
+function{:existential true} b10(): bool;
+function{:existential true} b11(): bool;
+function{:existential true} b12(): bool;
+function{:existential true} b13(): bool;
+function{:existential true} b14(): bool;
+function{:existential true} b15(): bool;
+function{:existential true} b16(): bool;
+
+procedure push()
+requires {:candidate} b1() || v1 == 0;
+requires {:candidate} b2() || v1 == 1;
+ensures {:candidate} b3() || v1 == 0;
+ensures {:candidate} b4() || v1 == 1;
+modifies v1,v2;
+{
+ v2 := v1;
+ v1 := 1;
+}
+
+procedure pop()
+modifies v1,v2;
+requires {:candidate} b5() || v1 == 0;
+requires {:candidate} b6() || v1 == 1;
+ensures {:candidate} b7() || v1 == 0;
+ensures {:candidate} b8() || v1 == 1;
+{
+ v1 := v2;
+ havoc v2;
+}
+
+procedure foo()
+modifies v1,v2;
+requires {:candidate} b9() || v1 == 0;
+requires {:candidate} b10() || v1 == 1;
+ensures {:candidate} b11() || v1 == 0;
+ensures {:candidate} b12() || v1 == 1;
+{
+ call push();
+ call pop();
+}
+
+procedure bar()
+modifies v1,v2;
+requires {:candidate} b13() || v1 == 0;
+requires {:candidate} b14() || v1 == 1;
+ensures {:candidate} b15() || v1 == 0;
+ensures {:candidate} b16() || v1 == 1;
+{
+ call push();
+ call pop();
+}
+
+procedure main()
+modifies v1,v2;
+{
+ v1 := 1;
+ call foo();
+ havoc v1;
+ call bar();
+}
+
+// Expected:
+//b1 = true
+//b2 = true
+//b3 = true
+//b4 = false
+//b5 = true
+//b6 = false
+//b7 = true
+//b8 = true
+//b9 = true
+//b10 = false
+//b11 = true
+//b12 = false
+//b13 = true
+//b14 = true
+//b15 = true
+//b16 = true
diff --git a/Test/README.md b/Test/README.md
index 36eb474d..6e315d13 100644
--- a/Test/README.md
+++ b/Test/README.md
@@ -1,174 +1,174 @@
-Testing infrastructure
-----------------------
-
-Boogie uses LLVM's [lit tool](http://llvm.org/docs/CommandGuide/lit.html) for
-testing and the [OutputCheck tool](https://github.com/stp/OutputCheck). This
-infrastructure should work on Linux, OSX and Windows.
-
-Setting up the test environment
--------------------------------
-
-First make sure you have Python installed. We use Python 3.4 but older versions
-should work as well.
-
-The lit and OutputCheck tools are both available in
-[PyPi](https://pypi.python.org/pypi). Install the
-[pip](http://pip.readthedocs.org/en/latest/installing.html) tool if you don't
-already have have it and then run
-
-```
-$ pip install lit
-$ pip install OutputCheck
-```
-
-this will install the tools on your system. If you are running on Linux/OSX and
-do not have root access then you can use the
-[virtualenv](http://virtualenv.readthedocs.org/en/latest/) tool to install these
-tools without the need for root access.
-
-Once installed check the tools are available on your PATH.
-
-```
-$ lit --help
-Usage: lit [options] {file-or-path}
-
-Options:
- -h, --help show this help message and exit
-...
-
-$ OutputCheck --help
-usage: OutputCheck [-h] [--file-to-check= FILE_TO_CHECK=]
- [--check-prefix= CHECK_PREFIX=]
- [-l {debug,info,warning,error}] [--comment= COMMENT=] [-d]
- [--disable-substitutions]
- check_file
-...
-```
-
-On Windows it may be necessary to add the Python scripts folder
-(e.g. ``C:\Python34\Scripts\``) to your PATH if the above commands do not work.
-
-Other requirements
-------------------
-
-We currently require Z3 4.<FIXME> to be used with the test suite.
-
-
-Running the tests
------------------
-
-lit is a very flexible tool. You simply pass it one or more paths to directories
-or individual tests (usually .bpl files) and lit will build up a list of tests
-to run.
-
-For example to run the whole test suite run the following command
-
-```
-$ cd Test
-$ lit .
-```
-
-For example to run all tests in the ``test1`` folder and the bla1.bpl and
-constants.bpl test run the following command
-
-```
-$ cd Test
-$ lit test0/ livevars/bla1.bpl aitest0/constants.bpl
-```
-
-Note replace ``/`` with ``\`` on Windows (tab completition is your friend).
-
-If you would prefer to see less information when running tests you can use the
-``-s`` flag to show progress information and a summary when tests finish.
-
-```
-$ cd Test
-$ lit -s .
-```
-
-To pass additional flags to Boogie when running tests run the following command
-where ``-someParamter`` is a paramter Boogie supports.
-
-```
-$ cd Test
-$ lit --param boogie_params='-someParameter' .
-```
-
-For more ``lit`` options run
-
-```
-$ lit --help
-```
-
-Debugging failing tests
------------------------
-
-You can pass the ``-v`` flag to get more verbose output to try to determine why
-certains tests are failing.
-
-```
-$ cd Test
-$ lit -v livevars/bla1.bpl
-```
-
-Removing output produced by tests
----------------------------------
-
-lit will by default create a folder named ``Output`` in each directory that
-will contain temporary files created by tests. You can run the following to
-remove all these folders/files.
-
-```
-$ cd Test
-$ ./clean.py
-```
-
-This script will also remove old files created by the legacy batch file based
-testing infrastructure (no longer in source tree). If temporary files are left
-behind from the old testing infrastructure it is necessary to run this script
-to remove those files before using ``lit``.
-
-Writing tests
--------------
-
-Tests are driven my special comments written in ``.bpl`` files (each file is an
-individual test). These special comments (RUN lines) contain shell commands to
-run. If any command exits with a non zero exit code the test is
-considered to fail.
-
-The RUN lines may use several substitutions
-
-- ``%boogie`` expands to the absolute path to the Boogie executable with any set
- options and prefixed by ``mono`` on non Windows platforms. This does not need
- to be quoted.
-
-- ``%diff`` expands to the diff tool being used. This is ``diff`` on non
- Windows platforms and ``pydiff`` on Windows. Do not use the ``fc`` tool
- because it is buggy when tests are run concurrently. This does not need to be
- quoted.
-
-- ``%OutputCheck`` expands to the absolute path to the OutputCheck tool. This
- does not need to be quoted.
-
-- ``%s`` the absolute path to the current test file. You should make sure this
- is quoted so that tests work correctly for users who use spaces in their file
- paths.
-
-- ``%T`` the path to the temporary directory for this test. You should make sure
- this is quoted.
-
-- ``%t`` expands to the absolute path of a filename that can be used as a
- temporary file. This always expands to the same value in a single test so if
- you need multiple different temporary files append a unique value (e.g.
- ``%t1``, ``%t2``... etc). You should make sure this is quoted.
-
-Currently most tests simply execute boogie recording its output which then
-compared to a file containing the expected output (``.expect`` files) using
-``%diff``. This is incredibly fragile and it is recommended that new tests use
-the OutputCheck tool instead of relying on %diff.
-
-For more information see
-
-http://llvm.org/docs/CommandGuide/lit.html
-http://llvm.org/docs/TestingGuide.html#regression-test-structure
-https://github.com/stp/OutputCheck/blob/master/README.md
+Testing infrastructure
+----------------------
+
+Boogie uses LLVM's [lit tool](http://llvm.org/docs/CommandGuide/lit.html) for
+testing and the [OutputCheck tool](https://github.com/stp/OutputCheck). This
+infrastructure should work on Linux, OSX and Windows.
+
+Setting up the test environment
+-------------------------------
+
+First make sure you have Python installed. We use Python 3.4 but older versions
+should work as well.
+
+The lit and OutputCheck tools are both available in
+[PyPi](https://pypi.python.org/pypi). Install the
+[pip](http://pip.readthedocs.org/en/latest/installing.html) tool if you don't
+already have have it and then run
+
+```
+$ pip install lit
+$ pip install OutputCheck
+```
+
+this will install the tools on your system. If you are running on Linux/OSX and
+do not have root access then you can use the
+[virtualenv](http://virtualenv.readthedocs.org/en/latest/) tool to install these
+tools without the need for root access.
+
+Once installed check the tools are available on your PATH.
+
+```
+$ lit --help
+Usage: lit [options] {file-or-path}
+
+Options:
+ -h, --help show this help message and exit
+...
+
+$ OutputCheck --help
+usage: OutputCheck [-h] [--file-to-check= FILE_TO_CHECK=]
+ [--check-prefix= CHECK_PREFIX=]
+ [-l {debug,info,warning,error}] [--comment= COMMENT=] [-d]
+ [--disable-substitutions]
+ check_file
+...
+```
+
+On Windows it may be necessary to add the Python scripts folder
+(e.g. ``C:\Python34\Scripts\``) to your PATH if the above commands do not work.
+
+Other requirements
+------------------
+
+We currently require Z3 4.<FIXME> to be used with the test suite.
+
+
+Running the tests
+-----------------
+
+lit is a very flexible tool. You simply pass it one or more paths to directories
+or individual tests (usually .bpl files) and lit will build up a list of tests
+to run.
+
+For example to run the whole test suite run the following command
+
+```
+$ cd Test
+$ lit .
+```
+
+For example to run all tests in the ``test1`` folder and the bla1.bpl and
+constants.bpl test run the following command
+
+```
+$ cd Test
+$ lit test0/ livevars/bla1.bpl aitest0/constants.bpl
+```
+
+Note replace ``/`` with ``\`` on Windows (tab completition is your friend).
+
+If you would prefer to see less information when running tests you can use the
+``-s`` flag to show progress information and a summary when tests finish.
+
+```
+$ cd Test
+$ lit -s .
+```
+
+To pass additional flags to Boogie when running tests run the following command
+where ``-someParamter`` is a paramter Boogie supports.
+
+```
+$ cd Test
+$ lit --param boogie_params='-someParameter' .
+```
+
+For more ``lit`` options run
+
+```
+$ lit --help
+```
+
+Debugging failing tests
+-----------------------
+
+You can pass the ``-v`` flag to get more verbose output to try to determine why
+certains tests are failing.
+
+```
+$ cd Test
+$ lit -v livevars/bla1.bpl
+```
+
+Removing output produced by tests
+---------------------------------
+
+lit will by default create a folder named ``Output`` in each directory that
+will contain temporary files created by tests. You can run the following to
+remove all these folders/files.
+
+```
+$ cd Test
+$ ./clean.py
+```
+
+This script will also remove old files created by the legacy batch file based
+testing infrastructure (no longer in source tree). If temporary files are left
+behind from the old testing infrastructure it is necessary to run this script
+to remove those files before using ``lit``.
+
+Writing tests
+-------------
+
+Tests are driven my special comments written in ``.bpl`` files (each file is an
+individual test). These special comments (RUN lines) contain shell commands to
+run. If any command exits with a non zero exit code the test is
+considered to fail.
+
+The RUN lines may use several substitutions
+
+- ``%boogie`` expands to the absolute path to the Boogie executable with any set
+ options and prefixed by ``mono`` on non Windows platforms. This does not need
+ to be quoted.
+
+- ``%diff`` expands to the diff tool being used. This is ``diff`` on non
+ Windows platforms and ``pydiff`` on Windows. Do not use the ``fc`` tool
+ because it is buggy when tests are run concurrently. This does not need to be
+ quoted.
+
+- ``%OutputCheck`` expands to the absolute path to the OutputCheck tool. This
+ does not need to be quoted.
+
+- ``%s`` the absolute path to the current test file. You should make sure this
+ is quoted so that tests work correctly for users who use spaces in their file
+ paths.
+
+- ``%T`` the path to the temporary directory for this test. You should make sure
+ this is quoted.
+
+- ``%t`` expands to the absolute path of a filename that can be used as a
+ temporary file. This always expands to the same value in a single test so if
+ you need multiple different temporary files append a unique value (e.g.
+ ``%t1``, ``%t2``... etc). You should make sure this is quoted.
+
+Currently most tests simply execute boogie recording its output which then
+compared to a file containing the expected output (``.expect`` files) using
+``%diff``. This is incredibly fragile and it is recommended that new tests use
+the OutputCheck tool instead of relying on %diff.
+
+For more information see
+
+http://llvm.org/docs/CommandGuide/lit.html
+http://llvm.org/docs/TestingGuide.html#regression-test-structure
+https://github.com/stp/OutputCheck/blob/master/README.md
diff --git a/Test/aitest0/Intervals.bpl b/Test/aitest0/Intervals.bpl
index 565b6823..8d40b81d 100644
--- a/Test/aitest0/Intervals.bpl
+++ b/Test/aitest0/Intervals.bpl
@@ -1,334 +1,349 @@
-// RUN: %boogie -infer:j "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const N: int;
-axiom 0 <= N;
-
-procedure P(K: int)
- requires 0 <= K;
-{
- var b: bool, x, k: int;
-
- if (!b) {
- b := !b;
- }
- x := if b then 13 else 10;
- k := K;
- while (k != 0) {
- x := x + k;
- k := k - 1;
- }
- assert 13 <= x;
-}
-
-procedure Thresholds0()
-{
- var i: int;
- i := 0;
- while (i < 200)
- {
- i := i + 1;
- }
- assert i == 200;
-}
-
-procedure Thresholds1()
-{
- var i: int;
- i := 0;
- while (i <= 199)
- {
- i := i + 1;
- }
- assert i == 200;
-}
-
-procedure Thresholds2()
-{
- var i: int;
- i := 100;
- while (0 < i)
- {
- i := i - 1;
- }
- assert i == 0;
-}
-
-procedure Thresholds3()
-{
- var i: int;
- i := 0;
- while (i < 200)
- {
- i := i + 1;
- }
- assert i == 199; // error
-}
-
-procedure Thresholds4()
-{
- var i: int;
- i := 0;
- while (i + 3 < 203)
- {
- i := i + 1;
- }
- assert i * 2 == 400; // error: this would hold in an execution, but /infer:j is too weak to infer invariant i<=200
-}
-
-procedure UnaryNegation0() returns (x: int) // this was once buggy
-{
- x := -1;
- loop_head:
- x := x;
- goto loop_head, after_loop;
- after_loop:
- assert x == -1;
-}
-procedure UnaryNegation1() returns (x: int) // this was once buggy
-{
- x := -1;
- loop_head:
- x := x;
- goto loop_head, after_loop;
- after_loop:
- assert x == 1; // error
-}
-
-// --------------------------- test {:identity} annotation --------------------
-
-function {:identity} MyId(x: int): int;
-function MyStealthyId(x: int): int; // this one messes up the abstract interpretation
-function {:identity false} {:identity}/*the last attribute rules*/ MyPolyId<T>(x: T): T;
-function {:identity /*this is a lie*/} MyBogusId(x: int): int { -x }
-function {:identity /*ignored, since the function takes more than one argument*/} MultipleArgs(x: int, y: int): int;
-function {:identity /*ignored, since the return type is not equal to the argument type*/} BoolToInt(b: bool): int;
-function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId0<T>(x: T): int;
-function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId1<T>(x: int): T;
-function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId2<T,U>(x: T): U;
-
-
-procedure Id0(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + 1;
- }
- assert 0 <= i;
-}
-
-procedure Id1(n: int)
-{
- var i: int;
- i := MyId(0);
- while (i < n)
- {
- i := i + MyId(1);
- }
- assert 0 <= i;
-}
-
-procedure Id2(n: int)
-{
- var i: int;
- i := MyStealthyId(0);
- while (i < n)
- {
- i := i + 1;
- }
- assert 0 <= i; // error: abstract interpreter does not figure this one out
-}
-
-procedure Id3(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + MyStealthyId(1);
- }
- assert 0 <= i; // error: abstract interpreter does not figure this one out
-}
-
-procedure Id4(n: int)
-{
- var i: int;
- i := MyPolyId(0);
- while (i < n)
- {
- i := i + MyPolyId(1);
- }
- assert 0 <= i;
-}
-
-procedure Id5(n: int)
-{
- var i: int;
- var b: bool;
- i, b := 0, false;
- while (i < n)
- {
- i, b := i + 1, false;
- }
- assert !b;
-}
-
-procedure Id6(n: int)
-{
- var i: int;
- var b: bool;
- i, b := 0, MyPolyId(false);
- while (i < n)
- {
- i, b := i + 1, false;
- }
- assert !b;
-}
-
-procedure Id7(n: int)
-{
- var i, k, y, z: int;
- i, k := 0, 0;
- while (i < n)
- {
- i := i + 1;
- y, z := MyBogusId(5), -5;
- k := k + z;
- if (*) {
- assert y == z; // fine
- }
- }
- assert 0 <= k; // error: this does not hold -- k may very well be negative
-}
-
-procedure Id8(n: int)
-{
- var i, k: int;
- i, k := 0, 0;
- while (i < n)
- {
- i := i + 1;
- k := k + MyBogusId(5);
- }
- assert 0 <= k; // since we lied about MyBogusId being an {:identity} function, the abstract interpreter gives us this bogus invariant
-}
-
-procedure Id9(n: int)
- requires 0 < n;
-{
- var i, k: int;
- i, k := 0, 0;
- while (i < n)
- invariant i <= n && -k == 5*i;
- {
- i := i + 1;
- k := k + MyBogusId(5);
- }
- assert -k == 5*n;
- assert false; // this just shows the effect of MyBogusId even more; there is no complaint about this assert
-}
-
-procedure Id10(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + MultipleArgs(19, 23);
- }
- assert 0 <= i; // error: no information is known about i
-}
-
-procedure Id11(n: int)
-{
- var i, k: int;
- i, k := 0, 0;
- while (i < n)
- {
- i := i + 1;
- k := k + BoolToInt(false); // this should not be treated as an identity function, since it goes from one type to another
- }
- assert 0 <= k; // error: no information is known about k
-}
-
-procedure Id12(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId0(false);
- }
- assert 0 <= i; // error: no information is known about i
-}
-
-procedure Id13(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId0(1);
- }
- assert 0 <= i;
-}
-
-procedure Id14(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId0(-1);
- }
- assert 0 <= i; // error: this does not hold
-}
-
-procedure Id15(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId1(1);
- }
- assert 0 <= i; // fine: SometimesId1 claims to be an identity and the use of it is int->int
-}
-
-procedure Id16(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId2(false);
- }
- assert 0 <= i; // error: no information is known about i
-}
-
-procedure Id17(n: int)
-{
- var i: int;
- i := 0;
- while (i < n)
- {
- i := i + SometimesId2(1);
- }
- assert 0 <= i; // fine: SometimesId2 claims to be an identity and the use of it is int->int
-}
-
-// real numbers
-
-procedure W0(N: real)
-{
- var i, bf0: real;
- i := 0.0;
- while (i < N) {
- bf0 := N - i;
- i := i + 1.0;
- // check termination:
- assert 0.0 <= bf0;
- assert N - i <= bf0 - 1.0;
- }
-}
+// RUN: %boogie -infer:j "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const N: int;
+axiom 0 <= N;
+
+procedure P(K: int)
+ requires 0 <= K;
+{
+ var b: bool, x, k: int;
+
+ if (!b) {
+ b := !b;
+ }
+ x := if b then 13 else 10;
+ k := K;
+ while (k != 0) {
+ x := x + k;
+ k := k - 1;
+ }
+ assert 13 <= x;
+}
+
+procedure Thresholds0()
+{
+ var i: int;
+ i := 0;
+ while (i < 200)
+ {
+ i := i + 1;
+ }
+ assert i == 200;
+}
+
+procedure Thresholds1()
+{
+ var i: int;
+ i := 0;
+ while (i <= 199)
+ {
+ i := i + 1;
+ }
+ assert i == 200;
+}
+
+procedure Thresholds2()
+{
+ var i: int;
+ i := 100;
+ while (0 < i)
+ {
+ i := i - 1;
+ }
+ assert i == 0;
+}
+
+procedure Thresholds3()
+{
+ var i: int;
+ i := 0;
+ while (i < 200)
+ {
+ i := i + 1;
+ }
+ assert i == 199; // error
+}
+
+procedure Thresholds4()
+{
+ var i: int;
+ i := 0;
+ while (i + 3 < 203)
+ {
+ i := i + 1;
+ }
+ assert i * 2 == 400; // error: this would hold in an execution, but /infer:j is too weak to infer invariant i<=200
+}
+
+procedure UnaryNegation0() returns (x: int) // this was once buggy
+{
+ x := -1;
+ loop_head:
+ x := x;
+ goto loop_head, after_loop;
+ after_loop:
+ assert x == -1;
+}
+procedure UnaryNegation1() returns (x: int) // this was once buggy
+{
+ x := -1;
+ loop_head:
+ x := x;
+ goto loop_head, after_loop;
+ after_loop:
+ assert x == 1; // error
+}
+
+// --------------------------- test {:identity} annotation --------------------
+
+function {:identity} MyId(x: int): int;
+function MyStealthyId(x: int): int; // this one messes up the abstract interpretation
+function {:identity false} {:identity}/*the last attribute rules*/ MyPolyId<T>(x: T): T;
+function {:identity /*this is a lie*/} MyBogusId(x: int): int { -x }
+function {:identity /*ignored, since the function takes more than one argument*/} MultipleArgs(x: int, y: int): int;
+function {:identity /*ignored, since the return type is not equal to the argument type*/} BoolToInt(b: bool): int;
+function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId0<T>(x: T): int;
+function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId1<T>(x: int): T;
+function {:identity true/*in some contexts, the type of this function makes sense as an identity*/} SometimesId2<T,U>(x: T): U;
+
+
+procedure Id0(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + 1;
+ }
+ assert 0 <= i;
+}
+
+procedure Id1(n: int)
+{
+ var i: int;
+ i := MyId(0);
+ while (i < n)
+ {
+ i := i + MyId(1);
+ }
+ assert 0 <= i;
+}
+
+procedure Id2(n: int)
+{
+ var i: int;
+ i := MyStealthyId(0);
+ while (i < n)
+ {
+ i := i + 1;
+ }
+ assert 0 <= i; // error: abstract interpreter does not figure this one out
+}
+
+procedure Id3(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + MyStealthyId(1);
+ }
+ assert 0 <= i; // error: abstract interpreter does not figure this one out
+}
+
+procedure Id4(n: int)
+{
+ var i: int;
+ i := MyPolyId(0);
+ while (i < n)
+ {
+ i := i + MyPolyId(1);
+ }
+ assert 0 <= i;
+}
+
+procedure Id5(n: int)
+{
+ var i: int;
+ var b: bool;
+ i, b := 0, false;
+ while (i < n)
+ {
+ i, b := i + 1, false;
+ }
+ assert !b;
+}
+
+procedure Id6(n: int)
+{
+ var i: int;
+ var b: bool;
+ i, b := 0, MyPolyId(false);
+ while (i < n)
+ {
+ i, b := i + 1, false;
+ }
+ assert !b;
+}
+
+procedure Id7(n: int)
+{
+ var i, k, y, z: int;
+ i, k := 0, 0;
+ while (i < n)
+ {
+ i := i + 1;
+ y, z := MyBogusId(5), -5;
+ k := k + z;
+ if (*) {
+ assert y == z; // fine
+ }
+ }
+ assert 0 <= k; // error: this does not hold -- k may very well be negative
+}
+
+procedure Id8(n: int)
+{
+ var i, k: int;
+ i, k := 0, 0;
+ while (i < n)
+ {
+ i := i + 1;
+ k := k + MyBogusId(5);
+ }
+ assert 0 <= k; // since we lied about MyBogusId being an {:identity} function, the abstract interpreter gives us this bogus invariant
+}
+
+procedure Id9(n: int)
+ requires 0 < n;
+{
+ var i, k: int;
+ i, k := 0, 0;
+ while (i < n)
+ invariant i <= n && -k == 5*i;
+ {
+ i := i + 1;
+ k := k + MyBogusId(5);
+ }
+ assert -k == 5*n;
+ assert false; // this just shows the effect of MyBogusId even more; there is no complaint about this assert
+}
+
+procedure Id10(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + MultipleArgs(19, 23);
+ }
+ assert 0 <= i; // error: no information is known about i
+}
+
+procedure Id11(n: int)
+{
+ var i, k: int;
+ i, k := 0, 0;
+ while (i < n)
+ {
+ i := i + 1;
+ k := k + BoolToInt(false); // this should not be treated as an identity function, since it goes from one type to another
+ }
+ assert 0 <= k; // error: no information is known about k
+}
+
+procedure Id12(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId0(false);
+ }
+ assert 0 <= i; // error: no information is known about i
+}
+
+procedure Id13(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId0(1);
+ }
+ assert 0 <= i;
+}
+
+procedure Id14(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId0(-1);
+ }
+ assert 0 <= i; // error: this does not hold
+}
+
+procedure Id15(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId1(1);
+ }
+ assert 0 <= i; // fine: SometimesId1 claims to be an identity and the use of it is int->int
+}
+
+procedure Id16(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId2(false);
+ }
+ assert 0 <= i; // error: no information is known about i
+}
+
+procedure Id17(n: int)
+{
+ var i: int;
+ i := 0;
+ while (i < n)
+ {
+ i := i + SometimesId2(1);
+ }
+ assert 0 <= i; // fine: SometimesId2 claims to be an identity and the use of it is int->int
+}
+
+// real numbers
+
+procedure W0(N: real)
+{
+ var i, bf0: real;
+ i := 0.0;
+ while (i < N) {
+ bf0 := N - i;
+ i := i + 1.0;
+ // check termination:
+ assert 0.0 <= bf0;
+ assert N - i <= bf0 - 1.0;
+ }
+}
+
+// mod
+
+procedure Mod0(n: int)
+ requires 10 < n;
+{
+ var i: int;
+
+ i := 0;
+ while (i < 10)
+ {
+ i := (i mod n) + 1;
+ }
+ assert i == 10;
+}
diff --git a/Test/aitest0/Intervals.bpl.expect b/Test/aitest0/Intervals.bpl.expect
index a0769ec5..980593a9 100644
--- a/Test/aitest0/Intervals.bpl.expect
+++ b/Test/aitest0/Intervals.bpl.expect
@@ -54,4 +54,4 @@ Execution trace:
Intervals.bpl(303,3): anon3_LoopHead
Intervals.bpl(303,3): anon3_LoopDone
-Boogie program verifier finished with 16 verified, 11 errors
+Boogie program verifier finished with 17 verified, 11 errors
diff --git a/Test/aitest0/Issue25.bpl b/Test/aitest0/Issue25.bpl
new file mode 100644
index 00000000..6ffcd113
--- /dev/null
+++ b/Test/aitest0/Issue25.bpl
@@ -0,0 +1,14 @@
+// RUN: %boogie -infer:j "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const N: int;
+axiom 0 <= N;
+
+procedure vacuous_post()
+ensures (forall k, l: int :: 0 <= k && k <= l && l < N ==> N < N); // Used to verify at some point (see https://github.com/boogie-org/boogie/issues/25).
+{
+var x: int;
+x := -N;
+while (x != x) {
+}
+}
diff --git a/Test/aitest0/Issue25.bpl.expect b/Test/aitest0/Issue25.bpl.expect
new file mode 100644
index 00000000..f56502e2
--- /dev/null
+++ b/Test/aitest0/Issue25.bpl.expect
@@ -0,0 +1,8 @@
+Issue25.bpl(12,1): Error BP5003: A postcondition might not hold on this return path.
+Issue25.bpl(8,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ Issue25.bpl(11,3): anon0
+ Issue25.bpl(12,1): anon2_LoopHead
+ Issue25.bpl(12,1): anon2_LoopDone
+
+Boogie program verifier finished with 0 verified, 1 error
diff --git a/Test/aitest0/constants.bpl b/Test/aitest0/constants.bpl
index a3b82df7..d2075e26 100644
--- a/Test/aitest0/constants.bpl
+++ b/Test/aitest0/constants.bpl
@@ -1,71 +1,71 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Test the constant propagation AI
-
-var GlobalFlag : bool;
-
-const A, B, C:int; // Consts
-
-procedure Join (b : bool)
- modifies GlobalFlag;
-{
- var x, y, z:int;
-
- start:
- GlobalFlag := true;
- x := 3;
- y := 4;
- z := x + y;
- goto Then, Else; // if (b)
-
- Then:
- assume b == true;
- x := x + 1;
- goto join;
-
- Else:
- assume b == false;
- y := 4;
- goto join;
-
- join:
- assert y == 4;
- assert z == 7;
- assert GlobalFlag == true;
- return;
-}
-
-
-procedure Loop ()
-{
- var c, i: int;
-
- start:
- c := 0; i := 0;
- goto test;
-
- test:
- // if (i < 10);
- goto Then, Else;
-
- Then:
- assume (i < 10);
- i := i + 1;
- goto test;
-
- Else:
- return;
-}
-
-procedure Evaluate ()
-{
- var i : int;
-
- start:
- i := 5;
- i := 3 * i + 1;
- i := 3 * (i + 1);
- i := 1 + 3 * i;
- i := (i + 1) * 3;
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Test the constant propagation AI
+
+var GlobalFlag : bool;
+
+const A, B, C:int; // Consts
+
+procedure Join (b : bool)
+ modifies GlobalFlag;
+{
+ var x, y, z:int;
+
+ start:
+ GlobalFlag := true;
+ x := 3;
+ y := 4;
+ z := x + y;
+ goto Then, Else; // if (b)
+
+ Then:
+ assume b == true;
+ x := x + 1;
+ goto join;
+
+ Else:
+ assume b == false;
+ y := 4;
+ goto join;
+
+ join:
+ assert y == 4;
+ assert z == 7;
+ assert GlobalFlag == true;
+ return;
+}
+
+
+procedure Loop ()
+{
+ var c, i: int;
+
+ start:
+ c := 0; i := 0;
+ goto test;
+
+ test:
+ // if (i < 10);
+ goto Then, Else;
+
+ Then:
+ assume (i < 10);
+ i := i + 1;
+ goto test;
+
+ Else:
+ return;
+}
+
+procedure Evaluate ()
+{
+ var i : int;
+
+ start:
+ i := 5;
+ i := 3 * i + 1;
+ i := 3 * (i + 1);
+ i := 1 + 3 * i;
+ i := (i + 1) * 3;
+ return;
+}
diff --git a/Test/aitest1/Bound.bpl b/Test/aitest1/Bound.bpl
index 81b3635f..f2dd2547 100644
--- a/Test/aitest1/Bound.bpl
+++ b/Test/aitest1/Bound.bpl
@@ -1,30 +1,30 @@
-// RUN: %boogie -infer:j "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const TEST: name;
-
-procedure P()
-{
-var i: int;
-var N: int;
-
-start:
- assume N >= 0;
- i := 0;
- assert i <= N;
- goto LoopHead;
-
-LoopHead:
- goto LoopBody, AfterLoop;
-
-LoopBody:
- assume i < N;
- i := i + 1;
- goto LoopHead;
-
-AfterLoop:
- assume !(i < N);
- assert i == N;
- return;
-}
-
-type name;
+// RUN: %boogie -infer:j "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const TEST: name;
+
+procedure P()
+{
+var i: int;
+var N: int;
+
+start:
+ assume N >= 0;
+ i := 0;
+ assert i <= N;
+ goto LoopHead;
+
+LoopHead:
+ goto LoopBody, AfterLoop;
+
+LoopBody:
+ assume i < N;
+ i := i + 1;
+ goto LoopHead;
+
+AfterLoop:
+ assume !(i < N);
+ assert i == N;
+ return;
+}
+
+type name;
diff --git a/Test/aitest1/Linear0.bpl b/Test/aitest1/Linear0.bpl
index 7e55fb12..f8e2c15a 100644
--- a/Test/aitest1/Linear0.bpl
+++ b/Test/aitest1/Linear0.bpl
@@ -1,12 +1,12 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
-{
- start:
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+{
+ start:
+ return;
+}
diff --git a/Test/aitest1/Linear1.bpl b/Test/aitest1/Linear1.bpl
index 855dacae..452ebc43 100644
--- a/Test/aitest1/Linear1.bpl
+++ b/Test/aitest1/Linear1.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
-{
- start:
- assume x*x == y; // not a linear condition
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+{
+ start:
+ assume x*x == y; // not a linear condition
+ return;
+}
diff --git a/Test/aitest1/Linear2.bpl b/Test/aitest1/Linear2.bpl
index fa743278..6b8684c5 100644
--- a/Test/aitest1/Linear2.bpl
+++ b/Test/aitest1/Linear2.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
-{
- start:
- assume x == 8;
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+{
+ start:
+ assume x == 8;
+ return;
+}
diff --git a/Test/aitest1/Linear3.bpl b/Test/aitest1/Linear3.bpl
index a71214fc..19bccde9 100644
--- a/Test/aitest1/Linear3.bpl
+++ b/Test/aitest1/Linear3.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
-{
- start:
- assume x < y;
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+{
+ start:
+ assume x < y;
+ return;
+}
diff --git a/Test/aitest1/Linear4.bpl b/Test/aitest1/Linear4.bpl
index 6cd4a947..2dd17d05 100644
--- a/Test/aitest1/Linear4.bpl
+++ b/Test/aitest1/Linear4.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
- modifies x;
-{
- A:
- assume x < y;
- goto B, C;
- B:
- x := x*x;
- return;
- C:
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+ modifies x;
+{
+ A:
+ assume x < y;
+ goto B, C;
+ B:
+ x := x*x;
+ return;
+ C:
+ return;
+}
diff --git a/Test/aitest1/Linear5.bpl b/Test/aitest1/Linear5.bpl
index fdd961c3..693dc3cf 100644
--- a/Test/aitest1/Linear5.bpl
+++ b/Test/aitest1/Linear5.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-
-procedure p()
- modifies x;
-{
- A:
- assume -1 <= x;
- goto B, E;
- B:
- assume x < y;
- goto C, E;
- C:
- x := x*x;
- goto D, E;
- D:
- x := y;
- return;
- E:
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+
+procedure p()
+ modifies x;
+{
+ A:
+ assume -1 <= x;
+ goto B, E;
+ B:
+ assume x < y;
+ goto C, E;
+ C:
+ x := x*x;
+ goto D, E;
+ D:
+ x := y;
+ return;
+ E:
+ return;
+}
diff --git a/Test/aitest1/Linear6.bpl b/Test/aitest1/Linear6.bpl
index a6747114..aa0623ba 100644
--- a/Test/aitest1/Linear6.bpl
+++ b/Test/aitest1/Linear6.bpl
@@ -1,23 +1,23 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-var z: int;
-
-procedure p()
- modifies x;
-{
-A:
- x := 8;
- goto B, C;
-B:
- x := 9;
- goto D;
-C:
- x := 10;
- goto D;
-D:
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+var z: int;
+
+procedure p()
+ modifies x;
+{
+A:
+ x := 8;
+ goto B, C;
+B:
+ x := 9;
+ goto D;
+C:
+ x := 10;
+ goto D;
+D:
+ return;
+}
diff --git a/Test/aitest1/Linear7.bpl b/Test/aitest1/Linear7.bpl
index 45ef3e79..37bb442f 100644
--- a/Test/aitest1/Linear7.bpl
+++ b/Test/aitest1/Linear7.bpl
@@ -1,21 +1,21 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Simple test file for checking the inference of linear constraints.
-
-var x: int;
-var y: int;
-var z: int;
-
-procedure p()
-{
-A:
- goto B, C;
-B:
- assume x <= 0;
- goto D;
-C:
- assume y <= 0;
- goto D;
-D:
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Simple test file for checking the inference of linear constraints.
+
+var x: int;
+var y: int;
+var z: int;
+
+procedure p()
+{
+A:
+ goto B, C;
+B:
+ assume x <= 0;
+ goto D;
+C:
+ assume y <= 0;
+ goto D;
+D:
+ return;
+}
diff --git a/Test/aitest1/Linear8.bpl b/Test/aitest1/Linear8.bpl
index cb86b72f..1b13423d 100644
--- a/Test/aitest1/Linear8.bpl
+++ b/Test/aitest1/Linear8.bpl
@@ -1,44 +1,44 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure foo () returns ()
-{
- var i: int;
- var j: int;
- var n: int;
-
-A: // true
- n := 0;
- goto B;
-
-B: // n = 0
- j := 0;
- goto C;
-
-C: // n = 0 AND j = 0
- i := j + 1;
- goto D;
-
-D: // n = 0 AND j = 0 AND i = j + 1
- i := i + 1;
- goto E;
-
-E: // n = 0 AND j = 0 AND i = j + 2
- i := i + 1;
- goto F;
-
-F: // n = 0 AND j = 0 AND i = j + 3
- i := i + 1;
- goto G;
-
-G: // n = 0 AND j = 0 AND i = j + 4
- i := i + 1;
- goto H;
-
-H: // n = 0 AND j = 0 AND i = j + 1
- j := j + 1;
- goto I;
-
-I: // n = 0 AND j = 1 AND i = j + 4
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure foo () returns ()
+{
+ var i: int;
+ var j: int;
+ var n: int;
+
+A: // true
+ n := 0;
+ goto B;
+
+B: // n = 0
+ j := 0;
+ goto C;
+
+C: // n = 0 AND j = 0
+ i := j + 1;
+ goto D;
+
+D: // n = 0 AND j = 0 AND i = j + 1
+ i := i + 1;
+ goto E;
+
+E: // n = 0 AND j = 0 AND i = j + 2
+ i := i + 1;
+ goto F;
+
+F: // n = 0 AND j = 0 AND i = j + 3
+ i := i + 1;
+ goto G;
+
+G: // n = 0 AND j = 0 AND i = j + 4
+ i := i + 1;
+ goto H;
+
+H: // n = 0 AND j = 0 AND i = j + 1
+ j := j + 1;
+ goto I;
+
+I: // n = 0 AND j = 1 AND i = j + 4
+ return;
+}
diff --git a/Test/aitest1/Linear9.bpl b/Test/aitest1/Linear9.bpl
index 86687f05..72bf0d8c 100644
--- a/Test/aitest1/Linear9.bpl
+++ b/Test/aitest1/Linear9.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo () returns ()
-{
- var i: int;
- var j: int;
- var n: int;
-entry:
- assume n >= 4;
- i := 0;
- j := i + 1;
- // n >= 4 AND i = 0 AND j = i+1
- goto exit, loop0;
-
-loop0:
- // n >= 4 AND i >= 0 AND j = i+1
- assume j <= n;
- goto loop1;
-loop1:
- // n >= 4 AND i >= 0 AND j = i+1 AND j <= n
- i := i + 1;
- goto loop2;
-loop2:
- j := j + 1;
- // n >= 4 AND i >= 1 AND j = i+1 AND j <= n+1
- goto loop0, exit;
-
-exit:
- // n >= 4 AND i >= 0 AND j = i+1 AND j <= n+1
- return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo () returns ()
+{
+ var i: int;
+ var j: int;
+ var n: int;
+entry:
+ assume n >= 4;
+ i := 0;
+ j := i + 1;
+ // n >= 4 AND i = 0 AND j = i+1
+ goto exit, loop0;
+
+loop0:
+ // n >= 4 AND i >= 0 AND j = i+1
+ assume j <= n;
+ goto loop1;
+loop1:
+ // n >= 4 AND i >= 0 AND j = i+1 AND j <= n
+ i := i + 1;
+ goto loop2;
+loop2:
+ j := j + 1;
+ // n >= 4 AND i >= 1 AND j = i+1 AND j <= n+1
+ goto loop0, exit;
+
+exit:
+ // n >= 4 AND i >= 0 AND j = i+1 AND j <= n+1
+ return;
+}
diff --git a/Test/aitest1/ineq.bpl b/Test/aitest1/ineq.bpl
index 47f1e4f1..fc6a5847 100644
--- a/Test/aitest1/ineq.bpl
+++ b/Test/aitest1/ineq.bpl
@@ -1,83 +1,83 @@
-// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Test the polyhedra domain for linear inequalities
-
-
-procedure SimpleLoop ()
-{
- var i : int;
-
- start:
- i := 0;
- goto test;
-
- test:
- goto Then, Else;
-
- Then:
- assume i < 10;
- i := i + 1;
- goto test;
-
- Else:
- assume ! (i < 10);
- return;
-}
-
-
-procedure VariableBoundLoop (n : int)
-{
- var i : int;
-
- start:
- i := 0;
- goto test;
-
- test:
- goto Then, Else;
-
- Then:
- assume i < n;
- i := i + 1;
- goto test;
-
- Else:
- assume ! (i < n);
- return;
-}
-
-procedure Foo ()
-{
- var i : int;
-
- start:
- i := 3 * i + 1;
- i := 3 * (i + 1);
- i := 1 + 3 * i;
- i := (i + 1) * 3 ;
- return;
-}
-
-procedure FooToo ()
-{
- var i : int;
-
- start:
- i := 5;
- i := 3 * i + 1;
- i := 3 * (i + 1);
- i := 1 + 3 * i;
- i := (i + 1) * 3 ;
- return;
-}
-
-procedure FooTooStepByStep ()
-{
- var i : int;
-
- L0: i := 5; goto L1;
- L1: i := 3 * i + 1; goto L2;
- L2: i := 3 * (i + 1); goto L3;
- L3: i := 1 + 3 * i; goto L4;
- L4: i := (i + 1) * 3; return;
-}
+// RUN: %boogie -infer:j -instrumentInfer:e -printInstrumented -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Test the polyhedra domain for linear inequalities
+
+
+procedure SimpleLoop ()
+{
+ var i : int;
+
+ start:
+ i := 0;
+ goto test;
+
+ test:
+ goto Then, Else;
+
+ Then:
+ assume i < 10;
+ i := i + 1;
+ goto test;
+
+ Else:
+ assume ! (i < 10);
+ return;
+}
+
+
+procedure VariableBoundLoop (n : int)
+{
+ var i : int;
+
+ start:
+ i := 0;
+ goto test;
+
+ test:
+ goto Then, Else;
+
+ Then:
+ assume i < n;
+ i := i + 1;
+ goto test;
+
+ Else:
+ assume ! (i < n);
+ return;
+}
+
+procedure Foo ()
+{
+ var i : int;
+
+ start:
+ i := 3 * i + 1;
+ i := 3 * (i + 1);
+ i := 1 + 3 * i;
+ i := (i + 1) * 3 ;
+ return;
+}
+
+procedure FooToo ()
+{
+ var i : int;
+
+ start:
+ i := 5;
+ i := 3 * i + 1;
+ i := 3 * (i + 1);
+ i := 1 + 3 * i;
+ i := (i + 1) * 3 ;
+ return;
+}
+
+procedure FooTooStepByStep ()
+{
+ var i : int;
+
+ L0: i := 5; goto L1;
+ L1: i := 3 * i + 1; goto L2;
+ L2: i := 3 * (i + 1); goto L3;
+ L3: i := 1 + 3 * i; goto L4;
+ L4: i := (i + 1) * 3; return;
+}
diff --git a/Test/aitest9/TestIntervals.bpl b/Test/aitest9/TestIntervals.bpl
index 307a1da4..c9bb8284 100644
--- a/Test/aitest9/TestIntervals.bpl
+++ b/Test/aitest9/TestIntervals.bpl
@@ -1,72 +1,72 @@
-// RUN: %boogie "%s" -infer:j > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P()
-{
- var a: int, b: int, c: int;
-
- a := 0;
- while (*) {
- a := a + 1;
- }
- // a in [0, infty]
-
- b := 0;
- if (*) { b := b + 1; }
- if (*) { b := b + 1; }
- if (*) { b := b + 1; }
- // b in [0, 3]
-
- c := a - b;
- // c in [-3, infty]
- goto Next;
-
- Next:
- assert -3 <= c;
- assert c <= 0; // error (there was once an error in the Intervals which thought this assertion to be true)
-}
-
-// The following tests a triply nested array, where the innermost array is a polymorphic map.
-// There was once an error in Boogie's handling of such things in the AI code.
-
-type ref;
-type teflon;
-
-type Field a;
-type HeapType = <a>[Field a]a;
-var Heap: HeapType;
-
-procedure Q(myField: Field [ref][teflon]bool, r: ref, t: teflon)
- modifies Heap;
-{
- Heap[myField][r][t] := true;
-}
-
-// -----
-
-procedure Neq()
-{
- var n: int;
- assume 2 <= n && n <= 10;
- assume 2 != n;
- assume n != 10;
- while (*) {
- n := n;
- }
- assert 3 <= n;
- assert n < 10;
-}
-
-procedure NeqX()
-{
- var n: real;
- assume 2.0 <= n && n <= 10.0;
- assume 2.0 != n;
- assume n != 10.0;
- // The following statement will cause Boogie to know about n only
- // what the abstract interpreter has inferred so far.
- while (*) { n := n; }
-
- assert 2.0 <= n && n <= 10.0; // yes
- assert 2.0 < n; // error, the abstract domain is not precise enough to figure this out
- assert n < 10.0; // error, ditto
-}
+// RUN: %boogie "%s" -infer:j > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P()
+{
+ var a: int, b: int, c: int;
+
+ a := 0;
+ while (*) {
+ a := a + 1;
+ }
+ // a in [0, infty]
+
+ b := 0;
+ if (*) { b := b + 1; }
+ if (*) { b := b + 1; }
+ if (*) { b := b + 1; }
+ // b in [0, 3]
+
+ c := a - b;
+ // c in [-3, infty]
+ goto Next;
+
+ Next:
+ assert -3 <= c;
+ assert c <= 0; // error (there was once an error in the Intervals which thought this assertion to be true)
+}
+
+// The following tests a triply nested array, where the innermost array is a polymorphic map.
+// There was once an error in Boogie's handling of such things in the AI code.
+
+type ref;
+type teflon;
+
+type Field a;
+type HeapType = <a>[Field a]a;
+var Heap: HeapType;
+
+procedure Q(myField: Field [ref][teflon]bool, r: ref, t: teflon)
+ modifies Heap;
+{
+ Heap[myField][r][t] := true;
+}
+
+// -----
+
+procedure Neq()
+{
+ var n: int;
+ assume 2 <= n && n <= 10;
+ assume 2 != n;
+ assume n != 10;
+ while (*) {
+ n := n;
+ }
+ assert 3 <= n;
+ assert n < 10;
+}
+
+procedure NeqX()
+{
+ var n: real;
+ assume 2.0 <= n && n <= 10.0;
+ assume 2.0 != n;
+ assume n != 10.0;
+ // The following statement will cause Boogie to know about n only
+ // what the abstract interpreter has inferred so far.
+ while (*) { n := n; }
+
+ assert 2.0 <= n && n <= 10.0; // yes
+ assert 2.0 < n; // error, the abstract domain is not precise enough to figure this out
+ assert n < 10.0; // error, ditto
+}
diff --git a/Test/aitest9/VarMapFixpoint.bpl b/Test/aitest9/VarMapFixpoint.bpl
index 6a051ed9..83ea45ca 100644
--- a/Test/aitest9/VarMapFixpoint.bpl
+++ b/Test/aitest9/VarMapFixpoint.bpl
@@ -1,60 +1,60 @@
-// RUN: %boogie "%s" -infer:j > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure main()
-{
- var x: int, y: int, z: int;
-
- start:
- x := 2;
- y := 6;
- goto LoopHead;
-
- LoopHead:
- assert y < 10; // error: the loop body sets y to an arbitrary value
- goto LoopBody, LoopEnd;
-
- LoopBody:
- havoc y;
- goto LoopHead;
-
- LoopEnd:
- return;
-}
-
-procedure SimpleWhile5() returns (returnValue: int)
-{
- var i: int;
-
- start:
- returnValue := 1;
- havoc i;
- goto LoopHead;
-
- LoopHead:
- goto LoopBody, LoopEnd;
-
- LoopBody:
- // here, we would simply like to "assume 1 <= i", but the interval domain doesn't interpret
- // assume commands, so we start a loop
- i := 1;
- goto IncLoopHead;
-
- IncLoopHead:
- goto IncI, IncDone;
-
- IncI:
- i := i + 1;
- goto IncLoopHead;
-
- IncDone:
- // now we have 1 <= i
- assert 1 <= i;
-
- returnValue := returnValue * i;
- i := i - 1;
- goto LoopHead;
-
- LoopEnd:
- assert returnValue >= 1;
- return;
-}
+// RUN: %boogie "%s" -infer:j > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure main()
+{
+ var x: int, y: int, z: int;
+
+ start:
+ x := 2;
+ y := 6;
+ goto LoopHead;
+
+ LoopHead:
+ assert y < 10; // error: the loop body sets y to an arbitrary value
+ goto LoopBody, LoopEnd;
+
+ LoopBody:
+ havoc y;
+ goto LoopHead;
+
+ LoopEnd:
+ return;
+}
+
+procedure SimpleWhile5() returns (returnValue: int)
+{
+ var i: int;
+
+ start:
+ returnValue := 1;
+ havoc i;
+ goto LoopHead;
+
+ LoopHead:
+ goto LoopBody, LoopEnd;
+
+ LoopBody:
+ // here, we would simply like to "assume 1 <= i", but the interval domain doesn't interpret
+ // assume commands, so we start a loop
+ i := 1;
+ goto IncLoopHead;
+
+ IncLoopHead:
+ goto IncI, IncDone;
+
+ IncI:
+ i := i + 1;
+ goto IncLoopHead;
+
+ IncDone:
+ // now we have 1 <= i
+ assert 1 <= i;
+
+ returnValue := returnValue * i;
+ i := i - 1;
+ goto LoopHead;
+
+ LoopEnd:
+ assert returnValue >= 1;
+ return;
+}
diff --git a/Test/bitvectors/arrays.bpl b/Test/bitvectors/arrays.bpl
index dae54e63..c6368fbe 100644
--- a/Test/bitvectors/arrays.bpl
+++ b/Test/bitvectors/arrays.bpl
@@ -1,43 +1,43 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const unique f1 : Field int;
-const unique f2 : Field bv32;
-const unique f3 : Field bool;
-
-const unique r1 : ref;
-const unique r2 : ref;
-
-var H : <x>[ref,Field x]x;
-
-procedure foo()
- modifies H;
-{
- var i : int;
- var b : bv32;
- var c : bool;
-
- H[r1, f1] := 3;
- H[r1, f2] := 77bv32;
- H[r1, f3] := true;
- i := H[r1,f1];
- b := H[r1,f2];
- c := H[r1,f3];
- assert i == 3;
- assert b == 77bv32;
- assert H[r1,f3];
-}
-
-var B : [bv32]bv32;
-
-procedure bar()
- modifies B;
-{
- var b : bv32;
-
- B[42bv32] := 77bv32;
- b := B[42bv32];
- assert b == 77bv32;
-}
-
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const unique f1 : Field int;
+const unique f2 : Field bv32;
+const unique f3 : Field bool;
+
+const unique r1 : ref;
+const unique r2 : ref;
+
+var H : <x>[ref,Field x]x;
+
+procedure foo()
+ modifies H;
+{
+ var i : int;
+ var b : bv32;
+ var c : bool;
+
+ H[r1, f1] := 3;
+ H[r1, f2] := 77bv32;
+ H[r1, f3] := true;
+ i := H[r1,f1];
+ b := H[r1,f2];
+ c := H[r1,f3];
+ assert i == 3;
+ assert b == 77bv32;
+ assert H[r1,f3];
+}
+
+var B : [bv32]bv32;
+
+procedure bar()
+ modifies B;
+{
+ var b : bv32;
+
+ B[42bv32] := 77bv32;
+ b := B[42bv32];
+ assert b == 77bv32;
+}
+
+
type Field a, ref; \ No newline at end of file
diff --git a/Test/bitvectors/bv0.bpl b/Test/bitvectors/bv0.bpl
index 8c330eda..d5a34bf5 100644
--- a/Test/bitvectors/bv0.bpl
+++ b/Test/bitvectors/bv0.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo2(x : bv32) returns(r : bv32)
-{
- block1:
- r := 17bv31; // Error
- r := 17; // Error
- r := x[1:0]; // Error
- r := x[0:1]; // Error
- r := x[55:54]; // Error
- r := x[33:32]; // Error
- r := 17bv10 ++ 17bv42 ++ 13bv22; // Error
- return;
-}
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo2(x : bv32) returns(r : bv32)
+{
+ block1:
+ r := 17bv31; // Error
+ r := 17; // Error
+ r := x[1:0]; // Error
+ r := x[0:1]; // Error
+ r := x[55:54]; // Error
+ r := x[33:32]; // Error
+ r := 17bv10 ++ 17bv42 ++ 13bv22; // Error
+ return;
+}
+
diff --git a/Test/bitvectors/bv1.bpl b/Test/bitvectors/bv1.bpl
index 2edc5037..7a86bff7 100644
--- a/Test/bitvectors/bv1.bpl
+++ b/Test/bitvectors/bv1.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo(x : bv32) returns(r : bv32)
-{
- var q : bv64;
-
- block1:
- r := 17bv32;
- assert r == r;
- assert r[32:0] == r[32:0];
- assert 0bv2 ++ r[12:0] == 0bv2 ++ r[12:0];
- r := 17bv10 ++ 17bv22;
- // r := 17;
- q := 420000000000bv64;
- q := 8444249301319680000bv64;
- q := 16444249301319680000bv64;
- return;
-}
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo(x : bv32) returns(r : bv32)
+{
+ var q : bv64;
+
+ block1:
+ r := 17bv32;
+ assert r == r;
+ assert r[32:0] == r[32:0];
+ assert 0bv2 ++ r[12:0] == 0bv2 ++ r[12:0];
+ r := 17bv10 ++ 17bv22;
+ // r := 17;
+ q := 420000000000bv64;
+ q := 8444249301319680000bv64;
+ q := 16444249301319680000bv64;
+ return;
+}
+
diff --git a/Test/bitvectors/bv10.bpl b/Test/bitvectors/bv10.bpl
index cacf9f7a..6ba4ad7a 100644
--- a/Test/bitvectors/bv10.bpl
+++ b/Test/bitvectors/bv10.bpl
@@ -1,12 +1,12 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var x: bv32;
-
-procedure main()
-modifies x;
-{
-
- x := 0bv32;
- assume x == 1bv32;
- assert false;
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var x: bv32;
+
+procedure main()
+modifies x;
+{
+
+ x := 0bv32;
+ assume x == 1bv32;
+ assert false;
+}
diff --git a/Test/bitvectors/bv2.bpl b/Test/bitvectors/bv2.bpl
index 45fdf7e4..8e2c1dad 100644
--- a/Test/bitvectors/bv2.bpl
+++ b/Test/bitvectors/bv2.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo2(x : bv32) returns(r : bv32)
-{
- block1:
- r := x[-1:1]; // Error
-// r := x[x:1]; // Error
- r := x[1:x]; // Error
- r := x[1+1:3]; // Error
- return;
-}
-
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo2(x : bv32) returns(r : bv32)
+{
+ block1:
+ r := x[-1:1]; // Error
+// r := x[x:1]; // Error
+ r := x[1:x]; // Error
+ r := x[1+1:3]; // Error
+ return;
+}
+
+
diff --git a/Test/bitvectors/bv3.bpl b/Test/bitvectors/bv3.bpl
index 5a2ce47f..5b21ca59 100644
--- a/Test/bitvectors/bv3.bpl
+++ b/Test/bitvectors/bv3.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type bv;
-type bv16;
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type bv;
+type bv16;
+
diff --git a/Test/bitvectors/bv4.bpl b/Test/bitvectors/bv4.bpl
index 29c8130a..1e7b6e31 100644
--- a/Test/bitvectors/bv4.bpl
+++ b/Test/bitvectors/bv4.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-function a() returns(bv32);
-axiom a() == a();
-
-axiom 0bv5 != 1bv5;
-
-
-// -------------------------
-type $x;
-function g() returns($x);
-type Field x;
-var $gmem : <x>[ref, Field x] x;
-const unique f : Field $x;
-
-procedure qq()
- modifies $gmem;
-{
- $gmem[null, f] := g();
-}
-
-
-type ref;
-const null : ref;
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function a() returns(bv32);
+axiom a() == a();
+
+axiom 0bv5 != 1bv5;
+
+
+// -------------------------
+type $x;
+function g() returns($x);
+type Field x;
+var $gmem : <x>[ref, Field x] x;
+const unique f : Field $x;
+
+procedure qq()
+ modifies $gmem;
+{
+ $gmem[null, f] := g();
+}
+
+
+type ref;
+const null : ref;
diff --git a/Test/bitvectors/bv5.bpl b/Test/bitvectors/bv5.bpl
index 73dff1ad..048bc315 100644
--- a/Test/bitvectors/bv5.bpl
+++ b/Test/bitvectors/bv5.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure P() returns () {
- var m : <a>[a]int;
-
- m[23bv5] := 17;
- m[21bv5] := 19;
- m[21bv6] := -3;
-
- assert m[23bv5] == 17;
- assert m[21bv6] == 3; // should not be provable
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure P() returns () {
+ var m : <a>[a]int;
+
+ m[23bv5] := 17;
+ m[21bv5] := 19;
+ m[21bv6] := -3;
+
+ assert m[23bv5] == 17;
+ assert m[21bv6] == 3; // should not be provable
+}
diff --git a/Test/bitvectors/bv6.bpl b/Test/bitvectors/bv6.bpl
index d0654b6f..7ff4777f 100644
--- a/Test/bitvectors/bv6.bpl
+++ b/Test/bitvectors/bv6.bpl
@@ -1,11 +1,11 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure Q() returns () {
- var x : bv32, y : bv16;
-
- x := y ++ y;
- assert x[16:0] == y;
- assert x == x[16:0] ++ y;
- assert x[17:1] == y; // should not be verifiable
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure Q() returns () {
+ var x : bv32, y : bv16;
+
+ x := y ++ y;
+ assert x[16:0] == y;
+ assert x == x[16:0] ++ y;
+ assert x[17:1] == y; // should not be verifiable
+}
diff --git a/Test/bitvectors/bv7.bpl b/Test/bitvectors/bv7.bpl
index a60f9547..5d32dda4 100644
--- a/Test/bitvectors/bv7.bpl
+++ b/Test/bitvectors/bv7.bpl
@@ -1,11 +1,11 @@
-// RUN: %boogie -proverWarnings:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo2(x : bv32) returns(r : bv32)
-{
- block1:
- r := x[x:1]; // Error
- r := x[(1:13)]; // Error
- return;
-}
-
-
+// RUN: %boogie -proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo2(x : bv32) returns(r : bv32)
+{
+ block1:
+ r := x[x:1]; // Error
+ r := x[(1:13)]; // Error
+ return;
+}
+
+
diff --git a/Test/bitvectors/bv8.bpl b/Test/bitvectors/bv8.bpl
index ee572998..557eb4e8 100644
--- a/Test/bitvectors/bv8.bpl
+++ b/Test/bitvectors/bv8.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// This file includes some tests for which Boogie once generated bad Z3 input
-
-procedure foo()
-{
- var r: bv3;
- var s: bv6;
- var u: bv15;
- var t: bv24;
-
- t := t[8: 0] ++ t[10: 0] ++ t[24: 18];
- t := (r ++ s) ++ u;
- t := t[16: 0] ++ t[8: 0];
-}
-
-procedure bar()
-{
- var a: bv64;
- var b: bv32;
- var c: bv8;
-
- c := a[8:0];
- c := b[8:0];
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// This file includes some tests for which Boogie once generated bad Z3 input
+
+procedure foo()
+{
+ var r: bv3;
+ var s: bv6;
+ var u: bv15;
+ var t: bv24;
+
+ t := t[8: 0] ++ t[10: 0] ++ t[24: 18];
+ t := (r ++ s) ++ u;
+ t := t[16: 0] ++ t[8: 0];
+}
+
+procedure bar()
+{
+ var a: bv64;
+ var b: bv32;
+ var c: bv8;
+
+ c := a[8:0];
+ c := b[8:0];
+}
diff --git a/Test/bitvectors/bv9.bpl b/Test/bitvectors/bv9.bpl
index 19a147ad..11c5156b 100644
--- a/Test/bitvectors/bv9.bpl
+++ b/Test/bitvectors/bv9.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -proverOpt:OPTIMIZE_FOR_BV=true "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo();
-
-implementation foo()
-{
- assert (forall Q#a$1^15.32#tc1: bv64, Q#b$1^15.32#tc1: bv64, Q#c$1^15.32#tc1: bv64 :: true && true && true ==> ($bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1) == Q#c$1^15.32#tc1 || $bv_bvadd64($bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), 1bv64) == Q#c$1^15.32#tc1) && (if Q#c$1^15.32#tc1 == $bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1) then $bv_bvugt64(Q#a$1^15.32#tc1, $bv_bvsub64(18446744073709551615bv64, Q#b$1^15.32#tc1)) else $bv_bvuge64(Q#a$1^15.32#tc1, $bv_bvsub64(18446744073709551615bv64, Q#b$1^15.32#tc1))) ==> $bv_bvlshr64($bv_bvxor64($bv_bvor64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), $bv_bvand64($bv_bvxor64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), Q#c$1^15.32#tc1)), 0bv32 ++ 63bv32) == 1bv64);
-}
-
-function {:bvbuiltin "bvlshr"} $bv_bvlshr64(p1: bv64, p2: bv64) : bv64;
-
-function {:bvbuiltin "bvand"} $bv_bvand64(p1: bv64, p2: bv64) : bv64;
-
-function {:bvbuiltin "bvor"} $bv_bvor64(p1: bv64, p2: bv64) : bv64;
-
-function {:bvbuiltin "bvxor"} $bv_bvxor64(p1: bv64, p2: bv64) : bv64;
-
-function {:bvbuiltin "bvuge"} $bv_bvuge64(p1: bv64, p2: bv64) : bool;
-
-function {:bvbuiltin "bvugt"} $bv_bvugt64(p1: bv64, p2: bv64) : bool;
-
-function {:bvbuiltin "bvsub"} $bv_bvsub64(p1: bv64, p2: bv64) : bv64;
-
-function {:bvbuiltin "bvadd"} $bv_bvadd64(p1: bv64, p2: bv64) : bv64;
-
+// RUN: %boogie -proverOpt:OPTIMIZE_FOR_BV=true "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo();
+
+implementation foo()
+{
+ assert (forall Q#a$1^15.32#tc1: bv64, Q#b$1^15.32#tc1: bv64, Q#c$1^15.32#tc1: bv64 :: true && true && true ==> ($bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1) == Q#c$1^15.32#tc1 || $bv_bvadd64($bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), 1bv64) == Q#c$1^15.32#tc1) && (if Q#c$1^15.32#tc1 == $bv_bvadd64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1) then $bv_bvugt64(Q#a$1^15.32#tc1, $bv_bvsub64(18446744073709551615bv64, Q#b$1^15.32#tc1)) else $bv_bvuge64(Q#a$1^15.32#tc1, $bv_bvsub64(18446744073709551615bv64, Q#b$1^15.32#tc1))) ==> $bv_bvlshr64($bv_bvxor64($bv_bvor64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), $bv_bvand64($bv_bvxor64(Q#a$1^15.32#tc1, Q#b$1^15.32#tc1), Q#c$1^15.32#tc1)), 0bv32 ++ 63bv32) == 1bv64);
+}
+
+function {:bvbuiltin "bvlshr"} $bv_bvlshr64(p1: bv64, p2: bv64) : bv64;
+
+function {:bvbuiltin "bvand"} $bv_bvand64(p1: bv64, p2: bv64) : bv64;
+
+function {:bvbuiltin "bvor"} $bv_bvor64(p1: bv64, p2: bv64) : bv64;
+
+function {:bvbuiltin "bvxor"} $bv_bvxor64(p1: bv64, p2: bv64) : bv64;
+
+function {:bvbuiltin "bvuge"} $bv_bvuge64(p1: bv64, p2: bv64) : bool;
+
+function {:bvbuiltin "bvugt"} $bv_bvugt64(p1: bv64, p2: bv64) : bool;
+
+function {:bvbuiltin "bvsub"} $bv_bvsub64(p1: bv64, p2: bv64) : bv64;
+
+function {:bvbuiltin "bvadd"} $bv_bvadd64(p1: bv64, p2: bv64) : bv64;
+
diff --git a/Test/og/DeviceCache.bpl b/Test/civl/DeviceCache.bpl
index f439b607..01b1be01 100644
--- a/Test/og/DeviceCache.bpl
+++ b/Test/civl/DeviceCache.bpl
@@ -1,210 +1,210 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-function {:builtin "MapConst"} mapconstbool(bool): [X]bool;
-const nil: X;
-var {:layer 0,1} ghostLock: X;
-var {:layer 0,1} lock: X;
-var {:layer 0,1} currsize: int;
-var {:layer 0,1} newsize: int;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-function {:inline} {:linear "tid"} TidSetCollector(x: [X]bool) : [X]bool
-{
- x
-}
-
-function {:inline} Inv(ghostLock: X, currsize: int, newsize: int) : (bool)
-{
- 0 <= currsize && currsize <= newsize &&
- (ghostLock == nil <==> currsize == newsize)
-}
-
-procedure {:yields} {:layer 1} Yield()
-requires {:layer 1} Inv(ghostLock, currsize, newsize);
-ensures {:layer 1} Inv(ghostLock, currsize, newsize);
-{
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize);
-}
-
-procedure {:yields} {:layer 1} YieldToReadCache({:linear "tid"} tid: X)
-requires {:layer 1} Inv(ghostLock, currsize, newsize) && tid != nil;
-ensures {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) <= currsize;
-{
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) <= currsize;
-}
-
-procedure {:yields} {:layer 1} YieldToWriteCache({:linear "tid"} tid: X)
-requires {:layer 1} Inv(ghostLock, currsize, newsize) && ghostLock == tid && tid != nil;
-ensures {:layer 1} Inv(ghostLock, currsize, newsize) && ghostLock == tid && old(currsize) == currsize && old(newsize) == newsize;
-{
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize) && tid != nil && ghostLock == tid && old(currsize) == currsize && old(newsize) == newsize;
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: X)
-ensures {:layer 1} xl != nil;
-{
- yield;
- call xl := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 1} main({:linear_in "tid"} xls: [X]bool)
-requires {:layer 1} xls == mapconstbool(true);
-{
- var {:linear "tid"} tid: X;
-
- yield;
-
- call Init(xls);
-
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize);
-
- while (*)
- invariant {:layer 1} Inv(ghostLock, currsize, newsize);
- {
- par tid := Allocate() | Yield();
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize);
- async call Thread(tid);
- yield;
- assert {:layer 1} Inv(ghostLock, currsize, newsize);
- }
- yield;
-}
-
-procedure {:yields} {:layer 1} Thread({:linear "tid"} tid: X)
-requires {:layer 1} tid != nil;
-requires {:layer 1} Inv(ghostLock, currsize, newsize);
-{
- var start, size, bytesRead: int;
-
- havoc start, size;
- assume (0 <= start && 0 <= size);
- call bytesRead := Read(tid, start, size);
-}
-
-procedure {:yields} {:layer 1} Read({:linear "tid"} tid: X, start : int, size : int) returns (bytesRead : int)
-requires {:layer 1} tid != nil;
-requires {:layer 1} 0 <= start && 0 <= size;
-requires {:layer 1} Inv(ghostLock, currsize, newsize);
-ensures {:layer 1} 0 <= bytesRead && bytesRead <= size;
-{
- var i, tmp: int;
-
- par YieldToReadCache(tid);
- bytesRead := size;
- call acquire(tid);
- call i := ReadCurrsize(tid);
- call tmp := ReadNewsize(tid);
- if (start + size <= i) {
- call release(tid);
- goto COPY_TO_BUFFER;
- } else if (tmp > i) {
- bytesRead := if (start <= i) then (i - start) else 0;
- call release(tid);
- goto COPY_TO_BUFFER;
- } else {
- call WriteNewsize(tid, start + size);
- call release(tid);
- goto READ_DEVICE;
- }
-
-READ_DEVICE:
- par YieldToWriteCache(tid);
- call WriteCache(tid, start + size);
- par YieldToWriteCache(tid);
- call acquire(tid);
- call tmp := ReadNewsize(tid);
- call WriteCurrsize(tid, tmp);
- call release(tid);
-
-COPY_TO_BUFFER:
- par YieldToReadCache(tid);
- call ReadCache(tid, start, bytesRead);
-}
-
-procedure {:yields} {:layer 1} WriteCache({:linear "tid"} tid: X, index: int)
-requires {:layer 1} Inv(ghostLock, currsize, newsize);
-requires {:layer 1} ghostLock == tid && tid != nil;
-ensures {:layer 1} ghostLock == tid;
-ensures {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) == currsize && old(newsize) == newsize;
-{
- var j: int;
-
- par YieldToWriteCache(tid);
- call j := ReadCurrsize(tid);
- while (j < index)
- invariant {:layer 1} ghostLock == tid && currsize <= j && tid == tid;
- invariant {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) == currsize && old(newsize) == newsize;
- {
- par YieldToWriteCache(tid);
- call WriteCacheEntry(tid, j);
- j := j + 1;
- }
- par YieldToWriteCache(tid);
-}
-
-procedure {:yields} {:layer 1} ReadCache({:linear "tid"} tid: X, start: int, bytesRead: int)
-requires {:layer 1} Inv(ghostLock, currsize, newsize);
-requires {:layer 1} tid != nil;
-requires {:layer 1} 0 <= start && 0 <= bytesRead;
-requires {:layer 1} (bytesRead == 0 || start + bytesRead <= currsize);
-ensures {:layer 1} Inv(ghostLock, currsize, newsize);
-{
- var j: int;
-
- par YieldToReadCache(tid);
-
- j := 0;
- while(j < bytesRead)
- invariant {:layer 1} 0 <= j;
- invariant {:layer 1} bytesRead == 0 || start + bytesRead <= currsize;
- invariant {:layer 1} Inv(ghostLock, currsize, newsize);
- {
- assert {:layer 1} start + j < currsize;
- call ReadCacheEntry(tid, start + j);
- j := j + 1;
- par YieldToReadCache(tid);
- }
-
- par YieldToReadCache(tid);
-}
-
-procedure {:yields} {:layer 0,1} Init({:linear_in "tid"} xls:[X]bool);
-ensures {:atomic} |{ A: assert xls == mapconstbool(true); currsize := 0; newsize := 0; lock := nil; ghostLock := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} ReadCurrsize({:linear "tid"} tid: X) returns (val: int);
-ensures {:right} |{A: assert tid != nil; assert lock == tid || ghostLock == tid; val := currsize; return true; }|;
-
-procedure {:yields} {:layer 0,1} ReadNewsize({:linear "tid"} tid: X) returns (val: int);
-ensures {:right} |{A: assert tid != nil; assert lock == tid || ghostLock == tid; val := newsize; return true; }|;
-
-procedure {:yields} {:layer 0,1} WriteNewsize({:linear "tid"} tid: X, val: int);
-ensures {:atomic} |{A: assert tid != nil; assert lock == tid && ghostLock == nil; newsize := val; ghostLock := tid; return true; }|;
-
-procedure {:yields} {:layer 0,1} WriteCurrsize({:linear "tid"} tid: X, val: int);
-ensures {:atomic} |{A: assert tid != nil; assert lock == tid && ghostLock == tid; currsize := val; ghostLock := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} ReadCacheEntry({:linear "tid"} tid: X, index: int);
-ensures {:atomic} |{ A: assert 0 <= index && index < currsize; return true; }|;
-
-procedure {:yields} {:layer 0,1} WriteCacheEntry({:linear "tid"} tid: X, index: int);
-ensures {:right} |{ A: assert tid != nil; assert currsize <= index && ghostLock == tid; return true; }|;
-
-procedure {:yields} {:layer 0,1} acquire({:linear "tid"} tid: X);
-ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
-
-procedure {:yields} {:layer 0,1} release({:linear "tid"} tid: X);
-ensures {:left} |{ A: assert tid != nil; assert lock == tid; lock := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: X);
-ensures {:atomic} |{ A: assume tid != nil; return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+function {:builtin "MapConst"} mapconstbool(bool): [X]bool;
+const nil: X;
+var {:layer 0,1} ghostLock: X;
+var {:layer 0,1} lock: X;
+var {:layer 0,1} currsize: int;
+var {:layer 0,1} newsize: int;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+function {:inline} {:linear "tid"} TidSetCollector(x: [X]bool) : [X]bool
+{
+ x
+}
+
+function {:inline} Inv(ghostLock: X, currsize: int, newsize: int) : (bool)
+{
+ 0 <= currsize && currsize <= newsize &&
+ (ghostLock == nil <==> currsize == newsize)
+}
+
+procedure {:yields} {:layer 1} Yield()
+requires {:layer 1} Inv(ghostLock, currsize, newsize);
+ensures {:layer 1} Inv(ghostLock, currsize, newsize);
+{
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize);
+}
+
+procedure {:yields} {:layer 1} YieldToReadCache({:linear "tid"} tid: X)
+requires {:layer 1} Inv(ghostLock, currsize, newsize) && tid != nil;
+ensures {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) <= currsize;
+{
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) <= currsize;
+}
+
+procedure {:yields} {:layer 1} YieldToWriteCache({:linear "tid"} tid: X)
+requires {:layer 1} Inv(ghostLock, currsize, newsize) && ghostLock == tid && tid != nil;
+ensures {:layer 1} Inv(ghostLock, currsize, newsize) && ghostLock == tid && old(currsize) == currsize && old(newsize) == newsize;
+{
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize) && tid != nil && ghostLock == tid && old(currsize) == currsize && old(newsize) == newsize;
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: X)
+ensures {:layer 1} xl != nil;
+{
+ yield;
+ call xl := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 1} main({:linear_in "tid"} xls: [X]bool)
+requires {:layer 1} xls == mapconstbool(true);
+{
+ var {:linear "tid"} tid: X;
+
+ yield;
+
+ call Init(xls);
+
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize);
+
+ while (*)
+ invariant {:layer 1} Inv(ghostLock, currsize, newsize);
+ {
+ par tid := Allocate() | Yield();
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize);
+ async call Thread(tid);
+ yield;
+ assert {:layer 1} Inv(ghostLock, currsize, newsize);
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 1} Thread({:linear "tid"} tid: X)
+requires {:layer 1} tid != nil;
+requires {:layer 1} Inv(ghostLock, currsize, newsize);
+{
+ var start, size, bytesRead: int;
+
+ havoc start, size;
+ assume (0 <= start && 0 <= size);
+ call bytesRead := Read(tid, start, size);
+}
+
+procedure {:yields} {:layer 1} Read({:linear "tid"} tid: X, start : int, size : int) returns (bytesRead : int)
+requires {:layer 1} tid != nil;
+requires {:layer 1} 0 <= start && 0 <= size;
+requires {:layer 1} Inv(ghostLock, currsize, newsize);
+ensures {:layer 1} 0 <= bytesRead && bytesRead <= size;
+{
+ var i, tmp: int;
+
+ par YieldToReadCache(tid);
+ bytesRead := size;
+ call acquire(tid);
+ call i := ReadCurrsize(tid);
+ call tmp := ReadNewsize(tid);
+ if (start + size <= i) {
+ call release(tid);
+ goto COPY_TO_BUFFER;
+ } else if (tmp > i) {
+ bytesRead := if (start <= i) then (i - start) else 0;
+ call release(tid);
+ goto COPY_TO_BUFFER;
+ } else {
+ call WriteNewsize(tid, start + size);
+ call release(tid);
+ goto READ_DEVICE;
+ }
+
+READ_DEVICE:
+ par YieldToWriteCache(tid);
+ call WriteCache(tid, start + size);
+ par YieldToWriteCache(tid);
+ call acquire(tid);
+ call tmp := ReadNewsize(tid);
+ call WriteCurrsize(tid, tmp);
+ call release(tid);
+
+COPY_TO_BUFFER:
+ par YieldToReadCache(tid);
+ call ReadCache(tid, start, bytesRead);
+}
+
+procedure {:yields} {:layer 1} WriteCache({:linear "tid"} tid: X, index: int)
+requires {:layer 1} Inv(ghostLock, currsize, newsize);
+requires {:layer 1} ghostLock == tid && tid != nil;
+ensures {:layer 1} ghostLock == tid;
+ensures {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) == currsize && old(newsize) == newsize;
+{
+ var j: int;
+
+ par YieldToWriteCache(tid);
+ call j := ReadCurrsize(tid);
+ while (j < index)
+ invariant {:layer 1} ghostLock == tid && currsize <= j && tid == tid;
+ invariant {:layer 1} Inv(ghostLock, currsize, newsize) && old(currsize) == currsize && old(newsize) == newsize;
+ {
+ par YieldToWriteCache(tid);
+ call WriteCacheEntry(tid, j);
+ j := j + 1;
+ }
+ par YieldToWriteCache(tid);
+}
+
+procedure {:yields} {:layer 1} ReadCache({:linear "tid"} tid: X, start: int, bytesRead: int)
+requires {:layer 1} Inv(ghostLock, currsize, newsize);
+requires {:layer 1} tid != nil;
+requires {:layer 1} 0 <= start && 0 <= bytesRead;
+requires {:layer 1} (bytesRead == 0 || start + bytesRead <= currsize);
+ensures {:layer 1} Inv(ghostLock, currsize, newsize);
+{
+ var j: int;
+
+ par YieldToReadCache(tid);
+
+ j := 0;
+ while(j < bytesRead)
+ invariant {:layer 1} 0 <= j;
+ invariant {:layer 1} bytesRead == 0 || start + bytesRead <= currsize;
+ invariant {:layer 1} Inv(ghostLock, currsize, newsize);
+ {
+ assert {:layer 1} start + j < currsize;
+ call ReadCacheEntry(tid, start + j);
+ j := j + 1;
+ par YieldToReadCache(tid);
+ }
+
+ par YieldToReadCache(tid);
+}
+
+procedure {:yields} {:layer 0,1} Init({:linear_in "tid"} xls:[X]bool);
+ensures {:atomic} |{ A: assert xls == mapconstbool(true); currsize := 0; newsize := 0; lock := nil; ghostLock := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} ReadCurrsize({:linear "tid"} tid: X) returns (val: int);
+ensures {:right} |{A: assert tid != nil; assert lock == tid || ghostLock == tid; val := currsize; return true; }|;
+
+procedure {:yields} {:layer 0,1} ReadNewsize({:linear "tid"} tid: X) returns (val: int);
+ensures {:right} |{A: assert tid != nil; assert lock == tid || ghostLock == tid; val := newsize; return true; }|;
+
+procedure {:yields} {:layer 0,1} WriteNewsize({:linear "tid"} tid: X, val: int);
+ensures {:atomic} |{A: assert tid != nil; assert lock == tid && ghostLock == nil; newsize := val; ghostLock := tid; return true; }|;
+
+procedure {:yields} {:layer 0,1} WriteCurrsize({:linear "tid"} tid: X, val: int);
+ensures {:atomic} |{A: assert tid != nil; assert lock == tid && ghostLock == tid; currsize := val; ghostLock := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} ReadCacheEntry({:linear "tid"} tid: X, index: int);
+ensures {:atomic} |{ A: assert 0 <= index && index < currsize; return true; }|;
+
+procedure {:yields} {:layer 0,1} WriteCacheEntry({:linear "tid"} tid: X, index: int);
+ensures {:right} |{ A: assert tid != nil; assert currsize <= index && ghostLock == tid; return true; }|;
+
+procedure {:yields} {:layer 0,1} acquire({:linear "tid"} tid: X);
+ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
+
+procedure {:yields} {:layer 0,1} release({:linear "tid"} tid: X);
+ensures {:left} |{ A: assert tid != nil; assert lock == tid; lock := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: assume tid != nil; return true; }|;
diff --git a/Test/civl/DeviceCache.bpl.expect b/Test/civl/DeviceCache.bpl.expect
new file mode 100644
index 00000000..129e60e2
--- /dev/null
+++ b/Test/civl/DeviceCache.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 39 verified, 0 errors
diff --git a/Test/og/FlanaganQadeer.bpl b/Test/civl/FlanaganQadeer.bpl
index 7345b5b2..97df7ce2 100644
--- a/Test/og/FlanaganQadeer.bpl
+++ b/Test/civl/FlanaganQadeer.bpl
@@ -1,75 +1,75 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-const nil: X;
-var {:layer 0,1} l: X;
-var {:layer 0,1} x: int;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: X)
-ensures {:layer 1} xl != nil;
-{
- yield;
- call xl := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 1} main()
-{
- var {:linear "tid"} tid: X;
- var val: int;
-
- yield;
- while (*)
- {
- call tid := Allocate();
- havoc val;
- async call foo(tid, val);
- yield;
- }
- yield;
-}
-procedure {:yields} {:layer 0,1} Lock(tid: X);
-ensures {:atomic} |{A: assume l == nil; l := tid; return true; }|;
-
-procedure {:yields} {:layer 0,1} Unlock();
-ensures {:atomic} |{A: l := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} Set(val: int);
-ensures {:atomic} |{A: x := val; return true; }|;
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xl: X);
-ensures {:atomic} |{ A: assume xl != nil; return true; }|;
-
-procedure {:yields} {:layer 1} foo({:linear_in "tid"} tid': X, val: int)
-requires {:layer 1} tid' != nil;
-{
- var {:linear "tid"} tid: X;
- tid := tid';
-
- yield;
- call Lock(tid);
- call tid := Yield(tid);
- call Set(val);
- call tid := Yield(tid);
- assert {:layer 1} x == val;
- call tid := Yield(tid);
- call Unlock();
- yield;
-}
-
-procedure {:yields} {:layer 1} Yield({:linear_in "tid"} tid': X) returns ({:linear "tid"} tid: X)
-requires {:layer 1} tid' != nil;
-ensures {:layer 1} tid == tid';
-ensures {:layer 1} old(l) == tid ==> old(l) == l && old(x) == x;
-{
- tid := tid';
- yield;
- assert {:layer 1} tid != nil;
- assert {:layer 1} (old(l) == tid ==> old(l) == l && old(x) == x);
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+const nil: X;
+var {:layer 0,1} l: X;
+var {:layer 0,1} x: int;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: X)
+ensures {:layer 1} xl != nil;
+{
+ yield;
+ call xl := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 1} main()
+{
+ var {:linear "tid"} tid: X;
+ var val: int;
+
+ yield;
+ while (*)
+ {
+ call tid := Allocate();
+ havoc val;
+ async call foo(tid, val);
+ yield;
+ }
+ yield;
+}
+procedure {:yields} {:layer 0,1} Lock(tid: X);
+ensures {:atomic} |{A: assume l == nil; l := tid; return true; }|;
+
+procedure {:yields} {:layer 0,1} Unlock();
+ensures {:atomic} |{A: l := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} Set(val: int);
+ensures {:atomic} |{A: x := val; return true; }|;
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xl: X);
+ensures {:atomic} |{ A: assume xl != nil; return true; }|;
+
+procedure {:yields} {:layer 1} foo({:linear_in "tid"} tid': X, val: int)
+requires {:layer 1} tid' != nil;
+{
+ var {:linear "tid"} tid: X;
+ tid := tid';
+
+ yield;
+ call Lock(tid);
+ call tid := Yield(tid);
+ call Set(val);
+ call tid := Yield(tid);
+ assert {:layer 1} x == val;
+ call tid := Yield(tid);
+ call Unlock();
+ yield;
+}
+
+procedure {:yields} {:layer 1} Yield({:linear_in "tid"} tid': X) returns ({:linear "tid"} tid: X)
+requires {:layer 1} tid' != nil;
+ensures {:layer 1} tid == tid';
+ensures {:layer 1} old(l) == tid ==> old(l) == l && old(x) == x;
+{
+ tid := tid';
+ yield;
+ assert {:layer 1} tid != nil;
+ assert {:layer 1} (old(l) == tid ==> old(l) == l && old(x) == x);
} \ No newline at end of file
diff --git a/Test/civl/FlanaganQadeer.bpl.expect b/Test/civl/FlanaganQadeer.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/FlanaganQadeer.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/Program1.bpl b/Test/civl/Program1.bpl
index f405b92a..5fe49480 100644
--- a/Test/og/Program1.bpl
+++ b/Test/civl/Program1.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} x:int;
-
-procedure {:yields} {:layer 1} p()
-requires {:layer 1} x >= 5;
-ensures {:layer 1} x >= 8;
-{
- yield;
- assert {:layer 1} x >= 5;
- call Incr(1);
- yield;
- assert {:layer 1} x >= 6;
- call Incr(1);
- yield;
- assert {:layer 1} x >= 7;
- call Incr(1);
- yield;
- assert {:layer 1} x >= 8;
-}
-
-procedure {:yields} {:layer 1} q()
-{
- yield;
- call Incr(3);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr(val: int);
-ensures {:atomic}
-|{A:
- x := x + val; return true;
-}|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} x:int;
+
+procedure {:yields} {:layer 1} p()
+requires {:layer 1} x >= 5;
+ensures {:layer 1} x >= 8;
+{
+ yield;
+ assert {:layer 1} x >= 5;
+ call Incr(1);
+ yield;
+ assert {:layer 1} x >= 6;
+ call Incr(1);
+ yield;
+ assert {:layer 1} x >= 7;
+ call Incr(1);
+ yield;
+ assert {:layer 1} x >= 8;
+}
+
+procedure {:yields} {:layer 1} q()
+{
+ yield;
+ call Incr(3);
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr(val: int);
+ensures {:atomic}
+|{A:
+ x := x + val; return true;
+}|;
diff --git a/Test/og/akash.bpl.expect b/Test/civl/Program1.bpl.expect
index fef5ddc0..00ddb38b 100644
--- a/Test/og/akash.bpl.expect
+++ b/Test/civl/Program1.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 4 verified, 0 errors
+
+Boogie program verifier finished with 4 verified, 0 errors
diff --git a/Test/og/Program2.bpl b/Test/civl/Program2.bpl
index 75c83c67..16b20cf2 100644
--- a/Test/og/Program2.bpl
+++ b/Test/civl/Program2.bpl
@@ -1,37 +1,37 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} x:int;
-
-procedure {:yields} {:layer 1} yield_x(n: int)
-requires {:layer 1} x >= n;
-ensures {:layer 1} x >= n;
-{
- yield;
- assert {:layer 1} x >= n;
-}
-
-procedure {:yields} {:layer 1} p()
-requires {:layer 1} x >= 5;
-ensures {:layer 1} x >= 8;
-{
- call yield_x(5);
- call Incr(1);
- call yield_x(6);
- call Incr(1);
- call yield_x(7);
- call Incr(1);
- call yield_x(8);
-}
-
-procedure {:yields} {:layer 1} q()
-{
- yield;
- call Incr(3);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr(val: int);
-ensures {:atomic}
-|{A:
- x := x + val; return true;
-}|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} x:int;
+
+procedure {:yields} {:layer 1} yield_x(n: int)
+requires {:layer 1} x >= n;
+ensures {:layer 1} x >= n;
+{
+ yield;
+ assert {:layer 1} x >= n;
+}
+
+procedure {:yields} {:layer 1} p()
+requires {:layer 1} x >= 5;
+ensures {:layer 1} x >= 8;
+{
+ call yield_x(5);
+ call Incr(1);
+ call yield_x(6);
+ call Incr(1);
+ call yield_x(7);
+ call Incr(1);
+ call yield_x(8);
+}
+
+procedure {:yields} {:layer 1} q()
+{
+ yield;
+ call Incr(3);
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr(val: int);
+ensures {:atomic}
+|{A:
+ x := x + val; return true;
+}|;
diff --git a/Test/og/treiber-stack.bpl.expect b/Test/civl/Program2.bpl.expect
index be6b95ba..9823d44a 100644
--- a/Test/og/treiber-stack.bpl.expect
+++ b/Test/civl/Program2.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 6 verified, 0 errors
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/og/Program3.bpl b/Test/civl/Program3.bpl
index f8c4e132..565279e7 100644
--- a/Test/og/Program3.bpl
+++ b/Test/civl/Program3.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} x:int;
-
-procedure {:yields} {:layer 1} yield_x()
-ensures {:layer 1} x >= old(x);
-{
- yield;
- assert {:layer 1} x >= old(x);
-}
-
-procedure {:yields} {:layer 1} p()
-requires {:layer 1} x >= 5;
-ensures {:layer 1} x >= 8;
-{
- call yield_x();
- call Incr(1);
- call yield_x();
- call Incr(1);
- call yield_x();
- call Incr(1);
- call yield_x();
-}
-
-procedure {:yields} {:layer 1} q()
-{
- yield;
- call Incr(3);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr(val: int);
-ensures {:atomic}
-|{A:
- x := x + val; return true;
-}|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} x:int;
+
+procedure {:yields} {:layer 1} yield_x()
+ensures {:layer 1} x >= old(x);
+{
+ yield;
+ assert {:layer 1} x >= old(x);
+}
+
+procedure {:yields} {:layer 1} p()
+requires {:layer 1} x >= 5;
+ensures {:layer 1} x >= 8;
+{
+ call yield_x();
+ call Incr(1);
+ call yield_x();
+ call Incr(1);
+ call yield_x();
+ call Incr(1);
+ call yield_x();
+}
+
+procedure {:yields} {:layer 1} q()
+{
+ yield;
+ call Incr(3);
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr(val: int);
+ensures {:atomic}
+|{A:
+ x := x + val; return true;
+}|;
diff --git a/Test/civl/Program3.bpl.expect b/Test/civl/Program3.bpl.expect
new file mode 100644
index 00000000..9823d44a
--- /dev/null
+++ b/Test/civl/Program3.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/civl/Program4.bpl b/Test/civl/Program4.bpl
new file mode 100644
index 00000000..11ba8afa
--- /dev/null
+++ b/Test/civl/Program4.bpl
@@ -0,0 +1,139 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,2} a:[int]int;
+var {:layer 0,1} count: int;
+var {:layer 1,1} {:linear "tid"} allocated:[int]bool;
+
+procedure {:yields} {:layer 2} main()
+requires {:layer 1} allocated == MapConstBool(false);
+{
+ var {:layer 1} {:linear "tid"} tid:int;
+ var i: int;
+
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ while (true)
+ invariant {:layer 1} AllocInv(count, allocated);
+ {
+ call tid, i := Allocate();
+ async call P(tid, i);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 2} P({:layer 1} {:linear "tid"} tid: int, i: int)
+requires {:layer 1} tid == i;
+requires {:layer 1} AllocInv(count, allocated);
+ensures {:layer 1} AllocInv(count, allocated);
+ensures {:layer 2} a[tid] == old(a)[tid] + 1;
+{
+ var t:int;
+
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ assert {:layer 2} a[tid] == old(a)[tid];
+ call t := Read(tid, i);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ assert {:layer 2} a[tid] == t;
+ call Write(tid, i, t + 1);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ assert {:layer 2} a[tid] == t + 1;
+}
+
+procedure {:yields} {:layer 1,2} Allocate() returns ({:layer 1} {:linear "tid"} tid: int, i: int)
+requires {:layer 1} AllocInv(count, allocated);
+ensures {:layer 1} AllocInv(count, allocated);
+ensures {:layer 1} tid == i;
+ensures {:atomic}
+|{A:
+ return true;
+}|;
+{
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ call i := AllocateLow();
+ call tid := MakeLinear(i);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+}
+
+procedure {:yields} {:layer 1,2} Read({:layer 1} {:linear "tid"} tid: int, i: int) returns (val: int)
+requires {:layer 1} tid == i;
+requires {:layer 1} AllocInv(count, allocated);
+ensures {:layer 1} AllocInv(count, allocated);
+ensures {:atomic}
+|{A:
+ val := a[tid]; return true;
+}|;
+{
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ call val := ReadLow(i);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+}
+
+procedure {:yields} {:layer 1,2} Write({:layer 1} {:linear "tid"} tid: int, i: int, val: int)
+requires {:layer 1} tid == i;
+requires {:layer 1} AllocInv(count, allocated);
+ensures {:layer 1} AllocInv(count, allocated);
+ensures {:atomic}
+|{A:
+ a[tid] := val; return true;
+}|;
+{
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+ call WriteLow(i, val);
+ yield;
+ assert {:layer 1} AllocInv(count, allocated);
+}
+
+function {:inline} AllocInv(count: int, allocated:[int]bool): (bool)
+{
+ (forall x: int :: allocated[x] ==> x < count)
+}
+
+procedure {:yields} {:layer 0,1} ReadLow(i: int) returns (val: int);
+ensures {:atomic}
+|{A:
+ val := a[i]; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} WriteLow(i: int, val: int);
+ensures {:atomic}
+|{A:
+ a[i] := val; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns (i: int);
+ensures {:atomic}
+|{A:
+ i := count;
+ count := i + 1;
+ return true;
+}|;
+
+// We can prove that this primitive procedure preserves the permission invariant locally.
+// We only need to using its specification and the definitions of TidCollector and TidSetCollector.
+procedure {:layer 1} MakeLinear(i: int) returns ({:linear "tid"} tid: int);
+requires !allocated[i];
+modifies allocated;
+ensures tid == i && allocated == old(allocated)[i := true];
+
+function {:builtin "MapConst"} MapConstBool(bool): [int]bool;
+function {:builtin "MapOr"} MapOr([int]bool, [int]bool) : [int]bool;
+
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+function {:inline} {:linear "tid"} TidSetCollector(x: [int]bool) : [int]bool
+{
+ x
+}
+
diff --git a/Test/og/lock-introduced.bpl.expect b/Test/civl/Program4.bpl.expect
index f62a8f46..f08c6e00 100644
--- a/Test/og/lock-introduced.bpl.expect
+++ b/Test/civl/Program4.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 12 verified, 0 errors
+
+Boogie program verifier finished with 12 verified, 0 errors
diff --git a/Test/og/Program5.bpl b/Test/civl/Program5.bpl
index 7ede3124..362a6d9d 100644
--- a/Test/og/Program5.bpl
+++ b/Test/civl/Program5.bpl
@@ -1,79 +1,84 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Tid;
-const unique nil: Tid;
-
-function {:inline} UNALLOC():int { 0 }
-function {:inline} WHITE():int { 1 }
-function {:inline} GRAY():int { 2 }
-function {:inline} BLACK():int { 3 }
-function {:inline} Unalloc(i:int) returns(bool) { i <= 0 }
-function {:inline} White(i:int) returns(bool) { i == 1 }
-function {:inline} Gray(i:int) returns(bool) { i == 2 }
-function {:inline} Black(i:int) returns(bool) { i >= 3 }
-
-procedure {:yields} {:layer 2} YieldColorOnlyGetsDarker()
-ensures {:layer 2} Color >= old(Color);
-{
- yield;
- assert {:layer 2} Color >= old(Color);
-}
-
-procedure {:yields} {:layer 2,3} WriteBarrier({:linear "tid"} tid:Tid)
-ensures {:atomic} |{ A: assert tid != nil; goto B, C;
- B: assume White(Color); Color := GRAY(); return true;
- C: return true;}|;
-{
- var colorLocal:int;
- yield;
- call colorLocal := GetColorNoLock();
- call YieldColorOnlyGetsDarker();
- if (White(colorLocal)) { call WriteBarrierSlow(tid); }
- yield;
-}
-
-procedure {:yields} {:layer 1,2} WriteBarrierSlow({:linear "tid"} tid:Tid)
-ensures {:atomic} |{ A: assert tid != nil; goto B, C;
- B: assume White(Color); Color := GRAY(); return true;
- C: return true; }|;
-{
- var colorLocal:int;
- yield;
- call AcquireLock(tid);
- call colorLocal := GetColorLocked(tid);
- if (White(colorLocal)) { call SetColorLocked(tid, GRAY()); }
- call ReleaseLock(tid);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} AcquireLock({:linear "tid"} tid: Tid);
- ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
-
-procedure {:yields} {:layer 0,1} ReleaseLock({:linear "tid"} tid: Tid);
- ensures {:left} |{ A: assert tid != nil; assert lock == tid; lock := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} SetColorLocked({:linear "tid"} tid:Tid, newCol:int);
- ensures {:atomic} |{A: assert tid != nil; assert lock == tid; Color := newCol; return true;}|;
-
-procedure {:yields} {:layer 0,1} GetColorLocked({:linear "tid"} tid:Tid) returns (col:int);
- ensures {:both} |{A: assert tid != nil; assert lock == tid; col := Color; return true;}|;
-
-procedure {:yields} {:layer 0,2} GetColorNoLock() returns (col:int);
- ensures {:atomic} |{A: col := Color; return true;}|;
-
-
-
-function {:builtin "MapConst"} MapConstBool(bool): [Tid]bool;
-function {:builtin "MapOr"} MapOr([Tid]bool, [Tid]bool) : [Tid]bool;
-
-var {:layer 0} Color:int;
-var {:layer 0} lock:Tid;
-
-function {:inline} {:linear "tid"} TidCollector(x: Tid) : [Tid]bool
-{
- MapConstBool(false)[x := true]
-}
-function {:inline} {:linear "tid"} TidSetCollector(x: [Tid]bool) : [Tid]bool
-{
- x
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type Tid;
+const unique nil: Tid;
+
+function {:inline} UNALLOC():int { 0 }
+function {:inline} WHITE():int { 1 }
+function {:inline} GRAY():int { 2 }
+function {:inline} BLACK():int { 3 }
+function {:inline} Unalloc(i:int) returns(bool) { i <= 0 }
+function {:inline} White(i:int) returns(bool) { i == 1 }
+function {:inline} WhiteOrLighter(i:int) returns(bool) { i <= 1 }
+function {:inline} Gray(i:int) returns(bool) { i == 2 }
+function {:inline} Black(i:int) returns(bool) { i >= 3 }
+
+procedure {:yields} {:layer 2} YieldColorOnlyGetsDarker()
+ensures {:layer 2} Color >= old(Color);
+{
+ yield;
+ assert {:layer 2} Color >= old(Color);
+}
+
+procedure {:yields} {:layer 2,3} WriteBarrier({:linear "tid"} tid:Tid)
+ensures {:atomic} |{ A: assert tid != nil; goto B, C;
+ B: assume White(Color); Color := GRAY(); return true;
+ C: assume !White(Color); return true;}|;
+requires {:layer 2} Color >= WHITE();
+ensures {:layer 2} Color >= GRAY();
+{
+ var colorLocal:int;
+ yield;
+ assert {:layer 2} Color >= WHITE();
+ call colorLocal := GetColorNoLock();
+ call YieldColorOnlyGetsDarker();
+ if (WhiteOrLighter(colorLocal)) { call WriteBarrierSlow(tid); }
+ yield;
+ assert {:layer 2} Color >= GRAY();
+}
+
+procedure {:yields} {:layer 1,2} WriteBarrierSlow({:linear "tid"} tid:Tid)
+ensures {:atomic} |{ A: assert tid != nil; goto B, C;
+ B: assume WhiteOrLighter(Color); Color := GRAY(); return true;
+ C: assume !WhiteOrLighter(Color); return true; }|;
+{
+ var colorLocal:int;
+ yield;
+ call AcquireLock(tid);
+ call colorLocal := GetColorLocked(tid);
+ if (WhiteOrLighter(colorLocal)) { call SetColorLocked(tid, GRAY()); }
+ call ReleaseLock(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} AcquireLock({:linear "tid"} tid: Tid);
+ ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
+
+procedure {:yields} {:layer 0,1} ReleaseLock({:linear "tid"} tid: Tid);
+ ensures {:left} |{ A: assert tid != nil; assert lock == tid; lock := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} SetColorLocked({:linear "tid"} tid:Tid, newCol:int);
+ ensures {:atomic} |{A: assert tid != nil; assert lock == tid; Color := newCol; return true;}|;
+
+procedure {:yields} {:layer 0,1} GetColorLocked({:linear "tid"} tid:Tid) returns (col:int);
+ ensures {:both} |{A: assert tid != nil; assert lock == tid; col := Color; return true;}|;
+
+procedure {:yields} {:layer 0,2} GetColorNoLock() returns (col:int);
+ ensures {:atomic} |{A: col := Color; return true;}|;
+
+
+
+function {:builtin "MapConst"} MapConstBool(bool): [Tid]bool;
+function {:builtin "MapOr"} MapOr([Tid]bool, [Tid]bool) : [Tid]bool;
+
+var {:layer 0} Color:int;
+var {:layer 0} lock:Tid;
+
+function {:inline} {:linear "tid"} TidCollector(x: Tid) : [Tid]bool
+{
+ MapConstBool(false)[x := true]
+}
+function {:inline} {:linear "tid"} TidSetCollector(x: [Tid]bool) : [Tid]bool
+{
+ x
+}
diff --git a/Test/civl/Program5.bpl.expect b/Test/civl/Program5.bpl.expect
new file mode 100644
index 00000000..4bcb1071
--- /dev/null
+++ b/Test/civl/Program5.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 21 verified, 0 errors
diff --git a/Test/civl/StoreBuffer.bpl b/Test/civl/StoreBuffer.bpl
new file mode 100644
index 00000000..d2d27ef9
--- /dev/null
+++ b/Test/civl/StoreBuffer.bpl
@@ -0,0 +1,187 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+function {:inline} {:linear "addr"} AddrCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+const numMutators: int;
+axiom 0 < numMutators;
+function {:inline} mutatorTid(i: int) : bool { 1 <= i && i <= numMutators }
+
+const GcTid: int;
+axiom numMutators < GcTid;
+function {:inline} mutatorOrGcTid(i: int) : bool { (1 <= i && i <= numMutators) || i == GcTid }
+
+const lockAddr: int;
+axiom 0 < lockAddr;
+const collectorPhaseAddr: int;
+axiom lockAddr < collectorPhaseAddr;
+
+var {:layer 0,1} Mem: [int]int;
+var {:layer 0,1} StoreBufferVal: [int][int]int;
+var {:layer 0,1} StoreBufferPresent: [int][int]bool;
+
+var {:layer 0} lock: int;
+var {:layer 0} collectorPhase: int;
+var {:layer 0} collectorPhaseDelayed: int;
+
+function {:inline} LockInv(StoreBufferPresent:[int][int]bool, StoreBufferVal:[int][int]int, Mem:[int]int, lock:int, collectorPhase:int, collectorPhaseDelayed:int): bool
+{
+ (Mem[lockAddr] == 0 <==> lock == 0) &&
+ (forall i:int :: mutatorOrGcTid(i) && StoreBufferPresent[i][lockAddr] ==> StoreBufferVal[i][lockAddr] == 0) &&
+ (forall i:int :: mutatorOrGcTid(i) ==> lock == i || StoreBufferPresent[i] == MapConstBool(false)) &&
+ (Mem[collectorPhaseAddr] == collectorPhase || (exists i:int :: mutatorOrGcTid(i) && StoreBufferPresent[i][collectorPhaseAddr])) &&
+ (forall i:int :: mutatorOrGcTid(i) && StoreBufferPresent[i][collectorPhaseAddr] ==> StoreBufferVal[i][collectorPhaseAddr] == collectorPhase) &&
+ collectorPhaseDelayed == Mem[collectorPhaseAddr]
+}
+
+// Layer 1
+procedure {:yields} {:layer 1} YieldLock()
+requires {:expand} {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+{
+ yield;
+ assert {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+}
+
+procedure {:yields} {:layer 1} YieldStoreBufferLockAddrPresent({:linear "tid"} tid:int)
+requires {:layer 1} StoreBufferPresent[tid][lockAddr];
+ensures {:layer 1} StoreBufferPresent[tid][lockAddr];
+{
+ yield;
+ assert {:layer 1} StoreBufferPresent[tid][lockAddr];
+}
+
+procedure {:yields} {:layer 1} YieldStoreBufferLockAddrAbsent({:linear "tid"} tid:int)
+requires {:layer 1} !StoreBufferPresent[tid][lockAddr];
+ensures {:layer 1} !StoreBufferPresent[tid][lockAddr];
+{
+ yield;
+ assert {:layer 1} !StoreBufferPresent[tid][lockAddr];
+}
+
+procedure {:yields} {:layer 1} LockAcquire({:linear "tid"} tid: int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:right} |{ A: assert mutatorOrGcTid(tid); assume lock == 0; lock := tid; return true; }|;
+{
+ var status:bool;
+ call YieldLock();
+ while (true)
+ invariant {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ {
+ call status := LockCAS(tid);
+ if (status)
+ {
+ call YieldLock();
+ return;
+ }
+ call YieldLock();
+ }
+ call YieldLock();
+}
+
+procedure {:yields} {:layer 1} LockRelease({:linear "tid"} tid:int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} !StoreBufferPresent[tid][lockAddr];
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} !StoreBufferPresent[tid][lockAddr];
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: assert mutatorOrGcTid(tid); assert lock == tid; lock := 0; return true; }|;
+{
+ par YieldLock() | YieldStoreBufferLockAddrAbsent(tid);
+ call LockZero(tid);
+ par YieldLock() | YieldStoreBufferLockAddrPresent(tid);
+ call FlushStoreBufferEntryForLock(tid);
+ par YieldLock() | YieldStoreBufferLockAddrAbsent(tid);
+}
+
+procedure {:yields} {:layer 1} ReadCollectorPhaseLocked({:linear "tid"} tid: int) returns (phase: int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: assert mutatorOrGcTid(tid); assert lock == tid; phase := collectorPhase; return true; }|;
+{
+ call YieldLock();
+ call phase := PrimitiveRead(tid, collectorPhaseAddr);
+ call YieldLock();
+}
+
+procedure {:yields} {:layer 1} ReadCollectorPhaseUnlocked({:linear "tid"} tid: int) returns (phase: int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: assert mutatorOrGcTid(tid); assert lock != tid; phase := collectorPhaseDelayed; return true; }|;
+{
+ call YieldLock();
+ call phase := PrimitiveRead(tid, collectorPhaseAddr);
+ call YieldLock();
+}
+
+procedure {:yields} {:layer 1} SetCollectorPhase({:linear "tid"} tid: int, phase: int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: assert mutatorOrGcTid(tid); assert lock == tid; assert collectorPhase == collectorPhaseDelayed; collectorPhase := phase; return true; }|;
+{
+ call YieldLock();
+ call PrimitiveSetCollectorPhase(tid, phase);
+ call YieldLock();
+}
+
+procedure {:yields} {:layer 1} SyncCollectorPhase({:linear "tid"} tid: int)
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: collectorPhaseDelayed := collectorPhase; return true; }|;
+{
+ call YieldLock();
+ call FlushStoreBufferEntryForCollectorPhase();
+ call YieldLock();
+}
+
+procedure {:yields} {:layer 1} Barrier({:linear "tid"} tid: int)
+requires {:layer 1} mutatorOrGcTid(tid);
+requires {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:layer 1} LockInv(StoreBufferPresent, StoreBufferVal, Mem, lock, collectorPhase, collectorPhaseDelayed);
+ensures {:atomic} |{ A: assert mutatorOrGcTid(tid); assert lock == tid; assume collectorPhase == collectorPhaseDelayed; return true; }|;
+{
+ call YieldLock();
+ call WaitForFlush(tid);
+ call YieldLock();
+}
+
+// Layer 0
+procedure {:yields} {:layer 0,1} LockCAS(tid: int) returns (status: bool);
+ensures {:atomic} |{ A: goto B, C;
+ B: assume Mem[lockAddr] == 0; Mem[lockAddr] := 1; lock := tid; status := true; return true;
+ C: status := false; return true;
+ }|;
+
+procedure {:yields} {:layer 0,1} LockZero(tid: int);
+ensures {:atomic} |{ A: assert !StoreBufferPresent[tid][lockAddr]; StoreBufferPresent[tid][lockAddr] := true; StoreBufferVal[tid][lockAddr] := 0; return true; }|;
+
+procedure {:yields} {:layer 0,1} FlushStoreBufferEntryForLock(tid: int);
+ensures {:atomic} |{ A: assert StoreBufferPresent[tid][lockAddr]; assume StoreBufferPresent[tid] == MapConstBool(false)[lockAddr := true]; Mem[lockAddr] := StoreBufferVal[tid][lockAddr]; StoreBufferPresent[tid][lockAddr] := false; lock := 0; return true; }|;
+
+procedure {:yields} {:layer 0,1} PrimitiveRead(tid: int, addr: int) returns (val: int);
+ensures {:atomic} |{ A: goto B, C;
+ B: assume StoreBufferPresent[tid][addr]; val := StoreBufferVal[tid][addr]; return true;
+ C: assume !StoreBufferPresent[tid][addr]; val := Mem[addr]; return true; }|;
+
+procedure {:yields} {:layer 0,1} PrimitiveSetCollectorPhase(tid: int, phase:int);
+ensures {:atomic} |{ A: StoreBufferPresent[tid][collectorPhaseAddr] := true; StoreBufferVal[tid][collectorPhaseAddr] := phase; collectorPhase := phase; return true; }|;
+
+procedure {:yields} {:layer 0,1} FlushStoreBufferEntryForCollectorPhase();
+ensures {:atomic} |{ var tid:int; A: assume mutatorOrGcTid(tid) && StoreBufferPresent[tid][collectorPhaseAddr]; Mem[collectorPhaseAddr] := StoreBufferVal[tid][collectorPhaseAddr]; StoreBufferPresent[tid][collectorPhaseAddr] := false; collectorPhaseDelayed := Mem[collectorPhaseAddr]; return true; }|;
+
+procedure {:yields} {:layer 0,1} WaitForFlush(tid: int);
+ensures {:atomic} |{ A: assume StoreBufferPresent[tid] == MapConstBool(false); return true; }|;
diff --git a/Test/civl/StoreBuffer.bpl.expect b/Test/civl/StoreBuffer.bpl.expect
new file mode 100644
index 00000000..1931ffd2
--- /dev/null
+++ b/Test/civl/StoreBuffer.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 27 verified, 0 errors
diff --git a/Test/og/akash.bpl b/Test/civl/akash.bpl
index c826b810..fabfcea5 100644
--- a/Test/og/akash.bpl
+++ b/Test/civl/akash.bpl
@@ -1,106 +1,106 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
-{
- MapConstBool(false)[x := true]
-}
-
-function {:inline} {:linear "1"} SetCollector1(x: [int]bool) : [int]bool
-{
- x
-}
-
-function {:inline} {:linear "2"} SetCollector2(x: [int]bool) : [int]bool
-{
- x
-}
-
-var {:layer 0,1} g: int;
-var {:layer 0,1} h: int;
-
-procedure {:yields} {:layer 0,1} SetG(val:int);
-ensures {:atomic} |{A: g := val; return true; }|;
-
-procedure {:yields} {:layer 0,1} SetH(val:int);
-ensures {:atomic} |{A: h := val; return true; }|;
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: int)
-ensures {:layer 1} xl != 0;
-{
- yield;
- call xl := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: int);
-ensures {:atomic} |{ A: assume xls != 0; return true; }|;
-
-procedure {:yields} {:layer 1} A({:linear_in "tid"} tid_in: int, {:linear_in "1"} x: [int]bool, {:linear_in "2"} y: [int]bool) returns ({:linear "tid"} tid_out: int)
-requires {:layer 1} x == mapconstbool(true);
-requires {:layer 1} y == mapconstbool(true);
-{
- var {:linear "tid"} tid_child: int;
- tid_out := tid_in;
-
- yield;
- call SetG(0);
- yield;
- assert {:layer 1} g == 0 && x == mapconstbool(true);
-
- yield;
- call tid_child := Allocate();
- async call B(tid_child, x);
-
- yield;
- call SetH(0);
-
- yield;
- assert {:layer 1} h == 0 && y == mapconstbool(true);
-
- yield;
- call tid_child := Allocate();
- async call C(tid_child, y);
-
- yield;
-}
-
-procedure {:yields} {:layer 1} B({:linear_in "tid"} tid_in: int, {:linear_in "1"} x_in: [int]bool)
-requires {:layer 1} x_in != mapconstbool(false);
-{
- var {:linear "tid"} tid_out: int;
- var {:linear "1"} x: [int]bool;
- tid_out := tid_in;
- x := x_in;
-
- yield;
-
- call SetG(1);
-
- yield;
-
- call SetG(2);
-
- yield;
-}
-
-procedure {:yields} {:layer 1} C({:linear_in "tid"} tid_in: int, {:linear_in "2"} y_in: [int]bool)
-requires {:layer 1} y_in != mapconstbool(false);
-{
- var {:linear "tid"} tid_out: int;
- var {:linear "2"} y: [int]bool;
- tid_out := tid_in;
- y := y_in;
-
- yield;
-
- call SetH(1);
-
- yield;
-
- call SetH(2);
-
- yield;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+function {:inline} {:linear "1"} SetCollector1(x: [int]bool) : [int]bool
+{
+ x
+}
+
+function {:inline} {:linear "2"} SetCollector2(x: [int]bool) : [int]bool
+{
+ x
+}
+
+var {:layer 0,1} g: int;
+var {:layer 0,1} h: int;
+
+procedure {:yields} {:layer 0,1} SetG(val:int);
+ensures {:atomic} |{A: g := val; return true; }|;
+
+procedure {:yields} {:layer 0,1} SetH(val:int);
+ensures {:atomic} |{A: h := val; return true; }|;
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: int)
+ensures {:layer 1} xl != 0;
+{
+ yield;
+ call xl := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: int);
+ensures {:atomic} |{ A: assume xls != 0; return true; }|;
+
+procedure {:yields} {:layer 1} A({:linear_in "tid"} tid_in: int, {:linear_in "1"} x: [int]bool, {:linear_in "2"} y: [int]bool) returns ({:linear "tid"} tid_out: int)
+requires {:layer 1} x == mapconstbool(true);
+requires {:layer 1} y == mapconstbool(true);
+{
+ var {:linear "tid"} tid_child: int;
+ tid_out := tid_in;
+
+ yield;
+ call SetG(0);
+ yield;
+ assert {:layer 1} g == 0 && x == mapconstbool(true);
+
+ yield;
+ call tid_child := Allocate();
+ async call B(tid_child, x);
+
+ yield;
+ call SetH(0);
+
+ yield;
+ assert {:layer 1} h == 0 && y == mapconstbool(true);
+
+ yield;
+ call tid_child := Allocate();
+ async call C(tid_child, y);
+
+ yield;
+}
+
+procedure {:yields} {:layer 1} B({:linear_in "tid"} tid_in: int, {:linear_in "1"} x_in: [int]bool)
+requires {:layer 1} x_in != mapconstbool(false);
+{
+ var {:linear "tid"} tid_out: int;
+ var {:linear "1"} x: [int]bool;
+ tid_out := tid_in;
+ x := x_in;
+
+ yield;
+
+ call SetG(1);
+
+ yield;
+
+ call SetG(2);
+
+ yield;
+}
+
+procedure {:yields} {:layer 1} C({:linear_in "tid"} tid_in: int, {:linear_in "2"} y_in: [int]bool)
+requires {:layer 1} y_in != mapconstbool(false);
+{
+ var {:linear "tid"} tid_out: int;
+ var {:linear "2"} y: [int]bool;
+ tid_out := tid_in;
+ y := y_in;
+
+ yield;
+
+ call SetH(1);
+
+ yield;
+
+ call SetH(2);
+
+ yield;
} \ No newline at end of file
diff --git a/Test/civl/akash.bpl.expect b/Test/civl/akash.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/akash.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/civl/alloc.bpl b/Test/civl/alloc.bpl
new file mode 100644
index 00000000..68b7e6c6
--- /dev/null
+++ b/Test/civl/alloc.bpl
@@ -0,0 +1,175 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+
+type lmap;
+function {:linear "mem"} dom(lmap): [int]bool;
+function map(lmap): [int]int;
+function cons([int]bool, [int]int) : lmap;
+axiom (forall x: [int]bool, y: [int]int :: {cons(x,y)} dom(cons(x, y)) == x && map(cons(x,y)) == y);
+
+function EmptyLmap(): (lmap);
+axiom (dom(EmptyLmap()) == MapConstBool(false));
+
+function Add(x: lmap, i: int): (lmap);
+axiom (forall x: lmap, i: int :: dom(Add(x, i)) == dom(x)[i:=true] && map(Add(x, i)) == map(x));
+
+function Remove(x: lmap, i: int): (lmap);
+axiom (forall x: lmap, i: int :: dom(Remove(x, i)) == dom(x)[i:=false] && map(Remove(x, i)) == map(x));
+
+function {:inline} PoolInv(unallocated:[int]bool, pool: lmap) : (bool)
+{
+ (forall x: int :: unallocated[x] ==> dom(pool)[x])
+}
+
+procedure {:yields} {:layer 2} Main()
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+{
+ var {:layer 1} {:linear "mem"} l: lmap;
+ var i: int;
+ par Yield() | Dummy();
+ while (*)
+ invariant {:layer 1} PoolInv(unallocated, pool);
+ {
+ call l, i := Alloc();
+ async call Thread(l, i);
+ par Yield() | Dummy();
+ }
+ par Yield() | Dummy();
+}
+
+procedure {:yields} {:layer 2} Thread({:layer 1} {:linear_in "mem"} local_in: lmap, i: int)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+requires {:layer 1} dom(local_in)[i] && map(local_in)[i] == mem[i];
+requires {:layer 2} dom(local_in)[i];
+{
+ var y, o: int;
+ var {:layer 1} {:linear "mem"} local: lmap;
+ var {:layer 1} {:linear "mem"} l: lmap;
+
+ par YieldMem(local_in, i) | Dummy();
+ call local := Copy(local_in);
+ call local := Write(local, i, 42);
+ call o := Read(local, i);
+ assert {:layer 2} o == 42;
+ while (*)
+ invariant {:layer 1} PoolInv(unallocated, pool);
+ {
+ call l, y := Alloc();
+ call l := Write(l, y, 42);
+ call o := Read(l, y);
+ assert {:layer 2} o == 42;
+ call Free(l, y);
+ par Yield() | Dummy();
+ }
+ par Yield() | Dummy();
+}
+
+procedure {:pure} {:inline 1} Copy({:linear_in "mem"} l: lmap) returns ({:linear "mem"} l': lmap)
+{
+ l' := l;
+}
+
+procedure {:yields} {:layer 1,2} Alloc() returns ({:layer 1} {:linear "mem"} l: lmap, i: int)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+ensures {:right} |{ A: assume dom(l)[i]; return true; }|;
+{
+ call Yield();
+ call i := PickAddr();
+ call l := AllocLinear(i);
+ call YieldMem(l, i);
+}
+
+procedure {:yields} {:layer 1,2} Free({:layer 1} {:linear_in "mem"} l: lmap, i: int)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+requires {:layer 1} dom(l)[i];
+ensures {:both} |{ A: return true; }|;
+{
+ call Yield();
+ call FreeLinear(l, i);
+ call ReturnAddr(i);
+ call Yield();
+}
+
+procedure {:yields} {:layer 1,2} Read({:layer 1} {:linear "mem"} l: lmap, i: int) returns (o: int)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+requires {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+ensures {:both} |{ A: assert dom(l)[i]; o := map(l)[i]; return true; }|;
+{
+ call YieldMem(l, i);
+ call o := ReadLow(i);
+ call YieldMem(l, i);
+}
+
+procedure {:yields} {:layer 1,2} Write({:layer 1} {:linear_in "mem"} l: lmap, i: int, o: int) returns ({:layer 1} {:linear "mem"} l': lmap)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+requires {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+ensures {:layer 1} dom(l')[i] && map(l')[i] == mem[i];
+ensures {:both} |{ A: assert dom(l)[i]; l' := cons(dom(l), map(l)[i := o]); return true; }|;
+{
+ call YieldMem(l, i);
+ call WriteLow(i, o);
+ call l' := WriteLinear(l, i, o);
+ call YieldMem(l', i);
+}
+
+procedure {:layer 1} AllocLinear(i: int) returns ({:linear "mem"} l: lmap);
+modifies pool;
+requires dom(pool)[i];
+ensures pool == Remove(old(pool), i) && dom(l)[i] && map(l)[i] == mem[i];
+
+procedure {:layer 1} FreeLinear({:linear_in "mem"} l: lmap, i: int);
+modifies pool;
+requires dom(l)[i];
+ensures pool == Add(old(pool), i);
+
+procedure {:layer 1} WriteLinear({:layer 1} {:linear_in "mem"} l: lmap, i: int, o: int) returns ({:layer 1} {:linear "mem"} l': lmap);
+requires dom(l)[i];
+ensures l' == cons(dom(l), map(l)[i := o]);
+
+procedure {:yields} {:layer 1} Yield()
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+{
+ yield;
+ assert {:layer 1} PoolInv(unallocated, pool);
+}
+
+procedure {:yields} {:layer 1} YieldMem({:layer 1} {:linear "mem"} l: lmap, i: int)
+requires {:layer 1} PoolInv(unallocated, pool);
+ensures {:layer 1} PoolInv(unallocated, pool);
+requires {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+ensures {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+{
+ yield;
+ assert {:layer 1} PoolInv(unallocated, pool);
+ assert {:layer 1} dom(l)[i] && map(l)[i] == mem[i];
+}
+
+procedure {:yields} {:layer 2} Dummy()
+{
+ yield;
+}
+
+var {:layer 1, 1} {:linear "mem"} pool: lmap;
+var {:layer 0, 1} mem:[int]int;
+var {:layer 0, 1} unallocated:[int]bool;
+
+procedure {:yields} {:layer 0, 1} ReadLow(i: int) returns (o: int);
+ensures {:atomic} |{ A: o := mem[i]; return true; }|;
+
+procedure {:yields} {:layer 0, 1} WriteLow(i: int, o: int);
+ensures {:atomic} |{ A: mem[i] := o; return true; }|;
+
+procedure {:yields} {:layer 0, 1} PickAddr() returns (i: int);
+ensures {:atomic} |{ A: assume unallocated[i]; unallocated[i] := false; return true; }|;
+
+procedure {:yields} {:layer 0, 1} ReturnAddr(i: int);
+ensures {:atomic} |{ A: unallocated[i] := true; return true; }|; \ No newline at end of file
diff --git a/Test/civl/alloc.bpl.expect b/Test/civl/alloc.bpl.expect
new file mode 100644
index 00000000..4bcb1071
--- /dev/null
+++ b/Test/civl/alloc.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 21 verified, 0 errors
diff --git a/Test/og/bar.bpl b/Test/civl/bar.bpl
index 4eef8378..b5068edd 100644
--- a/Test/og/bar.bpl
+++ b/Test/civl/bar.bpl
@@ -1,57 +1,57 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} g:int;
-
-procedure {:yields} {:layer 1} PB()
-{
- yield;
- call Incr();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr();
-ensures {:atomic}
-|{A:
- g := g + 1; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic}
-|{A:
- g := v; return true;
-}|;
-
-procedure {:yields} {:layer 1} PC()
-ensures {:layer 1} g == old(g);
-{
- yield;
- assert {:layer 1} g == old(g);
-}
-
-procedure {:yields} {:layer 1} PE()
-{
- call PC();
-}
-
-procedure {:yields} {:layer 1} PD()
-{
- yield;
- call Set(3);
- call PC();
- assert {:layer 1} g == 3;
-}
-
-procedure {:yields} {:layer 1} Main2()
-{
- yield;
- while (*)
- {
- async call PB();
- yield;
- async call PE();
- yield;
- async call PD();
- yield;
- }
- yield;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} g:int;
+
+procedure {:yields} {:layer 1} PB()
+{
+ yield;
+ call Incr();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:atomic}
+|{A:
+ g := g + 1; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic}
+|{A:
+ g := v; return true;
+}|;
+
+procedure {:yields} {:layer 1} PC()
+ensures {:layer 1} g == old(g);
+{
+ yield;
+ assert {:layer 1} g == old(g);
+}
+
+procedure {:yields} {:layer 1} PE()
+{
+ call PC();
+}
+
+procedure {:yields} {:layer 1} PD()
+{
+ yield;
+ call Set(3);
+ call PC();
+ assert {:layer 1} g == 3;
+}
+
+procedure {:yields} {:layer 1} Main2()
+{
+ yield;
+ while (*)
+ {
+ async call PB();
+ yield;
+ async call PE();
+ yield;
+ async call PD();
+ yield;
+ }
+ yield;
+}
diff --git a/Test/og/bar.bpl.expect b/Test/civl/bar.bpl.expect
index 8999ae7f..be6722fe 100644
--- a/Test/og/bar.bpl.expect
+++ b/Test/civl/bar.bpl.expect
@@ -1,13 +1,13 @@
-bar.bpl(28,3): Error: Non-interference check failed
-Execution trace:
- bar.bpl(7,3): anon0
- (0,0): anon00
- bar.bpl(14,3): inline$Incr_1$0$this_A
- (0,0): inline$Impl_YieldChecker_PC_1$0$L0
-bar.bpl(28,3): Error: Non-interference check failed
-Execution trace:
- bar.bpl(38,3): anon0
- (0,0): anon00
- (0,0): inline$Impl_YieldChecker_PC_1$0$L0
-
-Boogie program verifier finished with 3 verified, 2 errors
+bar.bpl(28,3): Error: Non-interference check failed
+Execution trace:
+ bar.bpl(7,3): anon0
+ (0,0): anon00
+ bar.bpl(14,3): inline$Incr_1$0$A
+ (0,0): inline$Impl_YieldChecker_PC_1$0$L0
+bar.bpl(28,3): Error: Non-interference check failed
+Execution trace:
+ bar.bpl(38,3): anon0
+ (0,0): anon00
+ (0,0): inline$Impl_YieldChecker_PC_1$0$L0
+
+Boogie program verifier finished with 8 verified, 2 errors
diff --git a/Test/og/chris.bpl b/Test/civl/chris.bpl
index b54292ef..d755c76d 100644
--- a/Test/og/chris.bpl
+++ b/Test/civl/chris.bpl
@@ -1,28 +1,28 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var{:layer 1} x:int;
-
-procedure{:yields}{:layer 2} Havoc()
- ensures{:atomic} |{ A: return true; }|;
-{
- yield;
-}
-
-procedure{:yields}{:layer 1} Recover()
- ensures{:atomic} |{ A: assert x == 5; return true; }|;
-{
- yield;
-}
-
-procedure{:yields}{:layer 3} P()
- ensures{:atomic} |{ A: return true; }|;
- requires{:layer 2,3} x == 5;
- ensures {:layer 2,3} x == 5;
-{
-
- yield; assert{:layer 2,3} x == 5;
- call Havoc();
- yield; assert{:layer 3} x == 5;
- call Recover();
- yield; assert{:layer 2,3} x == 5;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var{:layer 1} x:int;
+
+procedure{:yields}{:layer 2} Havoc()
+ ensures{:atomic} |{ A: return true; }|;
+{
+ yield;
+}
+
+procedure{:yields}{:layer 1} Recover()
+ ensures{:atomic} |{ A: assert x == 5; return true; }|;
+{
+ yield;
+}
+
+procedure{:yields}{:layer 3} P()
+ ensures{:atomic} |{ A: return true; }|;
+ requires{:layer 2,3} x == 5;
+ ensures {:layer 2,3} x == 5;
+{
+
+ yield; assert{:layer 2,3} x == 5;
+ call Havoc();
+ yield; assert{:layer 3} x == 5;
+ call Recover();
+ yield; assert{:layer 2,3} x == 5;
+}
diff --git a/Test/og/chris.bpl.expect b/Test/civl/chris.bpl.expect
index be6b95ba..9823d44a 100644
--- a/Test/og/chris.bpl.expect
+++ b/Test/civl/chris.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 6 verified, 0 errors
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/og/chris2.bpl b/Test/civl/chris2.bpl
index 73f112ed..268c6aa3 100644
--- a/Test/og/chris2.bpl
+++ b/Test/civl/chris2.bpl
@@ -1,34 +1,34 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var{:layer 20} x:int;
-
-procedure{:yields}{:layer 20,25} p_gt1_lower();
- ensures{:both}
- |{
- A:
- x := x + 1;
- return true;
- }|;
-
-procedure{:yields}{:layer 25,40} p_gt1()
- ensures{:both}
- |{
- A:
- x := x + 1;
- return true;
- }|;
-{
- yield;
- call p_gt1_lower();
- yield;
-}
-
-procedure{:yields}{:layer 20,40} p_gt2();
- ensures{:both}
- |{
- A:
- assert x == 0;
- return true;
- }|;
-
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var{:layer 20} x:int;
+
+procedure{:yields}{:layer 20,25} p_gt1_lower();
+ ensures{:both}
+ |{
+ A:
+ x := x + 1;
+ return true;
+ }|;
+
+procedure{:yields}{:layer 25,40} p_gt1()
+ ensures{:both}
+ |{
+ A:
+ x := x + 1;
+ return true;
+ }|;
+{
+ yield;
+ call p_gt1_lower();
+ yield;
+}
+
+procedure{:yields}{:layer 20,40} p_gt2();
+ ensures{:both}
+ |{
+ A:
+ assert x == 0;
+ return true;
+ }|;
+
+
diff --git a/Test/og/chris2.bpl.expect b/Test/civl/chris2.bpl.expect
index 2bf339f7..f3b66f4a 100644
--- a/Test/og/chris2.bpl.expect
+++ b/Test/civl/chris2.bpl.expect
@@ -1,18 +1,18 @@
-(0,0): Error BP5003: A postcondition might not hold on this return path.
-chris2.bpl(30,5): Related location: Gate not preserved by p_gt1_lower
-Execution trace:
- (0,0): this_A
-(0,0): Error BP5003: A postcondition might not hold on this return path.
-(0,0): Related location: Gate failure of p_gt2 not preserved by p_gt1_lower
-Execution trace:
- (0,0): this_A
-(0,0): Error BP5003: A postcondition might not hold on this return path.
-chris2.bpl(30,5): Related location: Gate not preserved by p_gt1
-Execution trace:
- (0,0): this_A
-(0,0): Error BP5003: A postcondition might not hold on this return path.
-(0,0): Related location: Gate failure of p_gt2 not preserved by p_gt1
-Execution trace:
- (0,0): this_A
-
-Boogie program verifier finished with 1 verified, 4 errors
+(0,0): Error BP5003: A postcondition might not hold on this return path.
+chris2.bpl(30,5): Related location: Gate not preserved by p_gt1_lower
+Execution trace:
+ (0,0): this_A
+(0,0): Error BP5003: A postcondition might not hold on this return path.
+(0,0): Related location: Gate failure of p_gt2 not preserved by p_gt1_lower
+Execution trace:
+ (0,0): this_A
+(0,0): Error BP5003: A postcondition might not hold on this return path.
+chris2.bpl(30,5): Related location: Gate not preserved by p_gt1
+Execution trace:
+ (0,0): this_A
+(0,0): Error BP5003: A postcondition might not hold on this return path.
+(0,0): Related location: Gate failure of p_gt2 not preserved by p_gt1
+Execution trace:
+ (0,0): this_A
+
+Boogie program verifier finished with 2 verified, 4 errors
diff --git a/Test/civl/chris3.bpl b/Test/civl/chris3.bpl
new file mode 100644
index 00000000..5cbc000a
--- /dev/null
+++ b/Test/civl/chris3.bpl
@@ -0,0 +1,19 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure{:yields}{:layer 94,94} H()
+{
+ yield;
+}
+
+procedure{:yields}{:layer 94,95} A()
+ ensures{:atomic} |{ A: return true; }|;
+{
+ yield;
+}
+
+procedure{:yields}{:layer 95,95} P()
+{
+ yield;
+ par A() | H();
+ yield;
+}
diff --git a/Test/civl/chris3.bpl.expect b/Test/civl/chris3.bpl.expect
new file mode 100644
index 00000000..b415d3b9
--- /dev/null
+++ b/Test/civl/chris3.bpl.expect
@@ -0,0 +1,2 @@
+chris3.bpl(17,2): Error: The callee is not available in the caller procedure
+1 type checking errors detected in chris3.bpl
diff --git a/Test/civl/chris4.bpl b/Test/civl/chris4.bpl
new file mode 100644
index 00000000..7a19f975
--- /dev/null
+++ b/Test/civl/chris4.bpl
@@ -0,0 +1,16 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure{:yields}{:layer 94,95} Test()
+{
+ yield;
+ L:
+ yield;
+}
+
+procedure{:yields}{:layer 94,95} Test2()
+{
+ yield;
+ assert{:layer 94} 2 + 2 == 3;
+ L:
+ yield;
+}
diff --git a/Test/civl/chris4.bpl.expect b/Test/civl/chris4.bpl.expect
new file mode 100644
index 00000000..d3d00979
--- /dev/null
+++ b/Test/civl/chris4.bpl.expect
@@ -0,0 +1,5 @@
+chris4.bpl(13,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ chris4.bpl(12,3): anon0
+
+Boogie program verifier finished with 1 verified, 1 error
diff --git a/Test/civl/chris5.bpl b/Test/civl/chris5.bpl
new file mode 100644
index 00000000..23ebe424
--- /dev/null
+++ b/Test/civl/chris5.bpl
@@ -0,0 +1,19 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var{:layer 1,1} g:int;
+
+procedure{:layer 1} P(x:int)
+ requires {:layer 1} x == 0;
+{
+}
+
+procedure{:yields}{:layer 1,2} Y(x:int)
+ ensures{:atomic} |{ A: return true; }|;
+{
+ yield;
+
+ call P(x);
+ assert{:layer 1} x == 0;
+
+ yield;
+}
diff --git a/Test/civl/chris5.bpl.expect b/Test/civl/chris5.bpl.expect
new file mode 100644
index 00000000..32b474f5
--- /dev/null
+++ b/Test/civl/chris5.bpl.expect
@@ -0,0 +1,7 @@
+chris5.bpl(15,3): Error BP5002: A precondition for this call might not hold.
+chris5.bpl(6,3): Related location: This is the precondition that might not hold.
+Execution trace:
+ chris5.bpl(13,3): anon0
+ (0,0): anon00
+
+Boogie program verifier finished with 1 verified, 1 error
diff --git a/Test/civl/chris6.bpl b/Test/civl/chris6.bpl
new file mode 100644
index 00000000..a0aecf1e
--- /dev/null
+++ b/Test/civl/chris6.bpl
@@ -0,0 +1,14 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure{:extern}{:yields}{:layer 1,2} P1();
+ requires{:layer 1} false;
+ ensures{:atomic} |{ A: return true; }|;
+
+procedure{:yields}{:layer 2,3} P2()
+ ensures{:atomic} |{ A: return true; }|;
+{
+ assert{:layer 1} false;
+ yield;
+ call P1();
+ yield;
+}
diff --git a/Test/civl/chris6.bpl.expect b/Test/civl/chris6.bpl.expect
new file mode 100644
index 00000000..229e4e10
--- /dev/null
+++ b/Test/civl/chris6.bpl.expect
@@ -0,0 +1,5 @@
+chris6.bpl(10,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ chris6.bpl(10,3): anon0
+
+Boogie program verifier finished with 1 verified, 1 error
diff --git a/Test/civl/chris7.bpl b/Test/civl/chris7.bpl
new file mode 100644
index 00000000..a8fd25d3
--- /dev/null
+++ b/Test/civl/chris7.bpl
@@ -0,0 +1,14 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure{:layer 1}{:extern} P() returns(i:int);
+
+procedure{:yields}{:layer 1,1}{:extern} Y({:layer 1}x:int);
+
+procedure{:yields}{:layer 1,2} A({:layer 1}y:int)
+ ensures {:atomic} |{ A: return true; }|;
+{
+ var{:layer 1} tmp:int;
+ call Y(y);
+ call tmp := P();
+ call Y(tmp);
+}
diff --git a/Test/og/one.bpl.expect b/Test/civl/chris7.bpl.expect
index 6abb715b..37fad75c 100644
--- a/Test/og/one.bpl.expect
+++ b/Test/civl/chris7.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 1 verified, 0 errors
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/civl/chris8.bpl b/Test/civl/chris8.bpl
new file mode 100644
index 00000000..070cfec4
--- /dev/null
+++ b/Test/civl/chris8.bpl
@@ -0,0 +1,15 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var{:layer 1,1} x:int;
+
+procedure{:layer 1}{:extern} P1(i:int);
+procedure{:pure}{:extern} P2(j:int);
+
+procedure{:yields}{:layer 1,2} A1({:layer 1}i:int)
+ ensures {:atomic} |{ A: return true; }|;
+{
+ yield;
+ call P1(i);
+ call P2(i);
+ yield;
+}
diff --git a/Test/og/termination2.bpl.expect b/Test/civl/chris8.bpl.expect
index 6abb715b..37fad75c 100644
--- a/Test/og/termination2.bpl.expect
+++ b/Test/civl/chris8.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 1 verified, 0 errors
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/og/civl-paper.bpl b/Test/civl/civl-paper.bpl
index a7042c6a..6cac5cea 100644
--- a/Test/og/civl-paper.bpl
+++ b/Test/civl/civl-paper.bpl
@@ -1,175 +1,175 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-const nil: X;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-
-type lmap;
-function {:linear "mem"} dom(lmap): [int]bool;
-function map(lmap): [int]int;
-function cons([int]bool, [int]int) : lmap;
-axiom (forall x: [int]bool, y: [int]int :: {cons(x,y)} dom(cons(x, y)) == x && map(cons(x,y)) == y);
-
-var {:layer 0,3} {:linear "mem"} g: lmap;
-var {:layer 0,3} lock: X;
-var {:layer 0,1} b: bool;
-
-const p: int;
-
-procedure {:yields} {:layer 1} Yield1()
-requires {:layer 1} InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- yield;
- assert {:layer 1} InvLock(lock, b);
-}
-
-function {:inline} InvLock(lock: X, b: bool) : bool
-{
- lock != nil <==> b
-}
-
-procedure {:yields} {:layer 2} Yield2()
-{
- yield;
-}
-
-procedure {:yields} {:layer 3} Yield3()
-requires {:layer 3} Inv(g);
-ensures {:layer 3} Inv(g);
-{
- yield;
- assert {:layer 3} Inv(g);
-}
-
-function {:inline} Inv(g: lmap) : bool
-{
- dom(g)[p] && dom(g)[p+4] && map(g)[p] == map(g)[p+4]
-}
-
-procedure {:yields} {:layer 3} P({:linear "tid"} tid: X)
-requires {:layer 1} tid != nil && InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-requires {:layer 3} tid != nil && Inv(g);
-ensures {:layer 3} Inv(g);
-{
- var t: int;
- var {:linear "mem"} l: lmap;
-
- par Yield3() | Yield1();
- call AcquireProtected(tid);
- call l := TransferFromGlobalProtected(tid);
- call t := Load(l, p);
- call l := Store(l, p, t+1);
- call t := Load(l, p+4);
- call l := Store(l, p+4, t+1);
- call TransferToGlobalProtected(tid, l);
- call ReleaseProtected(tid);
- par Yield3() | Yield1();
-}
-
-
-procedure {:yields} {:layer 2,3} TransferToGlobalProtected({:linear "tid"} tid: X, {:linear_in "mem"} l: lmap)
-ensures {:both} |{ A: assert tid != nil && lock == tid; g := l; return true; }|;
-requires {:layer 1} InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- par Yield1() | Yield2();
- call TransferToGlobal(tid, l);
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 2,3} TransferFromGlobalProtected({:linear "tid"} tid: X) returns ({:linear "mem"} l: lmap)
-ensures {:both} |{ A: assert tid != nil && lock == tid; l := g; return true; }|;
-requires {:layer 1} InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- par Yield1() | Yield2();
- call l := TransferFromGlobal(tid);
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 2,3} AcquireProtected({:linear "tid"} tid: X)
-ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
-requires {:layer 1} tid != nil && InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- par Yield1() | Yield2();
- call Acquire(tid);
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 2,3} ReleaseProtected({:linear "tid"} tid: X)
-ensures {:left} |{ A: assert tid != nil && lock == tid; lock := nil; return true; }|;
-requires {:layer 1} InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- par Yield1() | Yield2();
- call Release(tid);
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 1,2} Acquire({:linear "tid"} tid: X)
-requires {:layer 1} tid != nil && InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-ensures {:atomic} |{ A: assume lock == nil; lock := tid; return true; }|;
-{
- var status: bool;
- var tmp: X;
-
- par Yield1();
- L:
- assert {:layer 1} InvLock(lock, b);
- call status := CAS(tid, false, true);
- par Yield1();
- goto A, B;
-
- A:
- assume status;
- par Yield1();
- return;
-
- B:
- assume !status;
- goto L;
-}
-
-procedure {:yields} {:layer 1,2} Release({:linear "tid"} tid: X)
-ensures {:atomic} |{ A: lock := nil; return true; }|;
-requires {:layer 1} InvLock(lock, b);
-ensures {:layer 1} InvLock(lock, b);
-{
- par Yield1();
- call CLEAR(tid, false);
- par Yield1();
-}
-
-procedure {:yields} {:layer 0,2} TransferToGlobal({:linear "tid"} tid: X, {:linear_in "mem"} l: lmap);
-ensures {:atomic} |{ A: g := l; return true; }|;
-
-procedure {:yields} {:layer 0,2} TransferFromGlobal({:linear "tid"} tid: X) returns ({:linear "mem"} l: lmap);
-ensures {:atomic} |{ A: l := g; return true; }|;
-
-procedure {:yields} {:layer 0,3} Load({:linear "mem"} l: lmap, a: int) returns (v: int);
-ensures {:both} |{ A: v := map(l)[a]; return true; }|;
-
-procedure {:yields} {:layer 0,3} Store({:linear_in "mem"} l_in: lmap, a: int, v: int) returns ({:linear "mem"} l_out: lmap);
-ensures {:both} |{ A: assume l_out == cons(dom(l_in), map(l_in)[a := v]); return true; }|;
-
-procedure {:yields} {:layer 0,1} CAS(tid: X, prev: bool, next: bool) returns (status: bool);
-ensures {:atomic} |{
-A: goto B, C;
-B: assume b == prev; b := next; status := true; lock := tid; return true;
-C: status := false; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} CLEAR(tid: X, next: bool);
-ensures {:atomic} |{
-A: b := next; lock := nil; return true;
-}|;
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+const nil: X;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+type lmap;
+function {:linear "mem"} dom(lmap): [int]bool;
+function map(lmap): [int]int;
+function cons([int]bool, [int]int) : lmap;
+axiom (forall x: [int]bool, y: [int]int :: {cons(x,y)} dom(cons(x, y)) == x && map(cons(x,y)) == y);
+
+var {:layer 0,3} {:linear "mem"} g: lmap;
+var {:layer 0,3} lock: X;
+var {:layer 0,1} b: bool;
+
+const p: int;
+
+procedure {:yields} {:layer 1} Yield1()
+requires {:layer 1} InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ yield;
+ assert {:layer 1} InvLock(lock, b);
+}
+
+function {:inline} InvLock(lock: X, b: bool) : bool
+{
+ lock != nil <==> b
+}
+
+procedure {:yields} {:layer 2} Yield2()
+{
+ yield;
+}
+
+procedure {:yields} {:layer 3} Yield3()
+requires {:layer 3} Inv(g);
+ensures {:layer 3} Inv(g);
+{
+ yield;
+ assert {:layer 3} Inv(g);
+}
+
+function {:inline} Inv(g: lmap) : bool
+{
+ dom(g)[p] && dom(g)[p+4] && map(g)[p] == map(g)[p+4]
+}
+
+procedure {:yields} {:layer 3} P({:linear "tid"} tid: X)
+requires {:layer 1} tid != nil && InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+requires {:layer 3} tid != nil && Inv(g);
+ensures {:layer 3} Inv(g);
+{
+ var t: int;
+ var {:linear "mem"} l: lmap;
+
+ par Yield3() | Yield1();
+ call AcquireProtected(tid);
+ call l := TransferFromGlobalProtected(tid);
+ call t := Load(l, p);
+ call l := Store(l, p, t+1);
+ call t := Load(l, p+4);
+ call l := Store(l, p+4, t+1);
+ call TransferToGlobalProtected(tid, l);
+ call ReleaseProtected(tid);
+ par Yield3() | Yield1();
+}
+
+
+procedure {:yields} {:layer 2,3} TransferToGlobalProtected({:linear "tid"} tid: X, {:linear_in "mem"} l: lmap)
+ensures {:both} |{ A: assert tid != nil && lock == tid; g := l; return true; }|;
+requires {:layer 1} InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ par Yield1() | Yield2();
+ call TransferToGlobal(tid, l);
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 2,3} TransferFromGlobalProtected({:linear "tid"} tid: X) returns ({:linear "mem"} l: lmap)
+ensures {:both} |{ A: assert tid != nil && lock == tid; l := g; return true; }|;
+requires {:layer 1} InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ par Yield1() | Yield2();
+ call l := TransferFromGlobal(tid);
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 2,3} AcquireProtected({:linear "tid"} tid: X)
+ensures {:right} |{ A: assert tid != nil; assume lock == nil; lock := tid; return true; }|;
+requires {:layer 1} tid != nil && InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ par Yield1() | Yield2();
+ call Acquire(tid);
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 2,3} ReleaseProtected({:linear "tid"} tid: X)
+ensures {:left} |{ A: assert tid != nil && lock == tid; lock := nil; return true; }|;
+requires {:layer 1} InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ par Yield1() | Yield2();
+ call Release(tid);
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 1,2} Acquire({:linear "tid"} tid: X)
+requires {:layer 1} tid != nil && InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+ensures {:atomic} |{ A: assume lock == nil; lock := tid; return true; }|;
+{
+ var status: bool;
+ var tmp: X;
+
+ par Yield1();
+ L:
+ assert {:layer 1} InvLock(lock, b);
+ call status := CAS(tid, false, true);
+ par Yield1();
+ goto A, B;
+
+ A:
+ assume status;
+ par Yield1();
+ return;
+
+ B:
+ assume !status;
+ goto L;
+}
+
+procedure {:yields} {:layer 1,2} Release({:linear "tid"} tid: X)
+ensures {:atomic} |{ A: lock := nil; return true; }|;
+requires {:layer 1} InvLock(lock, b);
+ensures {:layer 1} InvLock(lock, b);
+{
+ par Yield1();
+ call CLEAR(tid, false);
+ par Yield1();
+}
+
+procedure {:yields} {:layer 0,2} TransferToGlobal({:linear "tid"} tid: X, {:linear_in "mem"} l: lmap);
+ensures {:atomic} |{ A: g := l; return true; }|;
+
+procedure {:yields} {:layer 0,2} TransferFromGlobal({:linear "tid"} tid: X) returns ({:linear "mem"} l: lmap);
+ensures {:atomic} |{ A: l := g; return true; }|;
+
+procedure {:yields} {:layer 0,3} Load({:linear "mem"} l: lmap, a: int) returns (v: int);
+ensures {:both} |{ A: v := map(l)[a]; return true; }|;
+
+procedure {:yields} {:layer 0,3} Store({:linear_in "mem"} l_in: lmap, a: int, v: int) returns ({:linear "mem"} l_out: lmap);
+ensures {:both} |{ A: assume l_out == cons(dom(l_in), map(l_in)[a := v]); return true; }|;
+
+procedure {:yields} {:layer 0,1} CAS(tid: X, prev: bool, next: bool) returns (status: bool);
+ensures {:atomic} |{
+A: goto B, C;
+B: assume b == prev; b := next; status := true; lock := tid; return true;
+C: status := false; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} CLEAR(tid: X, next: bool);
+ensures {:atomic} |{
+A: b := next; lock := nil; return true;
+}|;
+
diff --git a/Test/civl/civl-paper.bpl.expect b/Test/civl/civl-paper.bpl.expect
new file mode 100644
index 00000000..bd1df2f9
--- /dev/null
+++ b/Test/civl/civl-paper.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 45 verified, 0 errors
diff --git a/Test/og/foo.bpl b/Test/civl/foo.bpl
index 7eeab890..8b7b4aa6 100644
--- a/Test/og/foo.bpl
+++ b/Test/civl/foo.bpl
@@ -1,57 +1,57 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} g:int;
-
-procedure {:yields} {:layer 1} PB()
-{
- yield;
- call Incr();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr();
-ensures {:atomic}
-|{A:
- g := g + 1; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic}
-|{A:
- g := v; return true;
-}|;
-
-procedure {:yields} {:layer 1} PC()
-ensures {:layer 1} g == 3;
-{
- yield;
- call Set(3);
- yield;
- assert {:layer 1} g == 3;
-}
-
-procedure {:yields} {:layer 1} PE()
-{
- call PC();
-}
-
-procedure {:yields} {:layer 1} PD()
-{
- call PC();
- assert {:layer 1} g == 3;
-}
-
-procedure {:yields} {:layer 1} Main()
-{
- yield;
- while (*)
- {
- async call PB();
- yield;
- async call PE();
- yield;
- async call PD();
- yield;
- }
- yield;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} g:int;
+
+procedure {:yields} {:layer 1} PB()
+{
+ yield;
+ call Incr();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:atomic}
+|{A:
+ g := g + 1; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic}
+|{A:
+ g := v; return true;
+}|;
+
+procedure {:yields} {:layer 1} PC()
+ensures {:layer 1} g == 3;
+{
+ yield;
+ call Set(3);
+ yield;
+ assert {:layer 1} g == 3;
+}
+
+procedure {:yields} {:layer 1} PE()
+{
+ call PC();
+}
+
+procedure {:yields} {:layer 1} PD()
+{
+ call PC();
+ assert {:layer 1} g == 3;
+}
+
+procedure {:yields} {:layer 1} Main()
+{
+ yield;
+ while (*)
+ {
+ async call PB();
+ yield;
+ async call PE();
+ yield;
+ async call PD();
+ yield;
+ }
+ yield;
+}
diff --git a/Test/og/foo.bpl.expect b/Test/civl/foo.bpl.expect
index 0d9de9db..44a93860 100644
--- a/Test/og/foo.bpl.expect
+++ b/Test/civl/foo.bpl.expect
@@ -1,8 +1,8 @@
-foo.bpl(30,3): Error: Non-interference check failed
-Execution trace:
- foo.bpl(7,3): anon0
- (0,0): anon00
- foo.bpl(14,3): inline$Incr_1$0$this_A
- (0,0): inline$Impl_YieldChecker_PC_1$0$L0
-
-Boogie program verifier finished with 4 verified, 1 error
+foo.bpl(30,3): Error: Non-interference check failed
+Execution trace:
+ foo.bpl(7,3): anon0
+ (0,0): anon00
+ foo.bpl(14,3): inline$Incr_1$0$A
+ (0,0): inline$Impl_YieldChecker_PC_1$0$L0
+
+Boogie program verifier finished with 9 verified, 1 error
diff --git a/Test/civl/funky.bpl b/Test/civl/funky.bpl
new file mode 100644
index 00000000..ad5bf271
--- /dev/null
+++ b/Test/civl/funky.bpl
@@ -0,0 +1,133 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+const nil: X;
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+var {:layer 0, 3} A: X;
+var {:layer 0, 3} B: X;
+var {:layer 0, 3} counter: int;
+
+procedure {:yields} {:layer 0, 3} LockA({:linear "tid"} tid: X);
+ensures {:right} |{ A: assert tid != nil; assume A == nil; A := tid; return true; }|;
+
+procedure {:yields} {:layer 0, 1} IncrA({:linear "tid"} tid: X);
+ensures {:right} |{ A: assert tid != nil && A == tid; counter := counter + 1; return true; }|;
+
+procedure {:yields} {:layer 0, 1} DecrA({:linear "tid"} tid: X);
+ensures {:right} |{ A: assert tid != nil && A == tid; counter := counter - 1; return true; }|;
+
+procedure {:yields} {:layer 0, 3} UnlockA({:linear "tid"} tid: X);
+ensures {:left} |{ A: assert tid != nil && A == tid; A := nil; return true; }|;
+
+procedure {:yields} {:layer 0, 3} LockB({:linear "tid"} tid: X);
+ensures {:right} |{ A: assert tid != nil; assume B == nil; B := tid; return true; }|;
+
+procedure {:yields} {:layer 0, 2} IncrB({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: assert tid != nil && B == tid; counter := counter + 1; return true; }|;
+
+procedure {:yields} {:layer 0, 1} DecrB({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: assert tid != nil && B == tid; counter := counter - 1; return true; }|;
+
+procedure {:yields} {:layer 0, 3} UnlockB({:linear "tid"} tid: X);
+ensures {:left} |{ A: assert tid != nil && B == tid; B := nil; return true; }|;
+
+procedure {:yields} {:layer 0, 3} AssertA({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: assert tid != nil && A == tid; assert counter >= -1; return true; }|;
+
+procedure {:yields} {:layer 0, 3} AssertB({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: assert tid != nil && A == tid && B == tid; assert counter == 0; return true; }|;
+
+procedure {:pure} AllocTid() returns ({:linear "tid"} tid: X);
+ensures tid != nil;
+
+procedure {:yields} {:layer 1, 2} AbsDecrB({:linear "tid"} tid: X)
+ensures {:right} |{ A: assert tid != nil && B == tid && counter == 0; counter := counter - 1; return true; }|;
+{
+ yield;
+ call DecrB(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 2, 3} AbsAssertA({:linear "tid"} tid: X)
+ensures {:both} |{ A: assert tid != nil && A == tid; assert counter >= -1; return true; }|;
+{
+ yield;
+ call AssertA(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 2, 3} AbsAssertB({:linear "tid"} tid: X)
+ensures {:both} |{ A: assert tid != nil && A == tid && B == tid; assert counter == 0; return true; }|;
+{
+ yield;
+ call AssertB(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 1} TA({:linear "tid"} tid: X)
+requires {:layer 1} tid != nil;
+{
+ yield;
+ call LockA(tid);
+ call IncrA(tid);
+ call DecrA(tid);
+ call UnlockA(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 2, 3} TB({:linear "tid"} tid: X)
+ensures {:both} |{ A: assert tid != nil && counter == 0; return true; }|;
+{
+ yield;
+ call LockB(tid);
+ call AbsDecrB(tid);
+ call IncrB(tid);
+ call UnlockB(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 3} AbsTB({:linear "tid"} tid: X)
+requires {:layer 3} tid != nil && counter == 0;
+{
+ yield;
+ assert {:layer 3} counter == 0;
+ call TB(tid);
+ yield;
+}
+
+procedure {:yields} {:layer 3} main({:linear "tid"} tid: X)
+requires {:layer 3} tid != nil && counter == 0;
+{
+ var {:linear "tid"} cid: X;
+
+ yield;
+ assert {:layer 3} counter == 0;
+ while (*)
+ invariant {:layer 3} counter == 0;
+ {
+ if (*) {
+ call cid := AllocTid();
+ async call TA(cid);
+ }
+ if (*) {
+ call cid := AllocTid();
+ async call AbsTB(cid);
+ }
+ yield;
+ assert {:layer 3} counter == 0;
+ call LockA(tid);
+ call AbsAssertA(tid);
+ call LockB(tid);
+ call AbsAssertB(tid);
+ call UnlockB(tid);
+ call UnlockA(tid);
+ yield;
+ assert {:layer 3} counter == 0;
+ }
+ yield;
+} \ No newline at end of file
diff --git a/Test/civl/funky.bpl.expect b/Test/civl/funky.bpl.expect
new file mode 100644
index 00000000..0a114594
--- /dev/null
+++ b/Test/civl/funky.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 75 verified, 0 errors
diff --git a/Test/civl/ghost.bpl b/Test/civl/ghost.bpl
new file mode 100644
index 00000000..1468fa56
--- /dev/null
+++ b/Test/civl/ghost.bpl
@@ -0,0 +1,45 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0} x: int;
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:right} |{ A: x := x + 1; return true; }|;
+
+procedure {:pure} ghost(y: int) returns (z: int)
+requires y == 1;
+ensures z == 2;
+{
+ z := y + 1;
+}
+
+procedure {:yields} {:layer 1,2} Incr2()
+ensures {:right} |{ A: x := x + 2; return true; }|;
+{
+ var {:layer 1} a: int;
+
+ yield;
+ call a := ghost(1);
+ assert {:layer 1} a == 2;
+ par Incr() | Incr();
+ yield;
+}
+
+procedure {:layer 1} ghost_0() returns (z: int)
+ensures z == x;
+{
+ z := x;
+}
+
+procedure {:yields} {:layer 1,2} Incr2_0()
+ensures {:right} |{ A: x := x + 2; return true; }|;
+{
+ var {:layer 1} a: int;
+ var {:layer 1} b: int;
+
+ yield;
+ call a := ghost_0();
+ par Incr() | Incr();
+ call b := ghost_0();
+ assert {:layer 1} b == a + 2;
+ yield;
+}
diff --git a/Test/civl/ghost.bpl.expect b/Test/civl/ghost.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/ghost.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/linear-set.bpl b/Test/civl/linear-set.bpl
index e481291a..de7f72f4 100644
--- a/Test/og/linear-set.bpl
+++ b/Test/civl/linear-set.bpl
@@ -1,105 +1,105 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-function {:builtin "MapConst"} MapConstInt(int) : [X]int;
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
-
-function {:inline} None() : [X]bool
-{
- MapConstBool(false)
-}
-
-function {:inline} All() : [X]bool
-{
- MapConstBool(true)
-}
-
-function {:inline} {:linear "x"} XCollector(xs: [X]bool) : [X]bool
-{
- xs
-}
-
-var {:layer 0,1} x: int;
-var {:layer 0,1} l: [X]bool;
-
-
-procedure {:yields} {:layer 1} Split({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool)
-ensures {:layer 1} xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None();
-{
- yield;
- call xls1, xls2 := SplitLow(xls);
- yield;
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xls: [X]bool)
-{
- yield;
- call xls := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic} |{A: x := v; return true; }|;
-
-procedure {:yields} {:layer 0,1} Lock(tidls: [X]bool);
-ensures {:atomic} |{A: assume l == None(); l := tidls; return true; }|;
-
-procedure {:yields} {:layer 0,1} Unlock();
-ensures {:atomic} |{A: l := None(); return true; }|;
-
-procedure {:yields} {:layer 0,1} SplitLow({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool);
-ensures {:atomic} |{ A: assume xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None(); return true; }|;
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: [X]bool);
-ensures {:atomic} |{ A: return true; }|;
-
-procedure {:yields} {:layer 1} main({:linear_in "tid"} tidls': [X]bool, {:linear_in "x"} xls': [X]bool)
-requires {:layer 1} tidls' != None() && xls' == All();
-{
- var {:linear "tid"} tidls: [X]bool;
- var {:linear "x"} xls: [X]bool;
- var {:linear "tid"} lsChild: [X]bool;
- var {:linear "x"} xls1: [X]bool;
- var {:linear "x"} xls2: [X]bool;
-
- tidls := tidls';
- xls := xls';
- yield;
- call Set(42);
- yield;
- assert {:layer 1} xls == All();
- assert {:layer 1} x == 42;
- call xls1, xls2 := Split(xls);
- call lsChild := Allocate();
- assume (lsChild != None());
- yield;
- async call thread(lsChild, xls1);
- call lsChild := Allocate();
- assume (lsChild != None());
- yield;
- async call thread(lsChild, xls2);
- yield;
-}
-
-procedure {:yields} {:layer 1} thread({:linear_in "tid"} tidls': [X]bool, {:linear_in "x"} xls': [X]bool)
-requires {:layer 1} tidls' != None() && xls' != None();
-{
- var {:linear "x"} xls: [X]bool;
- var {:linear "tid"} tidls: [X]bool;
-
- tidls := tidls';
- xls := xls';
-
- yield;
- call Lock(tidls);
- yield;
- assert {:layer 1} tidls != None() && xls != None();
- call Set(0);
- yield;
- assert {:layer 1} tidls != None() && xls != None();
- assert {:layer 1} x == 0;
- assert {:layer 1} tidls != None() && xls != None();
- call Unlock();
- yield;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+function {:builtin "MapConst"} MapConstInt(int) : [X]int;
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
+
+function {:inline} None() : [X]bool
+{
+ MapConstBool(false)
+}
+
+function {:inline} All() : [X]bool
+{
+ MapConstBool(true)
+}
+
+function {:inline} {:linear "x"} XCollector(xs: [X]bool) : [X]bool
+{
+ xs
+}
+
+var {:layer 0,1} x: int;
+var {:layer 0,1} l: [X]bool;
+
+
+procedure {:yields} {:layer 1} Split({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool)
+ensures {:layer 1} xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None();
+{
+ yield;
+ call xls1, xls2 := SplitLow(xls);
+ yield;
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xls: [X]bool)
+{
+ yield;
+ call xls := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic} |{A: x := v; return true; }|;
+
+procedure {:yields} {:layer 0,1} Lock(tidls: [X]bool);
+ensures {:atomic} |{A: assume l == None(); l := tidls; return true; }|;
+
+procedure {:yields} {:layer 0,1} Unlock();
+ensures {:atomic} |{A: l := None(); return true; }|;
+
+procedure {:yields} {:layer 0,1} SplitLow({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool);
+ensures {:atomic} |{ A: assume xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None(); return true; }|;
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: [X]bool);
+ensures {:atomic} |{ A: return true; }|;
+
+procedure {:yields} {:layer 1} main({:linear_in "tid"} tidls': [X]bool, {:linear_in "x"} xls': [X]bool)
+requires {:layer 1} tidls' != None() && xls' == All();
+{
+ var {:linear "tid"} tidls: [X]bool;
+ var {:linear "x"} xls: [X]bool;
+ var {:linear "tid"} lsChild: [X]bool;
+ var {:linear "x"} xls1: [X]bool;
+ var {:linear "x"} xls2: [X]bool;
+
+ tidls := tidls';
+ xls := xls';
+ yield;
+ call Set(42);
+ yield;
+ assert {:layer 1} xls == All();
+ assert {:layer 1} x == 42;
+ call xls1, xls2 := Split(xls);
+ call lsChild := Allocate();
+ assume (lsChild != None());
+ yield;
+ async call thread(lsChild, xls1);
+ call lsChild := Allocate();
+ assume (lsChild != None());
+ yield;
+ async call thread(lsChild, xls2);
+ yield;
+}
+
+procedure {:yields} {:layer 1} thread({:linear_in "tid"} tidls': [X]bool, {:linear_in "x"} xls': [X]bool)
+requires {:layer 1} tidls' != None() && xls' != None();
+{
+ var {:linear "x"} xls: [X]bool;
+ var {:linear "tid"} tidls: [X]bool;
+
+ tidls := tidls';
+ xls := xls';
+
+ yield;
+ call Lock(tidls);
+ yield;
+ assert {:layer 1} tidls != None() && xls != None();
+ call Set(0);
+ yield;
+ assert {:layer 1} tidls != None() && xls != None();
+ assert {:layer 1} x == 0;
+ assert {:layer 1} tidls != None() && xls != None();
+ call Unlock();
+ yield;
+}
diff --git a/Test/civl/linear-set.bpl.expect b/Test/civl/linear-set.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/linear-set.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/linear-set2.bpl b/Test/civl/linear-set2.bpl
index 24d8a13a..4a0c6a1f 100644
--- a/Test/og/linear-set2.bpl
+++ b/Test/civl/linear-set2.bpl
@@ -1,106 +1,106 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-function {:builtin "MapConst"} MapConstInt(int) : [X]int;
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
-
-function {:inline} None() : [X]bool
-{
- MapConstBool(false)
-}
-
-function {:inline} All() : [X]bool
-{
- MapConstBool(true)
-}
-
-function {:inline} {:linear "x"} XCollector(xs: [X]bool) : [X]bool
-{
- xs
-}
-
-var {:layer 0,1} x: int;
-var {:layer 0,1} l: X;
-const nil: X;
-
-procedure {:yields} {:layer 1} Split({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool)
-ensures {:layer 1} xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None();
-{
- yield;
- call xls1, xls2 := SplitLow(xls);
- yield;
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xls: X)
-ensures {:layer 1} xls != nil;
-{
- yield;
- call xls := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic} |{A: x := v; return true; }|;
-
-procedure {:yields} {:layer 0,1} Lock(tidls: X);
-ensures {:atomic} |{A: assume l == nil; l := tidls; return true; }|;
-
-procedure {:yields} {:layer 0,1} Unlock();
-ensures {:atomic} |{A: l := nil; return true; }|;
-
-procedure {:yields} {:layer 0,1} SplitLow({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool);
-ensures {:atomic} |{ A: assume xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None(); return true; }|;
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: X);
-ensures {:atomic} |{ A: assume xls != nil; return true; }|;
-
-procedure {:yields} {:layer 1} main({:linear_in "tid"} tidls': X, {:linear_in "x"} xls': [X]bool)
-requires {:layer 1} tidls' != nil && xls' == All();
-{
- var {:linear "tid"} tidls: X;
- var {:linear "x"} xls: [X]bool;
- var {:linear "tid"} lsChild: X;
- var {:linear "x"} xls1: [X]bool;
- var {:linear "x"} xls2: [X]bool;
-
- tidls := tidls';
- xls := xls';
-
- yield;
- call Set(42);
- yield;
- assert {:layer 1} xls == All();
- assert {:layer 1} x == 42;
- call xls1, xls2 := Split(xls);
- call lsChild := Allocate();
- yield;
- async call thread(lsChild, xls1);
- call lsChild := Allocate();
- yield;
- async call thread(lsChild, xls2);
- yield;
-}
-
-procedure {:yields} {:layer 1} thread({:linear_in "tid"} tidls': X, {:linear_in "x"} xls': [X]bool)
-requires {:layer 1} tidls' != nil && xls' != None();
-{
- var {:linear "x"} xls: [X]bool;
- var {:linear "tid"} tidls: X;
-
- tidls := tidls';
- xls := xls';
-
- yield;
- call Lock(tidls);
- yield;
- assert {:layer 1} tidls != nil && xls != None();
- call Set(0);
- yield;
- assert {:layer 1} tidls != nil && xls != None();
- assert {:layer 1} x == 0;
- yield;
- assert {:layer 1} tidls != nil && xls != None();
- call Unlock();
- yield;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+function {:builtin "MapConst"} MapConstInt(int) : [X]int;
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
+
+function {:inline} None() : [X]bool
+{
+ MapConstBool(false)
+}
+
+function {:inline} All() : [X]bool
+{
+ MapConstBool(true)
+}
+
+function {:inline} {:linear "x"} XCollector(xs: [X]bool) : [X]bool
+{
+ xs
+}
+
+var {:layer 0,1} x: int;
+var {:layer 0,1} l: X;
+const nil: X;
+
+procedure {:yields} {:layer 1} Split({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool)
+ensures {:layer 1} xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None();
+{
+ yield;
+ call xls1, xls2 := SplitLow(xls);
+ yield;
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xls: X)
+ensures {:layer 1} xls != nil;
+{
+ yield;
+ call xls := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic} |{A: x := v; return true; }|;
+
+procedure {:yields} {:layer 0,1} Lock(tidls: X);
+ensures {:atomic} |{A: assume l == nil; l := tidls; return true; }|;
+
+procedure {:yields} {:layer 0,1} Unlock();
+ensures {:atomic} |{A: l := nil; return true; }|;
+
+procedure {:yields} {:layer 0,1} SplitLow({:linear_in "x"} xls: [X]bool) returns ({:linear "x"} xls1: [X]bool, {:linear "x"} xls2: [X]bool);
+ensures {:atomic} |{ A: assume xls == MapOr(xls1, xls2) && xls1 != None() && xls2 != None(); return true; }|;
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: X);
+ensures {:atomic} |{ A: assume xls != nil; return true; }|;
+
+procedure {:yields} {:layer 1} main({:linear_in "tid"} tidls': X, {:linear_in "x"} xls': [X]bool)
+requires {:layer 1} tidls' != nil && xls' == All();
+{
+ var {:linear "tid"} tidls: X;
+ var {:linear "x"} xls: [X]bool;
+ var {:linear "tid"} lsChild: X;
+ var {:linear "x"} xls1: [X]bool;
+ var {:linear "x"} xls2: [X]bool;
+
+ tidls := tidls';
+ xls := xls';
+
+ yield;
+ call Set(42);
+ yield;
+ assert {:layer 1} xls == All();
+ assert {:layer 1} x == 42;
+ call xls1, xls2 := Split(xls);
+ call lsChild := Allocate();
+ yield;
+ async call thread(lsChild, xls1);
+ call lsChild := Allocate();
+ yield;
+ async call thread(lsChild, xls2);
+ yield;
+}
+
+procedure {:yields} {:layer 1} thread({:linear_in "tid"} tidls': X, {:linear_in "x"} xls': [X]bool)
+requires {:layer 1} tidls' != nil && xls' != None();
+{
+ var {:linear "x"} xls: [X]bool;
+ var {:linear "tid"} tidls: X;
+
+ tidls := tidls';
+ xls := xls';
+
+ yield;
+ call Lock(tidls);
+ yield;
+ assert {:layer 1} tidls != nil && xls != None();
+ call Set(0);
+ yield;
+ assert {:layer 1} tidls != nil && xls != None();
+ assert {:layer 1} x == 0;
+ yield;
+ assert {:layer 1} tidls != nil && xls != None();
+ call Unlock();
+ yield;
+}
diff --git a/Test/civl/linear-set2.bpl.expect b/Test/civl/linear-set2.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/linear-set2.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/lock-introduced.bpl b/Test/civl/lock-introduced.bpl
index c9650215..5403e5d4 100644
--- a/Test/og/lock-introduced.bpl
+++ b/Test/civl/lock-introduced.bpl
@@ -1,100 +1,110 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-
-type X;
-const nil: X;
-var {:layer 0,2} b: bool;
-var {:layer 1,3} lock: X;
-
-procedure {:yields} {:layer 3} Customer({:linear "tid"} tid: X)
-requires {:layer 2} tid != nil;
-requires {:layer 2} InvLock(lock, b);
-ensures {:layer 2} InvLock(lock, b);
-{
- yield;
- assert {:layer 2} InvLock(lock, b);
- while (*)
- invariant {:layer 2} InvLock(lock, b);
- {
- call Enter(tid);
- call Leave(tid);
- yield;
- assert {:layer 2} InvLock(lock, b);
- }
- yield;
- assert {:layer 2} InvLock(lock, b);
-}
-
-function {:inline} InvLock(lock: X, b: bool) : bool
-{
- lock != nil <==> b
-}
-
-procedure {:yields} {:layer 2,3} Enter({:linear "tid"} tid: X)
-requires {:layer 2} tid != nil;
-requires {:layer 2} InvLock(lock, b);
-ensures {:layer 2} InvLock(lock, b);
-ensures {:right} |{ A: assume lock == nil && tid != nil; lock := tid; return true; }|;
-{
- yield;
- assert {:layer 2} InvLock(lock, b);
- call LowerEnter(tid);
- yield;
- assert {:layer 2} InvLock(lock, b);
-}
-
-procedure {:yields} {:layer 2,3} Leave({:linear "tid"} tid:X)
-requires {:layer 2} InvLock(lock, b);
-ensures {:layer 2} InvLock(lock, b);
-ensures {:atomic} |{ A: assert lock == tid && tid != nil; lock := nil; return true; }|;
-{
- yield;
- assert {:layer 2} InvLock(lock, b);
- call LowerLeave();
- yield;
- assert {:layer 2} InvLock(lock, b);
-}
-
-procedure {:yields} {:layer 1,2} LowerEnter({:linear "tid"} tid: X)
-ensures {:atomic} |{ A: assume !b; b := true; lock := tid; return true; }|;
-{
- var status: bool;
- yield;
- L:
- call status := CAS(false, true);
- yield;
- goto A, B;
-
- A:
- assume status;
- yield;
- return;
-
- B:
- assume !status;
- goto L;
-}
-
-procedure {:yields} {:layer 1,2} LowerLeave()
-ensures {:atomic} |{ A: b := false; lock := nil; return true; }|;
-{
- yield;
- call SET(false);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} CAS(prev: bool, next: bool) returns (status: bool);
-ensures {:atomic} |{
-A: goto B, C;
-B: assume b == prev; b := next; status := true; return true;
-C: status := false; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} SET(next: bool);
-ensures {:atomic} |{ A: b := next; return true; }|;
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+type X;
+const nil: X;
+var {:layer 0,2} b: bool;
+var {:layer 1,3} lock: X;
+
+procedure {:yields} {:layer 3} Customer({:linear "tid"} tid: X)
+requires {:layer 2} tid != nil;
+requires {:layer 2} InvLock(lock, b);
+ensures {:layer 2} InvLock(lock, b);
+{
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+ while (*)
+ invariant {:layer 2} InvLock(lock, b);
+ {
+ call Enter(tid);
+ call Leave(tid);
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+ }
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+}
+
+function {:inline} InvLock(lock: X, b: bool) : bool
+{
+ lock != nil <==> b
+}
+
+procedure {:yields} {:layer 2,3} Enter({:linear "tid"} tid: X)
+requires {:layer 2} tid != nil;
+requires {:layer 2} InvLock(lock, b);
+ensures {:layer 2} InvLock(lock, b);
+ensures {:right} |{ A: assume lock == nil && tid != nil; lock := tid; return true; }|;
+{
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+ call LowerEnter(tid);
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+}
+
+procedure {:yields} {:layer 2,3} Leave({:linear "tid"} tid:X)
+requires {:layer 2} InvLock(lock, b);
+ensures {:layer 2} InvLock(lock, b);
+ensures {:atomic} |{ A: assert lock == tid && tid != nil; lock := nil; return true; }|;
+{
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+ call LowerLeave();
+ yield;
+ assert {:layer 2} InvLock(lock, b);
+}
+
+procedure {:yields} {:layer 1,2} LowerEnter({:linear "tid"} tid: X)
+ensures {:atomic} |{ A: assume !b; b := true; lock := tid; return true; }|;
+{
+ var status: bool;
+ yield;
+ L:
+ call status := CAS(false, true);
+ if (status) {
+ call SetLock(tid);
+ }
+ yield;
+ goto A, B;
+
+ A:
+ assume status;
+ yield;
+ return;
+
+ B:
+ assume !status;
+ goto L;
+}
+
+procedure {:yields} {:layer 1,2} LowerLeave()
+ensures {:atomic} |{ A: b := false; lock := nil; return true; }|;
+{
+ yield;
+ call SET(false);
+ call SetLock(nil);
+ yield;
+}
+
+procedure {:layer 1} {:inline 1} SetLock(v: X)
+modifies lock;
+{
+ lock := v;
+}
+
+procedure {:yields} {:layer 0,1} CAS(prev: bool, next: bool) returns (status: bool);
+ensures {:atomic} |{
+A: goto B, C;
+B: assume b == prev; b := next; status := true; return true;
+C: status := false; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} SET(next: bool);
+ensures {:atomic} |{ A: b := next; return true; }|;
+
diff --git a/Test/civl/lock-introduced.bpl.expect b/Test/civl/lock-introduced.bpl.expect
new file mode 100644
index 00000000..8c74fe2e
--- /dev/null
+++ b/Test/civl/lock-introduced.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 17 verified, 0 errors
diff --git a/Test/og/lock.bpl b/Test/civl/lock.bpl
index 9341591f..ee736029 100644
--- a/Test/og/lock.bpl
+++ b/Test/civl/lock.bpl
@@ -1,57 +1,57 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,2} b: bool;
-
-procedure {:yields} {:layer 2} main()
-{
- yield;
- while (*)
- {
- async call Customer();
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 2} Customer()
-{
- yield;
- while (*)
- {
- call Enter();
- yield;
- call Leave();
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 1,2} Enter()
-ensures {:atomic} |{ A: assume !b; b := true; return true; }|;
-{
- var status: bool;
- yield;
- L:
- call status := CAS(false, true);
- yield;
- goto A, B;
-
- A:
- assume status;
- yield;
- return;
-
- B:
- assume !status;
- goto L;
-}
-
-procedure {:yields} {:layer 0,2} CAS(prev: bool, next: bool) returns (status: bool);
-ensures {:atomic} |{
-A: goto B, C;
-B: assume b == prev; b := next; status := true; return true;
-C: status := false; return true;
-}|;
-
-procedure {:yields} {:layer 0,2} Leave();
-ensures {:atomic} |{ A: b := false; return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,2} b: bool;
+
+procedure {:yields} {:layer 2} main()
+{
+ yield;
+ while (*)
+ {
+ async call Customer();
+ yield;
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 2} Customer()
+{
+ yield;
+ while (*)
+ {
+ call Enter();
+ yield;
+ call Leave();
+ yield;
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 1,2} Enter()
+ensures {:atomic} |{ A: assume !b; b := true; return true; }|;
+{
+ var status: bool;
+ yield;
+ L:
+ call status := CAS(false, true);
+ yield;
+ goto A, B;
+
+ A:
+ assume status;
+ yield;
+ return;
+
+ B:
+ assume !status;
+ goto L;
+}
+
+procedure {:yields} {:layer 0,2} CAS(prev: bool, next: bool) returns (status: bool);
+ensures {:atomic} |{
+A: goto B, C;
+B: assume b == prev; b := next; status := true; return true;
+C: status := false; return true;
+}|;
+
+procedure {:yields} {:layer 0,2} Leave();
+ensures {:atomic} |{ A: b := false; return true; }|;
diff --git a/Test/civl/lock.bpl.expect b/Test/civl/lock.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/lock.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/lock2.bpl b/Test/civl/lock2.bpl
index 4809a8f5..e84d0a6f 100644
--- a/Test/og/lock2.bpl
+++ b/Test/civl/lock2.bpl
@@ -1,63 +1,63 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,2} b: int;
-
-procedure {:yields} {:layer 2} main()
-{
- yield;
- while (*)
- {
- async call Customer();
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 2} Customer()
-{
- yield;
- while (*)
- {
- call Enter();
- yield;
- call Leave();
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 1,2} Enter()
-ensures {:atomic} |{ A: assume b == 0; b := 1; return true; }|;
-{
- var _old, curr: int;
- yield;
- while (true) {
- call _old := CAS(0, 1);
- yield;
- if (_old == 0) {
- break;
- }
- while (true) {
- call curr := Read();
- yield;
- if (curr == 0) {
- break;
- }
- }
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 0,2} Read() returns (val: int);
-ensures {:atomic} |{ A: val := b; return true; }|;
-
-procedure {:yields} {:layer 0,2} CAS(prev: int, next: int) returns (_old: int);
-ensures {:atomic} |{
-A: _old := b; goto B, C;
-B: assume _old == prev; b := next; return true;
-C: assume _old != prev; return true;
-}|;
-
-procedure {:yields} {:layer 0,2} Leave();
-ensures {:atomic} |{ A: b := 0; return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,2} b: int;
+
+procedure {:yields} {:layer 2} main()
+{
+ yield;
+ while (*)
+ {
+ async call Customer();
+ yield;
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 2} Customer()
+{
+ yield;
+ while (*)
+ {
+ call Enter();
+ yield;
+ call Leave();
+ yield;
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 1,2} Enter()
+ensures {:atomic} |{ A: assume b == 0; b := 1; return true; }|;
+{
+ var _old, curr: int;
+ yield;
+ while (true) {
+ call _old := CAS(0, 1);
+ yield;
+ if (_old == 0) {
+ break;
+ }
+ while (true) {
+ call curr := Read();
+ yield;
+ if (curr == 0) {
+ break;
+ }
+ }
+ yield;
+ }
+ yield;
+}
+
+procedure {:yields} {:layer 0,2} Read() returns (val: int);
+ensures {:atomic} |{ A: val := b; return true; }|;
+
+procedure {:yields} {:layer 0,2} CAS(prev: int, next: int) returns (_old: int);
+ensures {:atomic} |{
+A: _old := b; goto B, C;
+B: assume _old == prev; b := next; return true;
+C: assume _old != prev; return true;
+}|;
+
+procedure {:yields} {:layer 0,2} Leave();
+ensures {:atomic} |{ A: b := 0; return true; }|;
diff --git a/Test/civl/lock2.bpl.expect b/Test/civl/lock2.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/lock2.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/multiset.bpl b/Test/civl/multiset.bpl
index 7fb0a081..ec391380 100644
--- a/Test/og/multiset.bpl
+++ b/Test/civl/multiset.bpl
@@ -1,324 +1,324 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-
-const unique null : int;
-const unique nil: X;
-const unique done: X;
-
-var {:layer 0} elt : [int]int;
-var {:layer 0} valid : [int]bool;
-var {:layer 0} lock : [int]X;
-var {:layer 0} owner : [int]X;
-const max : int;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-
-axiom (max > 0);
-
-procedure {:yields} {:layer 0} acquire(i : int, {:linear "tid"} tid: X);
-ensures {:right} |{ A:
- assert 0 <= i && i < max;
- assert tid != nil && tid != done;
- assume lock[i] == nil;
- lock[i] := tid;
- return true;
- }|;
-
-
-procedure {:yields} {:layer 0} release(i : int, {:linear "tid"} tid: X);
-ensures {:left} |{ A:
- assert 0 <= i && i < max;
- assert lock[i] == tid;
- assert tid != nil && tid != done;
- lock[i] := nil;
- return true;
- }|;
-
-
-procedure {:yields} {:layer 0,1} getElt(j : int, {:linear "tid"} tid: X) returns (elt_j:int);
-ensures {:both} |{ A:
- assert 0 <= j && j < max;
- assert lock[j] == tid;
- assert tid != nil && tid != done;
- elt_j := elt[j];
- return true;
- }|;
-
-
-procedure {:yields} {:layer 0,1} setElt(j : int, x : int, {:linear "tid"} tid: X);
-ensures {:both} |{ A:
- assert x != null;
- assert owner[j] == nil;
- assert 0 <= j && j < max;
- assert lock[j] == tid;
- assert tid != nil && tid != done;
- elt[j] := x;
- owner[j] := tid;
- return true;
- }|;
-
-
-procedure {:yields} {:layer 0,2} setEltToNull(j : int, {:linear "tid"} tid: X);
-ensures {:left} |{ A:
- assert owner[j] == tid;
- assert 0 <= j && j < max;
- assert lock[j] == tid;
- assert !valid[j];
- assert tid != nil && tid != done;
- elt[j] := null;
- owner[j] := nil;
- return true;
- }|;
-
-procedure {:yields} {:layer 0,2} setValid(j : int, {:linear "tid"} tid: X);
-ensures {:both} |{ A:
- assert 0 <= j && j < max;
- assert lock[j] == tid;
- assert tid != nil && tid != done;
- assert owner[j] == tid;
- valid[j] := true;
- owner[j] := done;
- return true;
- }|;
-
-procedure {:yields} {:layer 0,2} isEltThereAndValid(j : int, x : int, {:linear "tid"} tid: X) returns (fnd:bool);
-ensures {:both} |{ A:
- assert 0 <= j && j < max;
- assert lock[j] == tid;
- assert tid != nil && tid != done;
- fnd := (elt[j] == x) && valid[j];
- return true;
- }|;
-
-procedure {:yields} {:layer 1,2} FindSlot(x : int, {:linear "tid"} tid: X) returns (r : int)
-requires {:layer 1} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
-ensures {:layer 1} Inv(valid, elt, owner);
-ensures {:right} |{ A: assert tid != nil && tid != done;
- assert x != null;
- goto B, C;
- B: assume (0 <= r && r < max);
- assume elt[r] == null;
- assume owner[r] == nil;
- assume !valid[r];
- elt[r] := x;
- owner[r] := tid;
- return true;
- C: assume (r == -1); return true;
- }|;
-{
- var j : int;
- var elt_j : int;
-
- par Yield1();
-
- j := 0;
- while(j < max)
- invariant {:layer 1} Inv(valid, elt, owner);
- invariant {:layer 1} 0 <= j;
- {
- call acquire(j, tid);
- call elt_j := getElt(j, tid);
- if(elt_j == null)
- {
- call setElt(j, x, tid);
- call release(j, tid);
- r := j;
-
- par Yield1();
- return;
- }
- call release(j,tid);
-
- par Yield1();
-
- j := j + 1;
- }
- r := -1;
-
- par Yield1();
- return;
-}
-
-procedure {:yields} {:layer 2} Insert(x : int, {:linear "tid"} tid: X) returns (result : bool)
-requires {:layer 1} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
-ensures {:layer 1} Inv(valid, elt, owner);
-requires {:layer 2} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
-ensures {:layer 2} Inv(valid, elt, owner);
-ensures {:atomic} |{ var r:int;
- A: goto B, C;
- B: assume (0 <= r && r < max);
- assume valid[r] == false;
- assume elt[r] == null;
- assume owner[r] == nil;
- elt[r] := x; valid[r] := true; owner[r] := done;
- result := true; return true;
- C: result := false; return true;
- }|;
- {
- var i: int;
- par Yield12();
- call i := FindSlot(x, tid);
-
- if(i == -1)
- {
- result := false;
- par Yield12();
- return;
- }
- par Yield1();
- assert {:layer 1} i != -1;
- assert {:layer 2} i != -1;
- call acquire(i, tid);
- assert {:layer 2} elt[i] == x;
- assert {:layer 2} valid[i] == false;
- call setValid(i, tid);
- call release(i, tid);
- result := true;
- par Yield12();
- return;
-}
-
-procedure {:yields} {:layer 2} InsertPair(x : int, y : int, {:linear "tid"} tid: X) returns (result : bool)
-requires {:layer 1} Inv(valid, elt, owner) && x != null && y != null && tid != nil && tid != done;
-ensures {:layer 1} Inv(valid, elt, owner);
-requires {:layer 2} Inv(valid, elt, owner) && x != null && y != null && tid != nil && tid != done;
-ensures {:layer 2} Inv(valid, elt, owner);
-ensures {:atomic} |{ var rx:int;
- var ry:int;
- A: goto B, C;
- B: assume (0 <= rx && rx < max && 0 <= ry && ry < max && rx != ry);
- assume valid[rx] == false;
- assume valid[ry] == false;
- assume elt[rx] == null;
- assume elt[rx] == null;
- elt[rx] := x;
- elt[ry] := y;
- valid[rx] := true;
- valid[ry] := true;
- owner[rx] := done;
- owner[ry] := done;
- result := true; return true;
- C: result := false; return true;
- }|;
- {
- var i : int;
- var j : int;
- par Yield12();
-
- call i := FindSlot(x, tid);
-
- if (i == -1)
- {
- result := false;
- par Yield12();
- return;
- }
-
- par Yield1();
- call j := FindSlot(y, tid);
-
- if(j == -1)
- {
- par Yield1();
- call acquire(i,tid);
- call setEltToNull(i, tid);
- call release(i,tid);
- result := false;
- par Yield12();
- return;
- }
-
- par Yield1();
- assert {:layer 2} i != -1 && j != -1;
- call acquire(i, tid);
- call acquire(j, tid);
- assert {:layer 2} elt[i] == x;
- assert {:layer 2} elt[j] == y;
- assert {:layer 2} valid[i] == false;
- assert {:layer 2} valid[j] == false;
- call setValid(i, tid);
- call setValid(j, tid);
- call release(j, tid);
- call release(i, tid);
- result := true;
- par Yield12();
- return;
-}
-
-procedure {:yields} {:layer 2} LookUp(x : int, {:linear "tid"} tid: X, old_valid:[int]bool, old_elt:[int]int) returns (found : bool)
-requires {:layer 1} {:layer 2} old_valid == valid && old_elt == elt;
-requires {:layer 1} {:layer 2} Inv(valid, elt, owner);
-requires {:layer 1} {:layer 2} (tid != nil && tid != done);
-ensures {:layer 1} {:layer 2} Inv(valid, elt, owner);
-ensures {:atomic} |{ A: assert tid != nil && tid != done;
- assert x != null;
- assume found ==> (exists ii:int :: 0 <= ii && ii < max && valid[ii] && elt[ii] == x);
- assume !found ==> (forall ii:int :: 0 <= ii && ii < max ==> !(old_valid[ii] && old_elt[ii] == x));
- return true;
- }|;
-{
- var j : int;
- var isThere : bool;
-
- par Yield12() | YieldLookUp(old_valid, old_elt);
-
- j := 0;
-
- while(j < max)
- invariant {:layer 1} {:layer 2} Inv(valid, elt, owner);
- invariant {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < j ==> !(old_valid[ii] && old_elt[ii] == x));
- invariant {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
- invariant {:layer 1} {:layer 2} 0 <= j;
- {
- call acquire(j, tid);
- call isThere := isEltThereAndValid(j, x, tid);
- if(isThere)
- {
- call release(j, tid);
- found := true;
- par Yield12() | YieldLookUp(old_valid, old_elt);
- return;
- }
- call release(j,tid);
- par Yield12() | YieldLookUp(old_valid, old_elt);
- j := j + 1;
- }
- found := false;
-
- par Yield12() | YieldLookUp(old_valid, old_elt);
- return;
-}
-
-procedure {:yields} {:layer 1} Yield1()
-requires {:layer 1} Inv(valid, elt, owner);
-ensures {:layer 1} Inv(valid, elt, owner);
-{
- yield;
- assert {:layer 1} Inv(valid, elt, owner);
-}
-
-procedure {:yields} {:layer 2} Yield12()
-requires {:layer 1} {:layer 2} Inv(valid, elt, owner);
-ensures {:layer 1} {:layer 2} Inv(valid, elt, owner);
-{
- yield;
- assert {:layer 1} {:layer 2} Inv(valid, elt, owner);
-}
-
-function {:inline} Inv(valid: [int]bool, elt: [int]int, owner: [int]X): (bool)
-{
- (forall i:int :: 0 <= i && i < max ==> (elt[i] == null <==> (!valid[i] && owner[i] == nil)))
-}
-
-procedure {:yields} {:layer 2} YieldLookUp(old_valid: [int]bool, old_elt: [int]int)
-requires {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
-ensures {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
-{
- yield;
- assert {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+
+const unique null : int;
+const unique nil: X;
+const unique done: X;
+
+var {:layer 0} elt : [int]int;
+var {:layer 0} valid : [int]bool;
+var {:layer 0} lock : [int]X;
+var {:layer 0} owner : [int]X;
+const max : int;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+axiom (max > 0);
+
+procedure {:yields} {:layer 0} acquire(i : int, {:linear "tid"} tid: X);
+ensures {:right} |{ A:
+ assert 0 <= i && i < max;
+ assert tid != nil && tid != done;
+ assume lock[i] == nil;
+ lock[i] := tid;
+ return true;
+ }|;
+
+
+procedure {:yields} {:layer 0} release(i : int, {:linear "tid"} tid: X);
+ensures {:left} |{ A:
+ assert 0 <= i && i < max;
+ assert lock[i] == tid;
+ assert tid != nil && tid != done;
+ lock[i] := nil;
+ return true;
+ }|;
+
+
+procedure {:yields} {:layer 0,1} getElt(j : int, {:linear "tid"} tid: X) returns (elt_j:int);
+ensures {:both} |{ A:
+ assert 0 <= j && j < max;
+ assert lock[j] == tid;
+ assert tid != nil && tid != done;
+ elt_j := elt[j];
+ return true;
+ }|;
+
+
+procedure {:yields} {:layer 0,1} setElt(j : int, x : int, {:linear "tid"} tid: X);
+ensures {:both} |{ A:
+ assert x != null;
+ assert owner[j] == nil;
+ assert 0 <= j && j < max;
+ assert lock[j] == tid;
+ assert tid != nil && tid != done;
+ elt[j] := x;
+ owner[j] := tid;
+ return true;
+ }|;
+
+
+procedure {:yields} {:layer 0,2} setEltToNull(j : int, {:linear "tid"} tid: X);
+ensures {:left} |{ A:
+ assert owner[j] == tid;
+ assert 0 <= j && j < max;
+ assert lock[j] == tid;
+ assert !valid[j];
+ assert tid != nil && tid != done;
+ elt[j] := null;
+ owner[j] := nil;
+ return true;
+ }|;
+
+procedure {:yields} {:layer 0,2} setValid(j : int, {:linear "tid"} tid: X);
+ensures {:both} |{ A:
+ assert 0 <= j && j < max;
+ assert lock[j] == tid;
+ assert tid != nil && tid != done;
+ assert owner[j] == tid;
+ valid[j] := true;
+ owner[j] := done;
+ return true;
+ }|;
+
+procedure {:yields} {:layer 0,2} isEltThereAndValid(j : int, x : int, {:linear "tid"} tid: X) returns (fnd:bool);
+ensures {:both} |{ A:
+ assert 0 <= j && j < max;
+ assert lock[j] == tid;
+ assert tid != nil && tid != done;
+ fnd := (elt[j] == x) && valid[j];
+ return true;
+ }|;
+
+procedure {:yields} {:layer 1,2} FindSlot(x : int, {:linear "tid"} tid: X) returns (r : int)
+requires {:layer 1} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
+ensures {:layer 1} Inv(valid, elt, owner);
+ensures {:right} |{ A: assert tid != nil && tid != done;
+ assert x != null;
+ goto B, C;
+ B: assume (0 <= r && r < max);
+ assume elt[r] == null;
+ assume owner[r] == nil;
+ assume !valid[r];
+ elt[r] := x;
+ owner[r] := tid;
+ return true;
+ C: assume (r == -1); return true;
+ }|;
+{
+ var j : int;
+ var elt_j : int;
+
+ par Yield1();
+
+ j := 0;
+ while(j < max)
+ invariant {:layer 1} Inv(valid, elt, owner);
+ invariant {:layer 1} 0 <= j;
+ {
+ call acquire(j, tid);
+ call elt_j := getElt(j, tid);
+ if(elt_j == null)
+ {
+ call setElt(j, x, tid);
+ call release(j, tid);
+ r := j;
+
+ par Yield1();
+ return;
+ }
+ call release(j,tid);
+
+ par Yield1();
+
+ j := j + 1;
+ }
+ r := -1;
+
+ par Yield1();
+ return;
+}
+
+procedure {:yields} {:layer 2} Insert(x : int, {:linear "tid"} tid: X) returns (result : bool)
+requires {:layer 1} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
+ensures {:layer 1} Inv(valid, elt, owner);
+requires {:layer 2} Inv(valid, elt, owner) && x != null && tid != nil && tid != done;
+ensures {:layer 2} Inv(valid, elt, owner);
+ensures {:atomic} |{ var r:int;
+ A: goto B, C;
+ B: assume (0 <= r && r < max);
+ assume valid[r] == false;
+ assume elt[r] == null;
+ assume owner[r] == nil;
+ elt[r] := x; valid[r] := true; owner[r] := done;
+ result := true; return true;
+ C: result := false; return true;
+ }|;
+ {
+ var i: int;
+ par Yield12();
+ call i := FindSlot(x, tid);
+
+ if(i == -1)
+ {
+ result := false;
+ par Yield12();
+ return;
+ }
+ par Yield1();
+ assert {:layer 1} i != -1;
+ assert {:layer 2} i != -1;
+ call acquire(i, tid);
+ assert {:layer 2} elt[i] == x;
+ assert {:layer 2} valid[i] == false;
+ call setValid(i, tid);
+ call release(i, tid);
+ result := true;
+ par Yield12();
+ return;
+}
+
+procedure {:yields} {:layer 2} InsertPair(x : int, y : int, {:linear "tid"} tid: X) returns (result : bool)
+requires {:layer 1} Inv(valid, elt, owner) && x != null && y != null && tid != nil && tid != done;
+ensures {:layer 1} Inv(valid, elt, owner);
+requires {:layer 2} Inv(valid, elt, owner) && x != null && y != null && tid != nil && tid != done;
+ensures {:layer 2} Inv(valid, elt, owner);
+ensures {:atomic} |{ var rx:int;
+ var ry:int;
+ A: goto B, C;
+ B: assume (0 <= rx && rx < max && 0 <= ry && ry < max && rx != ry);
+ assume valid[rx] == false;
+ assume valid[ry] == false;
+ assume elt[rx] == null;
+ assume elt[rx] == null;
+ elt[rx] := x;
+ elt[ry] := y;
+ valid[rx] := true;
+ valid[ry] := true;
+ owner[rx] := done;
+ owner[ry] := done;
+ result := true; return true;
+ C: result := false; return true;
+ }|;
+ {
+ var i : int;
+ var j : int;
+ par Yield12();
+
+ call i := FindSlot(x, tid);
+
+ if (i == -1)
+ {
+ result := false;
+ par Yield12();
+ return;
+ }
+
+ par Yield1();
+ call j := FindSlot(y, tid);
+
+ if(j == -1)
+ {
+ par Yield1();
+ call acquire(i,tid);
+ call setEltToNull(i, tid);
+ call release(i,tid);
+ result := false;
+ par Yield12();
+ return;
+ }
+
+ par Yield1();
+ assert {:layer 2} i != -1 && j != -1;
+ call acquire(i, tid);
+ call acquire(j, tid);
+ assert {:layer 2} elt[i] == x;
+ assert {:layer 2} elt[j] == y;
+ assert {:layer 2} valid[i] == false;
+ assert {:layer 2} valid[j] == false;
+ call setValid(i, tid);
+ call setValid(j, tid);
+ call release(j, tid);
+ call release(i, tid);
+ result := true;
+ par Yield12();
+ return;
+}
+
+procedure {:yields} {:layer 2} LookUp(x : int, {:linear "tid"} tid: X, old_valid:[int]bool, old_elt:[int]int) returns (found : bool)
+requires {:layer 1} {:layer 2} old_valid == valid && old_elt == elt;
+requires {:layer 1} {:layer 2} Inv(valid, elt, owner);
+requires {:layer 1} {:layer 2} (tid != nil && tid != done);
+ensures {:layer 1} {:layer 2} Inv(valid, elt, owner);
+ensures {:atomic} |{ A: assert tid != nil && tid != done;
+ assert x != null;
+ assume found ==> (exists ii:int :: 0 <= ii && ii < max && valid[ii] && elt[ii] == x);
+ assume !found ==> (forall ii:int :: 0 <= ii && ii < max ==> !(old_valid[ii] && old_elt[ii] == x));
+ return true;
+ }|;
+{
+ var j : int;
+ var isThere : bool;
+
+ par Yield12() | YieldLookUp(old_valid, old_elt);
+
+ j := 0;
+
+ while(j < max)
+ invariant {:layer 1} {:layer 2} Inv(valid, elt, owner);
+ invariant {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < j ==> !(old_valid[ii] && old_elt[ii] == x));
+ invariant {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
+ invariant {:layer 1} {:layer 2} 0 <= j;
+ {
+ call acquire(j, tid);
+ call isThere := isEltThereAndValid(j, x, tid);
+ if(isThere)
+ {
+ call release(j, tid);
+ found := true;
+ par Yield12() | YieldLookUp(old_valid, old_elt);
+ return;
+ }
+ call release(j,tid);
+ par Yield12() | YieldLookUp(old_valid, old_elt);
+ j := j + 1;
+ }
+ found := false;
+
+ par Yield12() | YieldLookUp(old_valid, old_elt);
+ return;
+}
+
+procedure {:yields} {:layer 1} Yield1()
+requires {:layer 1} Inv(valid, elt, owner);
+ensures {:layer 1} Inv(valid, elt, owner);
+{
+ yield;
+ assert {:layer 1} Inv(valid, elt, owner);
+}
+
+procedure {:yields} {:layer 2} Yield12()
+requires {:layer 1} {:layer 2} Inv(valid, elt, owner);
+ensures {:layer 1} {:layer 2} Inv(valid, elt, owner);
+{
+ yield;
+ assert {:layer 1} {:layer 2} Inv(valid, elt, owner);
+}
+
+function {:inline} Inv(valid: [int]bool, elt: [int]int, owner: [int]X): (bool)
+{
+ (forall i:int :: 0 <= i && i < max ==> (elt[i] == null <==> (!valid[i] && owner[i] == nil)))
+}
+
+procedure {:yields} {:layer 2} YieldLookUp(old_valid: [int]bool, old_elt: [int]int)
+requires {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
+ensures {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
+{
+ yield;
+ assert {:layer 1} {:layer 2} (forall ii:int :: 0 <= ii && ii < max && old_valid[ii] ==> valid[ii] && old_elt[ii] == elt[ii]);
+}
diff --git a/Test/civl/multiset.bpl.expect b/Test/civl/multiset.bpl.expect
new file mode 100644
index 00000000..63682bb4
--- /dev/null
+++ b/Test/civl/multiset.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 85 verified, 0 errors
diff --git a/Test/og/new1.bpl b/Test/civl/new1.bpl
index b80b6315..c2feb179 100644
--- a/Test/og/new1.bpl
+++ b/Test/civl/new1.bpl
@@ -1,42 +1,42 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} mapconstbool(x:bool): [int]bool;
-
-var {:layer 0,1} g:int;
-
-function {:inline} {:linear "Perm"} SetCollectorPerm(x: [int]bool) : [int]bool
-{
- x
-}
-
-procedure {:yields} {:layer 1} PB({:linear_in "Perm"} permVar_in:[int]bool)
-requires {:layer 1} permVar_in[0] && g == 0;
-{
- var {:linear "Perm"} permVar_out: [int]bool;
- permVar_out := permVar_in;
-
- yield;
- assert {:layer 1} permVar_out[0];
- assert {:layer 1} g == 0;
-
- call IncrG();
-
- yield;
- assert {:layer 1} permVar_out[0];
- assert {:layer 1} g == 1;
-}
-
-procedure {:yields} {:layer 1} Main({:linear_in "Perm"} Permissions: [int]bool)
-requires {:layer 1} Permissions == mapconstbool(true);
-{
- yield;
- call SetG(0);
- async call PB(Permissions);
- yield;
-}
-
-procedure {:yields} {:layer 0,1} SetG(val:int);
-ensures {:atomic} |{A: g := val; return true; }|;
-
-procedure {:yields} {:layer 0,1} IncrG();
-ensures {:atomic} |{A: g := g + 1; return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} mapconstbool(x:bool): [int]bool;
+
+var {:layer 0,1} g:int;
+
+function {:inline} {:linear "Perm"} SetCollectorPerm(x: [int]bool) : [int]bool
+{
+ x
+}
+
+procedure {:yields} {:layer 1} PB({:linear_in "Perm"} permVar_in:[int]bool)
+requires {:layer 1} permVar_in[0] && g == 0;
+{
+ var {:linear "Perm"} permVar_out: [int]bool;
+ permVar_out := permVar_in;
+
+ yield;
+ assert {:layer 1} permVar_out[0];
+ assert {:layer 1} g == 0;
+
+ call IncrG();
+
+ yield;
+ assert {:layer 1} permVar_out[0];
+ assert {:layer 1} g == 1;
+}
+
+procedure {:yields} {:layer 1} Main({:linear_in "Perm"} Permissions: [int]bool)
+requires {:layer 1} Permissions == mapconstbool(true);
+{
+ yield;
+ call SetG(0);
+ async call PB(Permissions);
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} SetG(val:int);
+ensures {:atomic} |{A: g := val; return true; }|;
+
+procedure {:yields} {:layer 0,1} IncrG();
+ensures {:atomic} |{A: g := g + 1; return true; }|;
diff --git a/Test/og/FlanaganQadeer.bpl.expect b/Test/civl/new1.bpl.expect
index fef5ddc0..00ddb38b 100644
--- a/Test/og/FlanaganQadeer.bpl.expect
+++ b/Test/civl/new1.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 4 verified, 0 errors
+
+Boogie program verifier finished with 4 verified, 0 errors
diff --git a/Test/civl/nocollector.bpl b/Test/civl/nocollector.bpl
new file mode 100644
index 00000000..5a6f1e5d
--- /dev/null
+++ b/Test/civl/nocollector.bpl
@@ -0,0 +1,8 @@
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:linear "L"} x:int;
+
+procedure{:yields}{:layer 1} P()
+{
+ yield;
+}
diff --git a/Test/civl/nocollector.bpl.expect b/Test/civl/nocollector.bpl.expect
new file mode 100644
index 00000000..37fad75c
--- /dev/null
+++ b/Test/civl/nocollector.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/og/one.bpl b/Test/civl/one.bpl
index 663b2da0..3b370fa7 100644
--- a/Test/og/one.bpl
+++ b/Test/civl/one.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} x:int;
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic}
-|{A:
- x := v; return true;
-}|;
-
-procedure {:yields} {:layer 1} B()
-{
- yield;
- call Set(5);
- yield;
- assert {:layer 1} x == 5;
-}
-
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} x:int;
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic}
+|{A:
+ x := v; return true;
+}|;
+
+procedure {:yields} {:layer 1} B()
+{
+ yield;
+ call Set(5);
+ yield;
+ assert {:layer 1} x == 5;
+}
+
diff --git a/Test/og/new1.bpl.expect b/Test/civl/one.bpl.expect
index 3de74d3e..41374b00 100644
--- a/Test/og/new1.bpl.expect
+++ b/Test/civl/one.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 2 verified, 0 errors
+
+Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/civl/par-incr.bpl b/Test/civl/par-incr.bpl
new file mode 100644
index 00000000..7be8f561
--- /dev/null
+++ b/Test/civl/par-incr.bpl
@@ -0,0 +1,31 @@
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var {:layer 0} x: int;
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:right} |{ A: x := x + 1; return true; }|;
+
+procedure {:yields} {:layer 1,2} Incr2()
+ensures {:right} |{ A: x := x + 2; return true; }|;
+{
+ yield;
+ par Incr() | Incr();
+ yield;
+}
+
+procedure {:yields} {:layer 1} Yield()
+{
+ yield;
+}
+
+procedure {:yields} {:layer 2,3} Incr4()
+ensures {:atomic} |{ A: x := x + 4; return true; }|;
+{
+ yield;
+ par Incr2() | Incr2() | Yield();
+ yield;
+}
+
+
+
diff --git a/Test/civl/par-incr.bpl.expect b/Test/civl/par-incr.bpl.expect
new file mode 100644
index 00000000..3e3dc54b
--- /dev/null
+++ b/Test/civl/par-incr.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 7 verified, 0 errors
diff --git a/Test/og/parallel1.bpl b/Test/civl/parallel1.bpl
index 20dd3c79..ec24342e 100644
--- a/Test/og/parallel1.bpl
+++ b/Test/civl/parallel1.bpl
@@ -1,48 +1,48 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} g:int;
-
-procedure {:yields} {:layer 1} PB()
-{
- yield;
- call Incr();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr();
-ensures {:atomic}
-|{A:
- g := g + 1; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} Set(v: int);
-ensures {:atomic}
-|{A:
- g := v; return true;
-}|;
-
-procedure {:yields} {:layer 1} PC()
-ensures {:layer 1} g == 3;
-{
- yield;
- call Set(3);
- yield;
- assert {:layer 1} g == 3;
-}
-
-procedure {:yields} {:layer 1} PD()
-{
- call PC();
- assert {:layer 1} g == 3;
- yield;
-}
-
-procedure {:yields} {:layer 1} Main()
-{
- yield;
- while (*)
- {
- par PB() | PC() | PD();
- }
- yield;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} g:int;
+
+procedure {:yields} {:layer 1} PB()
+{
+ yield;
+ call Incr();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:atomic}
+|{A:
+ g := g + 1; return true;
+}|;
+
+procedure {:yields} {:layer 0,1} Set(v: int);
+ensures {:atomic}
+|{A:
+ g := v; return true;
+}|;
+
+procedure {:yields} {:layer 1} PC()
+ensures {:layer 1} g == 3;
+{
+ yield;
+ call Set(3);
+ yield;
+ assert {:layer 1} g == 3;
+}
+
+procedure {:yields} {:layer 1} PD()
+{
+ call PC();
+ assert {:layer 1} g == 3;
+ yield;
+}
+
+procedure {:yields} {:layer 1} Main()
+{
+ yield;
+ while (*)
+ {
+ par PB() | PC() | PD();
+ }
+ yield;
+}
diff --git a/Test/og/parallel1.bpl.expect b/Test/civl/parallel1.bpl.expect
index 588c9c5b..fa974099 100644
--- a/Test/og/parallel1.bpl.expect
+++ b/Test/civl/parallel1.bpl.expect
@@ -1,8 +1,8 @@
-parallel1.bpl(30,3): Error: Non-interference check failed
-Execution trace:
- parallel1.bpl(7,3): anon0
- (0,0): anon00
- parallel1.bpl(14,3): inline$Incr_1$0$this_A
- (0,0): inline$Impl_YieldChecker_PC_1$0$L0
-
-Boogie program verifier finished with 3 verified, 1 error
+parallel1.bpl(30,3): Error: Non-interference check failed
+Execution trace:
+ parallel1.bpl(7,3): anon0
+ (0,0): anon00
+ parallel1.bpl(14,3): inline$Incr_1$0$A
+ (0,0): inline$Impl_YieldChecker_PC_1$0$L0
+
+Boogie program verifier finished with 7 verified, 1 error
diff --git a/Test/og/parallel2.bpl b/Test/civl/parallel2.bpl
index c28edf2b..540e9810 100644
--- a/Test/og/parallel2.bpl
+++ b/Test/civl/parallel2.bpl
@@ -1,59 +1,59 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} a:[int]int;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
-{
- MapConstBool(false)[x := true]
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
-{
- yield;
- call tid := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Write(idx: int, val: int);
-ensures {:atomic} |{A: a[idx] := val; return true; }|;
-
-procedure {:yields} {:layer 1} main()
-{
- var {:linear "tid"} i: int;
- var {:linear "tid"} j: int;
- call i := Allocate();
- call j := Allocate();
- par i := t(i) | j := t(j);
- par i := u(i) | j := u(j);
-}
-
-procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
-
- yield;
- call Write(i, 42);
- call Yield(i);
- assert {:layer 1} a[i] == 42;
-}
-
-procedure {:yields} {:layer 1} u({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
-
- yield;
- call Write(i, 42);
- yield;
- assert {:layer 1} a[i] == 42;
-}
-
-procedure {:yields} {:layer 1} Yield({:linear "tid"} i: int)
-ensures {:layer 1} old(a)[i] == a[i];
-{
- yield;
- assert {:layer 1} old(a)[i] == a[i];
-}
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
-ensures {:atomic} |{ A: return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} a:[int]int;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
+{
+ yield;
+ call tid := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Write(idx: int, val: int);
+ensures {:atomic} |{A: a[idx] := val; return true; }|;
+
+procedure {:yields} {:layer 1} main()
+{
+ var {:linear "tid"} i: int;
+ var {:linear "tid"} j: int;
+ call i := Allocate();
+ call j := Allocate();
+ par i := t(i) | j := t(j);
+ par i := u(i) | j := u(j);
+}
+
+procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+
+ yield;
+ call Write(i, 42);
+ call Yield(i);
+ assert {:layer 1} a[i] == 42;
+}
+
+procedure {:yields} {:layer 1} u({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+
+ yield;
+ call Write(i, 42);
+ yield;
+ assert {:layer 1} a[i] == 42;
+}
+
+procedure {:yields} {:layer 1} Yield({:linear "tid"} i: int)
+ensures {:layer 1} old(a)[i] == a[i];
+{
+ yield;
+ assert {:layer 1} old(a)[i] == a[i];
+}
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
+ensures {:atomic} |{ A: return true; }|;
diff --git a/Test/civl/parallel2.bpl.expect b/Test/civl/parallel2.bpl.expect
new file mode 100644
index 00000000..12041afe
--- /dev/null
+++ b/Test/civl/parallel2.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 10 verified, 0 errors
diff --git a/Test/og/parallel4.bpl b/Test/civl/parallel4.bpl
index f06ff4b8..33a21446 100644
--- a/Test/og/parallel4.bpl
+++ b/Test/civl/parallel4.bpl
@@ -1,45 +1,45 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} a:int;
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
-{
- yield;
- call tid := AllocateLow();
- yield;
-}
-
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
-{
- MapConstBool(false)[x := true]
-}
-
-procedure {:yields} {:layer 1} main()
-{
- var {:linear "tid"} i: int;
- var {:linear "tid"} j: int;
- call i := Allocate();
- call j := Allocate();
- par i := t(i) | j := t(j);
-}
-
-procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
- call Yield();
- assert {:layer 1} a == old(a);
- call Incr();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Incr();
-ensures {:atomic} |{A: a := a + 1; return true; }|;
-
-procedure {:yields} {:layer 1} Yield()
-{
- yield;
-}
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
-ensures {:atomic} |{ A: return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} a:int;
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
+{
+ yield;
+ call tid := AllocateLow();
+ yield;
+}
+
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+procedure {:yields} {:layer 1} main()
+{
+ var {:linear "tid"} i: int;
+ var {:linear "tid"} j: int;
+ call i := Allocate();
+ call j := Allocate();
+ par i := t(i) | j := t(j);
+}
+
+procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+ call Yield();
+ assert {:layer 1} a == old(a);
+ call Incr();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} Incr();
+ensures {:atomic} |{A: a := a + 1; return true; }|;
+
+procedure {:yields} {:layer 1} Yield()
+{
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
+ensures {:atomic} |{ A: return true; }|;
diff --git a/Test/og/parallel4.bpl.expect b/Test/civl/parallel4.bpl.expect
index 25ad398c..baf228c8 100644
--- a/Test/og/parallel4.bpl.expect
+++ b/Test/civl/parallel4.bpl.expect
@@ -1,6 +1,6 @@
-parallel4.bpl(31,3): Error BP5001: This assertion might not hold.
-Execution trace:
- parallel4.bpl(29,5): anon0
- (0,0): anon01
-
-Boogie program verifier finished with 3 verified, 1 error
+parallel4.bpl(31,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ parallel4.bpl(29,5): anon0
+ (0,0): anon01
+
+Boogie program verifier finished with 7 verified, 1 error
diff --git a/Test/og/parallel5.bpl b/Test/civl/parallel5.bpl
index 87afc888..6d3deb8e 100644
--- a/Test/og/parallel5.bpl
+++ b/Test/civl/parallel5.bpl
@@ -1,59 +1,59 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} a:[int]int;
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
-{
- yield;
- call tid := AllocateLow();
- yield;
-}
-
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
-{
- MapConstBool(false)[x := true]
-}
-
-procedure {:yields} {:layer 0,1} Write(idx: int, val: int);
-ensures {:atomic} |{A: a[idx] := val; return true; }|;
-
-procedure {:yields} {:layer 1} main()
-{
- var {:linear "tid"} i: int;
- var {:linear "tid"} j: int;
- call i := Allocate();
- call j := Allocate();
- par i := t(i) | Yield(j);
- par i := u(i) | j := u(j);
-}
-
-procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
-
- yield;
- call Write(i, 42);
- call Yield(i);
- assert {:layer 1} a[i] == 42;
-}
-
-procedure {:yields} {:layer 1} u({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
-
- yield;
- call Write(i, 42);
- yield;
- assert {:layer 1} a[i] == 42;
-}
-
-procedure {:yields} {:layer 1} Yield({:linear "tid"} i: int)
-ensures {:layer 1} old(a)[i] == a[i];
-{
- yield;
- assert {:layer 1} old(a)[i] == a[i];
-}
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
-ensures {:atomic} |{ A: return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} a:[int]int;
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: int)
+{
+ yield;
+ call tid := AllocateLow();
+ yield;
+}
+
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+procedure {:yields} {:layer 0,1} Write(idx: int, val: int);
+ensures {:atomic} |{A: a[idx] := val; return true; }|;
+
+procedure {:yields} {:layer 1} main()
+{
+ var {:linear "tid"} i: int;
+ var {:linear "tid"} j: int;
+ call i := Allocate();
+ call j := Allocate();
+ par i := t(i) | Yield(j);
+ par i := u(i) | j := u(j);
+}
+
+procedure {:yields} {:layer 1} t({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+
+ yield;
+ call Write(i, 42);
+ call Yield(i);
+ assert {:layer 1} a[i] == 42;
+}
+
+procedure {:yields} {:layer 1} u({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+
+ yield;
+ call Write(i, 42);
+ yield;
+ assert {:layer 1} a[i] == 42;
+}
+
+procedure {:yields} {:layer 1} Yield({:linear "tid"} i: int)
+ensures {:layer 1} old(a)[i] == a[i];
+{
+ yield;
+ assert {:layer 1} old(a)[i] == a[i];
+}
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: int);
+ensures {:atomic} |{ A: return true; }|;
diff --git a/Test/civl/parallel5.bpl.expect b/Test/civl/parallel5.bpl.expect
new file mode 100644
index 00000000..12041afe
--- /dev/null
+++ b/Test/civl/parallel5.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 10 verified, 0 errors
diff --git a/Test/og/perm.bpl b/Test/civl/perm.bpl
index 5bc75324..5d6e0d21 100644
--- a/Test/og/perm.bpl
+++ b/Test/civl/perm.bpl
@@ -1,49 +1,49 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var {:layer 0,1} x: int;
-function {:builtin "MapConst"} ch_mapconstbool(x: bool) : [int]bool;
-
-function {:builtin "MapOr"} ch_mapunion(x: [int]bool, y: [int]bool) : [int]bool;
-
-function {:inline} {:linear "Perm"} SetCollectorPerm(x: [int]bool) : [int]bool
-{
- x
-}
-
-procedure {:yields} {:layer 1} mainE({:linear_in "Perm"} permVar_in: [int]bool)
- requires {:layer 1} permVar_in == ch_mapconstbool(true);
- requires {:layer 1} x == 0;
-{
- var {:linear "Perm"} permVar_out: [int]bool;
-
- permVar_out := permVar_in;
-
- yield;
- assert {:layer 1} x == 0;
- assert {:layer 1} permVar_out == ch_mapconstbool(true);
-
- async call foo(permVar_out);
- yield;
-}
-
-procedure {:yields} {:layer 1} foo({:linear_in "Perm"} permVar_in: [int]bool)
- requires {:layer 1} permVar_in != ch_mapconstbool(false);
- requires {:layer 1} permVar_in[1];
- requires {:layer 1} x == 0;
-{
- var {:linear "Perm"} permVar_out: [int]bool;
- permVar_out := permVar_in;
-
- yield;
- assert {:layer 1} permVar_out[1];
- assert {:layer 1} x == 0;
-
- call Incr();
-
- yield;
- assert {:layer 1} permVar_out[1];
- assert {:layer 1} x == 1;
-}
-
-procedure {:yields} {:layer 0,1} Incr();
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var {:layer 0,1} x: int;
+function {:builtin "MapConst"} ch_mapconstbool(x: bool) : [int]bool;
+
+function {:builtin "MapOr"} ch_mapunion(x: [int]bool, y: [int]bool) : [int]bool;
+
+function {:inline} {:linear "Perm"} SetCollectorPerm(x: [int]bool) : [int]bool
+{
+ x
+}
+
+procedure {:yields} {:layer 1} mainE({:linear_in "Perm"} permVar_in: [int]bool)
+ requires {:layer 1} permVar_in == ch_mapconstbool(true);
+ requires {:layer 1} x == 0;
+{
+ var {:linear "Perm"} permVar_out: [int]bool;
+
+ permVar_out := permVar_in;
+
+ yield;
+ assert {:layer 1} x == 0;
+ assert {:layer 1} permVar_out == ch_mapconstbool(true);
+
+ async call foo(permVar_out);
+ yield;
+}
+
+procedure {:yields} {:layer 1} foo({:linear_in "Perm"} permVar_in: [int]bool)
+ requires {:layer 1} permVar_in != ch_mapconstbool(false);
+ requires {:layer 1} permVar_in[1];
+ requires {:layer 1} x == 0;
+{
+ var {:linear "Perm"} permVar_out: [int]bool;
+ permVar_out := permVar_in;
+
+ yield;
+ assert {:layer 1} permVar_out[1];
+ assert {:layer 1} x == 0;
+
+ call Incr();
+
+ yield;
+ assert {:layer 1} permVar_out[1];
+ assert {:layer 1} x == 1;
+}
+
+procedure {:yields} {:layer 0,1} Incr();
ensures {:atomic} |{A: x := x + 1; return true; }|; \ No newline at end of file
diff --git a/Test/og/linear-set.bpl.expect b/Test/civl/perm.bpl.expect
index fef5ddc0..00ddb38b 100644
--- a/Test/og/linear-set.bpl.expect
+++ b/Test/civl/perm.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 4 verified, 0 errors
+
+Boogie program verifier finished with 4 verified, 0 errors
diff --git a/Test/og/t1.bpl b/Test/civl/t1.bpl
index 675b3842..ef468347 100644
--- a/Test/og/t1.bpl
+++ b/Test/civl/t1.bpl
@@ -1,103 +1,103 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
-{
- MapConstBool(false)[x := true]
-}
-
-function {:inline} {:linear "1"} SetCollector1(x: [int]bool) : [int]bool
-{
- x
-}
-
-function {:inline} {:linear "2"} SetCollector2(x: [int]bool) : [int]bool
-{
- x
-}
-
-var {:layer 0,1} g: int;
-var {:layer 0,1} h: int;
-
-procedure {:yields} {:layer 0,1} SetG(val:int);
-ensures {:atomic} |{A: g := val; return true; }|;
-
-procedure {:yields} {:layer 0,1} SetH(val:int);
-ensures {:atomic} |{A: h := val; return true; }|;
-
-procedure {:yields} {:layer 1} Yield({:linear "1"} x: [int]bool)
-requires {:layer 1} x == mapconstbool(true) && g == 0;
-ensures {:layer 1} x == mapconstbool(true) && g == 0;
-{
- yield;
- assert {:layer 1} x == mapconstbool(true) && g == 0;
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: int)
-ensures {:layer 1} xl != 0;
-{
- yield;
- call xl := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: int);
-ensures {:atomic} |{ A: assume xls != 0; return true; }|;
-
-procedure {:yields} {:layer 1} A({:linear_in "tid"} tid_in: int, {:linear_in "1"} x: [int]bool, {:linear_in "2"} y: [int]bool) returns ({:linear "tid"} tid_out: int)
-requires {:layer 1} x == mapconstbool(true);
-requires {:layer 1} y == mapconstbool(true);
-{
- var {:linear "tid"} tid_child: int;
- tid_out := tid_in;
-
- yield;
- call SetG(0);
-
- par tid_child := Allocate() | Yield(x);
-
- async call B(tid_child, x);
-
- yield;
- assert {:layer 1} x == mapconstbool(true);
- assert {:layer 1} g == 0;
-
- call SetH(0);
-
- yield;
- assert {:layer 1} h == 0 && y == mapconstbool(true);
-
- yield;
- call tid_child := Allocate();
- async call C(tid_child, y);
-
- yield;
-}
-
-procedure {:yields} {:layer 1} B({:linear_in "tid"} tid_in: int, {:linear_in "1"} x_in: [int]bool)
-requires {:layer 1} x_in != mapconstbool(false);
-{
- var {:linear "tid"} tid_out: int;
- var {:linear "1"} x: [int]bool;
- tid_out := tid_in;
- x := x_in;
-
- yield;
- call SetG(1);
- yield;
-}
-
-procedure {:yields} {:layer 1} C({:linear_in "tid"} tid_in: int, {:linear_in "2"} y_in: [int]bool)
-requires {:layer 1} y_in != mapconstbool(false);
-{
- var {:linear "tid"} tid_out: int;
- var {:linear "2"} y: [int]bool;
- tid_out := tid_in;
- y := y_in;
-
- yield;
- call SetH(1);
- yield;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear "tid"} TidCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+function {:inline} {:linear "1"} SetCollector1(x: [int]bool) : [int]bool
+{
+ x
+}
+
+function {:inline} {:linear "2"} SetCollector2(x: [int]bool) : [int]bool
+{
+ x
+}
+
+var {:layer 0,1} g: int;
+var {:layer 0,1} h: int;
+
+procedure {:yields} {:layer 0,1} SetG(val:int);
+ensures {:atomic} |{A: g := val; return true; }|;
+
+procedure {:yields} {:layer 0,1} SetH(val:int);
+ensures {:atomic} |{A: h := val; return true; }|;
+
+procedure {:yields} {:layer 1} Yield({:linear "1"} x: [int]bool)
+requires {:layer 1} x == mapconstbool(true) && g == 0;
+ensures {:layer 1} x == mapconstbool(true) && g == 0;
+{
+ yield;
+ assert {:layer 1} x == mapconstbool(true) && g == 0;
+}
+
+procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} xl: int)
+ensures {:layer 1} xl != 0;
+{
+ yield;
+ call xl := AllocateLow();
+ yield;
+}
+
+procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} xls: int);
+ensures {:atomic} |{ A: assume xls != 0; return true; }|;
+
+procedure {:yields} {:layer 1} A({:linear_in "tid"} tid_in: int, {:linear_in "1"} x: [int]bool, {:linear_in "2"} y: [int]bool) returns ({:linear "tid"} tid_out: int)
+requires {:layer 1} x == mapconstbool(true);
+requires {:layer 1} y == mapconstbool(true);
+{
+ var {:linear "tid"} tid_child: int;
+ tid_out := tid_in;
+
+ yield;
+ call SetG(0);
+
+ par tid_child := Allocate() | Yield(x);
+
+ async call B(tid_child, x);
+
+ yield;
+ assert {:layer 1} x == mapconstbool(true);
+ assert {:layer 1} g == 0;
+
+ call SetH(0);
+
+ yield;
+ assert {:layer 1} h == 0 && y == mapconstbool(true);
+
+ yield;
+ call tid_child := Allocate();
+ async call C(tid_child, y);
+
+ yield;
+}
+
+procedure {:yields} {:layer 1} B({:linear_in "tid"} tid_in: int, {:linear_in "1"} x_in: [int]bool)
+requires {:layer 1} x_in != mapconstbool(false);
+{
+ var {:linear "tid"} tid_out: int;
+ var {:linear "1"} x: [int]bool;
+ tid_out := tid_in;
+ x := x_in;
+
+ yield;
+ call SetG(1);
+ yield;
+}
+
+procedure {:yields} {:layer 1} C({:linear_in "tid"} tid_in: int, {:linear_in "2"} y_in: [int]bool)
+requires {:layer 1} y_in != mapconstbool(false);
+{
+ var {:linear "tid"} tid_out: int;
+ var {:linear "2"} y: [int]bool;
+ tid_out := tid_in;
+ y := y_in;
+
+ yield;
+ call SetH(1);
+ yield;
+}
diff --git a/Test/og/t1.bpl.expect b/Test/civl/t1.bpl.expect
index 0b0c936e..27a208d4 100644
--- a/Test/og/t1.bpl.expect
+++ b/Test/civl/t1.bpl.expect
@@ -1,9 +1,9 @@
-t1.bpl(65,5): Error: Non-interference check failed
-Execution trace:
- t1.bpl(84,13): anon0
- (0,0): anon05
- (0,0): inline$SetG_1$0$Entry
- t1.bpl(25,21): inline$SetG_1$0$this_A
- (0,0): inline$Impl_YieldChecker_A_1$0$L1
-
-Boogie program verifier finished with 4 verified, 1 error
+t1.bpl(65,5): Error: Non-interference check failed
+Execution trace:
+ t1.bpl(84,13): anon0
+ (0,0): anon05
+ (0,0): inline$SetG_1$0$Entry
+ t1.bpl(25,21): inline$SetG_1$0$A
+ (0,0): inline$Impl_YieldChecker_A_1$0$L1
+
+Boogie program verifier finished with 9 verified, 1 error
diff --git a/Test/og/termination.bpl b/Test/civl/termination.bpl
index 2d5542dd..7741fd19 100644
--- a/Test/og/termination.bpl
+++ b/Test/civl/termination.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure {:yields} {:layer 0} X();
-ensures {:atomic} |{ A: return true; }|;
-
-procedure {:yields} {:layer 0} Y();
-ensures {:left} |{ A: return true; }|;
-
-procedure {:yields} {:layer 1} main() {
- yield;
- call X();
- while (*)
- {
- call Y();
- }
- yield;
- assert {:layer 1} true;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure {:yields} {:layer 0} X();
+ensures {:atomic} |{ A: return true; }|;
+
+procedure {:yields} {:layer 0} Y();
+ensures {:left} |{ A: return true; }|;
+
+procedure {:yields} {:layer 1} main() {
+ yield;
+ call X();
+ while (*)
+ {
+ call Y();
+ }
+ yield;
+ assert {:layer 1} true;
+}
diff --git a/Test/og/termination.bpl.expect b/Test/civl/termination.bpl.expect
index d216a01d..adfbec48 100644
--- a/Test/og/termination.bpl.expect
+++ b/Test/civl/termination.bpl.expect
@@ -1,3 +1,3 @@
termination.bpl(9,31): Error: Implementation main fails simulation check C at layer 1. Transactions must be separated by a yield.
-
-1 type checking errors detected in termination.bpl
+
+1 type checking errors detected in termination.bpl
diff --git a/Test/og/termination2.bpl b/Test/civl/termination2.bpl
index 840c27c1..1743c6a1 100644
--- a/Test/og/termination2.bpl
+++ b/Test/civl/termination2.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure {:yields} {:layer 0} X();
-ensures {:atomic} |{ A: return true; }|;
-
-procedure {:yields} {:layer 0} Y();
-ensures {:left} |{ A: return true; }|;
-
-procedure {:yields} {:layer 1} main() {
- yield;
- call X();
- while (*)
- invariant {:terminates} {:layer 1} true;
- {
- call Y();
- }
- yield;
- assert {:layer 1} true;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure {:yields} {:layer 0} X();
+ensures {:atomic} |{ A: return true; }|;
+
+procedure {:yields} {:layer 0} Y();
+ensures {:left} |{ A: return true; }|;
+
+procedure {:yields} {:layer 1} main() {
+ yield;
+ call X();
+ while (*)
+ invariant {:terminates} {:layer 1} true;
+ {
+ call Y();
+ }
+ yield;
+ assert {:layer 1} true;
+}
diff --git a/Test/og/perm.bpl.expect b/Test/civl/termination2.bpl.expect
index 3de74d3e..41374b00 100644
--- a/Test/og/perm.bpl.expect
+++ b/Test/civl/termination2.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 2 verified, 0 errors
+
+Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/og/ticket.bpl b/Test/civl/ticket.bpl
index 91863e1a..df19aae4 100644
--- a/Test/og/ticket.bpl
+++ b/Test/civl/ticket.bpl
@@ -1,147 +1,146 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function RightOpen(n: int) : [int]bool;
-function RightClosed(n: int) : [int]bool;
-axiom (forall x: int, y: int :: RightOpen(x)[y] <==> y < x);
-axiom (forall x: int, y: int :: RightClosed(x)[y] <==> y <= x);
-
-type X;
-function {:builtin "MapConst"} mapconstbool(bool): [X]bool;
-const nil: X;
-var {:layer 0,2} t: int;
-var {:layer 0,2} s: int;
-var {:layer 0,2} cs: X;
-var {:layer 0,2} T: [int]bool;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
-{
- MapConstBool(false)[x := true]
-}
-function {:inline} {:linear "tid"} TidSetCollector(x: [X]bool) : [X]bool
-{
- x
-}
-
-function {:inline} Inv1(tickets: [int]bool, ticket: int): (bool)
-{
- tickets == RightOpen(ticket)
-}
-
-function {:inline} Inv2(tickets: [int]bool, ticket: int, lock: X): (bool)
-{
- if (lock == nil) then tickets == RightOpen(ticket) else tickets == RightClosed(ticket)
-}
-
-procedure {:yields} {:layer 2} Allocate({:linear_in "tid"} xls':[X]bool) returns ({:linear "tid"} xls: [X]bool, {:linear "tid"} xl: X)
-ensures {:layer 1} {:layer 2} xl != nil;
-{
- yield;
- call xls, xl := AllocateLow(xls');
- yield;
-}
-
-procedure {:yields} {:layer 2} main({:linear_in "tid"} xls':[X]bool)
-requires {:layer 2} xls' == mapconstbool(true);
-{
- var {:linear "tid"} tid: X;
- var {:linear "tid"} xls: [X]bool;
-
- yield;
-
- call Init(xls');
- xls := xls';
-
- par Yield1() | Yield2();
-
- while (*)
- invariant {:layer 1} Inv1(T, t);
- invariant {:layer 2} Inv2(T, s, cs);
- {
- par xls, tid := Allocate(xls) | Yield1() | Yield2();
- async call Customer(tid);
- par Yield1() | Yield2();
- }
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 2} Customer({:linear_in "tid"} tid: X)
-requires {:layer 1} Inv1(T, t);
-requires {:layer 2} tid != nil && Inv2(T, s, cs);
-{
- par Yield1() | Yield2();
- while (*)
- invariant {:layer 1} Inv1(T, t);
- invariant {:layer 2} Inv2(T, s, cs);
- {
- call Enter(tid);
- par Yield1() | Yield2() | YieldSpec(tid);
- call Leave(tid);
- par Yield1() | Yield2();
- }
- par Yield1() | Yield2();
-}
-
-procedure {:yields} {:layer 2} Enter({:linear "tid"} tid: X)
-requires {:layer 1} Inv1(T, t);
-ensures {:layer 1} Inv1(T,t);
-requires {:layer 2} tid != nil && Inv2(T, s, cs);
-ensures {:layer 2} Inv2(T, s, cs) && cs == tid;
-{
- var m: int;
-
- par Yield1() | Yield2();
- call m := GetTicketAbstract(tid);
- par Yield1();
- call WaitAndEnter(tid, m);
- par Yield1() | Yield2() | YieldSpec(tid);
-}
-
-procedure {:yields} {:layer 1,2} GetTicketAbstract({:linear "tid"} tid: X) returns (m: int)
-requires {:layer 1} Inv1(T, t);
-ensures {:layer 1} Inv1(T, t);
-ensures {:right} |{ A: havoc m, t; assume !T[m]; T[m] := true; return true; }|;
-{
- par Yield1();
- call m := GetTicket(tid);
- par Yield1();
-}
-
-procedure {:yields} {:layer 2} YieldSpec({:linear "tid"} tid: X)
-requires {:layer 2} tid != nil && cs == tid;
-ensures {:layer 2} cs == tid;
-{
- yield;
- assert {:layer 2} tid != nil && cs == tid;
-}
-
-procedure {:yields} {:layer 2} Yield2()
-requires {:layer 2} Inv2(T, s, cs);
-ensures {:layer 2} Inv2(T, s, cs);
-{
- yield;
- assert {:layer 2} Inv2(T, s, cs);
-}
-
-procedure {:yields} {:layer 1} Yield1()
-requires {:layer 1} Inv1(T, t);
-ensures {:layer 1} Inv1(T,t);
-{
- yield;
- assert {:layer 1} Inv1(T,t);
-}
-
-procedure {:yields} {:layer 0,2} Init({:linear "tid"} xls:[X]bool);
-ensures {:atomic} |{ A: assert xls == mapconstbool(true); cs := nil; t := 0; s := 0; T := RightOpen(0); return true; }|;
-
-procedure {:yields} {:layer 0,1} GetTicket({:linear "tid"} tid: X) returns (m: int);
-ensures {:atomic} |{ A: m := t; t := t + 1; T[m] := true; return true; }|;
-
-procedure {:yields} {:layer 0,2} WaitAndEnter({:linear "tid"} tid: X, m:int);
-ensures {:atomic} |{ A: assume m <= s; cs := tid; return true; }|;
-
-procedure {:yields} {:layer 0,2} Leave({:linear "tid"} tid: X);
-ensures {:atomic} |{ A: s := s + 1; cs := nil; return true; }|;
-
-procedure {:yields} {:layer 0,2} AllocateLow({:linear_in "tid"} xls':[X]bool) returns ({:linear "tid"} xls: [X]bool, {:linear "tid"} xl: X);
-ensures {:atomic} |{ A: assume xl != nil; return true; }|;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function RightOpen(n: int) : [int]bool;
+function RightClosed(n: int) : [int]bool;
+axiom (forall x: int, y: int :: RightOpen(x)[y] <==> y < x);
+axiom (forall x: int, y: int :: RightClosed(x)[y] <==> y <= x);
+
+type X;
+const nil: X;
+var {:layer 0,2} t: int;
+var {:layer 0,2} s: int;
+var {:layer 0,2} cs: X;
+var {:layer 0,2} T: [int]bool;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:inline} {:linear "tid"} TidCollector(x: X) : [X]bool
+{
+ MapConstBool(false)[x := true]
+}
+function {:inline} {:linear "tid"} TidSetCollector(x: [X]bool) : [X]bool
+{
+ x
+}
+
+function {:inline} Inv1(tickets: [int]bool, ticket: int): (bool)
+{
+ tickets == RightOpen(ticket)
+}
+
+function {:inline} Inv2(tickets: [int]bool, ticket: int, lock: X): (bool)
+{
+ if (lock == nil) then tickets == RightOpen(ticket) else tickets == RightClosed(ticket)
+}
+
+procedure {:yields} {:layer 2} Allocate({:linear_in "tid"} xls':[X]bool) returns ({:linear "tid"} xls: [X]bool, {:linear "tid"} xl: X)
+ensures {:layer 1} {:layer 2} xl != nil;
+{
+ yield;
+ call xls, xl := AllocateLow(xls');
+ yield;
+}
+
+procedure {:yields} {:layer 2} main({:linear_in "tid"} xls':[X]bool)
+requires {:layer 2} xls' == MapConstBool(true);
+{
+ var {:linear "tid"} tid: X;
+ var {:linear "tid"} xls: [X]bool;
+
+ yield;
+
+ call Init(xls');
+ xls := xls';
+
+ par Yield1() | Yield2();
+
+ while (*)
+ invariant {:layer 1} Inv1(T, t);
+ invariant {:layer 2} Inv2(T, s, cs);
+ {
+ par xls, tid := Allocate(xls) | Yield1() | Yield2();
+ async call Customer(tid);
+ par Yield1() | Yield2();
+ }
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 2} Customer({:linear_in "tid"} tid: X)
+requires {:layer 1} Inv1(T, t);
+requires {:layer 2} tid != nil && Inv2(T, s, cs);
+{
+ par Yield1() | Yield2();
+ while (*)
+ invariant {:layer 1} Inv1(T, t);
+ invariant {:layer 2} Inv2(T, s, cs);
+ {
+ call Enter(tid);
+ par Yield1() | Yield2() | YieldSpec(tid);
+ call Leave(tid);
+ par Yield1() | Yield2();
+ }
+ par Yield1() | Yield2();
+}
+
+procedure {:yields} {:layer 2} Enter({:linear "tid"} tid: X)
+requires {:layer 1} Inv1(T, t);
+ensures {:layer 1} Inv1(T,t);
+requires {:layer 2} tid != nil && Inv2(T, s, cs);
+ensures {:layer 2} Inv2(T, s, cs) && cs == tid;
+{
+ var m: int;
+
+ par Yield1() | Yield2();
+ call m := GetTicketAbstract(tid);
+ par Yield1();
+ call WaitAndEnter(tid, m);
+ par Yield1() | Yield2() | YieldSpec(tid);
+}
+
+procedure {:yields} {:layer 1,2} GetTicketAbstract({:linear "tid"} tid: X) returns (m: int)
+requires {:layer 1} Inv1(T, t);
+ensures {:layer 1} Inv1(T, t);
+ensures {:right} |{ A: havoc m, t; assume !T[m]; T[m] := true; return true; }|;
+{
+ par Yield1();
+ call m := GetTicket(tid);
+ par Yield1();
+}
+
+procedure {:yields} {:layer 2} YieldSpec({:linear "tid"} tid: X)
+requires {:layer 2} tid != nil && cs == tid;
+ensures {:layer 2} cs == tid;
+{
+ yield;
+ assert {:layer 2} tid != nil && cs == tid;
+}
+
+procedure {:yields} {:layer 2} Yield2()
+requires {:layer 2} Inv2(T, s, cs);
+ensures {:layer 2} Inv2(T, s, cs);
+{
+ yield;
+ assert {:layer 2} Inv2(T, s, cs);
+}
+
+procedure {:yields} {:layer 1} Yield1()
+requires {:layer 1} Inv1(T, t);
+ensures {:layer 1} Inv1(T,t);
+{
+ yield;
+ assert {:layer 1} Inv1(T,t);
+}
+
+procedure {:yields} {:layer 0,2} Init({:linear "tid"} xls:[X]bool);
+ensures {:atomic} |{ A: assert xls == MapConstBool(true); cs := nil; t := 0; s := 0; T := RightOpen(0); return true; }|;
+
+procedure {:yields} {:layer 0,1} GetTicket({:linear "tid"} tid: X) returns (m: int);
+ensures {:atomic} |{ A: m := t; t := t + 1; T[m] := true; return true; }|;
+
+procedure {:yields} {:layer 0,2} WaitAndEnter({:linear "tid"} tid: X, m:int);
+ensures {:atomic} |{ A: assume m <= s; cs := tid; return true; }|;
+
+procedure {:yields} {:layer 0,2} Leave({:linear "tid"} tid: X);
+ensures {:atomic} |{ A: s := s + 1; cs := nil; return true; }|;
+
+procedure {:yields} {:layer 0,2} AllocateLow({:linear_in "tid"} xls':[X]bool) returns ({:linear "tid"} xls: [X]bool, {:linear "tid"} xl: X);
+ensures {:atomic} |{ A: assume xl != nil; return true; }|;
diff --git a/Test/civl/ticket.bpl.expect b/Test/civl/ticket.bpl.expect
new file mode 100644
index 00000000..dc45a0ee
--- /dev/null
+++ b/Test/civl/ticket.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 24 verified, 0 errors
diff --git a/Test/og/treiber-stack.bpl b/Test/civl/treiber-stack.bpl
index e1c509ab..a184886d 100644
--- a/Test/og/treiber-stack.bpl
+++ b/Test/civl/treiber-stack.bpl
@@ -1,202 +1,207 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Node = int;
-const unique null: Node;
-type lmap;
-function {:linear "Node"} dom(lmap): [Node]bool;
-function map(lmap): [Node]Node;
-function {:builtin "MapConst"} MapConstBool(bool) : [Node]bool;
-
-function EmptyLmap(): (lmap);
-axiom (dom(EmptyLmap()) == MapConstBool(false));
-
-function Add(x: lmap, i: Node, v: Node): (lmap);
-axiom (forall x: lmap, i: Node, v: Node :: dom(Add(x, i, v)) == dom(x)[i:=true] && map(Add(x, i, v)) == map(x)[i := v]);
-
-function Remove(x: lmap, i: Node): (lmap);
-axiom (forall x: lmap, i: Node :: dom(Remove(x, i)) == dom(x)[i:=false] && map(Remove(x, i)) == map(x));
-
-procedure {:yields} {:layer 0,1} ReadTopOfStack() returns (v:Node);
-ensures {:right} |{ A: assume dom(Stack)[v] || dom(Used)[v]; return true; }|;
-
-procedure {:yields} {:layer 0,1} Load(i:Node) returns (v:Node);
-ensures {:right} |{ A: assert dom(Stack)[i] || dom(Used)[i]; goto B,C;
- B: assume dom(Stack)[i]; v := map(Stack)[i]; return true;
- C: assume !dom(Stack)[i]; return true; }|;
-
-procedure {:yields} {:layer 0,1} Store({:linear_in "Node"} l_in:lmap, i:Node, v:Node) returns ({:linear "Node"} l_out:lmap);
-ensures {:both} |{ A: assert dom(l_in)[i]; l_out := Add(l_in, i, v); return true; }|;
-
-procedure {:yields} {:layer 0,1} TransferToStack(oldVal: Node, newVal: Node, {:linear_in "Node"} l_in:lmap) returns (r: bool, {:linear "Node"} l_out:lmap);
-ensures {:atomic} |{ A: assert dom(l_in)[newVal];
- goto B,C;
- B: assume oldVal == TopOfStack; TopOfStack := newVal; l_out := EmptyLmap(); Stack := Add(Stack, newVal, map(l_in)[newVal]); r := true; return true;
- C: assume oldVal != TopOfStack; l_out := l_in; r := false; return true; }|;
-
-procedure {:yields} {:layer 0,1} TransferFromStack(oldVal: Node, newVal: Node) returns (r: bool);
-ensures {:atomic} |{ A: goto B,C;
- B: assume oldVal == TopOfStack; TopOfStack := newVal; Used := Add(Used, oldVal, map(Stack)[oldVal]); Stack := Remove(Stack, oldVal); r := true; return true;
- C: assume oldVal != TopOfStack; r := false; return true; }|;
-
-var {:layer 0} TopOfStack: Node;
-var {:linear "Node"} {:layer 0} Stack: lmap;
-
-
-function {:inline} Inv(TopOfStack: Node, Stack: lmap) : (bool)
-{
- BetweenSet(map(Stack), TopOfStack, null)[TopOfStack] &&
- Subset(BetweenSet(map(Stack), TopOfStack, null), Union(Singleton(null), dom(Stack)))
-}
-
-var {:linear "Node"} {:layer 0} Used: lmap;
-
-procedure {:yields} {:layer 1} push(x: Node, {:linear_in "Node"} x_lmap: lmap)
-requires {:layer 1} dom(x_lmap)[x];
-requires {:layer 1} Inv(TopOfStack, Stack);
-ensures {:layer 1} Inv(TopOfStack, Stack);
-ensures {:atomic} |{ A: Stack := Add(Stack, x, TopOfStack); TopOfStack := x; return true; }|;
-{
- var t: Node;
- var g: bool;
- var {:linear "Node"} t_lmap: lmap;
-
- yield;
- assert {:layer 1} Inv(TopOfStack, Stack);
- t_lmap := x_lmap;
- while (true)
- invariant {:layer 1} dom(t_lmap) == dom(x_lmap);
- invariant {:layer 1} Inv(TopOfStack, Stack);
- {
- call t := ReadTopOfStack();
- call t_lmap := Store(t_lmap, x, t);
- call g, t_lmap := TransferToStack(t, x, t_lmap);
- if (g) {
- assert {:layer 1} map(Stack)[x] == t;
- break;
- }
- yield;
- assert {:layer 1} dom(t_lmap) == dom(x_lmap);
- assert {:layer 1} Inv(TopOfStack, Stack);
- }
- yield;
- assert {:expand} {:layer 1} Inv(TopOfStack, Stack);
-}
-
-procedure {:yields} {:layer 1} pop()
-requires {:layer 1} Inv(TopOfStack, Stack);
-ensures {:layer 1} Inv(TopOfStack, Stack);
-ensures {:atomic} |{ var t: Node;
- A: assume TopOfStack != null; t := TopOfStack; Used := Add(Used, t, map(Stack)[t]); TopOfStack := map(Stack)[t]; Stack := Remove(Stack, t); return true; }|;
-{
- var g: bool;
- var x: Node;
- var t: Node;
-
- yield;
- assert {:layer 1} Inv(TopOfStack, Stack);
- while (true)
- invariant {:layer 1} Inv(TopOfStack, Stack);
- {
- call t := ReadTopOfStack();
- if (t != null) {
- call x := Load(t);
- call g := TransferFromStack(t, x);
- if (g) {
- break;
- }
- }
- yield;
- assert {:layer 1} Inv(TopOfStack, Stack);
- }
- yield;
- assert {:layer 1} Inv(TopOfStack, Stack);
-}
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-
-////////////////////
-// Between predicate
-////////////////////
-function Between(f: [int]int, x: int, y: int, z: int) returns (bool);
-function Avoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function BetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating Between and BetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {BetweenSet(f, x, z)[y]} BetweenSet(f, x, z)[y] <==> Between(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z), BetweenSet(f, x, z)} Between(f, x, y, z) ==> BetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {BetweenSet(f, x, z)} Between(f, x, x, x));
-axiom(forall f: [int]int, x: int, z: int :: {BetweenSet(f, x, z)} Between(f, z, z, z));
-
-
-//////////////////////////
-// Axioms for Between
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: Between(f, x, x, x));
-
-// step
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {Between(f, y, z, w), f[x]} Between(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], Between(f, x, y, y)} Between(f, x, y, y) ==> x == y || Between(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], Between(f, x, y, y)} f[x] == x && Between(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {Between(f, x, y, x)} Between(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, y), Between(f, x, z, z)} Between(f, x, y, y) && Between(f, x, z, z) ==> Between(f, x, y, z) || Between(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z)} Between(f, x, y, z) ==> Between(f, x, y, y) && Between(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, y), Between(f, y, z, z)} Between(f, x, y, y) && Between(f, y, z, z) ==> Between(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {Between(f, x, y, z), Between(f, y, w, z)} Between(f, x, y, z) && Between(f, y, w, z) ==> Between(f, x, y, w) && Between(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {Between(f, x, y, z), Between(f, x, w, y)} Between(f, x, y, z) && Between(f, x, w, y) ==> Between(f, x, w, z) && Between(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {Between(f, u, x, x)} Between(f, u, x, x) ==> Between(f, u, u, x));
-
-// relation between Avoiding and Between
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Avoiding(f, x, y, z)} Avoiding(f, x, y, z) <==> (Between(f, x, y, z) || (Between(f, x, y, y) && !Between(f, x, z, z))));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z)} Between(f, x, y, z) <==> (Avoiding(f, x, y, z) && Avoiding(f, x, z, z)));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {Avoiding(f[p := q], u, v, x)} Avoiding(f[p := q], u, v, x) <==> ((Avoiding(f, u, v, p) && Avoiding(f, u, v, x)) || (Avoiding(f, u, p, x) && p != x && Avoiding(f, q, v, p) && Avoiding(f, q, v, x))));
-
-axiom (forall f: [int]int, p: int, q: int, u: int, w: int :: {BetweenSet(f[p := q], u, w)} Avoiding(f, u, w, p) ==> Equal(BetweenSet(f[p := q], u, w), BetweenSet(f, u, w)));
-axiom (forall f: [int]int, p: int, q: int, u: int, w: int :: {BetweenSet(f[p := q], u, w)} p != w && Avoiding(f, u, p, w) && Avoiding(f, q, w, p) ==> Equal(BetweenSet(f[p := q], u, w), Union(BetweenSet(f, u, p), BetweenSet(f, q, w))));
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const null: int;
+type lmap;
+function {:linear "Node"} dom(lmap): [int]bool;
+function map(lmap): [int]int;
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+
+function EmptyLmap(): (lmap);
+axiom (dom(EmptyLmap()) == MapConstBool(false));
+
+function Add(x: lmap, i: int, v: int): (lmap);
+axiom (forall x: lmap, i: int, v: int :: dom(Add(x, i, v)) == dom(x)[i:=true] && map(Add(x, i, v)) == map(x)[i := v]);
+
+function Remove(x: lmap, i: int): (lmap);
+axiom (forall x: lmap, i: int :: dom(Remove(x, i)) == dom(x)[i:=false] && map(Remove(x, i)) == map(x));
+
+procedure {:yields} {:layer 0,1} ReadTopOfStack() returns (v:int);
+ensures {:right} |{ A: assume v == null || dom(Stack)[v] || Used[v]; return true; }|;
+
+procedure {:yields} {:layer 0,1} Load(i:int) returns (v:int);
+ensures {:right} |{ A: assert dom(Stack)[i] || Used[i]; goto B,C;
+ B: assume dom(Stack)[i]; v := map(Stack)[i]; return true;
+ C: assume !dom(Stack)[i]; return true; }|;
+
+procedure {:yields} {:layer 0,1} Store({:linear_in "Node"} l_in:lmap, i:int, v:int) returns ({:linear "Node"} l_out:lmap);
+ensures {:both} |{ A: assert dom(l_in)[i]; l_out := Add(l_in, i, v); return true; }|;
+
+procedure {:yields} {:layer 0,1} TransferToStack(oldVal: int, newVal: int, {:linear_in "Node"} l_in:lmap) returns (r: bool, {:linear "Node"} l_out:lmap);
+ensures {:atomic} |{ A: assert dom(l_in)[newVal];
+ goto B,C;
+ B: assume oldVal == TopOfStack; TopOfStack := newVal; l_out := EmptyLmap(); Stack := Add(Stack, newVal, map(l_in)[newVal]); r := true; return true;
+ C: assume oldVal != TopOfStack; l_out := l_in; r := false; return true; }|;
+
+procedure {:yields} {:layer 0,1} TransferFromStack(oldVal: int, newVal: int) returns (r: bool);
+ensures {:atomic} |{ A: goto B,C;
+ B: assume oldVal == TopOfStack; TopOfStack := newVal; Used[oldVal] := true; Stack := Remove(Stack, oldVal); r := true; return true;
+ C: assume oldVal != TopOfStack; r := false; return true; }|;
+
+var {:layer 0} TopOfStack: int;
+var {:linear "Node"} {:layer 0} Stack: lmap;
+
+
+function {:inline} Inv(TopOfStack: int, Stack: lmap) : (bool)
+{
+ BetweenSet(map(Stack), TopOfStack, null)[TopOfStack] &&
+ Subset(BetweenSet(map(Stack), TopOfStack, null), Union(Singleton(null), dom(Stack)))
+}
+
+var {:linear "Node"} {:layer 0} Used: [int]bool;
+
+function {:inline} {:linear "Node"} NodeCollector(x: int) : [int]bool
+{
+ MapConstBool(false)[x := true]
+}
+function {:inline} {:linear "Node"} NodeSetCollector(x: [int]bool) : [int]bool
+{
+ x
+}
+
+procedure {:yields} {:layer 1} push(x: int, {:linear_in "Node"} x_lmap: lmap)
+requires {:layer 1} dom(x_lmap)[x];
+requires {:layer 1} Inv(TopOfStack, Stack);
+ensures {:layer 1} Inv(TopOfStack, Stack);
+ensures {:atomic} |{ A: Stack := Add(Stack, x, TopOfStack); TopOfStack := x; return true; }|;
+{
+ var t: int;
+ var g: bool;
+ var {:linear "Node"} t_lmap: lmap;
+
+ yield;
+ assert {:layer 1} Inv(TopOfStack, Stack);
+ t_lmap := x_lmap;
+ while (true)
+ invariant {:layer 1} dom(t_lmap) == dom(x_lmap);
+ invariant {:layer 1} Inv(TopOfStack, Stack);
+ {
+ call t := ReadTopOfStack();
+ call t_lmap := Store(t_lmap, x, t);
+ call g, t_lmap := TransferToStack(t, x, t_lmap);
+ if (g) {
+ break;
+ }
+ yield;
+ assert {:layer 1} dom(t_lmap) == dom(x_lmap);
+ assert {:layer 1} Inv(TopOfStack, Stack);
+ }
+ yield;
+ assert {:expand} {:layer 1} Inv(TopOfStack, Stack);
+}
+
+procedure {:yields} {:layer 1} pop() returns (t: int)
+requires {:layer 1} Inv(TopOfStack, Stack);
+ensures {:layer 1} Inv(TopOfStack, Stack);
+ensures {:atomic} |{ A: assume TopOfStack != null; t := TopOfStack; Used[t] := true; TopOfStack := map(Stack)[t]; Stack := Remove(Stack, t); return true; }|;
+{
+ var g: bool;
+ var x: int;
+
+ yield;
+ assert {:layer 1} Inv(TopOfStack, Stack);
+ while (true)
+ invariant {:layer 1} Inv(TopOfStack, Stack);
+ {
+ call t := ReadTopOfStack();
+ if (t != null) {
+ call x := Load(t);
+ call g := TransferFromStack(t, x);
+ if (g) {
+ break;
+ }
+ }
+ yield;
+ assert {:layer 1} Inv(TopOfStack, Stack);
+ }
+ yield;
+ assert {:layer 1} Inv(TopOfStack, Stack);
+}
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+
+////////////////////
+// Between predicate
+////////////////////
+function Between(f: [int]int, x: int, y: int, z: int) returns (bool);
+function Avoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function BetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating Between and BetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {BetweenSet(f, x, z)[y]} BetweenSet(f, x, z)[y] <==> Between(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z), BetweenSet(f, x, z)} Between(f, x, y, z) ==> BetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {BetweenSet(f, x, z)} Between(f, x, x, x));
+axiom(forall f: [int]int, x: int, z: int :: {BetweenSet(f, x, z)} Between(f, z, z, z));
+
+
+//////////////////////////
+// Axioms for Between
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: Between(f, x, x, x));
+
+// step
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {Between(f, y, z, w), f[x]} Between(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], Between(f, x, y, y)} Between(f, x, y, y) ==> x == y || Between(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], Between(f, x, y, y)} f[x] == x && Between(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {Between(f, x, y, x)} Between(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, y), Between(f, x, z, z)} Between(f, x, y, y) && Between(f, x, z, z) ==> Between(f, x, y, z) || Between(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z)} Between(f, x, y, z) ==> Between(f, x, y, y) && Between(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, y), Between(f, y, z, z)} Between(f, x, y, y) && Between(f, y, z, z) ==> Between(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {Between(f, x, y, z), Between(f, y, w, z)} Between(f, x, y, z) && Between(f, y, w, z) ==> Between(f, x, y, w) && Between(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {Between(f, x, y, z), Between(f, x, w, y)} Between(f, x, y, z) && Between(f, x, w, y) ==> Between(f, x, w, z) && Between(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {Between(f, u, x, x)} Between(f, u, x, x) ==> Between(f, u, u, x));
+
+// relation between Avoiding and Between
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Avoiding(f, x, y, z)} Avoiding(f, x, y, z) <==> (Between(f, x, y, z) || (Between(f, x, y, y) && !Between(f, x, z, z))));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {Between(f, x, y, z)} Between(f, x, y, z) <==> (Avoiding(f, x, y, z) && Avoiding(f, x, z, z)));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {Avoiding(f[p := q], u, v, x)} Avoiding(f[p := q], u, v, x) <==> ((Avoiding(f, u, v, p) && Avoiding(f, u, v, x)) || (Avoiding(f, u, p, x) && p != x && Avoiding(f, q, v, p) && Avoiding(f, q, v, x))));
+
+axiom (forall f: [int]int, p: int, q: int, u: int, w: int :: {BetweenSet(f[p := q], u, w)} Avoiding(f, u, w, p) ==> Equal(BetweenSet(f[p := q], u, w), BetweenSet(f, u, w)));
+axiom (forall f: [int]int, p: int, q: int, u: int, w: int :: {BetweenSet(f[p := q], u, w)} p != w && Avoiding(f, u, p, w) && Avoiding(f, q, w, p) ==> Equal(BetweenSet(f[p := q], u, w), Union(BetweenSet(f, u, p), BetweenSet(f, q, w))));
axiom (forall f: [int]int, p: int, q: int, u: int, w: int :: {BetweenSet(f[p := q], u, w)} Avoiding(f, u, w, p) || (p != w && Avoiding(f, u, p, w) && Avoiding(f, q, w, p)) || Equal(BetweenSet(f[p := q], u, w), Empty())); \ No newline at end of file
diff --git a/Test/civl/treiber-stack.bpl.expect b/Test/civl/treiber-stack.bpl.expect
new file mode 100644
index 00000000..76a9a2bf
--- /dev/null
+++ b/Test/civl/treiber-stack.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 8 verified, 0 errors
diff --git a/Test/og/wsq.bpl b/Test/civl/wsq.bpl
index 9cb6a19b..0a2227b6 100644
--- a/Test/og/wsq.bpl
+++ b/Test/civl/wsq.bpl
@@ -1,560 +1,544 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Tid;
-const nil: Tid;
-
-function {:builtin "MapConst"} MapConstBool(bool) : [Tid]bool;
-function {:inline} {:linear "tid"} TidCollector(x: Tid) : [Tid]bool
-{
- MapConstBool(false)[x := true]
-}
-
-
-
-var {:layer 0,3} H: int;
-var {:layer 0,3} T: int;
-var {:layer 0,3} items: [int]int;
-var {:layer 0} status: [int]bool;
-var {:layer 0,3} take_in_cs: bool;
-var {:layer 0,3} put_in_cs: bool;
-var {:layer 0,3} steal_in_cs: [Tid]bool;
-var {:layer 0,3} h_ss: [Tid]int;
-var {:layer 0,3} t_ss: [Tid]int;
-
-const IN_Q: bool;
-const NOT_IN_Q: bool;
-axiom IN_Q == true;
-axiom NOT_IN_Q == false;
-
-const unique EMPTY: int;
-const unique NIL: Tid;
-const unique ptTid: Tid;
-axiom ptTid != NIL;
-
-function {:inline} stealerTid(tid: Tid):(bool) { tid != NIL && tid != ptTid }
-
-function {:inline} ideasInv(put_in_cs:bool,
- items:[int]int,
- status: [int]bool,
- H:int, T:int,
- take_in_cs:bool,
- steal_in_cs:[Tid]bool,
- h_ss:[Tid]int,
- t_ss:[Tid]int
- ):(bool)
-{
- (
- ( (take_in_cs) && h_ss[ptTid] < t_ss[ptTid] ==> (t_ss[ptTid] == T && H <= T &&
- items[T] != EMPTY && status[T] == IN_Q) ) &&
- (put_in_cs ==> !take_in_cs) && (take_in_cs ==> !put_in_cs) &&
- (( (take_in_cs) && H != h_ss[ptTid]) ==> H > h_ss[ptTid]) &&
- (forall td:Tid :: (stealerTid(td) && steal_in_cs[td] && H == h_ss[td] && H < t_ss[td]) ==> (items[H] != EMPTY && status[H] == IN_Q)) &&
- (forall td:Tid :: (stealerTid(td) && steal_in_cs[td] && H != h_ss[td]) ==> H > h_ss[td])
- )
-}
-
-function {:inline} queueInv(steal_in_cs:[Tid]bool,
- put_in_cs:bool,
- take_in_cs:bool,
- items:[int]int, status: [int]bool, _H:int, _T:int):(bool)
-{
- ( (forall i:int :: (_H <= i && i <= _T) ==> (status[i] == IN_Q && items[i] != EMPTY)) )
-}
-
-function {:inline} emptyInv(put_in_cs:bool, take_in_cs:bool, items:[int]int, status:[int]bool, T:int):(bool)
-{
- (forall i:int :: (i>=T && !put_in_cs && !take_in_cs) ==> status[i] == NOT_IN_Q && items[i] == EMPTY)
-}
-
-function {:inline} putInv(items:[int]int, status: [int]bool, H:int, T:int):(bool)
-{
- (forall i:int :: (H <= i && i < T) ==> (status[i] == IN_Q && items[i] != EMPTY))
-}
-
-function {:inline} takeInv(items:[int]int, status: [int]bool, H:int, T:int, t:int, h:int):(bool)
-{
- (forall i:int :: (h <= i && i <= t) ==> (status[i] == IN_Q &&
- items[i] != EMPTY) &&
- t == T
- )
-}
-
-procedure {:yields} {:layer 3} put({:linear "tid"} tid:Tid, task: int)
-requires {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && task != EMPTY && !take_in_cs && !put_in_cs;
-requires {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-requires {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
-ensures {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
-ensures {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-ensures {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
-ensures {:atomic} |{ var i: int; A: assume status[i] == NOT_IN_Q; status[i] := IN_Q; return true; }|;
-{
- var t: int;
- var {:aux} oldH:int;
- var {:aux} oldT:int;
- var {:aux} oldStatusT:bool;
-
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} {:expand} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && oldT == T;
- assert {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
-
- call t := readT_put(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && put_in_cs;
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} tid == ptTid && t == T;
- assert {:layer 3} oldH <= H && oldT == T;
- assert {:layer 3} (forall i:int :: i>=T ==> status[i] == NOT_IN_Q && items[i] == EMPTY);
-
- call writeItems_put(tid,t, task);
-
- oldH := H;
- oldT := T;
- oldStatusT := status[T];
- yield;
- assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && t == T && tid == ptTid && !take_in_cs && put_in_cs;
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} items[t] == task;
- assert {:layer 3} oldH <= H && oldT == T;
- assert {:layer 3} (forall i:int :: i>T ==> status[i] == NOT_IN_Q && items[i] == EMPTY);
-
-
- call writeT_put(tid, t+1);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} T == t + 1;
- assert {:layer 3} oldH <= H && oldT == T;
- assert {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
-}
-
-procedure {:yields} {:layer 3} take({:linear "tid"} tid:Tid) returns (task: int, taskstatus: bool)
-requires {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
-requires {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-ensures {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs && (task != EMPTY ==> taskstatus == IN_Q);
-ensures {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-ensures {:atomic} |{ var i: int; A: goto B,C; B: assume status[i] == IN_Q; status[i] := NOT_IN_Q; return true; C: return true;}|;
-{
- var h, t: int;
- var chk: bool;
- var {:aux} oldH:int;
- var {:aux} oldT:int;
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && oldT == T;
-
- LOOP_ENTRY_1:
-
- while(true)
- invariant {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- invariant {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- {
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && oldT == T;
-
- call t := readT_take_init(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} t == T;
- assert {:layer 3} items[t-1] == EMPTY ==> H > t-1;
- assert {:layer 3} oldH <= H && oldT == T;
-
- t := t-1;
- call writeT_take(tid, t);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && !take_in_cs && !put_in_cs && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} t == T;
- assert {:layer 3} items[t] == EMPTY ==> H > t;
- assert {:layer 3} oldH <= H && oldT == T;
-
- call h := readH_take(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && take_in_cs && !put_in_cs && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} t == T;
- assert {:layer 3} h <= H;
- assert {:layer 3} items[t] == EMPTY ==> H > t;
- assert {:layer 3} oldH <= H;
- assert {:layer 3} oldT == T;
- assert {:layer 3} h <= H;
- assert {:layer 3} oldH == h;
-
- if(t<h) {
-
- call writeT_take_abort(tid, h);
- task := EMPTY;
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} h <= H;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} h == T;
- assert {:layer 3} oldH <= H && oldT == T;
- return;
- }
-
- call task, taskstatus := readItems(tid, t);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} H >= h;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && take_in_cs && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} t == T && task == items[T];
- assert {:layer 3} T > H ==> items[T] != EMPTY;
- assert {:layer 3} oldH <= H && oldT == T && !put_in_cs && take_in_cs;
-
- if(t>h) {
- call takeExitCS(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} t == T && task == items[t] && task != EMPTY && taskstatus == IN_Q;
- assert {:layer 3} oldH <= H && oldT == T && !put_in_cs && !take_in_cs;
- return;
- }
- call writeT_take_eq(tid, h+1);
- oldH := H;
- oldT := T;
-
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} T == h + 1;
- assert {:layer 3} oldH <= H;
- assert {:layer 3} oldT == T;
- assert {:layer 3} task == items[t];
- assert {:layer 3} !put_in_cs;
-
- call chk := CAS_H_take(tid, h,h+1);
-
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} chk ==> (h+1 == oldH && h_ss[tid] == oldH -1 && task != EMPTY);
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} h+1 == T;
- assert {:layer 3} task == items[t];
- assert {:layer 3} !take_in_cs;
- assert {:layer 3} !put_in_cs;
- assert {:layer 3} oldH <= H && oldT == T;
-
- if(!chk) {
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} h+1 == T && task == items[t] && !take_in_cs && !put_in_cs;
- assert {:layer 3} oldH <= H && oldT == T;
-
- goto LOOP_ENTRY_1;
- }
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} h+1 == T && task == items[t] && !take_in_cs && !put_in_cs;
- assert {:layer 3} oldH <= H && oldT == T && task != EMPTY && taskstatus == IN_Q;
-
- return;
- }
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && !put_in_cs;
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && oldT == T;
-
-}
-
-
-procedure {:yields}{:layer 3} steal({:linear "tid"} tid:Tid) returns (task: int, taskstatus: bool)
-requires {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && stealerTid(tid) &&
- !steal_in_cs[tid];
-requires {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-ensures {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) &&
- !steal_in_cs[tid] && (task != EMPTY ==> taskstatus == IN_Q);
-ensures {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
-ensures {:atomic} |{ var i: int; A: goto B,C; B: assume status[i] == IN_Q; status[i] := NOT_IN_Q; return true; C: return true;}|;
-{
- var h, t: int;
- var chk: bool;
- var {:aux} oldH:int;
- var {:aux} oldT:int;
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} stealerTid(tid);
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H;
- assert {:layer 3} !steal_in_cs[tid];
-
- LOOP_ENTRY_2:
- while(true)
- invariant {:layer 3} stealerTid(tid);
- invariant {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- invariant {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- invariant {:layer 3} !steal_in_cs[tid];
- {
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} stealerTid(tid);
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H;
- assert {:layer 3} !steal_in_cs[tid];
-
- call h := readH_steal(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} H >= h;
- assert {:layer 3} !steal_in_cs[tid];
- assert {:layer 3} h_ss[tid] == h;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H;
-
- call t := readT_steal(tid);
-
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} steal_in_cs[tid];
- assert {:layer 3} stealerTid(tid) && H >= h && steal_in_cs[tid] && h_ss[tid] == h;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && t == t_ss[tid];
- assert {:layer 3} (h < t && take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && h == H) ==> (H < T);
- assert {:layer 3} H >= h;
-
- if( h>= t) {
-
- task := EMPTY;
- call stealExitCS(tid);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} !steal_in_cs[tid];
- assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid] && h_ss[tid] == h;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H;
- return;
- }
-
- call task, taskstatus := readItems(tid, h);
-
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} stealerTid(tid) && steal_in_cs[tid] && h_ss[tid] == h;
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H;
- assert {:layer 3} oldH == H && H == h && h_ss[tid] == h ==> task != EMPTY;
- assert {:layer 3} (take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && h == H) ==> (H < T);
- assert {:layer 3} h == H ==> status[H] == IN_Q;
-
- call chk := CAS_H_steal(tid, h,h+1);
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} h_ss[tid] == h;
- assert {:layer 3} chk ==> (h+1 == oldH && h_ss[tid] == h && task != EMPTY && taskstatus == IN_Q);
- assert {:layer 3} (take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && chk) ==> ((oldH-1) < T);
- assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} oldH <= H;
-
- if(!chk) {
- goto LOOP_ENTRY_2;
- }
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
- assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
- assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
- assert {:layer 3} oldH <= H && task != EMPTY;
- return;
- }
-
- oldH := H;
- oldT := T;
- yield;
- assert {:layer 3} chk && task != EMPTY;
- assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
- assert {:layer 3} oldH <= H;
-}
-
-procedure {:yields}{:layer 0,3} readH_take({:linear "tid"} tid:Tid) returns (y: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- y := H;
- take_in_cs := true;
- h_ss[tid] := H;
- return true;}|;
-
-procedure {:yields}{:layer 0,3} readH_steal({:linear "tid"} tid:Tid) returns (y: int);
-ensures {:atomic} |{A: assert stealerTid(tid);
- assert !steal_in_cs[tid];
- y := H;
- h_ss[tid] := H;
- return true;}|;
-
-procedure {:yields}{:layer 0,3} readT_take_init({:linear "tid"} tid:Tid) returns (y: int);
-ensures {:atomic} |{A: assert tid != NIL; assert tid == ptTid; y := T; return true;}|;
-
-procedure {:yields}{:layer 0,3} readT_put({:linear "tid"} tid:Tid) returns (y: int);
-ensures {:atomic} |{A: assert tid != NIL;
- assert tid == ptTid;
- put_in_cs := true;
- y := T;
- return true;}|;
-
-procedure {:yields}{:layer 0,3} readT_steal({:linear "tid"} tid:Tid) returns (y: int);
-ensures {:atomic} |{A: assert tid != NIL;
- assert stealerTid(tid);
- assert !steal_in_cs[tid];
- y := T;
- t_ss[tid] := T;
- steal_in_cs[tid] := true;
- return true;}|;
-
-procedure {:yields}{:layer 0,3} readItems({:linear "tid"} tid:Tid, ind: int) returns (y: int, b:bool);
-ensures {:atomic} |{A: y := items[ind]; b := status[ind]; return true; }|;
-
-procedure {:yields}{:layer 0,3} writeT_put({:linear "tid"} tid:Tid, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- T := T+1;
- put_in_cs := false;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} writeT_take({:linear "tid"} tid:Tid, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- T := val;
- t_ss[tid] := val;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} writeT_take_abort({:linear "tid"} tid:Tid, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- assert take_in_cs;
- T := val;
- take_in_cs := false;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} writeT_take_eq({:linear "tid"} tid:Tid, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- T := val;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} takeExitCS({:linear "tid"} tid:Tid);
-ensures {:atomic} |{A: assert tid == ptTid;
- take_in_cs := false;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} stealExitCS({:linear "tid"} tid:Tid);
-ensures {:atomic} |{A: assert stealerTid(tid);
- assert steal_in_cs[tid];
- steal_in_cs[tid] := false;
- return true; }|;
-
-
-procedure {:yields}{:layer 0,3} writeItems({:linear "tid"} tid:Tid, idx: int, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- assert val != EMPTY;
- items[idx] := val;
- status[idx] := IN_Q;
- return true; }|;
-
-
-procedure {:yields}{:layer 0,3} writeItems_put({:linear "tid"} tid:Tid, idx: int, val: int);
-ensures {:atomic} |{A: assert tid == ptTid;
- assert val != EMPTY;
- items[idx] := val;
- status[idx] := IN_Q;
- return true; }|;
-
-procedure {:yields}{:layer 0,3} CAS_H_take({:linear "tid"} tid:Tid, prevVal :int, val: int)
- returns (result: bool);
-ensures {:atomic} |{ A: assert tid == ptTid;
- goto B, C;
- B: assume H == prevVal;
- take_in_cs := false;
- status[H] := NOT_IN_Q;
- H := H+1;
- result := true;
- return true;
- C: assume H != prevVal; result := false;
- take_in_cs := false;
- return true;
-}|;
-
-procedure {:yields}{:layer 0,3} CAS_H_steal({:linear "tid"} tid:Tid, prevVal :int, val: int)
- returns (result: bool);
-ensures {:atomic} |{ A: assert stealerTid(tid);
- goto B, C;
- B: assume H == prevVal;
- status[H] := NOT_IN_Q;
- H := H+1;
- result := true;
- steal_in_cs[tid] := false;
- return true;
- C: assume H != prevVal;
- result := false;
- steal_in_cs[tid] := false;
- return true;
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type Tid;
+const nil: Tid;
+
+function {:builtin "MapConst"} MapConstBool(bool) : [Tid]bool;
+function {:inline} {:linear "tid"} TidCollector(x: Tid) : [Tid]bool
+{
+ MapConstBool(false)[x := true]
+}
+
+
+
+var {:layer 0,3} H: int;
+var {:layer 0,3} T: int;
+var {:layer 0,3} items: [int]int;
+var {:layer 0} status: [int]bool;
+var {:layer 0,3} take_in_cs: bool;
+var {:layer 0,3} put_in_cs: bool;
+var {:layer 0,3} steal_in_cs: [Tid]bool;
+var {:layer 0,3} h_ss: [Tid]int;
+var {:layer 0,3} t_ss: [Tid]int;
+
+const IN_Q: bool;
+const NOT_IN_Q: bool;
+axiom IN_Q == true;
+axiom NOT_IN_Q == false;
+
+const unique EMPTY: int;
+const unique NIL: Tid;
+const unique ptTid: Tid;
+axiom ptTid != NIL;
+
+function {:inline} stealerTid(tid: Tid):(bool) { tid != NIL && tid != ptTid }
+
+function {:inline} ideasInv(put_in_cs:bool,
+ items:[int]int,
+ status: [int]bool,
+ H:int, T:int,
+ take_in_cs:bool,
+ steal_in_cs:[Tid]bool,
+ h_ss:[Tid]int,
+ t_ss:[Tid]int
+ ):(bool)
+{
+ (
+ ( (take_in_cs) && h_ss[ptTid] < t_ss[ptTid] ==> (t_ss[ptTid] == T && H <= T &&
+ items[T] != EMPTY && status[T] == IN_Q) ) &&
+ (put_in_cs ==> !take_in_cs) && (take_in_cs ==> !put_in_cs) &&
+ (( (take_in_cs) && H != h_ss[ptTid]) ==> H > h_ss[ptTid]) &&
+ (forall td:Tid :: (stealerTid(td) && steal_in_cs[td] && H == h_ss[td] && H < t_ss[td]) ==> (items[H] != EMPTY && status[H] == IN_Q)) &&
+ (forall td:Tid :: (stealerTid(td) && steal_in_cs[td] && H != h_ss[td]) ==> H > h_ss[td])
+ )
+}
+
+function {:inline} queueInv(steal_in_cs:[Tid]bool,
+ put_in_cs:bool,
+ take_in_cs:bool,
+ items:[int]int, status: [int]bool, _H:int, _T:int):(bool)
+{
+ ( (forall i:int :: (_H <= i && i <= _T) ==> (status[i] == IN_Q && items[i] != EMPTY)) )
+}
+
+function {:inline} emptyInv(put_in_cs:bool, take_in_cs:bool, items:[int]int, status:[int]bool, T:int):(bool)
+{
+ (forall i:int :: (i>=T && !put_in_cs && !take_in_cs) ==> status[i] == NOT_IN_Q && items[i] == EMPTY)
+}
+
+function {:inline} putInv(items:[int]int, status: [int]bool, H:int, T:int):(bool)
+{
+ (forall i:int :: (H <= i && i < T) ==> (status[i] == IN_Q && items[i] != EMPTY))
+}
+
+function {:inline} takeInv(items:[int]int, status: [int]bool, H:int, T:int, t:int, h:int):(bool)
+{
+ (forall i:int :: (h <= i && i <= t) ==> (status[i] == IN_Q &&
+ items[i] != EMPTY) &&
+ t == T
+ )
+}
+
+procedure {:yields} {:layer 3} put({:linear "tid"} tid:Tid, task: int)
+requires {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && task != EMPTY && !take_in_cs && !put_in_cs;
+requires {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+requires {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
+ensures {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ensures {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ensures {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
+ensures {:atomic} |{ var i: int; A: assume status[i] == NOT_IN_Q; status[i] := IN_Q; return true; }|;
+{
+ var t: int;
+ var {:layer 3} oldH:int;
+ var {:layer 3} oldT:int;
+ var {:layer 3} oldStatusT:bool;
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} {:expand} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && oldT == T;
+ assert {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
+
+ call t := readT_put(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && put_in_cs;
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} tid == ptTid && t == T;
+ assert {:layer 3} oldH <= H && oldT == T;
+ assert {:layer 3} (forall i:int :: i>=T ==> status[i] == NOT_IN_Q && items[i] == EMPTY);
+
+ call writeItems_put(tid,t, task);
+
+ call oldH, oldT := GhostRead();
+ call oldStatusT := GhostReadStatus();
+ yield;
+ assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && t == T && tid == ptTid && !take_in_cs && put_in_cs;
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} items[t] == task;
+ assert {:layer 3} oldH <= H && oldT == T;
+ assert {:layer 3} (forall i:int :: i>T ==> status[i] == NOT_IN_Q && items[i] == EMPTY);
+
+
+ call writeT_put(tid, t+1);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} T == t + 1;
+ assert {:layer 3} oldH <= H && oldT == T;
+ assert {:layer 3} {:expand} emptyInv(put_in_cs, take_in_cs, items,status,T);
+}
+
+procedure {:yields} {:layer 3} take({:linear "tid"} tid:Tid) returns (task: int, taskstatus: bool)
+requires {:layer 3} {:expand} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+requires {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ensures {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs && (task != EMPTY ==> taskstatus == IN_Q);
+ensures {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ensures {:atomic} |{ var i: int; A: goto B,C; B: assume status[i] == IN_Q; status[i] := NOT_IN_Q; return true; C: return true;}|;
+{
+ var h, t: int;
+ var chk: bool;
+ var {:layer 3} oldH:int;
+ var {:layer 3} oldT:int;
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ LOOP_ENTRY_1:
+
+ while(true)
+ invariant {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ invariant {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ {
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ call t := readT_take_init(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} t == T;
+ assert {:layer 3} items[t-1] == EMPTY ==> H > t-1;
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ t := t-1;
+ call writeT_take(tid, t);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && !take_in_cs && !put_in_cs && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} t == T;
+ assert {:layer 3} items[t] == EMPTY ==> H > t;
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ call h := readH_take(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && take_in_cs && !put_in_cs && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} t == T;
+ assert {:layer 3} h <= H;
+ assert {:layer 3} items[t] == EMPTY ==> H > t;
+ assert {:layer 3} oldH <= H;
+ assert {:layer 3} oldT == T;
+ assert {:layer 3} h <= H;
+ assert {:layer 3} oldH == h;
+
+ if(t<h) {
+
+ call writeT_take_abort(tid, h);
+ task := EMPTY;
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} h <= H;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && !put_in_cs;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} h == T;
+ assert {:layer 3} oldH <= H && oldT == T;
+ return;
+ }
+
+ call task, taskstatus := readItems(tid, t);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} H >= h;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && take_in_cs && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} t == T && task == items[T];
+ assert {:layer 3} T > H ==> items[T] != EMPTY;
+ assert {:layer 3} oldH <= H && oldT == T && !put_in_cs && take_in_cs;
+
+ if(t>h) {
+ call takeExitCS(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && !take_in_cs && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} t == T && task == items[t] && task != EMPTY && taskstatus == IN_Q;
+ assert {:layer 3} oldH <= H && oldT == T && !put_in_cs && !take_in_cs;
+ return;
+ }
+ call writeT_take_eq(tid, h+1);
+ call oldH, oldT := GhostRead();
+
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} T == h + 1;
+ assert {:layer 3} oldH <= H;
+ assert {:layer 3} oldT == T;
+ assert {:layer 3} task == items[t];
+ assert {:layer 3} !put_in_cs;
+
+ call chk := CAS_H_take(tid, h,h+1);
+
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} chk ==> (h+1 == oldH && h_ss[tid] == oldH -1 && task != EMPTY);
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} h+1 == T;
+ assert {:layer 3} task == items[t];
+ assert {:layer 3} !take_in_cs;
+ assert {:layer 3} !put_in_cs;
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ if(!chk) {
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} h+1 == T && task == items[t] && !take_in_cs && !put_in_cs;
+ assert {:layer 3} oldH <= H && oldT == T;
+
+ goto LOOP_ENTRY_1;
+ }
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && tid == ptTid && h_ss[tid] == h && t_ss[tid] == t;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} h+1 == T && task == items[t] && !take_in_cs && !put_in_cs;
+ assert {:layer 3} oldH <= H && oldT == T && task != EMPTY && taskstatus == IN_Q;
+
+ return;
+ }
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T) && tid == ptTid && !put_in_cs;
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && oldT == T;
+
+}
+
+
+procedure {:yields}{:layer 3} steal({:linear "tid"} tid:Tid) returns (task: int, taskstatus: bool)
+requires {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) && stealerTid(tid) &&
+ !steal_in_cs[tid];
+requires {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ensures {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1) &&
+ !steal_in_cs[tid] && (task != EMPTY ==> taskstatus == IN_Q);
+ensures {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ensures {:atomic} |{ var i: int; A: goto B,C; B: assume status[i] == IN_Q; status[i] := NOT_IN_Q; return true; C: return true;}|;
+{
+ var h, t: int;
+ var chk: bool;
+ var {:layer 3} oldH:int;
+ var {:layer 3} oldT:int;
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} stealerTid(tid);
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H;
+ assert {:layer 3} !steal_in_cs[tid];
+
+ LOOP_ENTRY_2:
+ while(true)
+ invariant {:layer 3} stealerTid(tid);
+ invariant {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ invariant {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ invariant {:layer 3} !steal_in_cs[tid];
+ {
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} stealerTid(tid);
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H;
+ assert {:layer 3} !steal_in_cs[tid];
+
+ call h := readH_steal(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} H >= h;
+ assert {:layer 3} !steal_in_cs[tid];
+ assert {:layer 3} h_ss[tid] == h;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H;
+
+ call t := readT_steal(tid);
+
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} steal_in_cs[tid];
+ assert {:layer 3} stealerTid(tid) && H >= h && steal_in_cs[tid] && h_ss[tid] == h;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && t == t_ss[tid];
+ assert {:layer 3} (h < t && take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && h == H) ==> (H < T);
+ assert {:layer 3} H >= h;
+
+ if( h>= t) {
+
+ task := EMPTY;
+ call stealExitCS(tid);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} !steal_in_cs[tid];
+ assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid] && h_ss[tid] == h;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H;
+ return;
+ }
+
+ call task, taskstatus := readItems(tid, h);
+
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} stealerTid(tid) && steal_in_cs[tid] && h_ss[tid] == h;
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H;
+ assert {:layer 3} oldH == H && H == h && h_ss[tid] == h ==> task != EMPTY;
+ assert {:layer 3} (take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && h == H) ==> (H < T);
+ assert {:layer 3} h == H ==> status[H] == IN_Q;
+
+ call chk := CAS_H_steal(tid, h,h+1);
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} h_ss[tid] == h;
+ assert {:layer 3} chk ==> (h+1 == oldH && h_ss[tid] == h && task != EMPTY && taskstatus == IN_Q);
+ assert {:layer 3} (take_in_cs && (h_ss[ptTid] < t_ss[ptTid]) && chk) ==> ((oldH-1) < T);
+ assert {:layer 3} {:expand} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} oldH <= H;
+
+ if(!chk) {
+ goto LOOP_ENTRY_2;
+ }
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
+ assert {:layer 3} queueInv(steal_in_cs,put_in_cs,take_in_cs,items, status, H, T-1);
+ assert {:layer 3} ideasInv(put_in_cs,items, status, H, T, take_in_cs, steal_in_cs, h_ss, t_ss);
+ assert {:layer 3} oldH <= H && task != EMPTY;
+ return;
+ }
+
+ call oldH, oldT := GhostRead();
+ yield;
+ assert {:layer 3} chk && task != EMPTY;
+ assert {:layer 3} stealerTid(tid) && !steal_in_cs[tid];
+ assert {:layer 3} oldH <= H;
+}
+
+procedure {:layer 3} {:inline 1} GhostRead() returns (oldH: int, oldT: int)
+{
+ oldH := H;
+ oldT := T;
+}
+
+procedure {:layer 3} {:inline 1} GhostReadStatus() returns (oldStatus: bool)
+{
+ oldStatus := status[T];
+}
+
+procedure {:yields}{:layer 0,3} readH_take({:linear "tid"} tid:Tid) returns (y: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ y := H;
+ take_in_cs := true;
+ h_ss[tid] := H;
+ return true;}|;
+
+procedure {:yields}{:layer 0,3} readH_steal({:linear "tid"} tid:Tid) returns (y: int);
+ensures {:atomic} |{A: assert stealerTid(tid);
+ assert !steal_in_cs[tid];
+ y := H;
+ h_ss[tid] := H;
+ return true;}|;
+
+procedure {:yields}{:layer 0,3} readT_take_init({:linear "tid"} tid:Tid) returns (y: int);
+ensures {:atomic} |{A: assert tid != NIL; assert tid == ptTid; y := T; return true;}|;
+
+procedure {:yields}{:layer 0,3} readT_put({:linear "tid"} tid:Tid) returns (y: int);
+ensures {:atomic} |{A: assert tid != NIL;
+ assert tid == ptTid;
+ put_in_cs := true;
+ y := T;
+ return true;}|;
+
+procedure {:yields}{:layer 0,3} readT_steal({:linear "tid"} tid:Tid) returns (y: int);
+ensures {:atomic} |{A: assert tid != NIL;
+ assert stealerTid(tid);
+ assert !steal_in_cs[tid];
+ y := T;
+ t_ss[tid] := T;
+ steal_in_cs[tid] := true;
+ return true;}|;
+
+procedure {:yields}{:layer 0,3} readItems({:linear "tid"} tid:Tid, ind: int) returns (y: int, b:bool);
+ensures {:atomic} |{A: y := items[ind]; b := status[ind]; return true; }|;
+
+procedure {:yields}{:layer 0,3} writeT_put({:linear "tid"} tid:Tid, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ T := T+1;
+ put_in_cs := false;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} writeT_take({:linear "tid"} tid:Tid, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ T := val;
+ t_ss[tid] := val;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} writeT_take_abort({:linear "tid"} tid:Tid, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ assert take_in_cs;
+ T := val;
+ take_in_cs := false;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} writeT_take_eq({:linear "tid"} tid:Tid, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ T := val;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} takeExitCS({:linear "tid"} tid:Tid);
+ensures {:atomic} |{A: assert tid == ptTid;
+ take_in_cs := false;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} stealExitCS({:linear "tid"} tid:Tid);
+ensures {:atomic} |{A: assert stealerTid(tid);
+ assert steal_in_cs[tid];
+ steal_in_cs[tid] := false;
+ return true; }|;
+
+
+procedure {:yields}{:layer 0,3} writeItems({:linear "tid"} tid:Tid, idx: int, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ assert val != EMPTY;
+ items[idx] := val;
+ status[idx] := IN_Q;
+ return true; }|;
+
+
+procedure {:yields}{:layer 0,3} writeItems_put({:linear "tid"} tid:Tid, idx: int, val: int);
+ensures {:atomic} |{A: assert tid == ptTid;
+ assert val != EMPTY;
+ items[idx] := val;
+ status[idx] := IN_Q;
+ return true; }|;
+
+procedure {:yields}{:layer 0,3} CAS_H_take({:linear "tid"} tid:Tid, prevVal :int, val: int)
+ returns (result: bool);
+ensures {:atomic} |{ A: assert tid == ptTid;
+ goto B, C;
+ B: assume H == prevVal;
+ take_in_cs := false;
+ status[H] := NOT_IN_Q;
+ H := H+1;
+ result := true;
+ return true;
+ C: assume H != prevVal; result := false;
+ take_in_cs := false;
+ return true;
+}|;
+
+procedure {:yields}{:layer 0,3} CAS_H_steal({:linear "tid"} tid:Tid, prevVal :int, val: int)
+ returns (result: bool);
+ensures {:atomic} |{ A: assert stealerTid(tid);
+ goto B, C;
+ B: assume H == prevVal;
+ status[H] := NOT_IN_Q;
+ H := H+1;
+ result := true;
+ steal_in_cs[tid] := false;
+ return true;
+ C: assume H != prevVal;
+ result := false;
+ steal_in_cs[tid] := false;
+ return true;
}|; \ No newline at end of file
diff --git a/Test/civl/wsq.bpl.expect b/Test/civl/wsq.bpl.expect
new file mode 100644
index 00000000..9823d44a
--- /dev/null
+++ b/Test/civl/wsq.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/codeexpr/CodeExpr0.bpl b/Test/codeexpr/CodeExpr0.bpl
index 97dd60e7..e6bf584d 100644
--- a/Test/codeexpr/CodeExpr0.bpl
+++ b/Test/codeexpr/CodeExpr0.bpl
@@ -1,55 +1,55 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P()
-{
- assert |{ A: return true; }|;
-}
-
-// ------------
-
-procedure Q()
-{
- assert |{ var x: bool; A: x := true; return x; }|;
-}
-
-procedure R()
-{
- assert |{ var x: bool; A: x := false; return x; }|; // error
-}
-
-procedure S()
-{
- assert |{ var x: bool; A: return x; }|; // error
-}
-
-// ------------
-
-procedure T(x: int, y: int)
- requires |{ var z: bool;
- Start: goto A;
- A: z := false; goto B, C;
- B: assume 0 <= x; goto D;
- C: assume x < 0; goto R;
- D: goto E, F;
- E: assume 0 <= y; z := true; goto R;
- F: assume y < 0; goto R;
- R: return z;
- }|;
-{
- assert 0 <= x + y;
-}
-
-procedure U(x: int, y: int)
- requires |{ var z: bool;
- Start: goto A;
- A: z := false; goto B, C;
- B: assume 0 <= x; goto D;
- C: assume x < 0; goto R;
- D: goto E, F;
- E: assume 0 <= y; z := true; goto R;
- F: assume y < 0; goto R;
- R: return z;
- }|;
-{
- assert x <= y; // error
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P()
+{
+ assert |{ A: return true; }|;
+}
+
+// ------------
+
+procedure Q()
+{
+ assert |{ var x: bool; A: x := true; return x; }|;
+}
+
+procedure R()
+{
+ assert |{ var x: bool; A: x := false; return x; }|; // error
+}
+
+procedure S()
+{
+ assert |{ var x: bool; A: return x; }|; // error
+}
+
+// ------------
+
+procedure T(x: int, y: int)
+ requires |{ var z: bool;
+ Start: goto A;
+ A: z := false; goto B, C;
+ B: assume 0 <= x; goto D;
+ C: assume x < 0; goto R;
+ D: goto E, F;
+ E: assume 0 <= y; z := true; goto R;
+ F: assume y < 0; goto R;
+ R: return z;
+ }|;
+{
+ assert 0 <= x + y;
+}
+
+procedure U(x: int, y: int)
+ requires |{ var z: bool;
+ Start: goto A;
+ A: z := false; goto B, C;
+ B: assume 0 <= x; goto D;
+ C: assume x < 0; goto R;
+ D: goto E, F;
+ E: assume 0 <= y; z := true; goto R;
+ F: assume y < 0; goto R;
+ R: return z;
+ }|;
+{
+ assert x <= y; // error
+}
diff --git a/Test/codeexpr/CodeExpr1.bpl b/Test/codeexpr/CodeExpr1.bpl
index 4e8faf3f..98e97cdb 100644
--- a/Test/codeexpr/CodeExpr1.bpl
+++ b/Test/codeexpr/CodeExpr1.bpl
@@ -1,69 +1,69 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// ------ the good ------
-
-procedure F(x: int, y: int) returns (z: bool)
- requires x < y;
- ensures z == (x < 3);
-{
- start:
- z := |{ var a : bool, b : bool; B: a := x < 3; return a; }|;
- return;
-}
-
-function r(int): bool;
-
-procedure F'(x: int, y: int) returns (z: bool)
-{
- start:
- assume x < y;
- assume (forall t: int :: x < 3 + t ==> r(t));
- assert r(y);
-}
-
-procedure F''(x: int, y: int) returns (z: bool)
-{
- start:
- assume x < y;
- assume (forall t: int :: |{ var a: bool;
- Start:
- a := x < 3 + t;
- goto X, Y;
- X: assume a; return r(t);
- Y: assume !a; return true;
- }|);
- assert r(y);
-}
-
-// ------ the bad ------
-
-procedure G(x: int, y: int) returns (z: bool)
- requires x < y;
- ensures z == (x < 3);
-{
- start:
- z := |{ var a : bool, b : bool; B: a := x < 3; return !a; }|;
- return; // error: postcondition violation
-}
-
-procedure G'(x: int, y: int) returns (z: bool)
-{
- start:
- assume x < y;
- assume (forall t: int :: x + 3 < t ==> r(t));
- assert r(y); // error
-}
-
-procedure G''(x: int, y: int) returns (z: bool)
-{
- start:
- assume x < y;
- assume (forall t: int :: |{ var a: bool;
- Start:
- a := x + 3 < t;
- goto X, Y;
- X: assume a; return r(t);
- Y: assume !a; return true;
- }|);
- assert r(y); // error
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// ------ the good ------
+
+procedure F(x: int, y: int) returns (z: bool)
+ requires x < y;
+ ensures z == (x < 3);
+{
+ start:
+ z := |{ var a : bool, b : bool; B: a := x < 3; return a; }|;
+ return;
+}
+
+function r(int): bool;
+
+procedure F'(x: int, y: int) returns (z: bool)
+{
+ start:
+ assume x < y;
+ assume (forall t: int :: x < 3 + t ==> r(t));
+ assert r(y);
+}
+
+procedure F''(x: int, y: int) returns (z: bool)
+{
+ start:
+ assume x < y;
+ assume (forall t: int :: |{ var a: bool;
+ Start:
+ a := x < 3 + t;
+ goto X, Y;
+ X: assume a; return r(t);
+ Y: assume !a; return true;
+ }|);
+ assert r(y);
+}
+
+// ------ the bad ------
+
+procedure G(x: int, y: int) returns (z: bool)
+ requires x < y;
+ ensures z == (x < 3);
+{
+ start:
+ z := |{ var a : bool, b : bool; B: a := x < 3; return !a; }|;
+ return; // error: postcondition violation
+}
+
+procedure G'(x: int, y: int) returns (z: bool)
+{
+ start:
+ assume x < y;
+ assume (forall t: int :: x + 3 < t ==> r(t));
+ assert r(y); // error
+}
+
+procedure G''(x: int, y: int) returns (z: bool)
+{
+ start:
+ assume x < y;
+ assume (forall t: int :: |{ var a: bool;
+ Start:
+ a := x + 3 < t;
+ goto X, Y;
+ X: assume a; return r(t);
+ Y: assume !a; return true;
+ }|);
+ assert r(y); // error
+}
diff --git a/Test/codeexpr/CodeExpr2.bpl b/Test/codeexpr/CodeExpr2.bpl
index 9d8beed7..0edaa8f4 100644
--- a/Test/codeexpr/CodeExpr2.bpl
+++ b/Test/codeexpr/CodeExpr2.bpl
@@ -1,52 +1,52 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type T;
-const zero: T;
-
-function IsProperIndex(i: int, size: int): bool;
-
-procedure P(a: [int]T, n: int)
- requires (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
-{
- call Q(a, n);
-}
-
-procedure Q(a: [int]T, n: int)
- requires (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
-{
- call P(a, n);
-}
-
-procedure A(a: [int]T, n: int)
-{
- assert
- (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero)
- ==>
- (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
-}
-
-procedure B(a: [int]T, n: int)
-{
- assert
- (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|)
- ==>
- (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
-}
-
-procedure C(a: [int]T, n: int)
-{
- Start:
- assume (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
- goto Next;
- Next:
- assert (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
-}
-
-procedure D(a: [int]T, n: int)
-{
- Start:
- assume (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
- goto Next;
- Next:
- assert (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type T;
+const zero: T;
+
+function IsProperIndex(i: int, size: int): bool;
+
+procedure P(a: [int]T, n: int)
+ requires (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
+{
+ call Q(a, n);
+}
+
+procedure Q(a: [int]T, n: int)
+ requires (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
+{
+ call P(a, n);
+}
+
+procedure A(a: [int]T, n: int)
+{
+ assert
+ (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero)
+ ==>
+ (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
+}
+
+procedure B(a: [int]T, n: int)
+{
+ assert
+ (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|)
+ ==>
+ (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
+}
+
+procedure C(a: [int]T, n: int)
+{
+ Start:
+ assume (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
+ goto Next;
+ Next:
+ assert (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
+}
+
+procedure D(a: [int]T, n: int)
+{
+ Start:
+ assume (forall i : int :: IsProperIndex(i, n) ==> |{ B: return a[i] == zero; }|);
+ goto Next;
+ Next:
+ assert (forall i : int :: IsProperIndex(i, n) ==> a[i] == zero);
+}
diff --git a/Test/codeexpr/codeExprBug.bpl b/Test/codeexpr/codeExprBug.bpl
index 4eb86789..e1ae938c 100644
--- a/Test/codeexpr/codeExprBug.bpl
+++ b/Test/codeexpr/codeExprBug.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure p() returns ($r: int);
- ensures |{ $bb0: return ($r == 1); }|;
-
-implementation p() returns ($x: int)
-{
- $x := 1;
- return;
-}
-
-procedure q()
- ensures |{ var $b: bool; $0: $b := true; goto $1; $1: return $b; }|;
-{
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure p() returns ($r: int);
+ ensures |{ $bb0: return ($r == 1); }|;
+
+implementation p() returns ($x: int)
+{
+ $x := 1;
+ return;
+}
+
+procedure q()
+ ensures |{ var $b: bool; $0: $b := true; goto $1; $1: return $b; }|;
+{
+}
diff --git a/Test/codeexpr/codeExprBug.bpl.expect b/Test/codeexpr/codeExprBug.bpl.expect
index 3de74d3e..41374b00 100644
--- a/Test/codeexpr/codeExprBug.bpl.expect
+++ b/Test/codeexpr/codeExprBug.bpl.expect
@@ -1,2 +1,2 @@
-
-Boogie program verifier finished with 2 verified, 0 errors
+
+Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/commandline/multiple_procs_unusual_identifiers.bpl b/Test/commandline/multiple_procs_unusual_identifiers.bpl
new file mode 100644
index 00000000..a3a4a4c1
--- /dev/null
+++ b/Test/commandline/multiple_procs_unusual_identifiers.bpl
@@ -0,0 +1,75 @@
+// RUN: %boogie "-proc:*Bar*" "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 10 verified, 0 errors
+
+procedure foo()
+{
+ assert false;
+}
+
+procedure bar()
+{
+ assert false;
+}
+
+/* Start should be matched */
+
+procedure _Bar()
+{
+ assert true;
+}
+
+procedure .Bar()
+{
+ assert true;
+}
+
+procedure ..Bar..()
+{
+ assert true;
+}
+
+procedure $Bar()
+{
+ assert true;
+}
+
+procedure #Bar()
+{
+ assert true;
+}
+
+procedure 'Bar''()
+{
+ assert true;
+}
+
+procedure ``Bar``()
+{
+ assert true;
+}
+
+procedure ~Bar()
+{
+ assert true;
+}
+
+procedure Bar^^()
+{
+ assert true;
+}
+
+/* This is Boogie2 claims backslash is a valid identifier
+ but the parser rejects this.
+procedure Bar\\()
+{
+ assert true;
+}
+*/
+
+procedure ??Bar()
+{
+ assert true;
+}
+
+/* End should be matched */
diff --git a/Test/commandline/multiple_procs_verify_four_asterisk_wildcard.bpl b/Test/commandline/multiple_procs_verify_four_asterisk_wildcard.bpl
new file mode 100644
index 00000000..e0f8eef3
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_four_asterisk_wildcard.bpl
@@ -0,0 +1,28 @@
+// RUN: %boogie "-proc:*Bar" "-proc:*Foo" "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 4 verified, 0 errors
+
+procedure foo()
+{
+ assert false;
+}
+
+procedure helpfulFoo()
+{
+ assert true;
+}
+
+procedure Foo()
+{
+ assert true;
+}
+
+procedure translucentBar()
+{
+ assert true;
+}
+
+procedure opaqueBar()
+{
+ assert true;
+}
diff --git a/Test/commandline/multiple_procs_verify_one.bpl b/Test/commandline/multiple_procs_verify_one.bpl
new file mode 100644
index 00000000..5eaef4b1
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_one.bpl
@@ -0,0 +1,22 @@
+// RUN: %boogie -proc:foo "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 1 verified, 0 errors
+
+// Only this procedure should be verified, the others should be ignored
+procedure foo()
+{
+ assume true;
+}
+
+// An old version of Boogie just checked if the name passed to ``-proc:``
+// occurs somewhere in procedure name which would cause it to try and also
+// verify the procedures below.
+procedure foo2()
+{
+ assert false;
+}
+
+procedure function_foo()
+{
+ assert false;
+}
diff --git a/Test/commandline/multiple_procs_verify_one_request_twice.bpl b/Test/commandline/multiple_procs_verify_one_request_twice.bpl
new file mode 100644
index 00000000..7c6ee34e
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_one_request_twice.bpl
@@ -0,0 +1,20 @@
+// RUN: %boogie -proc:foo -proc:foo "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 1 verified, 0 errors
+
+// Although the command line requests two verify this procedure twice we should
+// only do try once.
+procedure foo()
+{
+ assume true;
+}
+
+procedure bar()
+{
+ assert false;
+}
+
+procedure baz()
+{
+ assert false;
+}
diff --git a/Test/commandline/multiple_procs_verify_two.bpl b/Test/commandline/multiple_procs_verify_two.bpl
new file mode 100644
index 00000000..b089bd5b
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_two.bpl
@@ -0,0 +1,17 @@
+// RUN: %boogie -proc:foo -proc:bar "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 2 verified, 0 errors
+procedure foo()
+{
+ assume true;
+}
+
+procedure bar()
+{
+ assert true;
+}
+
+procedure barz()
+{
+ assert false;
+}
diff --git a/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_begin.bpl b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_begin.bpl
new file mode 100644
index 00000000..0f6571ba
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_begin.bpl
@@ -0,0 +1,17 @@
+// RUN: %boogie "-proc:*Bar" "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 2 verified, 0 errors
+procedure foo()
+{
+ assert false;
+}
+
+procedure translucentBar()
+{
+ assert true;
+}
+
+procedure opaqueBar()
+{
+ assert true;
+}
diff --git a/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_end.bpl b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_end.bpl
new file mode 100644
index 00000000..5cb102e2
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_end.bpl
@@ -0,0 +1,17 @@
+// RUN: %boogie "-proc:bar*" "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 2 verified, 0 errors
+procedure foo()
+{
+ assert false;
+}
+
+procedure bar()
+{
+ assert true;
+}
+
+procedure barzzz()
+{
+ assert true;
+}
diff --git a/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_inbetween.bpl b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_inbetween.bpl
new file mode 100644
index 00000000..7e19fe79
--- /dev/null
+++ b/Test/commandline/multiple_procs_verify_two_asterisk_wildcard_inbetween.bpl
@@ -0,0 +1,23 @@
+// RUN: %boogie "-proc:trivial*ZZZ" "%s" > "%t"
+// RUN: %OutputCheck --file-to-check "%t" "%s"
+// CHECK-L: Boogie program verifier finished with 2 verified, 0 errors
+procedure foo()
+{
+ assert false;
+}
+
+// should not be matched
+procedure trivialFooZZX()
+{
+ assert false;
+}
+
+procedure trivialFooZZZ()
+{
+ assert true;
+}
+
+procedure trivialBarZZZ()
+{
+ assert true;
+}
diff --git a/Test/datatypes/ex.bpl b/Test/datatypes/ex.bpl
index e7a0e694..753d8801 100644
--- a/Test/datatypes/ex.bpl
+++ b/Test/datatypes/ex.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -typeEncoding:m "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type{:datatype} finite_map;
-function{:constructor} finite_map(dom:[int]bool, map:[int]int):finite_map;
-
-type{:datatype} partition;
-function{:constructor} partition(owners:[int]int, vars:[int]finite_map):partition;
-
-procedure P(arr:finite_map)
- requires dom#finite_map(arr)[0];
- ensures dom#finite_map(arr)[0];
-{
-}
+// RUN: %boogie -typeEncoding:m "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type{:datatype} finite_map;
+function{:constructor} finite_map(dom:[int]bool, map:[int]int):finite_map;
+
+type{:datatype} partition;
+function{:constructor} partition(owners:[int]int, vars:[int]finite_map):partition;
+
+procedure P(arr:finite_map)
+ requires dom#finite_map(arr)[0];
+ ensures dom#finite_map(arr)[0];
+{
+}
diff --git a/Test/datatypes/t1.bpl b/Test/datatypes/t1.bpl
index f0488639..876d879d 100644
--- a/Test/datatypes/t1.bpl
+++ b/Test/datatypes/t1.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -typeEncoding:m "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type TT;
-type {:datatype} Tree;
-function {:constructor} leaf() : Tree;
-function {:constructor} node(value:TT, children:TreeList) : Tree;
-
-type {:datatype} TreeList;
-function {:constructor} cons(car:Tree, cdr:TreeList) : TreeList;
-function {:constructor} nil() : TreeList;
-
-procedure foo()
-{
- var a: Tree;
- var b: TreeList;
- var x: TT;
-
- assert value#node(node(x, nil())) == x;
- assert children#node(node(x, nil())) == nil();
-
- assert (cons(leaf(), nil()) != nil());
-
- assert is#nil(nil());
-
- assert is#node(leaf());
+// RUN: %boogie -typeEncoding:m "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type TT;
+type {:datatype} Tree;
+function {:constructor} leaf() : Tree;
+function {:constructor} node(value:TT, children:TreeList) : Tree;
+
+type {:datatype} TreeList;
+function {:constructor} cons(car:Tree, cdr:TreeList) : TreeList;
+function {:constructor} nil() : TreeList;
+
+procedure foo()
+{
+ var a: Tree;
+ var b: TreeList;
+ var x: TT;
+
+ assert value#node(node(x, nil())) == x;
+ assert children#node(node(x, nil())) == nil();
+
+ assert (cons(leaf(), nil()) != nil());
+
+ assert is#nil(nil());
+
+ assert is#node(leaf());
} \ No newline at end of file
diff --git a/Test/datatypes/t2.bpl b/Test/datatypes/t2.bpl
index 106b8d0c..257fff9c 100644
--- a/Test/datatypes/t2.bpl
+++ b/Test/datatypes/t2.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -typeEncoding:m "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type TT;
-type {:datatype} Tree;
-function {:constructor} leaf`0() : Tree;
-function {:constructor} node`2(value:TT, children:TreeList) : Tree;
-
-type {:datatype} TreeList;
-function {:constructor} cons`2(car:Tree, cdr:TreeList) : TreeList;
-function {:constructor} nil`0() : TreeList;
-
-procedure foo()
-{
- var a: Tree;
- var b: TreeList;
- var x: TT;
-
- assert value#node`2(node`2(x, nil`0())) == x;
- assert children#node`2(node`2(x, nil`0())) == nil`0();
-
- assert (cons`2(leaf`0(), nil`0()) != nil`0());
-
- assert is#nil`0(nil`0());
-
- assert is#node`2(leaf`0());
+// RUN: %boogie -typeEncoding:m "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type TT;
+type {:datatype} Tree;
+function {:constructor} leaf`0() : Tree;
+function {:constructor} node`2(value:TT, children:TreeList) : Tree;
+
+type {:datatype} TreeList;
+function {:constructor} cons`2(car:Tree, cdr:TreeList) : TreeList;
+function {:constructor} nil`0() : TreeList;
+
+procedure foo()
+{
+ var a: Tree;
+ var b: TreeList;
+ var x: TT;
+
+ assert value#node`2(node`2(x, nil`0())) == x;
+ assert children#node`2(node`2(x, nil`0())) == nil`0();
+
+ assert (cons`2(leaf`0(), nil`0()) != nil`0());
+
+ assert is#nil`0(nil`0());
+
+ assert is#node`2(leaf`0());
} \ No newline at end of file
diff --git a/Test/doomed/doomdebug.bpl b/Test/doomed/doomdebug.bpl
index 0f45c13c..ef89e9dc 100644
--- a/Test/doomed/doomdebug.bpl
+++ b/Test/doomed/doomdebug.bpl
@@ -1,44 +1,44 @@
-procedure badtrace(x:int, y:int, z:int)
-{
- var xin : int;
- xin := x+z;
-
-
- if (y>5) {
- xin:=5;
- } else {
- assert xin != x;
- }
-}
-
-procedure baddiamond(x:int, y:int, z:int)
-{
- var xin : int;
- var yin : int;
- var zin : int;
- xin := x;
- yin := y;
- zin := z;
-
- if (y<5) {
- xin := xin -3;
- } else {
- zin := zin +10;
- xin := 0;
- }
-
- if (x<100) {
- yin := 3;
- } else {
- zin := 5;
- }
-
- if (z>5) {
- yin := yin - 2;
- xin := xin + 3;
- }
-
- zin:=zin+yin;
-
- assert xin!=x;
-}
+procedure badtrace(x:int, y:int, z:int)
+{
+ var xin : int;
+ xin := x+z;
+
+
+ if (y>5) {
+ xin:=5;
+ } else {
+ assert xin != x;
+ }
+}
+
+procedure baddiamond(x:int, y:int, z:int)
+{
+ var xin : int;
+ var yin : int;
+ var zin : int;
+ xin := x;
+ yin := y;
+ zin := z;
+
+ if (y<5) {
+ xin := xin -3;
+ } else {
+ zin := zin +10;
+ xin := 0;
+ }
+
+ if (x<100) {
+ yin := 3;
+ } else {
+ zin := 5;
+ }
+
+ if (z>5) {
+ yin := yin - 2;
+ xin := xin + 3;
+ }
+
+ zin:=zin+yin;
+
+ assert xin!=x;
+}
diff --git a/Test/doomed/doomed.bpl b/Test/doomed/doomed.bpl
index 9dea47b7..b211a481 100644
--- a/Test/doomed/doomed.bpl
+++ b/Test/doomed/doomed.bpl
@@ -1,87 +1,87 @@
-// RUN: %boogie -vc:doomed %s
-procedure evilrequires(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-procedure evilbranch(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
- assume y!=2;
-
- assert x<0;
-}
-
-
-procedure evilloop(x:int)
-{
- var y : int;
- y:=x;
- while (y<100) {
- y := y -1;
- }
-}
-
-procedure evilnested(x:int)
-{
- var i : int;
- var j : int;
- i:=x-1;
- j:=1;
- while (i>=0) {
- while (j<=i) {
- assert j<x;
- j := j+1;
- }
- i := i - 1;
- }
-}
-
-
-procedure evilpath(x:int)
-{
- var y : int;
- y:=0;
- if (x>10) {
- y:=3;
- } else {
- assert y!=0;
- }
-}
-
-procedure evilcondition(x:int)
-{
- var y : int;
- y:=0;
- if (x!=0) {
- y:=3;
- } else {
- assert x!=0;
- }
-}
-
-procedure evilensures(x:int) returns ($result: int)
- ensures $result>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- $result:=-1;
- }
-}
+// RUN: %boogie -vc:doomed %s
+procedure evilrequires(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+procedure evilbranch(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+ assume y!=2;
+
+ assert x<0;
+}
+
+
+procedure evilloop(x:int)
+{
+ var y : int;
+ y:=x;
+ while (y<100) {
+ y := y -1;
+ }
+}
+
+procedure evilnested(x:int)
+{
+ var i : int;
+ var j : int;
+ i:=x-1;
+ j:=1;
+ while (i>=0) {
+ while (j<=i) {
+ assert j<x;
+ j := j+1;
+ }
+ i := i - 1;
+ }
+}
+
+
+procedure evilpath(x:int)
+{
+ var y : int;
+ y:=0;
+ if (x>10) {
+ y:=3;
+ } else {
+ assert y!=0;
+ }
+}
+
+procedure evilcondition(x:int)
+{
+ var y : int;
+ y:=0;
+ if (x!=0) {
+ y:=3;
+ } else {
+ assert x!=0;
+ }
+}
+
+procedure evilensures(x:int) returns ($result: int)
+ ensures $result>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ $result:=-1;
+ }
+}
diff --git a/Test/doomed/notdoomed.bpl b/Test/doomed/notdoomed.bpl
index 8d57db71..321cf1eb 100644
--- a/Test/doomed/notdoomed.bpl
+++ b/Test/doomed/notdoomed.bpl
@@ -1,58 +1,58 @@
-// RUN: %boogie -vc:doomed %s
-procedure a(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-procedure b(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- assert false;
- }
-}
-
-
-procedure c(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- assert {:PossiblyUnreachable} false;
- }
-}
-
-procedure useCE(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
- if(x<7) {
- y := 5;
- } else {
- y := 6;
- }
-
-}
-
-
-
-
+// RUN: %boogie -vc:doomed %s
+procedure a(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+procedure b(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ assert false;
+ }
+}
+
+
+procedure c(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ assert {:PossiblyUnreachable} false;
+ }
+}
+
+procedure useCE(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+ if(x<7) {
+ y := 5;
+ } else {
+ y := 6;
+ }
+
+}
+
+
+
+
diff --git a/Test/doomed/runtest.bat b/Test/doomed/runtest.bat
index 9dda44cf..8c9364fb 100644
--- a/Test/doomed/runtest.bat
+++ b/Test/doomed/runtest.bat
@@ -1,16 +1,16 @@
-@echo off
-setlocal
-
-set BOOGIEDIR=..\..\Binaries
-set BGEXE=%BOOGIEDIR%\Boogie.exe
-
-for %%f in (doomed.bpl) do (
- echo -------------------- %%f --------------------
- %BGEXE% /vc:doomed %* %%f
-)
-
-for %%f in (notdoomed.bpl) do (
- echo -------------------- %%f --------------------
- %BGEXE% /vc:doomed %* %%f
-)
-
+@echo off
+setlocal
+
+set BOOGIEDIR=..\..\Binaries
+set BGEXE=%BOOGIEDIR%\Boogie.exe
+
+for %%f in (doomed.bpl) do (
+ echo -------------------- %%f --------------------
+ %BGEXE% /vc:doomed %* %%f
+)
+
+for %%f in (notdoomed.bpl) do (
+ echo -------------------- %%f --------------------
+ %BGEXE% /vc:doomed %* %%f
+)
+
diff --git a/Test/doomed/smoke0.bpl b/Test/doomed/smoke0.bpl
index db01233f..159244ab 100644
--- a/Test/doomed/smoke0.bpl
+++ b/Test/doomed/smoke0.bpl
@@ -1,79 +1,79 @@
-procedure a(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-procedure b(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-
-procedure c(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- assert false;
- } else {
- y := 2;
- }
-}
-
-procedure d(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- assert false;
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-procedure doomed1(x:int)
-{
- var y : int;
- y := 0;
- if(x<0) {
- y := 1;
- } else {
- assert y!=0;
- }
-}
-
-
-procedure doomed2(x:int)
-{
- var y : int;
- y := 0;
- if(x!=0) {
- y := 1;
- } else {
- assert x!=0;
- }
-}
-
-
-
-
+procedure a(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+procedure b(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+
+procedure c(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ assert false;
+ } else {
+ y := 2;
+ }
+}
+
+procedure d(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ assert false;
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+procedure doomed1(x:int)
+{
+ var y : int;
+ y := 0;
+ if(x<0) {
+ y := 1;
+ } else {
+ assert y!=0;
+ }
+}
+
+
+procedure doomed2(x:int)
+{
+ var y : int;
+ y := 0;
+ if(x!=0) {
+ y := 1;
+ } else {
+ assert x!=0;
+ }
+}
+
+
+
+
diff --git a/Test/extractloops/detLoopExtract.bpl b/Test/extractloops/detLoopExtract.bpl
index 7e9d0629..463cecf0 100644
--- a/Test/extractloops/detLoopExtract.bpl
+++ b/Test/extractloops/detLoopExtract.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -deterministicExtractLoops -recursionBound:4 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -deterministicExtractLoops -recursionBound:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
var g:int;
var h:int; //not modified
var k:int; //modified in a procedure call
diff --git a/Test/extractloops/detLoopExtract2.bpl b/Test/extractloops/detLoopExtract2.bpl
new file mode 100644
index 00000000..f2befc53
--- /dev/null
+++ b/Test/extractloops/detLoopExtract2.bpl
@@ -0,0 +1,27 @@
+// RUN: %boogie -nologo -nologo -stratifiedInline:1 -extractLoops -deterministicExtractLoops -recursionBound:6 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+//This example checks the bug fix in the loop extract for http://symdiff.codeplex.com/workitem/4
+procedure {:entrypoint} Main() returns(r:int)
+{
+ var i, j : int;
+ var Flag : bool;
+ var b : bool;
+ i := 0;
+ j := 0;
+ Flag := false;
+ while(i<3)
+ {
+ havoc b;
+ if (b || Flag) {
+ i := i + 1;
+ j := j + 1;
+ }
+ else {
+ Flag := true;
+ j := j + 1;
+ }
+ }
+ assume !(i == j || i == j - 1);
+ return;
+}
diff --git a/Test/extractloops/detLoopExtract2.bpl.expect b/Test/extractloops/detLoopExtract2.bpl.expect
new file mode 100644
index 00000000..37fad75c
--- /dev/null
+++ b/Test/extractloops/detLoopExtract2.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/extractloops/detLoopExtractNested.bpl b/Test/extractloops/detLoopExtractNested.bpl
new file mode 100644
index 00000000..65de20c1
--- /dev/null
+++ b/Test/extractloops/detLoopExtractNested.bpl
@@ -0,0 +1,23 @@
+// RUN: %boogie -nologo -stratifiedInline:1 -extractLoops -deterministicExtractLoops -recursionBound:100 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+//This example checks the bug fix in the loop extract for http://symdiff.codeplex.com/workitem/1
+
+var t: int;
+procedure {:entrypoint} NestedLoops()
+modifies t;
+//ensures t == 6;
+{
+ var i:int, j:int;
+ i, j, t := 0, 0, 0;
+ while(i < 2) {
+ j := 0;
+ while (j < 3) {
+ t := t + 1;
+ j := j + 1;
+ }
+ i := i + 1;
+ }
+ assume true; //would be provable (!true) wihtout the fix
+}
+
diff --git a/Test/extractloops/detLoopExtractNested.bpl.expect b/Test/extractloops/detLoopExtractNested.bpl.expect
new file mode 100644
index 00000000..f4932ede
--- /dev/null
+++ b/Test/extractloops/detLoopExtractNested.bpl.expect
@@ -0,0 +1,19 @@
+(0,0): Error BP5001: This assertion might not hold.
+Execution trace:
+ detLoopExtractNested.bpl(12,12): anon0
+ detLoopExtractNested.bpl(14,8): anon5_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(15,6): anon6_LoopDone
+ detLoopExtractNested.bpl(15,6): anon6_LoopDone
+ detLoopExtractNested.bpl(14,8): anon5_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(16,10): anon6_LoopBody
+ detLoopExtractNested.bpl(15,6): anon6_LoopDone
+ detLoopExtractNested.bpl(15,6): anon6_LoopDone
+ detLoopExtractNested.bpl(13,4): anon5_LoopDone
+ detLoopExtractNested.bpl(13,4): anon5_LoopDone
+
+Boogie program verifier finished with 0 verified, 1 error
diff --git a/Test/extractloops/t1.bpl b/Test/extractloops/t1.bpl
index a0ebb0b8..731c4e44 100644
--- a/Test/extractloops/t1.bpl
+++ b/Test/extractloops/t1.bpl
@@ -1,43 +1,43 @@
-// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-
-
-
-procedure foo()
-{
- var t: int;
- t := 0;
-}
-
-procedure {:entrypoint} A()
-modifies g;
-{
- var x: int;
- var y: int;
-
- anon0:
- assume g == 0;
- x := 4;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call foo();
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume g < x;
- g := g + 1;
- x := x - 1;
- goto anon3_LoopHead;
-
- anon3_LoopDone:
- assume g >= x;
- goto anon2;
-
- anon2:
- assume x != 1;
- return;
-}
-
-
+// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+
+
+
+procedure foo()
+{
+ var t: int;
+ t := 0;
+}
+
+procedure {:entrypoint} A()
+modifies g;
+{
+ var x: int;
+ var y: int;
+
+ anon0:
+ assume g == 0;
+ x := 4;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call foo();
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume g < x;
+ g := g + 1;
+ x := x - 1;
+ goto anon3_LoopHead;
+
+ anon3_LoopDone:
+ assume g >= x;
+ goto anon2;
+
+ anon2:
+ assume x != 1;
+ return;
+}
+
+
diff --git a/Test/extractloops/t2.bpl b/Test/extractloops/t2.bpl
index d62733f7..39d65292 100644
--- a/Test/extractloops/t2.bpl
+++ b/Test/extractloops/t2.bpl
@@ -1,54 +1,54 @@
-// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-
-
-procedure foo()
-{
- var t: int;
- t := 0;
-}
-
-procedure {:entrypoint} A()
-modifies g;
-{
- var x: int;
- var y: int;
-
- anon0:
- assume g == 0;
- x := 4;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call foo();
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume g < x;
- g := g + 1;
- x := x - 1;
- y := 0;
- goto lab1_LoopHead;
-
- lab1_LoopHead:
- goto lab1_LoopBody, lab1_LoopDone;
-
- lab1_LoopBody:
- assume y < 2;
- y := y + 1;
- goto lab1_LoopHead;
-
- lab1_LoopDone:
- assume y >= 2;
- goto anon3_LoopHead;
-
- anon3_LoopDone:
- assume g >= x;
- goto anon2;
-
- anon2:
- return;
-}
-
-
+// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+
+
+procedure foo()
+{
+ var t: int;
+ t := 0;
+}
+
+procedure {:entrypoint} A()
+modifies g;
+{
+ var x: int;
+ var y: int;
+
+ anon0:
+ assume g == 0;
+ x := 4;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call foo();
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume g < x;
+ g := g + 1;
+ x := x - 1;
+ y := 0;
+ goto lab1_LoopHead;
+
+ lab1_LoopHead:
+ goto lab1_LoopBody, lab1_LoopDone;
+
+ lab1_LoopBody:
+ assume y < 2;
+ y := y + 1;
+ goto lab1_LoopHead;
+
+ lab1_LoopDone:
+ assume y >= 2;
+ goto anon3_LoopHead;
+
+ anon3_LoopDone:
+ assume g >= x;
+ goto anon2;
+
+ anon2:
+ return;
+}
+
+
diff --git a/Test/extractloops/t3.bpl b/Test/extractloops/t3.bpl
index 023a9adb..9e7720ec 100644
--- a/Test/extractloops/t3.bpl
+++ b/Test/extractloops/t3.bpl
@@ -1,43 +1,43 @@
-// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -recursionBound:2 "%s" > "%t"
-// RUN: %diff "%s.rb2.expect" "%t"
-// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -recursionBound:4 "%s" > "%t"
-// RUN: %diff "%s.rb4.expect" "%t"
-var g: int;
-
-procedure foo()
-{
- var t: int;
- t := 0;
-}
-
-procedure {:entrypoint} A()
-modifies g;
-{
- var x: int;
- var y: int;
-
- anon0:
- assume g == 0;
- x := 4;
- goto anon3_LoopHead, anon3_LoopBody;
-
- anon3_LoopHead:
- call foo();
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume g < x;
- g := g + 1;
- x := x - 1;
- goto anon3_LoopHead;
-
- anon3_LoopDone:
- assume g >= x;
- goto anon2;
-
- anon2:
- assume x != 1;
- return;
-}
-
-
+// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -recursionBound:2 "%s" > "%t"
+// RUN: %diff "%s.rb2.expect" "%t"
+// RUN: %boogie -stratifiedInline:1 -extractLoops -removeEmptyBlocks:0 -coalesceBlocks:0 -recursionBound:4 "%s" > "%t"
+// RUN: %diff "%s.rb4.expect" "%t"
+var g: int;
+
+procedure foo()
+{
+ var t: int;
+ t := 0;
+}
+
+procedure {:entrypoint} A()
+modifies g;
+{
+ var x: int;
+ var y: int;
+
+ anon0:
+ assume g == 0;
+ x := 4;
+ goto anon3_LoopHead, anon3_LoopBody;
+
+ anon3_LoopHead:
+ call foo();
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume g < x;
+ g := g + 1;
+ x := x - 1;
+ goto anon3_LoopHead;
+
+ anon3_LoopDone:
+ assume g >= x;
+ goto anon2;
+
+ anon2:
+ assume x != 1;
+ return;
+}
+
+
diff --git a/Test/forro/prog0.forro b/Test/forro/prog0.forro
index cf06e1f9..07966e9d 100644
--- a/Test/forro/prog0.forro
+++ b/Test/forro/prog0.forro
@@ -1,39 +1,39 @@
-procedure a, b, c := P(x, y, z)
- requires 10
- ensures 20
-do
- if 30 then else end;
- if 31 then else end;
- while x < z
- invariant x <= z
- invariant b.valid and 1
- do
- k := x + 1;
- end;
- (x+5).head := c.tail;
- a := new (17, 18);
- assert a != null;
- m := k + a + null;
- c := m;
- call Q(x, y, y);
- if x then
- call a, c, b := P(a, b, c);
- else
- call R();
- end;
-end;
-
-
-procedure Q(x, y, z)
- requires 10
- ensures 20
-do
- if 40 then else end;
-end;
-
-
-procedure R()
- requires 10
- ensures 20
-do
-end;
+procedure a, b, c := P(x, y, z)
+ requires 10
+ ensures 20
+do
+ if 30 then else end;
+ if 31 then else end;
+ while x < z
+ invariant x <= z
+ invariant b.valid and 1
+ do
+ k := x + 1;
+ end;
+ (x+5).head := c.tail;
+ a := new (17, 18);
+ assert a != null;
+ m := k + a + null;
+ c := m;
+ call Q(x, y, y);
+ if x then
+ call a, c, b := P(a, b, c);
+ else
+ call R();
+ end;
+end;
+
+
+procedure Q(x, y, z)
+ requires 10
+ ensures 20
+do
+ if 40 then else end;
+end;
+
+
+procedure R()
+ requires 10
+ ensures 20
+do
+end;
diff --git a/Test/generalizedarray/Maps.bpl b/Test/generalizedarray/Maps.bpl
index 24521194..89a6403a 100644
--- a/Test/generalizedarray/Maps.bpl
+++ b/Test/generalizedarray/Maps.bpl
@@ -1,61 +1,61 @@
-// RUN: %boogie -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-
-function {:builtin "MapAdd"} mapadd([X]int, [X]int) : [X]int;
-function {:builtin "MapSub"} mapsub([X]int, [X]int) : [X]int;
-function {:builtin "MapMul"} mapmul([X]int, [X]int) : [X]int;
-function {:builtin "MapDiv"} mapdiv([X]int, [X]int) : [X]int;
-function {:builtin "MapMod"} mapmod([X]int, [X]int) : [X]int;
-function {:builtin "MapConst"} mapconstint(int) : [X]int;
-function {:builtin "MapConst"} mapconstbool(bool) : [X]bool;
-function {:builtin "MapAnd"} mapand([X]bool, [X]bool) : [X]bool;
-function {:builtin "MapOr"} mapor([X]bool, [X]bool) : [X]bool;
-function {:builtin "MapNot"} mapnot([X]bool) : [X]bool;
-function {:builtin "MapIte"} mapiteint([X]bool, [X]int, [X]int) : [X]int;
-function {:builtin "MapIte"} mapitebool([X]bool, [X]bool, [X]bool) : [X]bool;
-function {:builtin "MapLe"} maple([X]int, [X]int) : [X]bool;
-function {:builtin "MapLt"} maplt([X]int, [X]int) : [X]bool;
-function {:builtin "MapGe"} mapge([X]int, [X]int) : [X]bool;
-function {:builtin "MapGt"} mapgt([X]int, [X]int) : [X]bool;
-function {:builtin "MapEq"} mapeq([X]int, [X]int) : [X]bool;
-function {:builtin "MapIff"} mapiff([X]bool, [X]bool) : [X]bool;
-function {:builtin "MapImp"} mapimp([X]bool, [X]bool) : [X]bool;
-
-
-
-const FF: [X]bool;
-axiom FF == mapconstbool(false);
-
-const TT: [X]bool;
-axiom TT == mapconstbool(true);
-
-const MultisetEmpty: [X]int;
-axiom MultisetEmpty == mapconstint(0);
-
-function {:inline} MultisetSingleton(x: X) : [X]int
-{
- MultisetEmpty[x := 1]
-}
-
-function {:inline} MultisetPlus(a: [X]int, b: [X]int) : [X]int
-{
- mapadd(a, b)
-}
-
-function {:inline} MultisetMinus(a: [X]int, b: [X]int) : [X]int
-{
- mapiteint(mapgt(a, b), mapsub(a, b), mapconstint(0))
-}
-
-procedure foo() {
- var x: X;
-
- assert FF != TT;
- assert mapnot(FF) == TT;
-
- assert MultisetSingleton(x) != MultisetEmpty;
- assert MultisetPlus(MultisetEmpty, MultisetSingleton(x)) == MultisetSingleton(x);
- assert MultisetMinus(MultisetPlus(MultisetEmpty, MultisetSingleton(x)), MultisetSingleton(x)) == MultisetEmpty;
- assert MultisetMinus(MultisetEmpty, MultisetSingleton(x)) == MultisetEmpty;
+// RUN: %boogie -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+
+function {:builtin "MapAdd"} mapadd([X]int, [X]int) : [X]int;
+function {:builtin "MapSub"} mapsub([X]int, [X]int) : [X]int;
+function {:builtin "MapMul"} mapmul([X]int, [X]int) : [X]int;
+function {:builtin "MapDiv"} mapdiv([X]int, [X]int) : [X]int;
+function {:builtin "MapMod"} mapmod([X]int, [X]int) : [X]int;
+function {:builtin "MapConst"} mapconstint(int) : [X]int;
+function {:builtin "MapConst"} mapconstbool(bool) : [X]bool;
+function {:builtin "MapAnd"} mapand([X]bool, [X]bool) : [X]bool;
+function {:builtin "MapOr"} mapor([X]bool, [X]bool) : [X]bool;
+function {:builtin "MapNot"} mapnot([X]bool) : [X]bool;
+function {:builtin "MapIte"} mapiteint([X]bool, [X]int, [X]int) : [X]int;
+function {:builtin "MapIte"} mapitebool([X]bool, [X]bool, [X]bool) : [X]bool;
+function {:builtin "MapLe"} maple([X]int, [X]int) : [X]bool;
+function {:builtin "MapLt"} maplt([X]int, [X]int) : [X]bool;
+function {:builtin "MapGe"} mapge([X]int, [X]int) : [X]bool;
+function {:builtin "MapGt"} mapgt([X]int, [X]int) : [X]bool;
+function {:builtin "MapEq"} mapeq([X]int, [X]int) : [X]bool;
+function {:builtin "MapIff"} mapiff([X]bool, [X]bool) : [X]bool;
+function {:builtin "MapImp"} mapimp([X]bool, [X]bool) : [X]bool;
+
+
+
+const FF: [X]bool;
+axiom FF == mapconstbool(false);
+
+const TT: [X]bool;
+axiom TT == mapconstbool(true);
+
+const MultisetEmpty: [X]int;
+axiom MultisetEmpty == mapconstint(0);
+
+function {:inline} MultisetSingleton(x: X) : [X]int
+{
+ MultisetEmpty[x := 1]
+}
+
+function {:inline} MultisetPlus(a: [X]int, b: [X]int) : [X]int
+{
+ mapadd(a, b)
+}
+
+function {:inline} MultisetMinus(a: [X]int, b: [X]int) : [X]int
+{
+ mapiteint(mapgt(a, b), mapsub(a, b), mapconstint(0))
+}
+
+procedure foo() {
+ var x: X;
+
+ assert FF != TT;
+ assert mapnot(FF) == TT;
+
+ assert MultisetSingleton(x) != MultisetEmpty;
+ assert MultisetPlus(MultisetEmpty, MultisetSingleton(x)) == MultisetSingleton(x);
+ assert MultisetMinus(MultisetPlus(MultisetEmpty, MultisetSingleton(x)), MultisetSingleton(x)) == MultisetEmpty;
+ assert MultisetMinus(MultisetEmpty, MultisetSingleton(x)) == MultisetEmpty;
} \ No newline at end of file
diff --git a/Test/havoc0/KbdCreateClassObject.bpl b/Test/havoc0/KbdCreateClassObject.bpl
index df0f5039..2db6f68d 100644
--- a/Test/havoc0/KbdCreateClassObject.bpl
+++ b/Test/havoc0/KbdCreateClassObject.bpl
@@ -1,5157 +1,5157 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.AllowDisable__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
-const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.SendOutputToAllPorts__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
-const unique T.Type__KEYBOARD_ID:name;
-const unique T.Subtype__KEYBOARD_ID:name;
-const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
-const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
-const unique T.Flags__KEYBOARD_INPUT_DATA:name;
-const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
-const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
-const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Reserved___unnamed_12_0d6a30de:name;
-const unique T.MessageCount___unnamed_12_0d6a30de:name;
-const unique T.Vector___unnamed_12_0d6a30de:name;
-const unique T.Affinity___unnamed_12_0d6a30de:name;
-const unique T.Start___unnamed_12_17f5c211:name;
-const unique T.Length48___unnamed_12_17f5c211:name;
-const unique T.Start___unnamed_12_1fb42e39:name;
-const unique T.Length___unnamed_12_1fb42e39:name;
-const unique T.Reserved___unnamed_12_1fb42e39:name;
-const unique T.Start___unnamed_12_2a1563c6:name;
-const unique T.Length___unnamed_12_2a1563c6:name;
-const unique T.DataSize___unnamed_12_31347272:name;
-const unique T.Reserved1___unnamed_12_31347272:name;
-const unique T.Reserved2___unnamed_12_31347272:name;
-const unique T.Raw___unnamed_12_429aadc0:name;
-const unique T.Translated___unnamed_12_429aadc0:name;
-const unique T.Start___unnamed_12_4719de1a:name;
-const unique T.Length___unnamed_12_4719de1a:name;
-const unique T.Data___unnamed_12_4be56faa:name;
-const unique T.Data___unnamed_12_5ce25b92:name;
-const unique T.Generic___unnamed_12_7a698b72:name;
-const unique T.Port___unnamed_12_7a698b72:name;
-const unique T.Interrupt___unnamed_12_7a698b72:name;
-const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
-const unique T.Memory___unnamed_12_7a698b72:name;
-const unique T.Dma___unnamed_12_7a698b72:name;
-const unique T.DevicePrivate___unnamed_12_7a698b72:name;
-const unique T.BusNumber___unnamed_12_7a698b72:name;
-const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
-const unique T.Memory40___unnamed_12_7a698b72:name;
-const unique T.Memory48___unnamed_12_7a698b72:name;
-const unique T.Memory64___unnamed_12_7a698b72:name;
-const unique T.Start___unnamed_12_87c0de8d:name;
-const unique T.Length64___unnamed_12_87c0de8d:name;
-const unique T.Start___unnamed_12_98bfc55a:name;
-const unique T.Length40___unnamed_12_98bfc55a:name;
-const unique T.Priority___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
-const unique T.Level___unnamed_12_b0429be9:name;
-const unique T.Vector___unnamed_12_b0429be9:name;
-const unique T.Affinity___unnamed_12_b0429be9:name;
-const unique T.ListEntry___unnamed_12_b43e8de8:name;
-const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
-const unique T.Level___unnamed_12_bfdb39ee:name;
-const unique T.Vector___unnamed_12_bfdb39ee:name;
-const unique T.Affinity___unnamed_12_bfdb39ee:name;
-const unique T.Start___unnamed_12_cd42b3c3:name;
-const unique T.Length___unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
-const unique T.Channel___unnamed_12_e80d029e:name;
-const unique T.Port___unnamed_12_e80d029e:name;
-const unique T.Reserved1___unnamed_12_e80d029e:name;
-const unique T.Length___unnamed_16_07c0bcc5:name;
-const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.Reserved___unnamed_16_07c0bcc5:name;
-const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
-const unique T.Size___unnamed_16_29cb9f2f:name;
-const unique T.Version___unnamed_16_29cb9f2f:name;
-const unique T.Interface___unnamed_16_29cb9f2f:name;
-const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
-const unique T.SecurityContext___unnamed_16_30f11dbf:name;
-const unique T.Options___unnamed_16_30f11dbf:name;
-const unique T.FileAttributes___unnamed_16_30f11dbf:name;
-const unique T.ShareAccess___unnamed_16_30f11dbf:name;
-const unique T.EaLength___unnamed_16_30f11dbf:name;
-const unique T.DriverContext___unnamed_16_35034f68:name;
-const unique T.Length___unnamed_16_487a9498:name;
-const unique T.FileName___unnamed_16_487a9498:name;
-const unique T.FileInformationClass___unnamed_16_487a9498:name;
-const unique T.FileIndex___unnamed_16_487a9498:name;
-const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.FsControlCode___unnamed_16_5f6a8844:name;
-const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
-const unique T.Length___unnamed_16_7177b9f3:name;
-const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
-const unique T.FileObject___unnamed_16_7177b9f3:name;
-const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
-const unique T.Length___unnamed_16_88e91ef6:name;
-const unique T.Key___unnamed_16_88e91ef6:name;
-const unique T.ByteOffset___unnamed_16_88e91ef6:name;
-const unique T.Length___unnamed_16_8c506c98:name;
-const unique T.Key___unnamed_16_8c506c98:name;
-const unique T.ByteOffset___unnamed_16_8c506c98:name;
-const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
-const unique T.Buffer___unnamed_16_9ac2e5f8:name;
-const unique T.Offset___unnamed_16_9ac2e5f8:name;
-const unique T.Length___unnamed_16_9ac2e5f8:name;
-const unique T.Create___unnamed_16_b93842ad:name;
-const unique T.Read___unnamed_16_b93842ad:name;
-const unique T.Write___unnamed_16_b93842ad:name;
-const unique T.QueryDirectory___unnamed_16_b93842ad:name;
-const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
-const unique T.QueryFile___unnamed_16_b93842ad:name;
-const unique T.SetFile___unnamed_16_b93842ad:name;
-const unique T.QueryEa___unnamed_16_b93842ad:name;
-const unique T.SetEa___unnamed_16_b93842ad:name;
-const unique T.QueryVolume___unnamed_16_b93842ad:name;
-const unique T.SetVolume___unnamed_16_b93842ad:name;
-const unique T.FileSystemControl___unnamed_16_b93842ad:name;
-const unique T.LockControl___unnamed_16_b93842ad:name;
-const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
-const unique T.QuerySecurity___unnamed_16_b93842ad:name;
-const unique T.SetSecurity___unnamed_16_b93842ad:name;
-const unique T.MountVolume___unnamed_16_b93842ad:name;
-const unique T.VerifyVolume___unnamed_16_b93842ad:name;
-const unique T.Scsi___unnamed_16_b93842ad:name;
-const unique T.QueryQuota___unnamed_16_b93842ad:name;
-const unique T.SetQuota___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
-const unique T.QueryInterface___unnamed_16_b93842ad:name;
-const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
-const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
-const unique T.SetLock___unnamed_16_b93842ad:name;
-const unique T.QueryId___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
-const unique T.UsageNotification___unnamed_16_b93842ad:name;
-const unique T.WaitWake___unnamed_16_b93842ad:name;
-const unique T.PowerSequence___unnamed_16_b93842ad:name;
-const unique T.Power___unnamed_16_b93842ad:name;
-const unique T.StartDevice___unnamed_16_b93842ad:name;
-const unique T.WMI___unnamed_16_b93842ad:name;
-const unique T.Others___unnamed_16_b93842ad:name;
-const unique T.Length___unnamed_16_b9c62eab:name;
-const unique T.Key___unnamed_16_b9c62eab:name;
-const unique T.ByteOffset___unnamed_16_b9c62eab:name;
-const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
-const unique T.Type___unnamed_16_bb584060:name;
-const unique T.State___unnamed_16_bb584060:name;
-const unique T.ShutdownType___unnamed_16_bb584060:name;
-const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.IoControlCode___unnamed_16_dba55c7c:name;
-const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
-const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
-const unique T.Argument1___unnamed_16_e734d694:name;
-const unique T.Argument2___unnamed_16_e734d694:name;
-const unique T.Argument3___unnamed_16_e734d694:name;
-const unique T.Argument4___unnamed_16_e734d694:name;
-const unique T.ProviderId___unnamed_16_eac6dbea:name;
-const unique T.DataPath___unnamed_16_eac6dbea:name;
-const unique T.BufferSize___unnamed_16_eac6dbea:name;
-const unique T.Buffer___unnamed_16_eac6dbea:name;
-const unique T.Length___unnamed_16_f6cae4c2:name;
-const unique T.EaList___unnamed_16_f6cae4c2:name;
-const unique T.EaListLength___unnamed_16_f6cae4c2:name;
-const unique T.EaIndex___unnamed_16_f6cae4c2:name;
-const unique T.Length___unnamed_16_fe36e4f4:name;
-const unique T.StartSid___unnamed_16_fe36e4f4:name;
-const unique T.SidList___unnamed_16_fe36e4f4:name;
-const unique T.SidListLength___unnamed_16_fe36e4f4:name;
-const unique T.Abandoned___unnamed_1_29794256:name;
-const unique T.Absolute___unnamed_1_29794256:name;
-const unique T.NpxIrql___unnamed_1_29794256:name;
-const unique T.Signalling___unnamed_1_29794256:name;
-const unique T.Inserted___unnamed_1_2dc63b48:name;
-const unique T.DebugActive___unnamed_1_2dc63b48:name;
-const unique T.DpcActive___unnamed_1_2dc63b48:name;
-const unique T.Size___unnamed_1_2ef8da39:name;
-const unique T.Hand___unnamed_1_2ef8da39:name;
-const unique T.Lock___unnamed_1_faa7dc71:name;
-const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
-const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
-const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
-const unique T.Length___unnamed_24_41cbc8c0:name;
-const unique T.Alignment___unnamed_24_41cbc8c0:name;
-const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
-const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
-const unique T.Length48___unnamed_24_5419c914:name;
-const unique T.Alignment48___unnamed_24_5419c914:name;
-const unique T.MinimumAddress___unnamed_24_5419c914:name;
-const unique T.MaximumAddress___unnamed_24_5419c914:name;
-const unique T.Length___unnamed_24_67a5ff10:name;
-const unique T.Alignment___unnamed_24_67a5ff10:name;
-const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
-const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
-const unique T.Port___unnamed_24_72c3976e:name;
-const unique T.Memory___unnamed_24_72c3976e:name;
-const unique T.Interrupt___unnamed_24_72c3976e:name;
-const unique T.Dma___unnamed_24_72c3976e:name;
-const unique T.Generic___unnamed_24_72c3976e:name;
-const unique T.DevicePrivate___unnamed_24_72c3976e:name;
-const unique T.BusNumber___unnamed_24_72c3976e:name;
-const unique T.ConfigData___unnamed_24_72c3976e:name;
-const unique T.Memory40___unnamed_24_72c3976e:name;
-const unique T.Memory48___unnamed_24_72c3976e:name;
-const unique T.Memory64___unnamed_24_72c3976e:name;
-const unique T.Length64___unnamed_24_a26050bb:name;
-const unique T.Alignment64___unnamed_24_a26050bb:name;
-const unique T.MinimumAddress___unnamed_24_a26050bb:name;
-const unique T.MaximumAddress___unnamed_24_a26050bb:name;
-const unique T.Length___unnamed_24_b8f476db:name;
-const unique T.Alignment___unnamed_24_b8f476db:name;
-const unique T.MinimumAddress___unnamed_24_b8f476db:name;
-const unique T.MaximumAddress___unnamed_24_b8f476db:name;
-const unique T.Length40___unnamed_24_d09044b4:name;
-const unique T.Alignment40___unnamed_24_d09044b4:name;
-const unique T.MinimumAddress___unnamed_24_d09044b4:name;
-const unique T.MaximumAddress___unnamed_24_d09044b4:name;
-const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
-const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
-const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
-const unique T.Thread___unnamed_40_7218f704:name;
-const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
-const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
-const unique T.OriginalFileObject___unnamed_40_7218f704:name;
-const unique T.ListEntry___unnamed_40_c55c9377:name;
-const unique T.Wcb___unnamed_40_c55c9377:name;
-const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
-const unique T.PrivilegeSet___unnamed_44_5584090d:name;
-const unique T.Overlay___unnamed_48_cf99b13f:name;
-const unique T.Apc___unnamed_48_cf99b13f:name;
-const unique T.CompletionKey___unnamed_48_cf99b13f:name;
-const unique T.PowerState___unnamed_4_069846fb:name;
-const unique T.IdType___unnamed_4_224c32f4:name;
-const unique T.Capabilities___unnamed_4_2de698da:name;
-const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
-const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
-const unique T.Length___unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
-const unique T.ClusterCount___unnamed_4_43913aa5:name;
-const unique T.DeleteHandle___unnamed_4_43913aa5:name;
-const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
-const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
-const unique T.Srb___unnamed_4_52603077:name;
-const unique T.Address___unnamed_4_52c594f7:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
-const unique T.Type___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
-const unique T.MasterIrp___unnamed_4_6ac6463c:name;
-const unique T.IrpCount___unnamed_4_6ac6463c:name;
-const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
-const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
-const unique T.TableSize___unnamed_4_6f9ac8e1:name;
-const unique T.PowerSequence___unnamed_4_7a02167b:name;
-const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
-const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
-const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
-const unique T.Length___unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
-const unique T.Lock___unnamed_4_a97c65a1:name;
-const unique T.Reserved1___unnamed_4_c3479730:name;
-const unique T.TargetSystemState___unnamed_4_c3479730:name;
-const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
-const unique T.CurrentSystemState___unnamed_4_c3479730:name;
-const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
-const unique T.PseudoTransition___unnamed_4_c3479730:name;
-const unique T.Reserved2___unnamed_4_c3479730:name;
-const unique T.Status___unnamed_4_d99b6e2b:name;
-const unique T.Pointer___unnamed_4_d99b6e2b:name;
-const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
-const unique T.PacketType___unnamed_4_f19b65c1:name;
-const unique T.Type___unnamed_4_fa10fc16:name;
-const unique T.SecurityInformation___unnamed_8_01efa60d:name;
-const unique T.Length___unnamed_8_01efa60d:name;
-const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
-const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
-const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
-const unique T.UserApcContext___unnamed_8_0a898c0c:name;
-const unique T.SecurityInformation___unnamed_8_1330f93a:name;
-const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
-const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
-const unique T.AllocationSize___unnamed_8_181d0de9:name;
-const unique T.Vpb___unnamed_8_4812764d:name;
-const unique T.DeviceObject___unnamed_8_4812764d:name;
-const unique T.Length___unnamed_8_559a91e6:name;
-const unique T.FsInformationClass___unnamed_8_559a91e6:name;
-const unique T.Length___unnamed_8_5845b309:name;
-const unique T.FileInformationClass___unnamed_8_5845b309:name;
-const unique T.LowPart___unnamed_8_58ee4a31:name;
-const unique T.HighPart___unnamed_8_58ee4a31:name;
-const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
-const unique T.DeviceTextType___unnamed_8_6acfee04:name;
-const unique T.LocaleId___unnamed_8_6acfee04:name;
-const unique T.Length___unnamed_8_7f26a9dd:name;
-const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
-const unique T.Vpb___unnamed_8_87add0bd:name;
-const unique T.DeviceObject___unnamed_8_87add0bd:name;
-const unique T.InPath___unnamed_8_b2773e4c:name;
-const unique T.Reserved___unnamed_8_b2773e4c:name;
-const unique T.Type___unnamed_8_b2773e4c:name;
-const unique T.Length___unnamed_8_de890d4e:name;
-const unique T.FsInformationClass___unnamed_8_de890d4e:name;
-const unique T.LowPart___unnamed_8_ef9ba0d3:name;
-const unique T.HighPart___unnamed_8_ef9ba0d3:name;
-
-// Type declarations
-
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A2UINT2:name;
-const unique T.A32UINT2:name;
-const unique T.A37CHAR:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A40CHAR:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A65CHAR:name;
-const unique T.A75CHAR:name;
-const unique T.A76CHAR:name;
-const unique T.A7UINT2:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A83CHAR:name;
-const unique T.A8UCHAR:name;
-const unique T.A9UINT2:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA2UINT2:name;
-const unique T.PA37CHAR:name;
-const unique T.PA40CHAR:name;
-const unique T.PA4UINT4:name;
-const unique T.PA65CHAR:name;
-const unique T.PA75CHAR:name;
-const unique T.PA76CHAR:name;
-const unique T.PA7UINT2:name;
-const unique T.PA83CHAR:name;
-const unique T.PA9UINT2:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPPUINT2:name;
-const unique T.PPP_DEVICE_OBJECT:name;
-const unique T.PPUINT2:name;
-const unique T.PPUINT4:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FAST_MUTEX:name;
-const unique T.PP_IO_REMOVE_LOCK:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FAST_MUTEX:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KEYBOARD_INPUT_DATA:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_POOL_TYPE:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KEYBOARD_ATTRIBUTES:name;
-const unique T._KEYBOARD_ID:name;
-const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T._KEYBOARD_INPUT_DATA:name;
-const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._POOL_TYPE:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_0d6a30de:name;
-const unique T.__unnamed_12_17f5c211:name;
-const unique T.__unnamed_12_1fb42e39:name;
-const unique T.__unnamed_12_2a1563c6:name;
-const unique T.__unnamed_12_31347272:name;
-const unique T.__unnamed_12_429aadc0:name;
-const unique T.__unnamed_12_4719de1a:name;
-const unique T.__unnamed_12_4be56faa:name;
-const unique T.__unnamed_12_5ce25b92:name;
-const unique T.__unnamed_12_7a698b72:name;
-const unique T.__unnamed_12_87c0de8d:name;
-const unique T.__unnamed_12_98bfc55a:name;
-const unique T.__unnamed_12_ab1bd9d7:name;
-const unique T.__unnamed_12_b0429be9:name;
-const unique T.__unnamed_12_b43e8de8:name;
-const unique T.__unnamed_12_bfdb39ee:name;
-const unique T.__unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_e668effc:name;
-const unique T.__unnamed_12_e80d029e:name;
-const unique T.__unnamed_16_07c0bcc5:name;
-const unique T.__unnamed_16_29cb9f2f:name;
-const unique T.__unnamed_16_30f11dbf:name;
-const unique T.__unnamed_16_35034f68:name;
-const unique T.__unnamed_16_487a9498:name;
-const unique T.__unnamed_16_5f6a8844:name;
-const unique T.__unnamed_16_7177b9f3:name;
-const unique T.__unnamed_16_88e91ef6:name;
-const unique T.__unnamed_16_8c506c98:name;
-const unique T.__unnamed_16_9ac2e5f8:name;
-const unique T.__unnamed_16_b93842ad:name;
-const unique T.__unnamed_16_b9c62eab:name;
-const unique T.__unnamed_16_bb584060:name;
-const unique T.__unnamed_16_dba55c7c:name;
-const unique T.__unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_e734d694:name;
-const unique T.__unnamed_16_eac6dbea:name;
-const unique T.__unnamed_16_f6cae4c2:name;
-const unique T.__unnamed_16_fe36e4f4:name;
-const unique T.__unnamed_1_29794256:name;
-const unique T.__unnamed_1_2dc63b48:name;
-const unique T.__unnamed_1_2ef8da39:name;
-const unique T.__unnamed_1_faa7dc71:name;
-const unique T.__unnamed_20_f4d2e6d8:name;
-const unique T.__unnamed_24_41cbc8c0:name;
-const unique T.__unnamed_24_5419c914:name;
-const unique T.__unnamed_24_67a5ff10:name;
-const unique T.__unnamed_24_72c3976e:name;
-const unique T.__unnamed_24_a26050bb:name;
-const unique T.__unnamed_24_b8f476db:name;
-const unique T.__unnamed_24_d09044b4:name;
-const unique T.__unnamed_2_46cc4597:name;
-const unique T.__unnamed_40_7218f704:name;
-const unique T.__unnamed_40_c55c9377:name;
-const unique T.__unnamed_44_5584090d:name;
-const unique T.__unnamed_48_cf99b13f:name;
-const unique T.__unnamed_4_069846fb:name;
-const unique T.__unnamed_4_224c32f4:name;
-const unique T.__unnamed_4_2de698da:name;
-const unique T.__unnamed_4_3a2fdc5e:name;
-const unique T.__unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_4_43913aa5:name;
-const unique T.__unnamed_4_4e8dd2ba:name;
-const unique T.__unnamed_4_52603077:name;
-const unique T.__unnamed_4_52c594f7:name;
-const unique T.__unnamed_4_5ca00198:name;
-const unique T.__unnamed_4_6ac6463c:name;
-const unique T.__unnamed_4_6f9ac8e1:name;
-const unique T.__unnamed_4_7a02167b:name;
-const unique T.__unnamed_4_7d9d0c7e:name;
-const unique T.__unnamed_4_82f7a864:name;
-const unique T.__unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_a97c65a1:name;
-const unique T.__unnamed_4_c3479730:name;
-const unique T.__unnamed_4_d99b6e2b:name;
-const unique T.__unnamed_4_f19b65c1:name;
-const unique T.__unnamed_4_fa10fc16:name;
-const unique T.__unnamed_8_01efa60d:name;
-const unique T.__unnamed_8_08d4cef8:name;
-const unique T.__unnamed_8_0a898c0c:name;
-const unique T.__unnamed_8_1330f93a:name;
-const unique T.__unnamed_8_181d0de9:name;
-const unique T.__unnamed_8_4812764d:name;
-const unique T.__unnamed_8_559a91e6:name;
-const unique T.__unnamed_8_5845b309:name;
-const unique T.__unnamed_8_58ee4a31:name;
-const unique T.__unnamed_8_61acf4ce:name;
-const unique T.__unnamed_8_6acfee04:name;
-const unique T.__unnamed_8_7f26a9dd:name;
-const unique T.__unnamed_8_87add0bd:name;
-const unique T.__unnamed_8_b2773e4c:name;
-const unique T.__unnamed_8_de890d4e:name;
-const unique T.__unnamed_8_ef9ba0d3:name;
-
-function Abandoned___unnamed_1_29794256(int) returns (int);
-function Abandoned___unnamed_1_29794256Inv(int) returns (int);
-function _S_Abandoned___unnamed_1_29794256([int]bool) returns ([int]bool);
-function _S_Abandoned___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(Abandoned___unnamed_1_29794256(x))} Abandoned___unnamed_1_29794256Inv(Abandoned___unnamed_1_29794256(x)) == x);
-axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(x)} Abandoned___unnamed_1_29794256(Abandoned___unnamed_1_29794256Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_29794256(S)[x]} _S_Abandoned___unnamed_1_29794256(S)[x] <==> S[Abandoned___unnamed_1_29794256Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_29794256Inv(S)[x]} _S_Abandoned___unnamed_1_29794256Inv(S)[x] <==> S[Abandoned___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_29794256(S)} S[x] ==> _S_Abandoned___unnamed_1_29794256(S)[Abandoned___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_29794256Inv(S)} S[x] ==> _S_Abandoned___unnamed_1_29794256Inv(S)[Abandoned___unnamed_1_29794256Inv(x)]);
-
-axiom (forall x:int :: {Abandoned___unnamed_1_29794256(x)} Abandoned___unnamed_1_29794256(x) == x + 0);
-axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(x)} Abandoned___unnamed_1_29794256Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Abandoned___unnamed_1_29794256Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Abandoned___unnamed_1_29794256Inv(x));
-function Absolute___unnamed_1_29794256(int) returns (int);
-function Absolute___unnamed_1_29794256Inv(int) returns (int);
-function _S_Absolute___unnamed_1_29794256([int]bool) returns ([int]bool);
-function _S_Absolute___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(Absolute___unnamed_1_29794256(x))} Absolute___unnamed_1_29794256Inv(Absolute___unnamed_1_29794256(x)) == x);
-axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(x)} Absolute___unnamed_1_29794256(Absolute___unnamed_1_29794256Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_29794256(S)[x]} _S_Absolute___unnamed_1_29794256(S)[x] <==> S[Absolute___unnamed_1_29794256Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_29794256Inv(S)[x]} _S_Absolute___unnamed_1_29794256Inv(S)[x] <==> S[Absolute___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_29794256(S)} S[x] ==> _S_Absolute___unnamed_1_29794256(S)[Absolute___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_29794256Inv(S)} S[x] ==> _S_Absolute___unnamed_1_29794256Inv(S)[Absolute___unnamed_1_29794256Inv(x)]);
-
-axiom (forall x:int :: {Absolute___unnamed_1_29794256(x)} Absolute___unnamed_1_29794256(x) == x + 0);
-axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(x)} Absolute___unnamed_1_29794256Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Absolute___unnamed_1_29794256Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Absolute___unnamed_1_29794256Inv(x));
-function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x))} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 16);
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function AllowDisable__DEVICE_EXTENSION(int) returns (int);
-function AllowDisable__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_AllowDisable__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_AllowDisable__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(AllowDisable__DEVICE_EXTENSION(x))} AllowDisable__DEVICE_EXTENSIONInv(AllowDisable__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(x)} AllowDisable__DEVICE_EXTENSION(AllowDisable__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AllowDisable__DEVICE_EXTENSION(S)[x]} _S_AllowDisable__DEVICE_EXTENSION(S)[x] <==> S[AllowDisable__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AllowDisable__DEVICE_EXTENSIONInv(S)[x]} _S_AllowDisable__DEVICE_EXTENSIONInv(S)[x] <==> S[AllowDisable__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllowDisable__DEVICE_EXTENSION(S)} S[x] ==> _S_AllowDisable__DEVICE_EXTENSION(S)[AllowDisable__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllowDisable__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_AllowDisable__DEVICE_EXTENSIONInv(S)[AllowDisable__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSION(x)} AllowDisable__DEVICE_EXTENSION(x) == x + 106);
-axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(x)} AllowDisable__DEVICE_EXTENSIONInv(x) == x - 106);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1) == AllowDisable__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 106)} MINUS_LEFT_PTR(x, 1, 106) == AllowDisable__DEVICE_EXTENSIONInv(x));
-function BaseClassName__GLOBALS(int) returns (int);
-function BaseClassName__GLOBALSInv(int) returns (int);
-function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
-function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 368);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 368);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1) == BaseClassName__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 368)} MINUS_LEFT_PTR(x, 1, 368) == BaseClassName__GLOBALSInv(x));
-function Blink__LIST_ENTRY(int) returns (int);
-function Blink__LIST_ENTRYInv(int) returns (int);
-function _S_Blink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Blink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x))} Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRY(Blink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRY(S)[x]} _S_Blink__LIST_ENTRY(S)[x] <==> S[Blink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRYInv(S)[x]} _S_Blink__LIST_ENTRYInv(S)[x] <==> S[Blink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRY(S)} S[x] ==> _S_Blink__LIST_ENTRY(S)[Blink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRYInv(S)} S[x] ==> _S_Blink__LIST_ENTRYInv(S)[Blink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRYInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Blink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Blink__LIST_ENTRYInv(x));
-function Blocks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x))} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 56);
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 56);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function Common__IO_REMOVE_LOCK(int) returns (int);
-function Common__IO_REMOVE_LOCKInv(int) returns (int);
-function _S_Common__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
-function _S_Common__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x))} Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x)) == x);
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCK(Common__IO_REMOVE_LOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCK(S)[x]} _S_Common__IO_REMOVE_LOCK(S)[x] <==> S[Common__IO_REMOVE_LOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCKInv(S)[x]} _S_Common__IO_REMOVE_LOCKInv(S)[x] <==> S[Common__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCK(S)} S[x] ==> _S_Common__IO_REMOVE_LOCK(S)[Common__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Common__IO_REMOVE_LOCKInv(S)[Common__IO_REMOVE_LOCKInv(x)]);
-
-axiom (forall x:int :: {Common__IO_REMOVE_LOCK(x)} Common__IO_REMOVE_LOCK(x) == x + 0);
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Common__IO_REMOVE_LOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Common__IO_REMOVE_LOCKInv(x));
-function ConnectOneClassToOnePort__GLOBALS(int) returns (int);
-function ConnectOneClassToOnePort__GLOBALSInv(int) returns (int);
-function _S_ConnectOneClassToOnePort__GLOBALS([int]bool) returns ([int]bool);
-function _S_ConnectOneClassToOnePort__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x))} ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x)) == x);
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALS(ConnectOneClassToOnePort__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALS(S)[x]} _S_ConnectOneClassToOnePort__GLOBALS(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALSInv(S)[x]} _S_ConnectOneClassToOnePort__GLOBALSInv(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALS(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALS(S)[ConnectOneClassToOnePort__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALSInv(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALSInv(S)[ConnectOneClassToOnePort__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALS(x)} ConnectOneClassToOnePort__GLOBALS(x) == x + 56);
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALSInv(x) == x - 56);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == ConnectOneClassToOnePort__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == ConnectOneClassToOnePort__GLOBALSInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function Dbg__IO_REMOVE_LOCK(int) returns (int);
-function Dbg__IO_REMOVE_LOCKInv(int) returns (int);
-function _S_Dbg__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
-function _S_Dbg__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x))} Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x)) == x);
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCK(Dbg__IO_REMOVE_LOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCK(S)[x]} _S_Dbg__IO_REMOVE_LOCK(S)[x] <==> S[Dbg__IO_REMOVE_LOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCKInv(S)[x]} _S_Dbg__IO_REMOVE_LOCKInv(S)[x] <==> S[Dbg__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCK(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCK(S)[Dbg__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCKInv(S)[Dbg__IO_REMOVE_LOCKInv(x)]);
-
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCK(x)} Dbg__IO_REMOVE_LOCK(x) == x + 24);
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCKInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Dbg__IO_REMOVE_LOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Dbg__IO_REMOVE_LOCKInv(x));
-function DebugActive___unnamed_1_2dc63b48(int) returns (int);
-function DebugActive___unnamed_1_2dc63b48Inv(int) returns (int);
-function _S_DebugActive___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
-function _S_DebugActive___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(DebugActive___unnamed_1_2dc63b48(x))} DebugActive___unnamed_1_2dc63b48Inv(DebugActive___unnamed_1_2dc63b48(x)) == x);
-axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(x)} DebugActive___unnamed_1_2dc63b48(DebugActive___unnamed_1_2dc63b48Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_2dc63b48(S)[x]} _S_DebugActive___unnamed_1_2dc63b48(S)[x] <==> S[DebugActive___unnamed_1_2dc63b48Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_2dc63b48Inv(S)[x]} _S_DebugActive___unnamed_1_2dc63b48Inv(S)[x] <==> S[DebugActive___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_2dc63b48(S)} S[x] ==> _S_DebugActive___unnamed_1_2dc63b48(S)[DebugActive___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_DebugActive___unnamed_1_2dc63b48Inv(S)[DebugActive___unnamed_1_2dc63b48Inv(x)]);
-
-axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48(x)} DebugActive___unnamed_1_2dc63b48(x) == x + 0);
-axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(x)} DebugActive___unnamed_1_2dc63b48Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DebugActive___unnamed_1_2dc63b48Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DebugActive___unnamed_1_2dc63b48Inv(x));
-function Delay__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
-function Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
-function _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
-function _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x))} Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
-axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERS(Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-
-axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 4);
-axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function DeviceState__DEVICE_EXTENSION(int) returns (int);
-function DeviceState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x))} DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSION(DeviceState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSION(S)[x]} _S_DeviceState__DEVICE_EXTENSION(S)[x] <==> S[DeviceState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_DeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[DeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSION(S)[DeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSIONInv(S)[DeviceState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSION(x)} DeviceState__DEVICE_EXTENSION(x) == x + 188);
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSIONInv(x) == x - 188);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 188, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 188, 1) == DeviceState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 188)} MINUS_LEFT_PTR(x, 1, 188) == DeviceState__DEVICE_EXTENSIONInv(x));
-function DpcActive___unnamed_1_2dc63b48(int) returns (int);
-function DpcActive___unnamed_1_2dc63b48Inv(int) returns (int);
-function _S_DpcActive___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
-function _S_DpcActive___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(DpcActive___unnamed_1_2dc63b48(x))} DpcActive___unnamed_1_2dc63b48Inv(DpcActive___unnamed_1_2dc63b48(x)) == x);
-axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(x)} DpcActive___unnamed_1_2dc63b48(DpcActive___unnamed_1_2dc63b48Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_2dc63b48(S)[x]} _S_DpcActive___unnamed_1_2dc63b48(S)[x] <==> S[DpcActive___unnamed_1_2dc63b48Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_2dc63b48Inv(S)[x]} _S_DpcActive___unnamed_1_2dc63b48Inv(S)[x] <==> S[DpcActive___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_2dc63b48(S)} S[x] ==> _S_DpcActive___unnamed_1_2dc63b48(S)[DpcActive___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_DpcActive___unnamed_1_2dc63b48Inv(S)[DpcActive___unnamed_1_2dc63b48Inv(x)]);
-
-axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48(x)} DpcActive___unnamed_1_2dc63b48(x) == x + 0);
-axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(x)} DpcActive___unnamed_1_2dc63b48Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DpcActive___unnamed_1_2dc63b48Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DpcActive___unnamed_1_2dc63b48Inv(x));
-function Enabled__DEVICE_EXTENSION(int) returns (int);
-function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 284);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 284);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1) == Enabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 284)} MINUS_LEFT_PTR(x, 1, 284) == Enabled__DEVICE_EXTENSIONInv(x));
-function ExecuteWmiMethod__WMILIB_CONTEXT(int) returns (int);
-function ExecuteWmiMethod__WMILIB_CONTEXTInv(int) returns (int);
-function _S_ExecuteWmiMethod__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_ExecuteWmiMethod__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x))} ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXT(ExecuteWmiMethod__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXT(x)} ExecuteWmiMethod__WMILIB_CONTEXT(x) == x + 24);
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXTInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
-function ExtraWaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
-function ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_ExtraWaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x))} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSION(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(x) == x + 264);
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 264);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
-function Flags__DEVICE_OBJECT(int) returns (int);
-function Flags__DEVICE_OBJECTInv(int) returns (int);
-function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function GuidCount__WMILIB_CONTEXT(int) returns (int);
-function GuidCount__WMILIB_CONTEXTInv(int) returns (int);
-function _S_GuidCount__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_GuidCount__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x))} GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXT(GuidCount__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXT(S)[x]} _S_GuidCount__WMILIB_CONTEXT(S)[x] <==> S[GuidCount__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXTInv(S)[x]} _S_GuidCount__WMILIB_CONTEXTInv(S)[x] <==> S[GuidCount__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXT(S)[GuidCount__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXTInv(S)[GuidCount__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXT(x)} GuidCount__WMILIB_CONTEXT(x) == x + 0);
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == GuidCount__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == GuidCount__WMILIB_CONTEXTInv(x));
-function GuidList__WMILIB_CONTEXT(int) returns (int);
-function GuidList__WMILIB_CONTEXTInv(int) returns (int);
-function _S_GuidList__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_GuidList__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x))} GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXT(GuidList__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXT(S)[x]} _S_GuidList__WMILIB_CONTEXT(S)[x] <==> S[GuidList__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXTInv(S)[x]} _S_GuidList__WMILIB_CONTEXTInv(S)[x] <==> S[GuidList__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXT(S)[GuidList__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXTInv(S)[GuidList__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXT(x)} GuidList__WMILIB_CONTEXT(x) == x + 4);
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GuidList__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GuidList__WMILIB_CONTEXTInv(x));
-function Hand___unnamed_1_2ef8da39(int) returns (int);
-function Hand___unnamed_1_2ef8da39Inv(int) returns (int);
-function _S_Hand___unnamed_1_2ef8da39([int]bool) returns ([int]bool);
-function _S_Hand___unnamed_1_2ef8da39Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(Hand___unnamed_1_2ef8da39(x))} Hand___unnamed_1_2ef8da39Inv(Hand___unnamed_1_2ef8da39(x)) == x);
-axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(x)} Hand___unnamed_1_2ef8da39(Hand___unnamed_1_2ef8da39Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_2ef8da39(S)[x]} _S_Hand___unnamed_1_2ef8da39(S)[x] <==> S[Hand___unnamed_1_2ef8da39Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_2ef8da39Inv(S)[x]} _S_Hand___unnamed_1_2ef8da39Inv(S)[x] <==> S[Hand___unnamed_1_2ef8da39(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_2ef8da39(S)} S[x] ==> _S_Hand___unnamed_1_2ef8da39(S)[Hand___unnamed_1_2ef8da39(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_2ef8da39Inv(S)} S[x] ==> _S_Hand___unnamed_1_2ef8da39Inv(S)[Hand___unnamed_1_2ef8da39Inv(x)]);
-
-axiom (forall x:int :: {Hand___unnamed_1_2ef8da39(x)} Hand___unnamed_1_2ef8da39(x) == x + 0);
-axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(x)} Hand___unnamed_1_2ef8da39Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Hand___unnamed_1_2ef8da39Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Hand___unnamed_1_2ef8da39Inv(x));
-function Header__KEVENT(int) returns (int);
-function Header__KEVENTInv(int) returns (int);
-function _S_Header__KEVENT([int]bool) returns ([int]bool);
-function _S_Header__KEVENTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Header__KEVENTInv(Header__KEVENT(x))} Header__KEVENTInv(Header__KEVENT(x)) == x);
-axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENT(Header__KEVENTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENT(S)[x]} _S_Header__KEVENT(S)[x] <==> S[Header__KEVENTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENTInv(S)[x]} _S_Header__KEVENTInv(S)[x] <==> S[Header__KEVENT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENT(S)} S[x] ==> _S_Header__KEVENT(S)[Header__KEVENT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENTInv(S)} S[x] ==> _S_Header__KEVENTInv(S)[Header__KEVENTInv(x)]);
-
-axiom (forall x:int :: {Header__KEVENT(x)} Header__KEVENT(x) == x + 0);
-axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Header__KEVENTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Header__KEVENTInv(x));
-function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x))} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 4);
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function IndicatorParameters__DEVICE_EXTENSION(int) returns (int);
-function IndicatorParameters__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_IndicatorParameters__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_IndicatorParameters__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(IndicatorParameters__DEVICE_EXTENSION(x))} IndicatorParameters__DEVICE_EXTENSIONInv(IndicatorParameters__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(x)} IndicatorParameters__DEVICE_EXTENSION(IndicatorParameters__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_IndicatorParameters__DEVICE_EXTENSION(S)[x]} _S_IndicatorParameters__DEVICE_EXTENSION(S)[x] <==> S[IndicatorParameters__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[x]} _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[x] <==> S[IndicatorParameters__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IndicatorParameters__DEVICE_EXTENSION(S)} S[x] ==> _S_IndicatorParameters__DEVICE_EXTENSION(S)[IndicatorParameters__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[IndicatorParameters__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSION(x)} IndicatorParameters__DEVICE_EXTENSION(x) == x + 168);
-axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(x)} IndicatorParameters__DEVICE_EXTENSIONInv(x) == x - 168);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1) == IndicatorParameters__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 168)} MINUS_LEFT_PTR(x, 1, 168) == IndicatorParameters__DEVICE_EXTENSIONInv(x));
-function InputCount__DEVICE_EXTENSION(int) returns (int);
-function InputCount__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x))} InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSION(InputCount__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSION(S)[x]} _S_InputCount__DEVICE_EXTENSION(S)[x] <==> S[InputCount__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSIONInv(S)[x]} _S_InputCount__DEVICE_EXTENSIONInv(S)[x] <==> S[InputCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSION(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSION(S)[InputCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSIONInv(S)[InputCount__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSION(x)} InputCount__DEVICE_EXTENSION(x) == x + 116);
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSIONInv(x) == x - 116);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1) == InputCount__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 116)} MINUS_LEFT_PTR(x, 1, 116) == InputCount__DEVICE_EXTENSIONInv(x));
-function InputDataQueueLength__KEYBOARD_ATTRIBUTES(int) returns (int);
-function InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(InputDataQueueLength__KEYBOARD_ATTRIBUTES(x))} InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTES(InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[x]} _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[x] <==> S[InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTES(x) == x + 12);
-axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function Inserted___unnamed_1_2dc63b48(int) returns (int);
-function Inserted___unnamed_1_2dc63b48Inv(int) returns (int);
-function _S_Inserted___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
-function _S_Inserted___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(Inserted___unnamed_1_2dc63b48(x))} Inserted___unnamed_1_2dc63b48Inv(Inserted___unnamed_1_2dc63b48(x)) == x);
-axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(x)} Inserted___unnamed_1_2dc63b48(Inserted___unnamed_1_2dc63b48Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_2dc63b48(S)[x]} _S_Inserted___unnamed_1_2dc63b48(S)[x] <==> S[Inserted___unnamed_1_2dc63b48Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_2dc63b48Inv(S)[x]} _S_Inserted___unnamed_1_2dc63b48Inv(S)[x] <==> S[Inserted___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_2dc63b48(S)} S[x] ==> _S_Inserted___unnamed_1_2dc63b48(S)[Inserted___unnamed_1_2dc63b48(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_Inserted___unnamed_1_2dc63b48Inv(S)[Inserted___unnamed_1_2dc63b48Inv(x)]);
-
-axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48(x)} Inserted___unnamed_1_2dc63b48(x) == x + 0);
-axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(x)} Inserted___unnamed_1_2dc63b48Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Inserted___unnamed_1_2dc63b48Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Inserted___unnamed_1_2dc63b48Inv(x));
-function IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x))} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 4);
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(int) returns (int);
-function KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x))} KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x) == x + 22);
-axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x) == x - 22);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 22, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 22, 1) == KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 22)} MINUS_LEFT_PTR(x, 1, 22) == KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x));
-function KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(int) returns (int);
-function KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x))} KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x) == x + 16);
-axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x));
-function KeyboardAttributes__DEVICE_EXTENSION(int) returns (int);
-function KeyboardAttributes__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_KeyboardAttributes__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_KeyboardAttributes__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(KeyboardAttributes__DEVICE_EXTENSION(x))} KeyboardAttributes__DEVICE_EXTENSIONInv(KeyboardAttributes__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(x)} KeyboardAttributes__DEVICE_EXTENSION(KeyboardAttributes__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardAttributes__DEVICE_EXTENSION(S)[x]} _S_KeyboardAttributes__DEVICE_EXTENSION(S)[x] <==> S[KeyboardAttributes__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[x]} _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[x] <==> S[KeyboardAttributes__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardAttributes__DEVICE_EXTENSION(S)} S[x] ==> _S_KeyboardAttributes__DEVICE_EXTENSION(S)[KeyboardAttributes__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[KeyboardAttributes__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSION(x)} KeyboardAttributes__DEVICE_EXTENSION(x) == x + 140);
-axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(x)} KeyboardAttributes__DEVICE_EXTENSIONInv(x) == x - 140);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1) == KeyboardAttributes__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 140)} MINUS_LEFT_PTR(x, 1, 140) == KeyboardAttributes__DEVICE_EXTENSIONInv(x));
-function KeyboardIdentifier__KEYBOARD_ATTRIBUTES(int) returns (int);
-function KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x))} KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTES(KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x) == x + 0);
-axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x));
-function KeyboardMode__KEYBOARD_ATTRIBUTES(int) returns (int);
-function KeyboardMode__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_KeyboardMode__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(KeyboardMode__KEYBOARD_ATTRIBUTES(x))} KeyboardMode__KEYBOARD_ATTRIBUTESInv(KeyboardMode__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)} KeyboardMode__KEYBOARD_ATTRIBUTES(KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyboardMode__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[KeyboardMode__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTES(x)} KeyboardMode__KEYBOARD_ATTRIBUTES(x) == x + 2);
-axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)} KeyboardMode__KEYBOARD_ATTRIBUTESInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == KeyboardMode__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == KeyboardMode__KEYBOARD_ATTRIBUTESInv(x));
-function LedFlags__KEYBOARD_INDICATOR_PARAMETERS(int) returns (int);
-function LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(int) returns (int);
-function _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS([int]bool) returns ([int]bool);
-function _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x))} LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)) == x);
-axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERS(LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[x]} _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[x] <==> S[LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x]} _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x] <==> S[LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)} S[x] ==> _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)} S[x] ==> _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
-
-axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x) == x + 2);
-axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
-function Length__UNICODE_STRING(int) returns (int);
-function Length__UNICODE_STRINGInv(int) returns (int);
-function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
-function LockList__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x))} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 20);
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Lock___unnamed_4_a97c65a1(int) returns (int);
-function Lock___unnamed_4_a97c65a1Inv(int) returns (int);
-function _S_Lock___unnamed_4_a97c65a1([int]bool) returns ([int]bool);
-function _S_Lock___unnamed_4_a97c65a1Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(Lock___unnamed_4_a97c65a1(x))} Lock___unnamed_4_a97c65a1Inv(Lock___unnamed_4_a97c65a1(x)) == x);
-axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(x)} Lock___unnamed_4_a97c65a1(Lock___unnamed_4_a97c65a1Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_a97c65a1(S)[x]} _S_Lock___unnamed_4_a97c65a1(S)[x] <==> S[Lock___unnamed_4_a97c65a1Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_a97c65a1Inv(S)[x]} _S_Lock___unnamed_4_a97c65a1Inv(S)[x] <==> S[Lock___unnamed_4_a97c65a1(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_a97c65a1(S)} S[x] ==> _S_Lock___unnamed_4_a97c65a1(S)[Lock___unnamed_4_a97c65a1(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_a97c65a1Inv(S)} S[x] ==> _S_Lock___unnamed_4_a97c65a1Inv(S)[Lock___unnamed_4_a97c65a1Inv(x)]);
-
-axiom (forall x:int :: {Lock___unnamed_4_a97c65a1(x)} Lock___unnamed_4_a97c65a1(x) == x + 0);
-axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(x)} Lock___unnamed_4_a97c65a1Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Lock___unnamed_4_a97c65a1Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Lock___unnamed_4_a97c65a1Inv(x));
-function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x))} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 32);
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 32);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 32)} MINUS_LEFT_PTR(x, 1, 32) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x))} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 8);
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function MaximumLength__UNICODE_STRING(int) returns (int);
-function MaximumLength__UNICODE_STRINGInv(int) returns (int);
-function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
-function MinDeviceWakeState__DEVICE_EXTENSION(int) returns (int);
-function MinDeviceWakeState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_MinDeviceWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_MinDeviceWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x))} MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSION(MinDeviceWakeState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSION(x)} MinDeviceWakeState__DEVICE_EXTENSION(x) == x + 252);
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSIONInv(x) == x - 252);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
-function MinSystemWakeState__DEVICE_EXTENSION(int) returns (int);
-function MinSystemWakeState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_MinSystemWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_MinSystemWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x))} MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSION(MinSystemWakeState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSION(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSION(S)[MinSystemWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSION(x)} MinSystemWakeState__DEVICE_EXTENSION(x) == x + 256);
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSIONInv(x) == x - 256);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 256, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 256, 1) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 256)} MINUS_LEFT_PTR(x, 1, 256) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
-function Mutex__GLOBALS(int) returns (int);
-function Mutex__GLOBALSInv(int) returns (int);
-function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
-function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
-function NpxIrql___unnamed_1_29794256(int) returns (int);
-function NpxIrql___unnamed_1_29794256Inv(int) returns (int);
-function _S_NpxIrql___unnamed_1_29794256([int]bool) returns ([int]bool);
-function _S_NpxIrql___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(NpxIrql___unnamed_1_29794256(x))} NpxIrql___unnamed_1_29794256Inv(NpxIrql___unnamed_1_29794256(x)) == x);
-axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(x)} NpxIrql___unnamed_1_29794256(NpxIrql___unnamed_1_29794256Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_29794256(S)[x]} _S_NpxIrql___unnamed_1_29794256(S)[x] <==> S[NpxIrql___unnamed_1_29794256Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_29794256Inv(S)[x]} _S_NpxIrql___unnamed_1_29794256Inv(S)[x] <==> S[NpxIrql___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_29794256(S)} S[x] ==> _S_NpxIrql___unnamed_1_29794256(S)[NpxIrql___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_29794256Inv(S)} S[x] ==> _S_NpxIrql___unnamed_1_29794256Inv(S)[NpxIrql___unnamed_1_29794256Inv(x)]);
-
-axiom (forall x:int :: {NpxIrql___unnamed_1_29794256(x)} NpxIrql___unnamed_1_29794256(x) == x + 0);
-axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(x)} NpxIrql___unnamed_1_29794256Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == NpxIrql___unnamed_1_29794256Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == NpxIrql___unnamed_1_29794256Inv(x));
-function NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(int) returns (int);
-function NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x))} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x) == x + 4);
-axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x));
-function NumberOfIndicators__KEYBOARD_ATTRIBUTES(int) returns (int);
-function NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(NumberOfIndicators__KEYBOARD_ATTRIBUTES(x))} NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTES(NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTES(x) == x + 6);
-axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x) == x - 6);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 6, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 6, 1) == NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 6)} MINUS_LEFT_PTR(x, 1, 6) == NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x));
-function NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(int) returns (int);
-function NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(int) returns (int);
-function _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x))} NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x) == x + 8);
-axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x));
-function OkayToLogOverflow__DEVICE_EXTENSION(int) returns (int);
-function OkayToLogOverflow__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_OkayToLogOverflow__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_OkayToLogOverflow__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x))} OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSION(OkayToLogOverflow__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSION(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSION(x)} OkayToLogOverflow__DEVICE_EXTENSION(x) == x + 285);
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSIONInv(x) == x - 285);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 285, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 285, 1) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 285)} MINUS_LEFT_PTR(x, 1, 285) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
-function PDO__DEVICE_EXTENSION(int) returns (int);
-function PDO__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PDO__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PDO__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x))} PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSION(PDO__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSION(S)[x]} _S_PDO__DEVICE_EXTENSION(S)[x] <==> S[PDO__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSIONInv(S)[x]} _S_PDO__DEVICE_EXTENSIONInv(S)[x] <==> S[PDO__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSION(S)} S[x] ==> _S_PDO__DEVICE_EXTENSION(S)[PDO__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PDO__DEVICE_EXTENSIONInv(S)[PDO__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PDO__DEVICE_EXTENSION(x)} PDO__DEVICE_EXTENSION(x) == x + 12);
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSIONInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == PDO__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == PDO__DEVICE_EXTENSIONInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function QueryWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
-function QueryWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
-function _S_QueryWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_QueryWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x))} QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXT(QueryWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXT(x)} QueryWmiDataBlock__WMILIB_CONTEXT(x) == x + 12);
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
-function QueryWmiRegInfo__WMILIB_CONTEXT(int) returns (int);
-function QueryWmiRegInfo__WMILIB_CONTEXTInv(int) returns (int);
-function _S_QueryWmiRegInfo__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_QueryWmiRegInfo__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x))} QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXT(QueryWmiRegInfo__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXT(x)} QueryWmiRegInfo__WMILIB_CONTEXT(x) == x + 8);
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXTInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
-function Rate__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
-function Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
-function _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
-function _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x))} Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
-axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERS(Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-
-axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 2);
-axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-function ReadQueue__DEVICE_EXTENSION(int) returns (int);
-function ReadQueue__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_ReadQueue__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_ReadQueue__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x))} ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSION(ReadQueue__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSION(S)[x]} _S_ReadQueue__DEVICE_EXTENSION(S)[x] <==> S[ReadQueue__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSIONInv(S)[x]} _S_ReadQueue__DEVICE_EXTENSIONInv(S)[x] <==> S[ReadQueue__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSION(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSION(S)[ReadQueue__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSIONInv(S)[ReadQueue__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSION(x)} ReadQueue__DEVICE_EXTENSION(x) == x + 176);
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSIONInv(x) == x - 176);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == ReadQueue__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == ReadQueue__DEVICE_EXTENSIONInv(x));
-function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x))} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 8);
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function RemoveLock__DEVICE_EXTENSION(int) returns (int);
-function RemoveLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_RemoveLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_RemoveLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x))} RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSION(RemoveLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSION(S)[x]} _S_RemoveLock__DEVICE_EXTENSION(S)[x] <==> S[RemoveLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSIONInv(S)[x]} _S_RemoveLock__DEVICE_EXTENSIONInv(S)[x] <==> S[RemoveLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSION(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSION(S)[RemoveLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSIONInv(S)[RemoveLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSION(x)} RemoveLock__DEVICE_EXTENSION(x) == x + 16);
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSIONInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == RemoveLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == RemoveLock__DEVICE_EXTENSIONInv(x));
-function Removed__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 0);
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 36);
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 36);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 36)} MINUS_LEFT_PTR(x, 1, 36) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 52);
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 52);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 52)} MINUS_LEFT_PTR(x, 1, 52) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 1);
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 1);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function SequenceNumber__DEVICE_EXTENSION(int) returns (int);
-function SequenceNumber__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SequenceNumber__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SequenceNumber__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x))} SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSION(SequenceNumber__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSION(S)[x]} _S_SequenceNumber__DEVICE_EXTENSION(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x]} _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSION(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSION(S)[SequenceNumber__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSION(x)} SequenceNumber__DEVICE_EXTENSION(x) == x + 184);
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSIONInv(x) == x - 184);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 184, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 184, 1) == SequenceNumber__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 184)} MINUS_LEFT_PTR(x, 1, 184) == SequenceNumber__DEVICE_EXTENSIONInv(x));
-function SetWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
-function SetWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
-function _S_SetWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_SetWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x))} SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXT(SetWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXT(x)} SetWmiDataBlock__WMILIB_CONTEXT(x) == x + 16);
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
-function SetWmiDataItem__WMILIB_CONTEXT(int) returns (int);
-function SetWmiDataItem__WMILIB_CONTEXTInv(int) returns (int);
-function _S_SetWmiDataItem__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_SetWmiDataItem__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x))} SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXT(SetWmiDataItem__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXT(S)[SetWmiDataItem__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXT(x)} SetWmiDataItem__WMILIB_CONTEXT(x) == x + 20);
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXTInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
-function SignalState__DISPATCHER_HEADER(int) returns (int);
-function SignalState__DISPATCHER_HEADERInv(int) returns (int);
-function _S_SignalState__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S_SignalState__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x))} SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADER(SignalState__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADER(S)[x]} _S_SignalState__DISPATCHER_HEADER(S)[x] <==> S[SignalState__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADERInv(S)[x]} _S_SignalState__DISPATCHER_HEADERInv(S)[x] <==> S[SignalState__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADER(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADER(S)[SignalState__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADERInv(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADERInv(S)[SignalState__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADER(x)} SignalState__DISPATCHER_HEADER(x) == x + 4);
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADERInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SignalState__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SignalState__DISPATCHER_HEADERInv(x));
-function Signalling___unnamed_1_29794256(int) returns (int);
-function Signalling___unnamed_1_29794256Inv(int) returns (int);
-function _S_Signalling___unnamed_1_29794256([int]bool) returns ([int]bool);
-function _S_Signalling___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(Signalling___unnamed_1_29794256(x))} Signalling___unnamed_1_29794256Inv(Signalling___unnamed_1_29794256(x)) == x);
-axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(x)} Signalling___unnamed_1_29794256(Signalling___unnamed_1_29794256Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_29794256(S)[x]} _S_Signalling___unnamed_1_29794256(S)[x] <==> S[Signalling___unnamed_1_29794256Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_29794256Inv(S)[x]} _S_Signalling___unnamed_1_29794256Inv(S)[x] <==> S[Signalling___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_29794256(S)} S[x] ==> _S_Signalling___unnamed_1_29794256(S)[Signalling___unnamed_1_29794256(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_29794256Inv(S)} S[x] ==> _S_Signalling___unnamed_1_29794256Inv(S)[Signalling___unnamed_1_29794256Inv(x)]);
-
-axiom (forall x:int :: {Signalling___unnamed_1_29794256(x)} Signalling___unnamed_1_29794256(x) == x + 0);
-axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(x)} Signalling___unnamed_1_29794256Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signalling___unnamed_1_29794256Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signalling___unnamed_1_29794256Inv(x));
-function Signature__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x))} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 0);
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Size___unnamed_1_2ef8da39(int) returns (int);
-function Size___unnamed_1_2ef8da39Inv(int) returns (int);
-function _S_Size___unnamed_1_2ef8da39([int]bool) returns ([int]bool);
-function _S_Size___unnamed_1_2ef8da39Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(Size___unnamed_1_2ef8da39(x))} Size___unnamed_1_2ef8da39Inv(Size___unnamed_1_2ef8da39(x)) == x);
-axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(x)} Size___unnamed_1_2ef8da39(Size___unnamed_1_2ef8da39Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_2ef8da39(S)[x]} _S_Size___unnamed_1_2ef8da39(S)[x] <==> S[Size___unnamed_1_2ef8da39Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_2ef8da39Inv(S)[x]} _S_Size___unnamed_1_2ef8da39Inv(S)[x] <==> S[Size___unnamed_1_2ef8da39(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_2ef8da39(S)} S[x] ==> _S_Size___unnamed_1_2ef8da39(S)[Size___unnamed_1_2ef8da39(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_2ef8da39Inv(S)} S[x] ==> _S_Size___unnamed_1_2ef8da39Inv(S)[Size___unnamed_1_2ef8da39Inv(x)]);
-
-axiom (forall x:int :: {Size___unnamed_1_2ef8da39(x)} Size___unnamed_1_2ef8da39(x) == x + 0);
-axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(x)} Size___unnamed_1_2ef8da39Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Size___unnamed_1_2ef8da39Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Size___unnamed_1_2ef8da39Inv(x));
-function SpinLock__DEVICE_EXTENSION(int) returns (int);
-function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 172);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 172);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 172)} MINUS_LEFT_PTR(x, 1, 172) == SpinLock__DEVICE_EXTENSIONInv(x));
-function Spin__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x))} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 28);
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function Subtype__KEYBOARD_ID(int) returns (int);
-function Subtype__KEYBOARD_IDInv(int) returns (int);
-function _S_Subtype__KEYBOARD_ID([int]bool) returns ([int]bool);
-function _S_Subtype__KEYBOARD_IDInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(Subtype__KEYBOARD_ID(x))} Subtype__KEYBOARD_IDInv(Subtype__KEYBOARD_ID(x)) == x);
-axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(x)} Subtype__KEYBOARD_ID(Subtype__KEYBOARD_IDInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Subtype__KEYBOARD_ID(S)[x]} _S_Subtype__KEYBOARD_ID(S)[x] <==> S[Subtype__KEYBOARD_IDInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Subtype__KEYBOARD_IDInv(S)[x]} _S_Subtype__KEYBOARD_IDInv(S)[x] <==> S[Subtype__KEYBOARD_ID(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Subtype__KEYBOARD_ID(S)} S[x] ==> _S_Subtype__KEYBOARD_ID(S)[Subtype__KEYBOARD_ID(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Subtype__KEYBOARD_IDInv(S)} S[x] ==> _S_Subtype__KEYBOARD_IDInv(S)[Subtype__KEYBOARD_IDInv(x)]);
-
-axiom (forall x:int :: {Subtype__KEYBOARD_ID(x)} Subtype__KEYBOARD_ID(x) == x + 1);
-axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(x)} Subtype__KEYBOARD_IDInv(x) == x - 1);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Subtype__KEYBOARD_IDInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Subtype__KEYBOARD_IDInv(x));
-function SurpriseRemoved__DEVICE_EXTENSION(int) returns (int);
-function SurpriseRemoved__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SurpriseRemoved__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SurpriseRemoved__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x))} SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSION(SurpriseRemoved__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSION(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSION(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSION(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSION(S)[SurpriseRemoved__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSION(x)} SurpriseRemoved__DEVICE_EXTENSION(x) == x + 287);
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSIONInv(x) == x - 287);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 287, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 287, 1) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 287)} MINUS_LEFT_PTR(x, 1, 287) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
-function SymbolicLinkName__DEVICE_EXTENSION(int) returns (int);
-function SymbolicLinkName__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SymbolicLinkName__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SymbolicLinkName__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x))} SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSION(SymbolicLinkName__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSION(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSION(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSION(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSION(S)[SymbolicLinkName__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSION(x)} SymbolicLinkName__DEVICE_EXTENSION(x) == x + 120);
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSIONInv(x) == x - 120);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 120)} MINUS_LEFT_PTR(x, 1, 120) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
-function SystemState__DEVICE_EXTENSION(int) returns (int);
-function SystemState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SystemState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SystemState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x))} SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSION(SystemState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSION(S)[x]} _S_SystemState__DEVICE_EXTENSION(S)[x] <==> S[SystemState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSION(S)[SystemState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSIONInv(S)[SystemState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSION(x)} SystemState__DEVICE_EXTENSION(x) == x + 192);
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSIONInv(x) == x - 192);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 192, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 192, 1) == SystemState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 192)} MINUS_LEFT_PTR(x, 1, 192) == SystemState__DEVICE_EXTENSIONInv(x));
-function SystemToDeviceState__DEVICE_EXTENSION(int) returns (int);
-function SystemToDeviceState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SystemToDeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SystemToDeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x))} SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSION(SystemToDeviceState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSION(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSION(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSION(S)[SystemToDeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSION(x)} SystemToDeviceState__DEVICE_EXTENSION(x) == x + 232);
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSIONInv(x) == x - 232);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 232)} MINUS_LEFT_PTR(x, 1, 232) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
-function TargetNotifyHandle__DEVICE_EXTENSION(int) returns (int);
-function TargetNotifyHandle__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TargetNotifyHandle__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TargetNotifyHandle__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x))} TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSION(TargetNotifyHandle__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSION(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSION(x)} TargetNotifyHandle__DEVICE_EXTENSION(x) == x + 268);
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSIONInv(x) == x - 268);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 268, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 268, 1) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 268)} MINUS_LEFT_PTR(x, 1, 268) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function TrueClassDevice__DEVICE_EXTENSION(int) returns (int);
-function TrueClassDevice__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TrueClassDevice__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TrueClassDevice__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x))} TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSION(TrueClassDevice__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSION(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSION(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSION(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSION(S)[TrueClassDevice__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSION(x)} TrueClassDevice__DEVICE_EXTENSION(x) == x + 4);
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSIONInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
-function TrustedSubsystemCount__DEVICE_EXTENSION(int) returns (int);
-function TrustedSubsystemCount__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TrustedSubsystemCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x))} TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSION(TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSION(x)} TrustedSubsystemCount__DEVICE_EXTENSION(x) == x + 112);
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSIONInv(x) == x - 112);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 112)} MINUS_LEFT_PTR(x, 1, 112) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
-function Type__KEYBOARD_ID(int) returns (int);
-function Type__KEYBOARD_IDInv(int) returns (int);
-function _S_Type__KEYBOARD_ID([int]bool) returns ([int]bool);
-function _S_Type__KEYBOARD_IDInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Type__KEYBOARD_IDInv(Type__KEYBOARD_ID(x))} Type__KEYBOARD_IDInv(Type__KEYBOARD_ID(x)) == x);
-axiom (forall x:int :: {Type__KEYBOARD_IDInv(x)} Type__KEYBOARD_ID(Type__KEYBOARD_IDInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Type__KEYBOARD_ID(S)[x]} _S_Type__KEYBOARD_ID(S)[x] <==> S[Type__KEYBOARD_IDInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Type__KEYBOARD_IDInv(S)[x]} _S_Type__KEYBOARD_IDInv(S)[x] <==> S[Type__KEYBOARD_ID(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type__KEYBOARD_ID(S)} S[x] ==> _S_Type__KEYBOARD_ID(S)[Type__KEYBOARD_ID(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type__KEYBOARD_IDInv(S)} S[x] ==> _S_Type__KEYBOARD_IDInv(S)[Type__KEYBOARD_IDInv(x)]);
-
-axiom (forall x:int :: {Type__KEYBOARD_ID(x)} Type__KEYBOARD_ID(x) == x + 0);
-axiom (forall x:int :: {Type__KEYBOARD_IDInv(x)} Type__KEYBOARD_IDInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type__KEYBOARD_IDInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type__KEYBOARD_IDInv(x));
-function Type___unnamed_4_5ca00198(int) returns (int);
-function Type___unnamed_4_5ca00198Inv(int) returns (int);
-function _S_Type___unnamed_4_5ca00198([int]bool) returns ([int]bool);
-function _S_Type___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(Type___unnamed_4_5ca00198(x))} Type___unnamed_4_5ca00198Inv(Type___unnamed_4_5ca00198(x)) == x);
-axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(x)} Type___unnamed_4_5ca00198(Type___unnamed_4_5ca00198Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_5ca00198(S)[x]} _S_Type___unnamed_4_5ca00198(S)[x] <==> S[Type___unnamed_4_5ca00198Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_5ca00198Inv(S)[x]} _S_Type___unnamed_4_5ca00198Inv(S)[x] <==> S[Type___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_5ca00198(S)} S[x] ==> _S_Type___unnamed_4_5ca00198(S)[Type___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_5ca00198Inv(S)} S[x] ==> _S_Type___unnamed_4_5ca00198Inv(S)[Type___unnamed_4_5ca00198Inv(x)]);
-
-axiom (forall x:int :: {Type___unnamed_4_5ca00198(x)} Type___unnamed_4_5ca00198(x) == x + 0);
-axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(x)} Type___unnamed_4_5ca00198Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type___unnamed_4_5ca00198Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type___unnamed_4_5ca00198Inv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
-function UnitId__KEYBOARD_INDICATOR_PARAMETERS(int) returns (int);
-function UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(int) returns (int);
-function _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS([int]bool) returns ([int]bool);
-function _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(UnitId__KEYBOARD_INDICATOR_PARAMETERS(x))} UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)) == x);
-axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERS(UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[x]} _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[x] <==> S[UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x]} _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x] <==> S[UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)} S[x] ==> _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)} S[x] ==> _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
-
-axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERS(x) == x + 0);
-axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x));
-function UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
-function UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
-function _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
-function _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x))} UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
-axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
-
-axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 0);
-axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
-function WaitListHead__DISPATCHER_HEADER(int) returns (int);
-function WaitListHead__DISPATCHER_HEADERInv(int) returns (int);
-function _S_WaitListHead__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S_WaitListHead__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x))} WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADER(WaitListHead__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADER(S)[x]} _S_WaitListHead__DISPATCHER_HEADER(S)[x] <==> S[WaitListHead__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADERInv(S)[x]} _S_WaitListHead__DISPATCHER_HEADERInv(S)[x] <==> S[WaitListHead__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADER(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADER(S)[WaitListHead__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADERInv(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADERInv(S)[WaitListHead__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADER(x)} WaitListHead__DISPATCHER_HEADER(x) == x + 8);
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADERInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == WaitListHead__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == WaitListHead__DISPATCHER_HEADERInv(x));
-function WaitWakeEnabled__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeEnabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeEnabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeEnabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x))} WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSION(WaitWakeEnabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSION(x)} WaitWakeEnabled__DEVICE_EXTENSION(x) == x + 286);
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSIONInv(x) == x - 286);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 286, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 286, 1) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 286)} MINUS_LEFT_PTR(x, 1, 286) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
-function WaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x))} WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSION(WaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSION(S)[WaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSION(x)} WaitWakeIrp__DEVICE_EXTENSION(x) == x + 260);
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 260);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
-function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-function WmiFunctionControl__WMILIB_CONTEXT(int) returns (int);
-function WmiFunctionControl__WMILIB_CONTEXTInv(int) returns (int);
-function _S_WmiFunctionControl__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_WmiFunctionControl__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x))} WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXT(WmiFunctionControl__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXT(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXT(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXT(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXT(S)[WmiFunctionControl__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXT(x)} WmiFunctionControl__WMILIB_CONTEXT(x) == x + 28);
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
-function WmiLibInfo__DEVICE_EXTENSION(int) returns (int);
-function WmiLibInfo__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WmiLibInfo__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WmiLibInfo__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x))} WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSION(WmiLibInfo__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSION(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSION(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSION(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSION(S)[WmiLibInfo__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSION(x)} WmiLibInfo__DEVICE_EXTENSION(x) == x + 200);
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSIONInv(x) == x - 200);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 200, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 200, 1) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 200)} MINUS_LEFT_PTR(x, 1, 200) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
-function __unnamed_1_29794256___unnamed_4_5ca00198(int) returns (int);
-function __unnamed_1_29794256___unnamed_4_5ca00198Inv(int) returns (int);
-function _S___unnamed_1_29794256___unnamed_4_5ca00198([int]bool) returns ([int]bool);
-function _S___unnamed_1_29794256___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(__unnamed_1_29794256___unnamed_4_5ca00198(x))} __unnamed_1_29794256___unnamed_4_5ca00198Inv(__unnamed_1_29794256___unnamed_4_5ca00198(x)) == x);
-axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)} __unnamed_1_29794256___unnamed_4_5ca00198(__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_29794256___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_29794256___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_29794256___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_29794256___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_29794256___unnamed_4_5ca00198(S)[__unnamed_1_29794256___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198(x)} __unnamed_1_29794256___unnamed_4_5ca00198(x) == x + 1);
-axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)} __unnamed_1_29794256___unnamed_4_5ca00198Inv(x) == x - 1);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == __unnamed_1_29794256___unnamed_4_5ca00198Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == __unnamed_1_29794256___unnamed_4_5ca00198Inv(x));
-function __unnamed_1_2dc63b48___unnamed_4_5ca00198(int) returns (int);
-function __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(int) returns (int);
-function _S___unnamed_1_2dc63b48___unnamed_4_5ca00198([int]bool) returns ([int]bool);
-function _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(__unnamed_1_2dc63b48___unnamed_4_5ca00198(x))} __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)) == x);
-axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198(__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198(x) == x + 3);
-axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x) == x - 3);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1) == __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 3)} MINUS_LEFT_PTR(x, 1, 3) == __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x));
-function __unnamed_1_2ef8da39___unnamed_4_5ca00198(int) returns (int);
-function __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(int) returns (int);
-function _S___unnamed_1_2ef8da39___unnamed_4_5ca00198([int]bool) returns ([int]bool);
-function _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(__unnamed_1_2ef8da39___unnamed_4_5ca00198(x))} __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)) == x);
-axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198(__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198(x) == x + 2);
-axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x));
-function __unnamed_4_5ca00198___unnamed_4_a97c65a1(int) returns (int);
-function __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(int) returns (int);
-function _S___unnamed_4_5ca00198___unnamed_4_a97c65a1([int]bool) returns ([int]bool);
-function _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(__unnamed_4_5ca00198___unnamed_4_a97c65a1(x))} __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)) == x);
-axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1(__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[x]} _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[x] <==> S[__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[x]} _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[x] <==> S[__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)} S[x] ==> _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)} S[x] ==> _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)]);
-
-axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x));
-function __unnamed_4_a97c65a1__DISPATCHER_HEADER(int) returns (int);
-function __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(int) returns (int);
-function _S___unnamed_4_a97c65a1__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(__unnamed_4_a97c65a1__DISPATCHER_HEADER(x))} __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADER(__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[x]} _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[x] <==> S[__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[x]} _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[x] <==> S[__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)} S[x] ==> _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)} S[x] ==> _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADER(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_4294967273:int;
-
-
-
-procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure InitializeListHead_IRP($ListHead$1$12.44$InitializeListHead_IRP$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoCreateDevice($DriverObject$1$21226.25$IoCreateDevice$281:int, $DeviceExtensionSize$2$21227.16$IoCreateDevice$281:int, $DeviceName$3$21228.29$IoCreateDevice$281:int, $DeviceType$4$21229.22$IoCreateDevice$281:int, $DeviceCharacteristics$5$21230.16$IoCreateDevice$281:int, $Exclusive$6$21231.18$IoCreateDevice$281:int, $DeviceObject$7$21237.20$IoCreateDevice$281:int) returns ($result.IoCreateDevice$21225.0$1$:int);
-
-//TAG: ensures (LONG)__return >= 0 ==> *DeviceObject != (void *)0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*DeviceObject)->DeviceExtension != (void *)0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*DeviceObject)->DeviceExtension) == 1
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 1
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *DeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *DeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension), 1)
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *DeviceObject) == __old_resource("DEV_OBJ_INIT", *DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))
-ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-//TAG: ensures (LONG)__return >= 0 ==> !(__resource("DEV_OBJ_INIT", ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))->Self) == 1)
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (!(Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])])]] == 1)));
-//TAG: ensures !((LONG)__return >= 0) ==> *DeviceObject == __old(*DeviceObject)
-ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == old(Mem)[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> __return == 0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ($result.IoCreateDevice$21225.0$1$ == 0));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$7$21237.20$IoCreateDevice$281))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $DeviceObject$7$21237.20$IoCreateDevice$281) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoInitializeRemoveLockEx($Lock$1$22135.25$IoInitializeRemoveLockEx$201:int, $AllocateTag$2$22136.16$IoInitializeRemoveLockEx$201:int, $MaxLockedMinutes$3$22137.16$IoInitializeRemoveLockEx$201:int, $HighWatermark$4$22138.16$IoInitializeRemoveLockEx$201:int, $RemlockSize$5$22139.16$IoInitializeRemoveLockEx$201:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KbdInitializeDataQueue($Context$1$557.13$KbdInitializeDataQueue$41:int);
-
-//TAG: requires __resource("DEV_EXTN", Context) == 1
-requires(Res_DEV_EXTN[$Context$1$557.13$KbdInitializeDataQueue$41] == 1);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __resource("DEV_EXTN", Context) == 1
-ensures(Res_DEV_EXTN[$Context$1$557.13$KbdInitializeDataQueue$41] == 1);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeInitializeSpinLock($SpinLock$1$13860.22$KeInitializeSpinLock$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardClassLogError($Object$1$580.10$KeyboardClassLogError$281:int, $ErrorCode$2$581.10$KeyboardClassLogError$281:int, $UniqueErrorValue$3$582.10$KeyboardClassLogError$281:int, $FinalStatus$4$583.13$KeyboardClassLogError$281:int, $DumpCount$5$584.10$KeyboardClassLogError$281:int, $DumpData$6$585.11$KeyboardClassLogError$281:int, $MajorFunction$7$586.10$KeyboardClassLogError$281:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlFreeUnicodeString($UnicodeString$1$7452.28$RtlFreeUnicodeString$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KbdCreateClassObject($DriverObject$1$3354.28$KbdCreateClassObject$201:int, $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201:int, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201:int, $FullDeviceName$4$3357.35$KbdCreateClassObject$201:int, $Legacy$5$3358.28$KbdCreateClassObject$201:int) returns ($result.KbdCreateClassObject$3353.0$1$:int)
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
-ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3356.28$KbdCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 : int;
-var $DriverObject$1$3354.28$KbdCreateClassObject$20 : int;
-var $ExAllocatePoolWithTag.arg.2$4$ : int;
-var $FullDeviceName$4$3357.35$KbdCreateClassObject$20 : int;
-var $KbdDebugPrint.arg.2$15$ : int;
-var $KbdDebugPrint.arg.2$18$ : int;
-var $KbdDebugPrint.arg.2$2$ : int;
-var $KbdDebugPrint.arg.2$20$ : int;
-var $KbdDebugPrint.arg.2$22$ : int;
-var $KbdDebugPrint.arg.2$5$ : int;
-var $Legacy$5$3358.28$KbdCreateClassObject$20 : int;
-var $RtlAppendUnicodeToString.arg.2$12$ : int;
-var $RtlAppendUnicodeToString.arg.2$14$ : int;
-var $RtlAppendUnicodeToString.arg.2$9$ : int;
-var $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$20 : int;
-var $deviceExtension$8$3388.24$KbdCreateClassObject$20 : int;
-var $dumpCount$11$3391.24$KbdCreateClassObject$20 : int;
-var $dumpData$12$3392.24$KbdCreateClassObject$20 : int;
-var $errorCode$9$3389.24$KbdCreateClassObject$20 : int;
-var $fullClassName$10$3390.24$KbdCreateClassObject$20 : int;
-var $i$13$3393.24$KbdCreateClassObject$20 : int;
-var $memset.arg.3$7$ : int;
-var $nameIndex$14$3394.24$KbdCreateClassObject$20 : int;
-var $result.ExAllocatePoolWithTag$3441.0$3$ : int;
-var $result.ExAllocatePoolWithTag$3557.0$19$ : int;
-var $result.IoCreateDevice$3485.35$16$ : int;
-var $result.IoCreateDevice$3499.31$17$ : int;
-var $result.RtlAppendUnicodeToString$3460.32$8$ : int;
-var $result.RtlAppendUnicodeToString$3461.32$10$ : int;
-var $result.RtlAppendUnicodeToString$3464.36$11$ : int;
-var $result.RtlAppendUnicodeToString$3467.32$13$ : int;
-var $result.memset$3459.8$6$ : int;
-var $result.question.21$ : int;
-var $status$6$3386.24$KbdCreateClassObject$20 : int;
-var $uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_78_alloc:[int]name;
-var LOOP_78_Mem:[name][int]int;
-var LOOP_78_Res_DEVICE_STACK:[int]int;
-var LOOP_78_Res_DEV_EXTN:[int]int;
-var LOOP_78_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_78_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$3354.28$KbdCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$FullDeviceName$4$3357.35$KbdCreateClassObject$201] != UNALLOCATED);
-call $dumpData$12$3392.24$KbdCreateClassObject$20 := __HAVOC_malloc(16);
-call $fullClassName$10$3390.24$KbdCreateClassObject$20 := __HAVOC_malloc(8);
-$DriverObject$1$3354.28$KbdCreateClassObject$20 := $DriverObject$1$3354.28$KbdCreateClassObject$201;
-$TmpDeviceExtension$2$3355.28$KbdCreateClassObject$20 := $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201;
-$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201;
-$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := $FullDeviceName$4$3357.35$KbdCreateClassObject$201;
-$Legacy$5$3358.28$KbdCreateClassObject$20 := $Legacy$5$3358.28$KbdCreateClassObject$201;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3627)
-label_1:
-call __HAVOC_free($dumpData$12$3392.24$KbdCreateClassObject$20);
-call __HAVOC_free($fullClassName$10$3390.24$KbdCreateClassObject$20);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
-assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
-assume (forall m:int :: {Mem[T.A40CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A40CHAR][m] == old(Mem[T.A40CHAR])[m]);
-assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
-assume (forall m:int :: {Mem[T.A65CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A65CHAR][m] == old(Mem[T.A65CHAR])[m]);
-assume (forall m:int :: {Mem[T.A75CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A75CHAR][m] == old(Mem[T.A75CHAR])[m]);
-assume (forall m:int :: {Mem[T.A76CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A76CHAR][m] == old(Mem[T.A76CHAR])[m]);
-assume (forall m:int :: {Mem[T.A7UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A7UINT2][m] == old(Mem[T.A7UINT2])[m]);
-assume (forall m:int :: {Mem[T.A83CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A83CHAR][m] == old(Mem[T.A83CHAR])[m]);
-assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
-assume (forall m:int :: {Mem[T.Abandoned___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Abandoned___unnamed_1_29794256][m] == old(Mem[T.Abandoned___unnamed_1_29794256])[m]);
-assume (forall m:int :: {Mem[T.Absolute___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Absolute___unnamed_1_29794256][m] == old(Mem[T.Absolute___unnamed_1_29794256])[m]);
-assume (forall m:int :: {Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.AllowDisable__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllowDisable__DEVICE_EXTENSION][m] == old(Mem[T.AllowDisable__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Blink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blink__LIST_ENTRY][m] == old(Mem[T.Blink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.ConnectOneClassToOnePort__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ConnectOneClassToOnePort__GLOBALS][m] == old(Mem[T.ConnectOneClassToOnePort__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DebugActive___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DebugActive___unnamed_1_2dc63b48][m] == old(Mem[T.DebugActive___unnamed_1_2dc63b48])[m]);
-assume (forall m:int :: {Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.DeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceState__DEVICE_EXTENSION][m] == old(Mem[T.DeviceState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DpcActive___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DpcActive___unnamed_1_2dc63b48][m] == old(Mem[T.DpcActive___unnamed_1_2dc63b48])[m]);
-assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m] == old(Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.GuidCount__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidCount__WMILIB_CONTEXT][m] == old(Mem[T.GuidCount__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.GuidList__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidList__WMILIB_CONTEXT][m] == old(Mem[T.GuidList__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.Hand___unnamed_1_2ef8da39][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Hand___unnamed_1_2ef8da39][m] == old(Mem[T.Hand___unnamed_1_2ef8da39])[m]);
-assume (forall m:int :: {Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InputCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputCount__DEVICE_EXTENSION][m] == old(Mem[T.InputCount__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Inserted___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Inserted___unnamed_1_2dc63b48][m] == old(Mem[T.Inserted___unnamed_1_2dc63b48])[m]);
-assume (forall m:int :: {Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.KeyboardAttributes__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.KeyboardAttributes__DEVICE_EXTENSION][m] == old(Mem[T.KeyboardAttributes__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS][m] == old(Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Lock___unnamed_4_a97c65a1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Lock___unnamed_4_a97c65a1][m] == old(Mem[T.Lock___unnamed_4_a97c65a1])[m]);
-assume (forall m:int :: {Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinDeviceWakeState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinSystemWakeState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.NpxIrql___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NpxIrql___unnamed_1_29794256][m] == old(Mem[T.NpxIrql___unnamed_1_29794256])[m]);
-assume (forall m:int :: {Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m] == old(Mem[T.OkayToLogOverflow__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PDO__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PDO__DEVICE_EXTENSION][m] == old(Mem[T.PDO__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
-assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
-assume (forall m:int :: {Mem[T.P_IO_REMOVE_LOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IO_REMOVE_LOCK][m] == old(Mem[T.P_IO_REMOVE_LOCK])[m]);
-assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
-assume (forall m:int :: {Mem[T.ReadQueue__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ReadQueue__DEVICE_EXTENSION][m] == old(Mem[T.ReadQueue__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.RemoveLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RemoveLock__DEVICE_EXTENSION][m] == old(Mem[T.RemoveLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SequenceNumber__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SequenceNumber__DEVICE_EXTENSION][m] == old(Mem[T.SequenceNumber__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataBlock__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataItem__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.SignalState__DISPATCHER_HEADER][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SignalState__DISPATCHER_HEADER][m] == old(Mem[T.SignalState__DISPATCHER_HEADER])[m]);
-assume (forall m:int :: {Mem[T.Signalling___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signalling___unnamed_1_29794256][m] == old(Mem[T.Signalling___unnamed_1_29794256])[m]);
-assume (forall m:int :: {Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Size___unnamed_1_2ef8da39][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Size___unnamed_1_2ef8da39][m] == old(Mem[T.Size___unnamed_1_2ef8da39])[m]);
-assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Subtype__KEYBOARD_ID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Subtype__KEYBOARD_ID][m] == old(Mem[T.Subtype__KEYBOARD_ID])[m]);
-assume (forall m:int :: {Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m] == old(Mem[T.SurpriseRemoved__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SystemState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemState__DEVICE_EXTENSION][m] == old(Mem[T.SystemState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m] == old(Mem[T.SystemToDeviceState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m] == old(Mem[T.TargetNotifyHandle__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TrueClassDevice__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrueClassDevice__DEVICE_EXTENSION][m] == old(Mem[T.TrueClassDevice__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m] == old(Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Type__KEYBOARD_ID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type__KEYBOARD_ID][m] == old(Mem[T.Type__KEYBOARD_ID])[m]);
-assume (forall m:int :: {Mem[T.Type___unnamed_4_5ca00198][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type___unnamed_4_5ca00198][m] == old(Mem[T.Type___unnamed_4_5ca00198])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS][m] == old(Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS])[m]);
-assume (forall m:int :: {Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeEnabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeIrp__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m] == old(Mem[T.WmiFunctionControl__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3627)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3386)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3387)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3388)
-label_5:
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3388)
-label_6:
-$deviceExtension$8$3388.24$KbdCreateClassObject$20 := 0 ;
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3389)
-label_7:
-goto label_8;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3389)
-label_8:
-$errorCode$9$3389.24$KbdCreateClassObject$20 := 0 ;
-goto label_9;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3390)
-label_9:
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3390)
-label_10:
-// Skipping Structure assignment due to the flag SkipStructAssignments
-goto label_11;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3391)
-label_11:
-goto label_12;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3391)
-label_12:
-$dumpCount$11$3391.24$KbdCreateClassObject$20 := 0 ;
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3392)
-label_13:
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3393)
-label_14:
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3394)
-label_15:
-goto label_16;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3396)
-label_16:
-call __PREfastPagedCode ();
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3398)
-label_19:
-// skip KbdDebugPrint
-goto label_23;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3398)
-label_22:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$2$ := havoc_stringTemp ;
-goto label_19;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3404)
-label_23:
-call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3409)
-label_26:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := 0];
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3411)
-label_27:
-goto label_27_true , label_27_false ;
-
-
-label_27_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_89;
-
-
-label_27_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_28;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3415)
-label_28:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_31;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3421)
-label_31:
-goto label_31_true , label_31_false ;
-
-
-label_31_true :
-assume (BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_32;
-
-
-label_31_false :
-assume !(BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_37;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3422)
-label_32:
-$status$6$3386.24$KbdCreateClassObject$20 := -1073741823 ;
-goto label_33;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3423)
-label_33:
-$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414143 ;
-goto label_34;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3424)
-label_34:
-$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3425)
-label_35:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3426)
-label_36:
-$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3433)
-label_37:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]), 1, 4)];
-goto label_38;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3437)
-label_38:
-goto label_38_true , label_38_false ;
-
-
-label_38_true :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
-goto label_39;
-
-
-label_38_false :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3437)
-label_39:
-goto label_39_true , label_39_false ;
-
-
-label_39_true :
-assume ($Legacy$5$3358.28$KbdCreateClassObject$20 != 0);
-goto label_40;
-
-
-label_39_false :
-assume ($Legacy$5$3358.28$KbdCreateClassObject$20 == 0);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3438)
-label_40:
-tempBoogie0 := PLUS(Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 1, 14) ;
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := tempBoogie0];
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
-label_41:
-call $result.ExAllocatePoolWithTag$3441.0$3$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$4$, 1130652235);
-goto label_45;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
-label_44:
-$ExAllocatePoolWithTag.arg.2$4$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] ;
-goto label_41;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
-label_45:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := $result.ExAllocatePoolWithTag$3441.0$3$];
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3444)
-label_46:
-goto label_46_true , label_46_false ;
-
-
-label_46_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] != 0);
-goto label_59;
-
-
-label_46_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] == 0);
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3446)
-label_47:
-// skip KbdDebugPrint
-goto label_51;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3446)
-label_50:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$5$ := havoc_stringTemp ;
-goto label_47;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3451)
-label_51:
-$status$6$3386.24$KbdCreateClassObject$20 := -1073741823 ;
-goto label_52;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3452)
-label_52:
-$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414143 ;
-goto label_53;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3453)
-label_53:
-$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3454)
-label_54:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
-goto label_55;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3455)
-label_55:
-$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3459)
-label_56:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$3459.8$6$;
-goto label_63;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3459)
-label_59:
-$memset.arg.3$7$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] ;
-goto label_56;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3460)
-label_60:
-call $result.RtlAppendUnicodeToString$3460.32$8$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$9$);
-goto label_64;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3460)
-label_63:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$9$ := havoc_stringTemp ;
-goto label_60;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3461)
-label_64:
-call $result.RtlAppendUnicodeToString$3461.32$10$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_67;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3463)
-label_67:
-goto label_67_true , label_67_false ;
-
-
-label_67_true :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
-goto label_68;
-
-
-label_67_false :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3463)
-label_68:
-goto label_68_true , label_68_false ;
-
-
-label_68_true :
-assume ($Legacy$5$3358.28$KbdCreateClassObject$20 != 0);
-goto label_72;
-
-
-label_68_false :
-assume ($Legacy$5$3358.28$KbdCreateClassObject$20 == 0);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3464)
-label_69:
-call $result.RtlAppendUnicodeToString$3464.36$11$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$12$);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3464)
-label_72:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$12$ := havoc_stringTemp ;
-goto label_69;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3467)
-label_73:
-call $result.RtlAppendUnicodeToString$3467.32$13$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$14$);
-goto label_77;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3467)
-label_76:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$14$ := havoc_stringTemp ;
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3473)
-label_77:
-$nameIndex$14$3394.24$KbdCreateClassObject$20 := 0 ;
-goto label_78;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3477)
-label_78:
-// loop entry initialization...
-LOOP_78_alloc := alloc;
-LOOP_78_Mem := Mem;
-LOOP_78_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_78_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_78_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_78_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_78_head;
-
-
-label_78_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __preserves_resource("DEV_OBJ_INIT")
-assert(Res_DEV_OBJ_INIT == LOOP_78_Res_DEV_OBJ_INIT);
-//TAG: requires __preserves_resource("DEV_EXTN")
-assert(Res_DEV_EXTN == LOOP_78_Res_DEV_EXTN);
-//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-assert(Mem[T.Flink__LIST_ENTRY] == LOOP_78_Mem[T.Flink__LIST_ENTRY]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_78_alloc[Base(f)] == UNALLOCATED || LOOP_78_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_78_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_78_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_78_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_78_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_78_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_78_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_78_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true, __set_empty
-assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_78_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_78_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 2), 1, 1)) := PLUS(48, 1, $nameIndex$14$3394.24$KbdCreateClassObject$20)];
-$nameIndex$14$3394.24$KbdCreateClassObject$20 := PLUS($nameIndex$14$3394.24$KbdCreateClassObject$20, 1, 1) ;
-goto label_82;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3479)
-label_79:
-// skip KbdDebugPrint
-goto label_83;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3479)
-label_82:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$15$ := havoc_stringTemp ;
-goto label_79;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3485)
-label_83:
-call $result.IoCreateDevice$3485.35$16$ := IoCreateDevice ($DriverObject$1$3354.28$KbdCreateClassObject$20, 288, $fullClassName$10$3390.24$KbdCreateClassObject$20, 11, 0, 0, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20);
-goto label_86;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3485)
-label_86:
-$status$6$3386.24$KbdCreateClassObject$20 := $result.IoCreateDevice$3485.35$16$ ;
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3493)
-label_87:
-goto label_87_true , label_87_false ;
-
-
-label_87_true :
-assume (-1073741771 == $status$6$3386.24$KbdCreateClassObject$20);
-goto label_78_head;
-
-
-label_87_false :
-assume !(-1073741771 == $status$6$3386.24$KbdCreateClassObject$20);
-goto label_88;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3495)
-label_88:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3498)
-label_89:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_92;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3499)
-label_92:
-call $result.IoCreateDevice$3499.31$17$ := IoCreateDevice ($DriverObject$1$3354.28$KbdCreateClassObject$20, 288, 0, 11, 0, 0, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20);
-goto label_95;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3499)
-label_95:
-$status$6$3386.24$KbdCreateClassObject$20 := $result.IoCreateDevice$3499.31$17$ ;
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3506)
-label_96:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := 0];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3509)
-label_97:
-goto label_97_true , label_97_false ;
-
-
-label_97_true :
-assume (0 <= $status$6$3386.24$KbdCreateClassObject$20);
-goto label_98;
-
-
-label_97_false :
-assume !(0 <= $status$6$3386.24$KbdCreateClassObject$20);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3509)
-label_98:
-goto label_98_true , label_98_false ;
-
-
-label_98_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
-goto label_107;
-
-
-label_98_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3510)
-label_99:
-// skip KbdDebugPrint
-goto label_103;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3510)
-label_102:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$18$ := havoc_stringTemp ;
-goto label_99;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3516)
-label_103:
-$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414131 ;
-goto label_104;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3517)
-label_104:
-$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
-goto label_105;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3518)
-label_105:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
-goto label_106;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3519)
-label_106:
-$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3528)
-label_107:
-assume (forall r:int :: {BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4),r)} BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])],r)!= 0 || BIT_BAND(4,r)!= 0 <==> BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4),r)!= 0);
-tempBoogie0 := BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4) ;
-Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]) := tempBoogie0];
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3529)
-label_108:
-$deviceExtension$8$3388.24$KbdCreateClassObject$20 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])] ;
-goto label_109;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3531)
-label_109:
-// Skipping Structure assignment due to the flag SkipStructAssignments
-goto label_110;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3533)
-label_110:
-Mem[T.Self__DEVICE_EXTENSION] := Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]];
-goto label_111;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3534)
-label_111:
-call IoInitializeRemoveLockEx (RemoveLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20), 1130652235, 0, 0, 88);
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3539)
-label_114:
-assume (Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)]);
-call KeInitializeSpinLock (SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20));
-Mem[T.SpinLock__DEVICE_EXTENSION] := Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)]];
-goto label_117;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3544)
-label_117:
-call InitializeListHead_IRP (ReadQueue__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20));
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3550)
-label_120:
-Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION] := Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][TrustedSubsystemCount__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := 0];
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3557)
-label_121:
-call $result.ExAllocatePoolWithTag$3557.0$19$ := ExAllocatePoolWithTag (0, Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][InputDataQueueLength__KEYBOARD_ATTRIBUTES(KeyboardAttributes__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20))], 1130652235);
-goto label_124;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3556)
-label_124:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := $result.ExAllocatePoolWithTag$3557.0$19$];
-goto label_125;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3562)
-label_125:
-goto label_125_true , label_125_false ;
-
-
-label_125_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] != 0);
-goto label_133;
-
-
-label_125_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == 0);
-goto label_129;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3568)
-label_126:
-// skip KbdDebugPrint
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3568)
-label_129:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$20$ := havoc_stringTemp ;
-goto label_126;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3574)
-label_130:
-$status$6$3386.24$KbdCreateClassObject$20 := -1073741670 ;
-goto label_131;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3580)
-label_131:
-$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414142 ;
-goto label_132;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3581)
-label_132:
-$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10020 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3589)
-label_133:
-call KbdInitializeDataQueue ($deviceExtension$8$3388.24$KbdCreateClassObject$20);
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3593)
-label_136:
-goto label_136_true , label_136_false ;
-
-
-label_136_true :
-assume ($status$6$3386.24$KbdCreateClassObject$20 != 0);
-goto label_137;
-
-
-label_136_false :
-assume ($status$6$3386.24$KbdCreateClassObject$20 == 0);
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3599)
-label_137:
-call RtlFreeUnicodeString ($fullClassName$10$3390.24$KbdCreateClassObject$20);
-goto label_140;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3600)
-label_140:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := 0];
-goto label_141;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3602)
-label_141:
-goto label_141_true , label_141_false ;
-
-
-label_141_true :
-assume ($errorCode$9$3389.24$KbdCreateClassObject$20 != 0);
-goto label_145;
-
-
-label_141_false :
-assume ($errorCode$9$3389.24$KbdCreateClassObject$20 == 0);
-goto label_148;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3603)
-label_142:
-call KeyboardClassLogError ($result.question.21$, $errorCode$9$3389.24$KbdCreateClassObject$20, $uniqueErrorValue$7$3387.24$KbdCreateClassObject$20, $status$6$3386.24$KbdCreateClassObject$20, $dumpCount$11$3391.24$KbdCreateClassObject$20, $dumpData$12$3392.24$KbdCreateClassObject$20, 0);
-goto label_148;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
-label_145:
-goto label_145_true , label_145_false ;
-
-
-label_145_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
-goto label_147;
-
-
-label_145_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
-goto label_146;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
-label_146:
-$result.question.21$ := $DriverObject$1$3354.28$KbdCreateClassObject$20 ;
-goto label_142;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
-label_147:
-$result.question.21$ := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] ;
-goto label_142;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3614)
-label_148:
-goto label_148_true , label_148_false ;
-
-
-label_148_true :
-assume ($deviceExtension$8$3388.24$KbdCreateClassObject$20 != 0);
-goto label_149;
-
-
-label_148_false :
-assume ($deviceExtension$8$3388.24$KbdCreateClassObject$20 == 0);
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3614)
-label_149:
-goto label_149_true , label_149_false ;
-
-
-label_149_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] != 0);
-goto label_150;
-
-
-label_149_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == 0);
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3615)
-label_150:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)], 0);
-goto label_153;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3616)
-label_153:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := 0];
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3618)
-label_154:
-goto label_154_true , label_154_false ;
-
-
-label_154_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
-goto label_155;
-
-
-label_154_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3619)
-label_155:
-call IoDeleteDevice (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]);
-goto label_158;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3620)
-label_158:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := 0];
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3624)
-label_159:
-// skip KbdDebugPrint
-goto label_163;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3624)
-label_162:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$22$ := havoc_stringTemp ;
-goto label_159;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3626)
-label_163:
-$result.KbdCreateClassObject$3353.0$1$ := $status$6$3386.24$KbdCreateClassObject$20 ;
-goto label_1;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.AllowDisable__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
+const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.SendOutputToAllPorts__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
+const unique T.Type__KEYBOARD_ID:name;
+const unique T.Subtype__KEYBOARD_ID:name;
+const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
+const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
+const unique T.Flags__KEYBOARD_INPUT_DATA:name;
+const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
+const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
+const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Reserved___unnamed_12_0d6a30de:name;
+const unique T.MessageCount___unnamed_12_0d6a30de:name;
+const unique T.Vector___unnamed_12_0d6a30de:name;
+const unique T.Affinity___unnamed_12_0d6a30de:name;
+const unique T.Start___unnamed_12_17f5c211:name;
+const unique T.Length48___unnamed_12_17f5c211:name;
+const unique T.Start___unnamed_12_1fb42e39:name;
+const unique T.Length___unnamed_12_1fb42e39:name;
+const unique T.Reserved___unnamed_12_1fb42e39:name;
+const unique T.Start___unnamed_12_2a1563c6:name;
+const unique T.Length___unnamed_12_2a1563c6:name;
+const unique T.DataSize___unnamed_12_31347272:name;
+const unique T.Reserved1___unnamed_12_31347272:name;
+const unique T.Reserved2___unnamed_12_31347272:name;
+const unique T.Raw___unnamed_12_429aadc0:name;
+const unique T.Translated___unnamed_12_429aadc0:name;
+const unique T.Start___unnamed_12_4719de1a:name;
+const unique T.Length___unnamed_12_4719de1a:name;
+const unique T.Data___unnamed_12_4be56faa:name;
+const unique T.Data___unnamed_12_5ce25b92:name;
+const unique T.Generic___unnamed_12_7a698b72:name;
+const unique T.Port___unnamed_12_7a698b72:name;
+const unique T.Interrupt___unnamed_12_7a698b72:name;
+const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
+const unique T.Memory___unnamed_12_7a698b72:name;
+const unique T.Dma___unnamed_12_7a698b72:name;
+const unique T.DevicePrivate___unnamed_12_7a698b72:name;
+const unique T.BusNumber___unnamed_12_7a698b72:name;
+const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
+const unique T.Memory40___unnamed_12_7a698b72:name;
+const unique T.Memory48___unnamed_12_7a698b72:name;
+const unique T.Memory64___unnamed_12_7a698b72:name;
+const unique T.Start___unnamed_12_87c0de8d:name;
+const unique T.Length64___unnamed_12_87c0de8d:name;
+const unique T.Start___unnamed_12_98bfc55a:name;
+const unique T.Length40___unnamed_12_98bfc55a:name;
+const unique T.Priority___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
+const unique T.Level___unnamed_12_b0429be9:name;
+const unique T.Vector___unnamed_12_b0429be9:name;
+const unique T.Affinity___unnamed_12_b0429be9:name;
+const unique T.ListEntry___unnamed_12_b43e8de8:name;
+const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
+const unique T.Level___unnamed_12_bfdb39ee:name;
+const unique T.Vector___unnamed_12_bfdb39ee:name;
+const unique T.Affinity___unnamed_12_bfdb39ee:name;
+const unique T.Start___unnamed_12_cd42b3c3:name;
+const unique T.Length___unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
+const unique T.Channel___unnamed_12_e80d029e:name;
+const unique T.Port___unnamed_12_e80d029e:name;
+const unique T.Reserved1___unnamed_12_e80d029e:name;
+const unique T.Length___unnamed_16_07c0bcc5:name;
+const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.Reserved___unnamed_16_07c0bcc5:name;
+const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
+const unique T.Size___unnamed_16_29cb9f2f:name;
+const unique T.Version___unnamed_16_29cb9f2f:name;
+const unique T.Interface___unnamed_16_29cb9f2f:name;
+const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
+const unique T.SecurityContext___unnamed_16_30f11dbf:name;
+const unique T.Options___unnamed_16_30f11dbf:name;
+const unique T.FileAttributes___unnamed_16_30f11dbf:name;
+const unique T.ShareAccess___unnamed_16_30f11dbf:name;
+const unique T.EaLength___unnamed_16_30f11dbf:name;
+const unique T.DriverContext___unnamed_16_35034f68:name;
+const unique T.Length___unnamed_16_487a9498:name;
+const unique T.FileName___unnamed_16_487a9498:name;
+const unique T.FileInformationClass___unnamed_16_487a9498:name;
+const unique T.FileIndex___unnamed_16_487a9498:name;
+const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.FsControlCode___unnamed_16_5f6a8844:name;
+const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
+const unique T.Length___unnamed_16_7177b9f3:name;
+const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
+const unique T.FileObject___unnamed_16_7177b9f3:name;
+const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
+const unique T.Length___unnamed_16_88e91ef6:name;
+const unique T.Key___unnamed_16_88e91ef6:name;
+const unique T.ByteOffset___unnamed_16_88e91ef6:name;
+const unique T.Length___unnamed_16_8c506c98:name;
+const unique T.Key___unnamed_16_8c506c98:name;
+const unique T.ByteOffset___unnamed_16_8c506c98:name;
+const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
+const unique T.Buffer___unnamed_16_9ac2e5f8:name;
+const unique T.Offset___unnamed_16_9ac2e5f8:name;
+const unique T.Length___unnamed_16_9ac2e5f8:name;
+const unique T.Create___unnamed_16_b93842ad:name;
+const unique T.Read___unnamed_16_b93842ad:name;
+const unique T.Write___unnamed_16_b93842ad:name;
+const unique T.QueryDirectory___unnamed_16_b93842ad:name;
+const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
+const unique T.QueryFile___unnamed_16_b93842ad:name;
+const unique T.SetFile___unnamed_16_b93842ad:name;
+const unique T.QueryEa___unnamed_16_b93842ad:name;
+const unique T.SetEa___unnamed_16_b93842ad:name;
+const unique T.QueryVolume___unnamed_16_b93842ad:name;
+const unique T.SetVolume___unnamed_16_b93842ad:name;
+const unique T.FileSystemControl___unnamed_16_b93842ad:name;
+const unique T.LockControl___unnamed_16_b93842ad:name;
+const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
+const unique T.QuerySecurity___unnamed_16_b93842ad:name;
+const unique T.SetSecurity___unnamed_16_b93842ad:name;
+const unique T.MountVolume___unnamed_16_b93842ad:name;
+const unique T.VerifyVolume___unnamed_16_b93842ad:name;
+const unique T.Scsi___unnamed_16_b93842ad:name;
+const unique T.QueryQuota___unnamed_16_b93842ad:name;
+const unique T.SetQuota___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
+const unique T.QueryInterface___unnamed_16_b93842ad:name;
+const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
+const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
+const unique T.SetLock___unnamed_16_b93842ad:name;
+const unique T.QueryId___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
+const unique T.UsageNotification___unnamed_16_b93842ad:name;
+const unique T.WaitWake___unnamed_16_b93842ad:name;
+const unique T.PowerSequence___unnamed_16_b93842ad:name;
+const unique T.Power___unnamed_16_b93842ad:name;
+const unique T.StartDevice___unnamed_16_b93842ad:name;
+const unique T.WMI___unnamed_16_b93842ad:name;
+const unique T.Others___unnamed_16_b93842ad:name;
+const unique T.Length___unnamed_16_b9c62eab:name;
+const unique T.Key___unnamed_16_b9c62eab:name;
+const unique T.ByteOffset___unnamed_16_b9c62eab:name;
+const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
+const unique T.Type___unnamed_16_bb584060:name;
+const unique T.State___unnamed_16_bb584060:name;
+const unique T.ShutdownType___unnamed_16_bb584060:name;
+const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.IoControlCode___unnamed_16_dba55c7c:name;
+const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
+const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
+const unique T.Argument1___unnamed_16_e734d694:name;
+const unique T.Argument2___unnamed_16_e734d694:name;
+const unique T.Argument3___unnamed_16_e734d694:name;
+const unique T.Argument4___unnamed_16_e734d694:name;
+const unique T.ProviderId___unnamed_16_eac6dbea:name;
+const unique T.DataPath___unnamed_16_eac6dbea:name;
+const unique T.BufferSize___unnamed_16_eac6dbea:name;
+const unique T.Buffer___unnamed_16_eac6dbea:name;
+const unique T.Length___unnamed_16_f6cae4c2:name;
+const unique T.EaList___unnamed_16_f6cae4c2:name;
+const unique T.EaListLength___unnamed_16_f6cae4c2:name;
+const unique T.EaIndex___unnamed_16_f6cae4c2:name;
+const unique T.Length___unnamed_16_fe36e4f4:name;
+const unique T.StartSid___unnamed_16_fe36e4f4:name;
+const unique T.SidList___unnamed_16_fe36e4f4:name;
+const unique T.SidListLength___unnamed_16_fe36e4f4:name;
+const unique T.Abandoned___unnamed_1_29794256:name;
+const unique T.Absolute___unnamed_1_29794256:name;
+const unique T.NpxIrql___unnamed_1_29794256:name;
+const unique T.Signalling___unnamed_1_29794256:name;
+const unique T.Inserted___unnamed_1_2dc63b48:name;
+const unique T.DebugActive___unnamed_1_2dc63b48:name;
+const unique T.DpcActive___unnamed_1_2dc63b48:name;
+const unique T.Size___unnamed_1_2ef8da39:name;
+const unique T.Hand___unnamed_1_2ef8da39:name;
+const unique T.Lock___unnamed_1_faa7dc71:name;
+const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
+const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
+const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
+const unique T.Length___unnamed_24_41cbc8c0:name;
+const unique T.Alignment___unnamed_24_41cbc8c0:name;
+const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
+const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
+const unique T.Length48___unnamed_24_5419c914:name;
+const unique T.Alignment48___unnamed_24_5419c914:name;
+const unique T.MinimumAddress___unnamed_24_5419c914:name;
+const unique T.MaximumAddress___unnamed_24_5419c914:name;
+const unique T.Length___unnamed_24_67a5ff10:name;
+const unique T.Alignment___unnamed_24_67a5ff10:name;
+const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
+const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
+const unique T.Port___unnamed_24_72c3976e:name;
+const unique T.Memory___unnamed_24_72c3976e:name;
+const unique T.Interrupt___unnamed_24_72c3976e:name;
+const unique T.Dma___unnamed_24_72c3976e:name;
+const unique T.Generic___unnamed_24_72c3976e:name;
+const unique T.DevicePrivate___unnamed_24_72c3976e:name;
+const unique T.BusNumber___unnamed_24_72c3976e:name;
+const unique T.ConfigData___unnamed_24_72c3976e:name;
+const unique T.Memory40___unnamed_24_72c3976e:name;
+const unique T.Memory48___unnamed_24_72c3976e:name;
+const unique T.Memory64___unnamed_24_72c3976e:name;
+const unique T.Length64___unnamed_24_a26050bb:name;
+const unique T.Alignment64___unnamed_24_a26050bb:name;
+const unique T.MinimumAddress___unnamed_24_a26050bb:name;
+const unique T.MaximumAddress___unnamed_24_a26050bb:name;
+const unique T.Length___unnamed_24_b8f476db:name;
+const unique T.Alignment___unnamed_24_b8f476db:name;
+const unique T.MinimumAddress___unnamed_24_b8f476db:name;
+const unique T.MaximumAddress___unnamed_24_b8f476db:name;
+const unique T.Length40___unnamed_24_d09044b4:name;
+const unique T.Alignment40___unnamed_24_d09044b4:name;
+const unique T.MinimumAddress___unnamed_24_d09044b4:name;
+const unique T.MaximumAddress___unnamed_24_d09044b4:name;
+const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
+const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
+const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
+const unique T.Thread___unnamed_40_7218f704:name;
+const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
+const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
+const unique T.OriginalFileObject___unnamed_40_7218f704:name;
+const unique T.ListEntry___unnamed_40_c55c9377:name;
+const unique T.Wcb___unnamed_40_c55c9377:name;
+const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
+const unique T.PrivilegeSet___unnamed_44_5584090d:name;
+const unique T.Overlay___unnamed_48_cf99b13f:name;
+const unique T.Apc___unnamed_48_cf99b13f:name;
+const unique T.CompletionKey___unnamed_48_cf99b13f:name;
+const unique T.PowerState___unnamed_4_069846fb:name;
+const unique T.IdType___unnamed_4_224c32f4:name;
+const unique T.Capabilities___unnamed_4_2de698da:name;
+const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
+const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
+const unique T.Length___unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
+const unique T.ClusterCount___unnamed_4_43913aa5:name;
+const unique T.DeleteHandle___unnamed_4_43913aa5:name;
+const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
+const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
+const unique T.Srb___unnamed_4_52603077:name;
+const unique T.Address___unnamed_4_52c594f7:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
+const unique T.Type___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
+const unique T.MasterIrp___unnamed_4_6ac6463c:name;
+const unique T.IrpCount___unnamed_4_6ac6463c:name;
+const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
+const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
+const unique T.TableSize___unnamed_4_6f9ac8e1:name;
+const unique T.PowerSequence___unnamed_4_7a02167b:name;
+const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
+const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
+const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
+const unique T.Length___unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
+const unique T.Lock___unnamed_4_a97c65a1:name;
+const unique T.Reserved1___unnamed_4_c3479730:name;
+const unique T.TargetSystemState___unnamed_4_c3479730:name;
+const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
+const unique T.CurrentSystemState___unnamed_4_c3479730:name;
+const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
+const unique T.PseudoTransition___unnamed_4_c3479730:name;
+const unique T.Reserved2___unnamed_4_c3479730:name;
+const unique T.Status___unnamed_4_d99b6e2b:name;
+const unique T.Pointer___unnamed_4_d99b6e2b:name;
+const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
+const unique T.PacketType___unnamed_4_f19b65c1:name;
+const unique T.Type___unnamed_4_fa10fc16:name;
+const unique T.SecurityInformation___unnamed_8_01efa60d:name;
+const unique T.Length___unnamed_8_01efa60d:name;
+const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
+const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
+const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
+const unique T.UserApcContext___unnamed_8_0a898c0c:name;
+const unique T.SecurityInformation___unnamed_8_1330f93a:name;
+const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
+const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
+const unique T.AllocationSize___unnamed_8_181d0de9:name;
+const unique T.Vpb___unnamed_8_4812764d:name;
+const unique T.DeviceObject___unnamed_8_4812764d:name;
+const unique T.Length___unnamed_8_559a91e6:name;
+const unique T.FsInformationClass___unnamed_8_559a91e6:name;
+const unique T.Length___unnamed_8_5845b309:name;
+const unique T.FileInformationClass___unnamed_8_5845b309:name;
+const unique T.LowPart___unnamed_8_58ee4a31:name;
+const unique T.HighPart___unnamed_8_58ee4a31:name;
+const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
+const unique T.DeviceTextType___unnamed_8_6acfee04:name;
+const unique T.LocaleId___unnamed_8_6acfee04:name;
+const unique T.Length___unnamed_8_7f26a9dd:name;
+const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
+const unique T.Vpb___unnamed_8_87add0bd:name;
+const unique T.DeviceObject___unnamed_8_87add0bd:name;
+const unique T.InPath___unnamed_8_b2773e4c:name;
+const unique T.Reserved___unnamed_8_b2773e4c:name;
+const unique T.Type___unnamed_8_b2773e4c:name;
+const unique T.Length___unnamed_8_de890d4e:name;
+const unique T.FsInformationClass___unnamed_8_de890d4e:name;
+const unique T.LowPart___unnamed_8_ef9ba0d3:name;
+const unique T.HighPart___unnamed_8_ef9ba0d3:name;
+
+// Type declarations
+
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A2UINT2:name;
+const unique T.A32UINT2:name;
+const unique T.A37CHAR:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A40CHAR:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A65CHAR:name;
+const unique T.A75CHAR:name;
+const unique T.A76CHAR:name;
+const unique T.A7UINT2:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A83CHAR:name;
+const unique T.A8UCHAR:name;
+const unique T.A9UINT2:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA2UINT2:name;
+const unique T.PA37CHAR:name;
+const unique T.PA40CHAR:name;
+const unique T.PA4UINT4:name;
+const unique T.PA65CHAR:name;
+const unique T.PA75CHAR:name;
+const unique T.PA76CHAR:name;
+const unique T.PA7UINT2:name;
+const unique T.PA83CHAR:name;
+const unique T.PA9UINT2:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPPUINT2:name;
+const unique T.PPP_DEVICE_OBJECT:name;
+const unique T.PPUINT2:name;
+const unique T.PPUINT4:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FAST_MUTEX:name;
+const unique T.PP_IO_REMOVE_LOCK:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FAST_MUTEX:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KEYBOARD_INPUT_DATA:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_POOL_TYPE:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KEYBOARD_ATTRIBUTES:name;
+const unique T._KEYBOARD_ID:name;
+const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T._KEYBOARD_INPUT_DATA:name;
+const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._POOL_TYPE:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_0d6a30de:name;
+const unique T.__unnamed_12_17f5c211:name;
+const unique T.__unnamed_12_1fb42e39:name;
+const unique T.__unnamed_12_2a1563c6:name;
+const unique T.__unnamed_12_31347272:name;
+const unique T.__unnamed_12_429aadc0:name;
+const unique T.__unnamed_12_4719de1a:name;
+const unique T.__unnamed_12_4be56faa:name;
+const unique T.__unnamed_12_5ce25b92:name;
+const unique T.__unnamed_12_7a698b72:name;
+const unique T.__unnamed_12_87c0de8d:name;
+const unique T.__unnamed_12_98bfc55a:name;
+const unique T.__unnamed_12_ab1bd9d7:name;
+const unique T.__unnamed_12_b0429be9:name;
+const unique T.__unnamed_12_b43e8de8:name;
+const unique T.__unnamed_12_bfdb39ee:name;
+const unique T.__unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_e668effc:name;
+const unique T.__unnamed_12_e80d029e:name;
+const unique T.__unnamed_16_07c0bcc5:name;
+const unique T.__unnamed_16_29cb9f2f:name;
+const unique T.__unnamed_16_30f11dbf:name;
+const unique T.__unnamed_16_35034f68:name;
+const unique T.__unnamed_16_487a9498:name;
+const unique T.__unnamed_16_5f6a8844:name;
+const unique T.__unnamed_16_7177b9f3:name;
+const unique T.__unnamed_16_88e91ef6:name;
+const unique T.__unnamed_16_8c506c98:name;
+const unique T.__unnamed_16_9ac2e5f8:name;
+const unique T.__unnamed_16_b93842ad:name;
+const unique T.__unnamed_16_b9c62eab:name;
+const unique T.__unnamed_16_bb584060:name;
+const unique T.__unnamed_16_dba55c7c:name;
+const unique T.__unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_e734d694:name;
+const unique T.__unnamed_16_eac6dbea:name;
+const unique T.__unnamed_16_f6cae4c2:name;
+const unique T.__unnamed_16_fe36e4f4:name;
+const unique T.__unnamed_1_29794256:name;
+const unique T.__unnamed_1_2dc63b48:name;
+const unique T.__unnamed_1_2ef8da39:name;
+const unique T.__unnamed_1_faa7dc71:name;
+const unique T.__unnamed_20_f4d2e6d8:name;
+const unique T.__unnamed_24_41cbc8c0:name;
+const unique T.__unnamed_24_5419c914:name;
+const unique T.__unnamed_24_67a5ff10:name;
+const unique T.__unnamed_24_72c3976e:name;
+const unique T.__unnamed_24_a26050bb:name;
+const unique T.__unnamed_24_b8f476db:name;
+const unique T.__unnamed_24_d09044b4:name;
+const unique T.__unnamed_2_46cc4597:name;
+const unique T.__unnamed_40_7218f704:name;
+const unique T.__unnamed_40_c55c9377:name;
+const unique T.__unnamed_44_5584090d:name;
+const unique T.__unnamed_48_cf99b13f:name;
+const unique T.__unnamed_4_069846fb:name;
+const unique T.__unnamed_4_224c32f4:name;
+const unique T.__unnamed_4_2de698da:name;
+const unique T.__unnamed_4_3a2fdc5e:name;
+const unique T.__unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_4_43913aa5:name;
+const unique T.__unnamed_4_4e8dd2ba:name;
+const unique T.__unnamed_4_52603077:name;
+const unique T.__unnamed_4_52c594f7:name;
+const unique T.__unnamed_4_5ca00198:name;
+const unique T.__unnamed_4_6ac6463c:name;
+const unique T.__unnamed_4_6f9ac8e1:name;
+const unique T.__unnamed_4_7a02167b:name;
+const unique T.__unnamed_4_7d9d0c7e:name;
+const unique T.__unnamed_4_82f7a864:name;
+const unique T.__unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_a97c65a1:name;
+const unique T.__unnamed_4_c3479730:name;
+const unique T.__unnamed_4_d99b6e2b:name;
+const unique T.__unnamed_4_f19b65c1:name;
+const unique T.__unnamed_4_fa10fc16:name;
+const unique T.__unnamed_8_01efa60d:name;
+const unique T.__unnamed_8_08d4cef8:name;
+const unique T.__unnamed_8_0a898c0c:name;
+const unique T.__unnamed_8_1330f93a:name;
+const unique T.__unnamed_8_181d0de9:name;
+const unique T.__unnamed_8_4812764d:name;
+const unique T.__unnamed_8_559a91e6:name;
+const unique T.__unnamed_8_5845b309:name;
+const unique T.__unnamed_8_58ee4a31:name;
+const unique T.__unnamed_8_61acf4ce:name;
+const unique T.__unnamed_8_6acfee04:name;
+const unique T.__unnamed_8_7f26a9dd:name;
+const unique T.__unnamed_8_87add0bd:name;
+const unique T.__unnamed_8_b2773e4c:name;
+const unique T.__unnamed_8_de890d4e:name;
+const unique T.__unnamed_8_ef9ba0d3:name;
+
+function Abandoned___unnamed_1_29794256(int) returns (int);
+function Abandoned___unnamed_1_29794256Inv(int) returns (int);
+function _S_Abandoned___unnamed_1_29794256([int]bool) returns ([int]bool);
+function _S_Abandoned___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(Abandoned___unnamed_1_29794256(x))} Abandoned___unnamed_1_29794256Inv(Abandoned___unnamed_1_29794256(x)) == x);
+axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(x)} Abandoned___unnamed_1_29794256(Abandoned___unnamed_1_29794256Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_29794256(S)[x]} _S_Abandoned___unnamed_1_29794256(S)[x] <==> S[Abandoned___unnamed_1_29794256Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_29794256Inv(S)[x]} _S_Abandoned___unnamed_1_29794256Inv(S)[x] <==> S[Abandoned___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_29794256(S)} S[x] ==> _S_Abandoned___unnamed_1_29794256(S)[Abandoned___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_29794256Inv(S)} S[x] ==> _S_Abandoned___unnamed_1_29794256Inv(S)[Abandoned___unnamed_1_29794256Inv(x)]);
+
+axiom (forall x:int :: {Abandoned___unnamed_1_29794256(x)} Abandoned___unnamed_1_29794256(x) == x + 0);
+axiom (forall x:int :: {Abandoned___unnamed_1_29794256Inv(x)} Abandoned___unnamed_1_29794256Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Abandoned___unnamed_1_29794256Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Abandoned___unnamed_1_29794256Inv(x));
+function Absolute___unnamed_1_29794256(int) returns (int);
+function Absolute___unnamed_1_29794256Inv(int) returns (int);
+function _S_Absolute___unnamed_1_29794256([int]bool) returns ([int]bool);
+function _S_Absolute___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(Absolute___unnamed_1_29794256(x))} Absolute___unnamed_1_29794256Inv(Absolute___unnamed_1_29794256(x)) == x);
+axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(x)} Absolute___unnamed_1_29794256(Absolute___unnamed_1_29794256Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_29794256(S)[x]} _S_Absolute___unnamed_1_29794256(S)[x] <==> S[Absolute___unnamed_1_29794256Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_29794256Inv(S)[x]} _S_Absolute___unnamed_1_29794256Inv(S)[x] <==> S[Absolute___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_29794256(S)} S[x] ==> _S_Absolute___unnamed_1_29794256(S)[Absolute___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_29794256Inv(S)} S[x] ==> _S_Absolute___unnamed_1_29794256Inv(S)[Absolute___unnamed_1_29794256Inv(x)]);
+
+axiom (forall x:int :: {Absolute___unnamed_1_29794256(x)} Absolute___unnamed_1_29794256(x) == x + 0);
+axiom (forall x:int :: {Absolute___unnamed_1_29794256Inv(x)} Absolute___unnamed_1_29794256Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Absolute___unnamed_1_29794256Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Absolute___unnamed_1_29794256Inv(x));
+function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x))} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 16);
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function AllowDisable__DEVICE_EXTENSION(int) returns (int);
+function AllowDisable__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_AllowDisable__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_AllowDisable__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(AllowDisable__DEVICE_EXTENSION(x))} AllowDisable__DEVICE_EXTENSIONInv(AllowDisable__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(x)} AllowDisable__DEVICE_EXTENSION(AllowDisable__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AllowDisable__DEVICE_EXTENSION(S)[x]} _S_AllowDisable__DEVICE_EXTENSION(S)[x] <==> S[AllowDisable__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AllowDisable__DEVICE_EXTENSIONInv(S)[x]} _S_AllowDisable__DEVICE_EXTENSIONInv(S)[x] <==> S[AllowDisable__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllowDisable__DEVICE_EXTENSION(S)} S[x] ==> _S_AllowDisable__DEVICE_EXTENSION(S)[AllowDisable__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllowDisable__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_AllowDisable__DEVICE_EXTENSIONInv(S)[AllowDisable__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSION(x)} AllowDisable__DEVICE_EXTENSION(x) == x + 106);
+axiom (forall x:int :: {AllowDisable__DEVICE_EXTENSIONInv(x)} AllowDisable__DEVICE_EXTENSIONInv(x) == x - 106);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1) == AllowDisable__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 106)} MINUS_LEFT_PTR(x, 1, 106) == AllowDisable__DEVICE_EXTENSIONInv(x));
+function BaseClassName__GLOBALS(int) returns (int);
+function BaseClassName__GLOBALSInv(int) returns (int);
+function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
+function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 368);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 368);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1) == BaseClassName__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 368)} MINUS_LEFT_PTR(x, 1, 368) == BaseClassName__GLOBALSInv(x));
+function Blink__LIST_ENTRY(int) returns (int);
+function Blink__LIST_ENTRYInv(int) returns (int);
+function _S_Blink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Blink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x))} Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRY(Blink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRY(S)[x]} _S_Blink__LIST_ENTRY(S)[x] <==> S[Blink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRYInv(S)[x]} _S_Blink__LIST_ENTRYInv(S)[x] <==> S[Blink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRY(S)} S[x] ==> _S_Blink__LIST_ENTRY(S)[Blink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRYInv(S)} S[x] ==> _S_Blink__LIST_ENTRYInv(S)[Blink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRYInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Blink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Blink__LIST_ENTRYInv(x));
+function Blocks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x))} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 56);
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 56);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function Common__IO_REMOVE_LOCK(int) returns (int);
+function Common__IO_REMOVE_LOCKInv(int) returns (int);
+function _S_Common__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
+function _S_Common__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x))} Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x)) == x);
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCK(Common__IO_REMOVE_LOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCK(S)[x]} _S_Common__IO_REMOVE_LOCK(S)[x] <==> S[Common__IO_REMOVE_LOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCKInv(S)[x]} _S_Common__IO_REMOVE_LOCKInv(S)[x] <==> S[Common__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCK(S)} S[x] ==> _S_Common__IO_REMOVE_LOCK(S)[Common__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Common__IO_REMOVE_LOCKInv(S)[Common__IO_REMOVE_LOCKInv(x)]);
+
+axiom (forall x:int :: {Common__IO_REMOVE_LOCK(x)} Common__IO_REMOVE_LOCK(x) == x + 0);
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Common__IO_REMOVE_LOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Common__IO_REMOVE_LOCKInv(x));
+function ConnectOneClassToOnePort__GLOBALS(int) returns (int);
+function ConnectOneClassToOnePort__GLOBALSInv(int) returns (int);
+function _S_ConnectOneClassToOnePort__GLOBALS([int]bool) returns ([int]bool);
+function _S_ConnectOneClassToOnePort__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x))} ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x)) == x);
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALS(ConnectOneClassToOnePort__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALS(S)[x]} _S_ConnectOneClassToOnePort__GLOBALS(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALSInv(S)[x]} _S_ConnectOneClassToOnePort__GLOBALSInv(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALS(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALS(S)[ConnectOneClassToOnePort__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALSInv(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALSInv(S)[ConnectOneClassToOnePort__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALS(x)} ConnectOneClassToOnePort__GLOBALS(x) == x + 56);
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALSInv(x) == x - 56);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == ConnectOneClassToOnePort__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == ConnectOneClassToOnePort__GLOBALSInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function Dbg__IO_REMOVE_LOCK(int) returns (int);
+function Dbg__IO_REMOVE_LOCKInv(int) returns (int);
+function _S_Dbg__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
+function _S_Dbg__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x))} Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x)) == x);
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCK(Dbg__IO_REMOVE_LOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCK(S)[x]} _S_Dbg__IO_REMOVE_LOCK(S)[x] <==> S[Dbg__IO_REMOVE_LOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCKInv(S)[x]} _S_Dbg__IO_REMOVE_LOCKInv(S)[x] <==> S[Dbg__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCK(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCK(S)[Dbg__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCKInv(S)[Dbg__IO_REMOVE_LOCKInv(x)]);
+
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCK(x)} Dbg__IO_REMOVE_LOCK(x) == x + 24);
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCKInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Dbg__IO_REMOVE_LOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Dbg__IO_REMOVE_LOCKInv(x));
+function DebugActive___unnamed_1_2dc63b48(int) returns (int);
+function DebugActive___unnamed_1_2dc63b48Inv(int) returns (int);
+function _S_DebugActive___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
+function _S_DebugActive___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(DebugActive___unnamed_1_2dc63b48(x))} DebugActive___unnamed_1_2dc63b48Inv(DebugActive___unnamed_1_2dc63b48(x)) == x);
+axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(x)} DebugActive___unnamed_1_2dc63b48(DebugActive___unnamed_1_2dc63b48Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_2dc63b48(S)[x]} _S_DebugActive___unnamed_1_2dc63b48(S)[x] <==> S[DebugActive___unnamed_1_2dc63b48Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_2dc63b48Inv(S)[x]} _S_DebugActive___unnamed_1_2dc63b48Inv(S)[x] <==> S[DebugActive___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_2dc63b48(S)} S[x] ==> _S_DebugActive___unnamed_1_2dc63b48(S)[DebugActive___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_DebugActive___unnamed_1_2dc63b48Inv(S)[DebugActive___unnamed_1_2dc63b48Inv(x)]);
+
+axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48(x)} DebugActive___unnamed_1_2dc63b48(x) == x + 0);
+axiom (forall x:int :: {DebugActive___unnamed_1_2dc63b48Inv(x)} DebugActive___unnamed_1_2dc63b48Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DebugActive___unnamed_1_2dc63b48Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DebugActive___unnamed_1_2dc63b48Inv(x));
+function Delay__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
+function Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
+function _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
+function _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x))} Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
+axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERS(Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERS(S)[Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+
+axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 4);
+axiom (forall x:int :: {Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Delay__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function DeviceState__DEVICE_EXTENSION(int) returns (int);
+function DeviceState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x))} DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSION(DeviceState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSION(S)[x]} _S_DeviceState__DEVICE_EXTENSION(S)[x] <==> S[DeviceState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_DeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[DeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSION(S)[DeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSIONInv(S)[DeviceState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSION(x)} DeviceState__DEVICE_EXTENSION(x) == x + 188);
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSIONInv(x) == x - 188);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 188, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 188, 1) == DeviceState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 188)} MINUS_LEFT_PTR(x, 1, 188) == DeviceState__DEVICE_EXTENSIONInv(x));
+function DpcActive___unnamed_1_2dc63b48(int) returns (int);
+function DpcActive___unnamed_1_2dc63b48Inv(int) returns (int);
+function _S_DpcActive___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
+function _S_DpcActive___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(DpcActive___unnamed_1_2dc63b48(x))} DpcActive___unnamed_1_2dc63b48Inv(DpcActive___unnamed_1_2dc63b48(x)) == x);
+axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(x)} DpcActive___unnamed_1_2dc63b48(DpcActive___unnamed_1_2dc63b48Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_2dc63b48(S)[x]} _S_DpcActive___unnamed_1_2dc63b48(S)[x] <==> S[DpcActive___unnamed_1_2dc63b48Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_2dc63b48Inv(S)[x]} _S_DpcActive___unnamed_1_2dc63b48Inv(S)[x] <==> S[DpcActive___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_2dc63b48(S)} S[x] ==> _S_DpcActive___unnamed_1_2dc63b48(S)[DpcActive___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_DpcActive___unnamed_1_2dc63b48Inv(S)[DpcActive___unnamed_1_2dc63b48Inv(x)]);
+
+axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48(x)} DpcActive___unnamed_1_2dc63b48(x) == x + 0);
+axiom (forall x:int :: {DpcActive___unnamed_1_2dc63b48Inv(x)} DpcActive___unnamed_1_2dc63b48Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DpcActive___unnamed_1_2dc63b48Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DpcActive___unnamed_1_2dc63b48Inv(x));
+function Enabled__DEVICE_EXTENSION(int) returns (int);
+function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 284);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 284);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1) == Enabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 284)} MINUS_LEFT_PTR(x, 1, 284) == Enabled__DEVICE_EXTENSIONInv(x));
+function ExecuteWmiMethod__WMILIB_CONTEXT(int) returns (int);
+function ExecuteWmiMethod__WMILIB_CONTEXTInv(int) returns (int);
+function _S_ExecuteWmiMethod__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_ExecuteWmiMethod__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x))} ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXT(ExecuteWmiMethod__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXT(x)} ExecuteWmiMethod__WMILIB_CONTEXT(x) == x + 24);
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXTInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
+function ExtraWaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
+function ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_ExtraWaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x))} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSION(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(x) == x + 264);
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 264);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
+function Flags__DEVICE_OBJECT(int) returns (int);
+function Flags__DEVICE_OBJECTInv(int) returns (int);
+function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function GuidCount__WMILIB_CONTEXT(int) returns (int);
+function GuidCount__WMILIB_CONTEXTInv(int) returns (int);
+function _S_GuidCount__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_GuidCount__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x))} GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXT(GuidCount__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXT(S)[x]} _S_GuidCount__WMILIB_CONTEXT(S)[x] <==> S[GuidCount__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXTInv(S)[x]} _S_GuidCount__WMILIB_CONTEXTInv(S)[x] <==> S[GuidCount__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXT(S)[GuidCount__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXTInv(S)[GuidCount__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXT(x)} GuidCount__WMILIB_CONTEXT(x) == x + 0);
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == GuidCount__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == GuidCount__WMILIB_CONTEXTInv(x));
+function GuidList__WMILIB_CONTEXT(int) returns (int);
+function GuidList__WMILIB_CONTEXTInv(int) returns (int);
+function _S_GuidList__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_GuidList__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x))} GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXT(GuidList__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXT(S)[x]} _S_GuidList__WMILIB_CONTEXT(S)[x] <==> S[GuidList__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXTInv(S)[x]} _S_GuidList__WMILIB_CONTEXTInv(S)[x] <==> S[GuidList__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXT(S)[GuidList__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXTInv(S)[GuidList__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXT(x)} GuidList__WMILIB_CONTEXT(x) == x + 4);
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GuidList__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GuidList__WMILIB_CONTEXTInv(x));
+function Hand___unnamed_1_2ef8da39(int) returns (int);
+function Hand___unnamed_1_2ef8da39Inv(int) returns (int);
+function _S_Hand___unnamed_1_2ef8da39([int]bool) returns ([int]bool);
+function _S_Hand___unnamed_1_2ef8da39Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(Hand___unnamed_1_2ef8da39(x))} Hand___unnamed_1_2ef8da39Inv(Hand___unnamed_1_2ef8da39(x)) == x);
+axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(x)} Hand___unnamed_1_2ef8da39(Hand___unnamed_1_2ef8da39Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_2ef8da39(S)[x]} _S_Hand___unnamed_1_2ef8da39(S)[x] <==> S[Hand___unnamed_1_2ef8da39Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_2ef8da39Inv(S)[x]} _S_Hand___unnamed_1_2ef8da39Inv(S)[x] <==> S[Hand___unnamed_1_2ef8da39(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_2ef8da39(S)} S[x] ==> _S_Hand___unnamed_1_2ef8da39(S)[Hand___unnamed_1_2ef8da39(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_2ef8da39Inv(S)} S[x] ==> _S_Hand___unnamed_1_2ef8da39Inv(S)[Hand___unnamed_1_2ef8da39Inv(x)]);
+
+axiom (forall x:int :: {Hand___unnamed_1_2ef8da39(x)} Hand___unnamed_1_2ef8da39(x) == x + 0);
+axiom (forall x:int :: {Hand___unnamed_1_2ef8da39Inv(x)} Hand___unnamed_1_2ef8da39Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Hand___unnamed_1_2ef8da39Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Hand___unnamed_1_2ef8da39Inv(x));
+function Header__KEVENT(int) returns (int);
+function Header__KEVENTInv(int) returns (int);
+function _S_Header__KEVENT([int]bool) returns ([int]bool);
+function _S_Header__KEVENTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Header__KEVENTInv(Header__KEVENT(x))} Header__KEVENTInv(Header__KEVENT(x)) == x);
+axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENT(Header__KEVENTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENT(S)[x]} _S_Header__KEVENT(S)[x] <==> S[Header__KEVENTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENTInv(S)[x]} _S_Header__KEVENTInv(S)[x] <==> S[Header__KEVENT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENT(S)} S[x] ==> _S_Header__KEVENT(S)[Header__KEVENT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENTInv(S)} S[x] ==> _S_Header__KEVENTInv(S)[Header__KEVENTInv(x)]);
+
+axiom (forall x:int :: {Header__KEVENT(x)} Header__KEVENT(x) == x + 0);
+axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Header__KEVENTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Header__KEVENTInv(x));
+function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x))} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 4);
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function IndicatorParameters__DEVICE_EXTENSION(int) returns (int);
+function IndicatorParameters__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_IndicatorParameters__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_IndicatorParameters__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(IndicatorParameters__DEVICE_EXTENSION(x))} IndicatorParameters__DEVICE_EXTENSIONInv(IndicatorParameters__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(x)} IndicatorParameters__DEVICE_EXTENSION(IndicatorParameters__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_IndicatorParameters__DEVICE_EXTENSION(S)[x]} _S_IndicatorParameters__DEVICE_EXTENSION(S)[x] <==> S[IndicatorParameters__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[x]} _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[x] <==> S[IndicatorParameters__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IndicatorParameters__DEVICE_EXTENSION(S)} S[x] ==> _S_IndicatorParameters__DEVICE_EXTENSION(S)[IndicatorParameters__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_IndicatorParameters__DEVICE_EXTENSIONInv(S)[IndicatorParameters__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSION(x)} IndicatorParameters__DEVICE_EXTENSION(x) == x + 168);
+axiom (forall x:int :: {IndicatorParameters__DEVICE_EXTENSIONInv(x)} IndicatorParameters__DEVICE_EXTENSIONInv(x) == x - 168);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1) == IndicatorParameters__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 168)} MINUS_LEFT_PTR(x, 1, 168) == IndicatorParameters__DEVICE_EXTENSIONInv(x));
+function InputCount__DEVICE_EXTENSION(int) returns (int);
+function InputCount__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x))} InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSION(InputCount__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSION(S)[x]} _S_InputCount__DEVICE_EXTENSION(S)[x] <==> S[InputCount__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSIONInv(S)[x]} _S_InputCount__DEVICE_EXTENSIONInv(S)[x] <==> S[InputCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSION(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSION(S)[InputCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSIONInv(S)[InputCount__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSION(x)} InputCount__DEVICE_EXTENSION(x) == x + 116);
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSIONInv(x) == x - 116);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1) == InputCount__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 116)} MINUS_LEFT_PTR(x, 1, 116) == InputCount__DEVICE_EXTENSIONInv(x));
+function InputDataQueueLength__KEYBOARD_ATTRIBUTES(int) returns (int);
+function InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(InputDataQueueLength__KEYBOARD_ATTRIBUTES(x))} InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTES(InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[x]} _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[x] <==> S[InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_InputDataQueueLength__KEYBOARD_ATTRIBUTES(S)[InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(S)[InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTES(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTES(x) == x + 12);
+axiom (forall x:int :: {InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x)} InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == InputDataQueueLength__KEYBOARD_ATTRIBUTESInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function Inserted___unnamed_1_2dc63b48(int) returns (int);
+function Inserted___unnamed_1_2dc63b48Inv(int) returns (int);
+function _S_Inserted___unnamed_1_2dc63b48([int]bool) returns ([int]bool);
+function _S_Inserted___unnamed_1_2dc63b48Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(Inserted___unnamed_1_2dc63b48(x))} Inserted___unnamed_1_2dc63b48Inv(Inserted___unnamed_1_2dc63b48(x)) == x);
+axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(x)} Inserted___unnamed_1_2dc63b48(Inserted___unnamed_1_2dc63b48Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_2dc63b48(S)[x]} _S_Inserted___unnamed_1_2dc63b48(S)[x] <==> S[Inserted___unnamed_1_2dc63b48Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_2dc63b48Inv(S)[x]} _S_Inserted___unnamed_1_2dc63b48Inv(S)[x] <==> S[Inserted___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_2dc63b48(S)} S[x] ==> _S_Inserted___unnamed_1_2dc63b48(S)[Inserted___unnamed_1_2dc63b48(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_2dc63b48Inv(S)} S[x] ==> _S_Inserted___unnamed_1_2dc63b48Inv(S)[Inserted___unnamed_1_2dc63b48Inv(x)]);
+
+axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48(x)} Inserted___unnamed_1_2dc63b48(x) == x + 0);
+axiom (forall x:int :: {Inserted___unnamed_1_2dc63b48Inv(x)} Inserted___unnamed_1_2dc63b48Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Inserted___unnamed_1_2dc63b48Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Inserted___unnamed_1_2dc63b48Inv(x));
+function IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x))} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 4);
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(int) returns (int);
+function KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x))} KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(S)[KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(S)[KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTES(x) == x + 22);
+axiom (forall x:int :: {KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x) == x - 22);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 22, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 22, 1) == KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 22)} MINUS_LEFT_PTR(x, 1, 22) == KeyRepeatMaximum__KEYBOARD_ATTRIBUTESInv(x));
+function KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(int) returns (int);
+function KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x))} KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(S)[KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(S)[KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTES(x) == x + 16);
+axiom (forall x:int :: {KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x)} KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == KeyRepeatMinimum__KEYBOARD_ATTRIBUTESInv(x));
+function KeyboardAttributes__DEVICE_EXTENSION(int) returns (int);
+function KeyboardAttributes__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_KeyboardAttributes__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_KeyboardAttributes__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(KeyboardAttributes__DEVICE_EXTENSION(x))} KeyboardAttributes__DEVICE_EXTENSIONInv(KeyboardAttributes__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(x)} KeyboardAttributes__DEVICE_EXTENSION(KeyboardAttributes__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardAttributes__DEVICE_EXTENSION(S)[x]} _S_KeyboardAttributes__DEVICE_EXTENSION(S)[x] <==> S[KeyboardAttributes__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[x]} _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[x] <==> S[KeyboardAttributes__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardAttributes__DEVICE_EXTENSION(S)} S[x] ==> _S_KeyboardAttributes__DEVICE_EXTENSION(S)[KeyboardAttributes__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_KeyboardAttributes__DEVICE_EXTENSIONInv(S)[KeyboardAttributes__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSION(x)} KeyboardAttributes__DEVICE_EXTENSION(x) == x + 140);
+axiom (forall x:int :: {KeyboardAttributes__DEVICE_EXTENSIONInv(x)} KeyboardAttributes__DEVICE_EXTENSIONInv(x) == x - 140);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1) == KeyboardAttributes__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 140)} MINUS_LEFT_PTR(x, 1, 140) == KeyboardAttributes__DEVICE_EXTENSIONInv(x));
+function KeyboardIdentifier__KEYBOARD_ATTRIBUTES(int) returns (int);
+function KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x))} KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTES(KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTES(S)[KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(S)[KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTES(x) == x + 0);
+axiom (forall x:int :: {KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x)} KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == KeyboardIdentifier__KEYBOARD_ATTRIBUTESInv(x));
+function KeyboardMode__KEYBOARD_ATTRIBUTES(int) returns (int);
+function KeyboardMode__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_KeyboardMode__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(KeyboardMode__KEYBOARD_ATTRIBUTES(x))} KeyboardMode__KEYBOARD_ATTRIBUTESInv(KeyboardMode__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)} KeyboardMode__KEYBOARD_ATTRIBUTES(KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[x]} _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[x] <==> S[KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[KeyboardMode__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_KeyboardMode__KEYBOARD_ATTRIBUTES(S)[KeyboardMode__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_KeyboardMode__KEYBOARD_ATTRIBUTESInv(S)[KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTES(x)} KeyboardMode__KEYBOARD_ATTRIBUTES(x) == x + 2);
+axiom (forall x:int :: {KeyboardMode__KEYBOARD_ATTRIBUTESInv(x)} KeyboardMode__KEYBOARD_ATTRIBUTESInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == KeyboardMode__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == KeyboardMode__KEYBOARD_ATTRIBUTESInv(x));
+function LedFlags__KEYBOARD_INDICATOR_PARAMETERS(int) returns (int);
+function LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(int) returns (int);
+function _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS([int]bool) returns ([int]bool);
+function _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x))} LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)) == x);
+axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERS(LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[x]} _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[x] <==> S[LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x]} _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x] <==> S[LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)} S[x] ==> _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERS(S)[LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)} S[x] ==> _S_LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(S)[LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
+
+axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERS(x) == x + 2);
+axiom (forall x:int :: {LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x)} LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == LedFlags__KEYBOARD_INDICATOR_PARAMETERSInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
+function Length__UNICODE_STRING(int) returns (int);
+function Length__UNICODE_STRINGInv(int) returns (int);
+function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
+function LockList__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x))} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 20);
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Lock___unnamed_4_a97c65a1(int) returns (int);
+function Lock___unnamed_4_a97c65a1Inv(int) returns (int);
+function _S_Lock___unnamed_4_a97c65a1([int]bool) returns ([int]bool);
+function _S_Lock___unnamed_4_a97c65a1Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(Lock___unnamed_4_a97c65a1(x))} Lock___unnamed_4_a97c65a1Inv(Lock___unnamed_4_a97c65a1(x)) == x);
+axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(x)} Lock___unnamed_4_a97c65a1(Lock___unnamed_4_a97c65a1Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_a97c65a1(S)[x]} _S_Lock___unnamed_4_a97c65a1(S)[x] <==> S[Lock___unnamed_4_a97c65a1Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_a97c65a1Inv(S)[x]} _S_Lock___unnamed_4_a97c65a1Inv(S)[x] <==> S[Lock___unnamed_4_a97c65a1(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_a97c65a1(S)} S[x] ==> _S_Lock___unnamed_4_a97c65a1(S)[Lock___unnamed_4_a97c65a1(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_a97c65a1Inv(S)} S[x] ==> _S_Lock___unnamed_4_a97c65a1Inv(S)[Lock___unnamed_4_a97c65a1Inv(x)]);
+
+axiom (forall x:int :: {Lock___unnamed_4_a97c65a1(x)} Lock___unnamed_4_a97c65a1(x) == x + 0);
+axiom (forall x:int :: {Lock___unnamed_4_a97c65a1Inv(x)} Lock___unnamed_4_a97c65a1Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Lock___unnamed_4_a97c65a1Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Lock___unnamed_4_a97c65a1Inv(x));
+function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x))} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 32);
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 32);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 32)} MINUS_LEFT_PTR(x, 1, 32) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x))} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 8);
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function MaximumLength__UNICODE_STRING(int) returns (int);
+function MaximumLength__UNICODE_STRINGInv(int) returns (int);
+function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
+function MinDeviceWakeState__DEVICE_EXTENSION(int) returns (int);
+function MinDeviceWakeState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_MinDeviceWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_MinDeviceWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x))} MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSION(MinDeviceWakeState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSION(x)} MinDeviceWakeState__DEVICE_EXTENSION(x) == x + 252);
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSIONInv(x) == x - 252);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
+function MinSystemWakeState__DEVICE_EXTENSION(int) returns (int);
+function MinSystemWakeState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_MinSystemWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_MinSystemWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x))} MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSION(MinSystemWakeState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSION(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSION(S)[MinSystemWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSION(x)} MinSystemWakeState__DEVICE_EXTENSION(x) == x + 256);
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSIONInv(x) == x - 256);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 256, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 256, 1) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 256)} MINUS_LEFT_PTR(x, 1, 256) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
+function Mutex__GLOBALS(int) returns (int);
+function Mutex__GLOBALSInv(int) returns (int);
+function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
+function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
+function NpxIrql___unnamed_1_29794256(int) returns (int);
+function NpxIrql___unnamed_1_29794256Inv(int) returns (int);
+function _S_NpxIrql___unnamed_1_29794256([int]bool) returns ([int]bool);
+function _S_NpxIrql___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(NpxIrql___unnamed_1_29794256(x))} NpxIrql___unnamed_1_29794256Inv(NpxIrql___unnamed_1_29794256(x)) == x);
+axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(x)} NpxIrql___unnamed_1_29794256(NpxIrql___unnamed_1_29794256Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_29794256(S)[x]} _S_NpxIrql___unnamed_1_29794256(S)[x] <==> S[NpxIrql___unnamed_1_29794256Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_29794256Inv(S)[x]} _S_NpxIrql___unnamed_1_29794256Inv(S)[x] <==> S[NpxIrql___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_29794256(S)} S[x] ==> _S_NpxIrql___unnamed_1_29794256(S)[NpxIrql___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_29794256Inv(S)} S[x] ==> _S_NpxIrql___unnamed_1_29794256Inv(S)[NpxIrql___unnamed_1_29794256Inv(x)]);
+
+axiom (forall x:int :: {NpxIrql___unnamed_1_29794256(x)} NpxIrql___unnamed_1_29794256(x) == x + 0);
+axiom (forall x:int :: {NpxIrql___unnamed_1_29794256Inv(x)} NpxIrql___unnamed_1_29794256Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == NpxIrql___unnamed_1_29794256Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == NpxIrql___unnamed_1_29794256Inv(x));
+function NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(int) returns (int);
+function NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x))} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(S)[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(S)[NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES(x) == x + 4);
+axiom (forall x:int :: {NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x)} NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == NumberOfFunctionKeys__KEYBOARD_ATTRIBUTESInv(x));
+function NumberOfIndicators__KEYBOARD_ATTRIBUTES(int) returns (int);
+function NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(NumberOfIndicators__KEYBOARD_ATTRIBUTES(x))} NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTES(NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfIndicators__KEYBOARD_ATTRIBUTES(S)[NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(S)[NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTES(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTES(x) == x + 6);
+axiom (forall x:int :: {NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x)} NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x) == x - 6);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 6, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 6, 1) == NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 6)} MINUS_LEFT_PTR(x, 1, 6) == NumberOfIndicators__KEYBOARD_ATTRIBUTESInv(x));
+function NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(int) returns (int);
+function NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(int) returns (int);
+function _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x))} NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[x]} _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[x] <==> S[NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[x]} _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[x] <==> S[NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)} S[x] ==> _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(S)[NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(S)[NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTES(x) == x + 8);
+axiom (forall x:int :: {NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x)} NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == NumberOfKeysTotal__KEYBOARD_ATTRIBUTESInv(x));
+function OkayToLogOverflow__DEVICE_EXTENSION(int) returns (int);
+function OkayToLogOverflow__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_OkayToLogOverflow__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_OkayToLogOverflow__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x))} OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSION(OkayToLogOverflow__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSION(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSION(x)} OkayToLogOverflow__DEVICE_EXTENSION(x) == x + 285);
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSIONInv(x) == x - 285);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 285, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 285, 1) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 285)} MINUS_LEFT_PTR(x, 1, 285) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
+function PDO__DEVICE_EXTENSION(int) returns (int);
+function PDO__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PDO__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PDO__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x))} PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSION(PDO__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSION(S)[x]} _S_PDO__DEVICE_EXTENSION(S)[x] <==> S[PDO__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSIONInv(S)[x]} _S_PDO__DEVICE_EXTENSIONInv(S)[x] <==> S[PDO__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSION(S)} S[x] ==> _S_PDO__DEVICE_EXTENSION(S)[PDO__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PDO__DEVICE_EXTENSIONInv(S)[PDO__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PDO__DEVICE_EXTENSION(x)} PDO__DEVICE_EXTENSION(x) == x + 12);
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSIONInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == PDO__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == PDO__DEVICE_EXTENSIONInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function QueryWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
+function QueryWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
+function _S_QueryWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_QueryWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x))} QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXT(QueryWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXT(x)} QueryWmiDataBlock__WMILIB_CONTEXT(x) == x + 12);
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
+function QueryWmiRegInfo__WMILIB_CONTEXT(int) returns (int);
+function QueryWmiRegInfo__WMILIB_CONTEXTInv(int) returns (int);
+function _S_QueryWmiRegInfo__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_QueryWmiRegInfo__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x))} QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXT(QueryWmiRegInfo__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXT(x)} QueryWmiRegInfo__WMILIB_CONTEXT(x) == x + 8);
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXTInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
+function Rate__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
+function Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
+function _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
+function _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x))} Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
+axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERS(Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERS(S)[Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+
+axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 2);
+axiom (forall x:int :: {Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == Rate__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+function ReadQueue__DEVICE_EXTENSION(int) returns (int);
+function ReadQueue__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_ReadQueue__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_ReadQueue__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x))} ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSION(ReadQueue__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSION(S)[x]} _S_ReadQueue__DEVICE_EXTENSION(S)[x] <==> S[ReadQueue__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSIONInv(S)[x]} _S_ReadQueue__DEVICE_EXTENSIONInv(S)[x] <==> S[ReadQueue__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSION(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSION(S)[ReadQueue__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSIONInv(S)[ReadQueue__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSION(x)} ReadQueue__DEVICE_EXTENSION(x) == x + 176);
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSIONInv(x) == x - 176);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == ReadQueue__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == ReadQueue__DEVICE_EXTENSIONInv(x));
+function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x))} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 8);
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function RemoveLock__DEVICE_EXTENSION(int) returns (int);
+function RemoveLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_RemoveLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_RemoveLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x))} RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSION(RemoveLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSION(S)[x]} _S_RemoveLock__DEVICE_EXTENSION(S)[x] <==> S[RemoveLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSIONInv(S)[x]} _S_RemoveLock__DEVICE_EXTENSIONInv(S)[x] <==> S[RemoveLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSION(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSION(S)[RemoveLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSIONInv(S)[RemoveLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSION(x)} RemoveLock__DEVICE_EXTENSION(x) == x + 16);
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSIONInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == RemoveLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == RemoveLock__DEVICE_EXTENSIONInv(x));
+function Removed__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 0);
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 36);
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 36);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 36)} MINUS_LEFT_PTR(x, 1, 36) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 52);
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 52);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 52)} MINUS_LEFT_PTR(x, 1, 52) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 1);
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 1);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function SequenceNumber__DEVICE_EXTENSION(int) returns (int);
+function SequenceNumber__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SequenceNumber__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SequenceNumber__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x))} SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSION(SequenceNumber__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSION(S)[x]} _S_SequenceNumber__DEVICE_EXTENSION(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x]} _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSION(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSION(S)[SequenceNumber__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSION(x)} SequenceNumber__DEVICE_EXTENSION(x) == x + 184);
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSIONInv(x) == x - 184);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 184, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 184, 1) == SequenceNumber__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 184)} MINUS_LEFT_PTR(x, 1, 184) == SequenceNumber__DEVICE_EXTENSIONInv(x));
+function SetWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
+function SetWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
+function _S_SetWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_SetWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x))} SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXT(SetWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXT(x)} SetWmiDataBlock__WMILIB_CONTEXT(x) == x + 16);
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
+function SetWmiDataItem__WMILIB_CONTEXT(int) returns (int);
+function SetWmiDataItem__WMILIB_CONTEXTInv(int) returns (int);
+function _S_SetWmiDataItem__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_SetWmiDataItem__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x))} SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXT(SetWmiDataItem__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXT(S)[SetWmiDataItem__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXT(x)} SetWmiDataItem__WMILIB_CONTEXT(x) == x + 20);
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXTInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
+function SignalState__DISPATCHER_HEADER(int) returns (int);
+function SignalState__DISPATCHER_HEADERInv(int) returns (int);
+function _S_SignalState__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S_SignalState__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x))} SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADER(SignalState__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADER(S)[x]} _S_SignalState__DISPATCHER_HEADER(S)[x] <==> S[SignalState__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADERInv(S)[x]} _S_SignalState__DISPATCHER_HEADERInv(S)[x] <==> S[SignalState__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADER(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADER(S)[SignalState__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADERInv(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADERInv(S)[SignalState__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADER(x)} SignalState__DISPATCHER_HEADER(x) == x + 4);
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADERInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SignalState__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SignalState__DISPATCHER_HEADERInv(x));
+function Signalling___unnamed_1_29794256(int) returns (int);
+function Signalling___unnamed_1_29794256Inv(int) returns (int);
+function _S_Signalling___unnamed_1_29794256([int]bool) returns ([int]bool);
+function _S_Signalling___unnamed_1_29794256Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(Signalling___unnamed_1_29794256(x))} Signalling___unnamed_1_29794256Inv(Signalling___unnamed_1_29794256(x)) == x);
+axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(x)} Signalling___unnamed_1_29794256(Signalling___unnamed_1_29794256Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_29794256(S)[x]} _S_Signalling___unnamed_1_29794256(S)[x] <==> S[Signalling___unnamed_1_29794256Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_29794256Inv(S)[x]} _S_Signalling___unnamed_1_29794256Inv(S)[x] <==> S[Signalling___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_29794256(S)} S[x] ==> _S_Signalling___unnamed_1_29794256(S)[Signalling___unnamed_1_29794256(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_29794256Inv(S)} S[x] ==> _S_Signalling___unnamed_1_29794256Inv(S)[Signalling___unnamed_1_29794256Inv(x)]);
+
+axiom (forall x:int :: {Signalling___unnamed_1_29794256(x)} Signalling___unnamed_1_29794256(x) == x + 0);
+axiom (forall x:int :: {Signalling___unnamed_1_29794256Inv(x)} Signalling___unnamed_1_29794256Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signalling___unnamed_1_29794256Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signalling___unnamed_1_29794256Inv(x));
+function Signature__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x))} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 0);
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Size___unnamed_1_2ef8da39(int) returns (int);
+function Size___unnamed_1_2ef8da39Inv(int) returns (int);
+function _S_Size___unnamed_1_2ef8da39([int]bool) returns ([int]bool);
+function _S_Size___unnamed_1_2ef8da39Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(Size___unnamed_1_2ef8da39(x))} Size___unnamed_1_2ef8da39Inv(Size___unnamed_1_2ef8da39(x)) == x);
+axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(x)} Size___unnamed_1_2ef8da39(Size___unnamed_1_2ef8da39Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_2ef8da39(S)[x]} _S_Size___unnamed_1_2ef8da39(S)[x] <==> S[Size___unnamed_1_2ef8da39Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_2ef8da39Inv(S)[x]} _S_Size___unnamed_1_2ef8da39Inv(S)[x] <==> S[Size___unnamed_1_2ef8da39(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_2ef8da39(S)} S[x] ==> _S_Size___unnamed_1_2ef8da39(S)[Size___unnamed_1_2ef8da39(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_2ef8da39Inv(S)} S[x] ==> _S_Size___unnamed_1_2ef8da39Inv(S)[Size___unnamed_1_2ef8da39Inv(x)]);
+
+axiom (forall x:int :: {Size___unnamed_1_2ef8da39(x)} Size___unnamed_1_2ef8da39(x) == x + 0);
+axiom (forall x:int :: {Size___unnamed_1_2ef8da39Inv(x)} Size___unnamed_1_2ef8da39Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Size___unnamed_1_2ef8da39Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Size___unnamed_1_2ef8da39Inv(x));
+function SpinLock__DEVICE_EXTENSION(int) returns (int);
+function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 172);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 172);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 172)} MINUS_LEFT_PTR(x, 1, 172) == SpinLock__DEVICE_EXTENSIONInv(x));
+function Spin__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x))} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 28);
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function Subtype__KEYBOARD_ID(int) returns (int);
+function Subtype__KEYBOARD_IDInv(int) returns (int);
+function _S_Subtype__KEYBOARD_ID([int]bool) returns ([int]bool);
+function _S_Subtype__KEYBOARD_IDInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(Subtype__KEYBOARD_ID(x))} Subtype__KEYBOARD_IDInv(Subtype__KEYBOARD_ID(x)) == x);
+axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(x)} Subtype__KEYBOARD_ID(Subtype__KEYBOARD_IDInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Subtype__KEYBOARD_ID(S)[x]} _S_Subtype__KEYBOARD_ID(S)[x] <==> S[Subtype__KEYBOARD_IDInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Subtype__KEYBOARD_IDInv(S)[x]} _S_Subtype__KEYBOARD_IDInv(S)[x] <==> S[Subtype__KEYBOARD_ID(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Subtype__KEYBOARD_ID(S)} S[x] ==> _S_Subtype__KEYBOARD_ID(S)[Subtype__KEYBOARD_ID(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Subtype__KEYBOARD_IDInv(S)} S[x] ==> _S_Subtype__KEYBOARD_IDInv(S)[Subtype__KEYBOARD_IDInv(x)]);
+
+axiom (forall x:int :: {Subtype__KEYBOARD_ID(x)} Subtype__KEYBOARD_ID(x) == x + 1);
+axiom (forall x:int :: {Subtype__KEYBOARD_IDInv(x)} Subtype__KEYBOARD_IDInv(x) == x - 1);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Subtype__KEYBOARD_IDInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Subtype__KEYBOARD_IDInv(x));
+function SurpriseRemoved__DEVICE_EXTENSION(int) returns (int);
+function SurpriseRemoved__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SurpriseRemoved__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SurpriseRemoved__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x))} SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSION(SurpriseRemoved__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSION(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSION(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSION(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSION(S)[SurpriseRemoved__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSION(x)} SurpriseRemoved__DEVICE_EXTENSION(x) == x + 287);
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSIONInv(x) == x - 287);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 287, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 287, 1) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 287)} MINUS_LEFT_PTR(x, 1, 287) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
+function SymbolicLinkName__DEVICE_EXTENSION(int) returns (int);
+function SymbolicLinkName__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SymbolicLinkName__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SymbolicLinkName__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x))} SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSION(SymbolicLinkName__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSION(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSION(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSION(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSION(S)[SymbolicLinkName__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSION(x)} SymbolicLinkName__DEVICE_EXTENSION(x) == x + 120);
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSIONInv(x) == x - 120);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 120)} MINUS_LEFT_PTR(x, 1, 120) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
+function SystemState__DEVICE_EXTENSION(int) returns (int);
+function SystemState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SystemState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SystemState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x))} SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSION(SystemState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSION(S)[x]} _S_SystemState__DEVICE_EXTENSION(S)[x] <==> S[SystemState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSION(S)[SystemState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSIONInv(S)[SystemState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSION(x)} SystemState__DEVICE_EXTENSION(x) == x + 192);
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSIONInv(x) == x - 192);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 192, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 192, 1) == SystemState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 192)} MINUS_LEFT_PTR(x, 1, 192) == SystemState__DEVICE_EXTENSIONInv(x));
+function SystemToDeviceState__DEVICE_EXTENSION(int) returns (int);
+function SystemToDeviceState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SystemToDeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SystemToDeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x))} SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSION(SystemToDeviceState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSION(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSION(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSION(S)[SystemToDeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSION(x)} SystemToDeviceState__DEVICE_EXTENSION(x) == x + 232);
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSIONInv(x) == x - 232);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 232)} MINUS_LEFT_PTR(x, 1, 232) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
+function TargetNotifyHandle__DEVICE_EXTENSION(int) returns (int);
+function TargetNotifyHandle__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TargetNotifyHandle__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TargetNotifyHandle__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x))} TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSION(TargetNotifyHandle__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSION(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSION(x)} TargetNotifyHandle__DEVICE_EXTENSION(x) == x + 268);
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSIONInv(x) == x - 268);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 268, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 268, 1) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 268)} MINUS_LEFT_PTR(x, 1, 268) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function TrueClassDevice__DEVICE_EXTENSION(int) returns (int);
+function TrueClassDevice__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TrueClassDevice__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TrueClassDevice__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x))} TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSION(TrueClassDevice__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSION(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSION(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSION(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSION(S)[TrueClassDevice__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSION(x)} TrueClassDevice__DEVICE_EXTENSION(x) == x + 4);
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSIONInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
+function TrustedSubsystemCount__DEVICE_EXTENSION(int) returns (int);
+function TrustedSubsystemCount__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TrustedSubsystemCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x))} TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSION(TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSION(x)} TrustedSubsystemCount__DEVICE_EXTENSION(x) == x + 112);
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSIONInv(x) == x - 112);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 112)} MINUS_LEFT_PTR(x, 1, 112) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
+function Type__KEYBOARD_ID(int) returns (int);
+function Type__KEYBOARD_IDInv(int) returns (int);
+function _S_Type__KEYBOARD_ID([int]bool) returns ([int]bool);
+function _S_Type__KEYBOARD_IDInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Type__KEYBOARD_IDInv(Type__KEYBOARD_ID(x))} Type__KEYBOARD_IDInv(Type__KEYBOARD_ID(x)) == x);
+axiom (forall x:int :: {Type__KEYBOARD_IDInv(x)} Type__KEYBOARD_ID(Type__KEYBOARD_IDInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Type__KEYBOARD_ID(S)[x]} _S_Type__KEYBOARD_ID(S)[x] <==> S[Type__KEYBOARD_IDInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Type__KEYBOARD_IDInv(S)[x]} _S_Type__KEYBOARD_IDInv(S)[x] <==> S[Type__KEYBOARD_ID(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type__KEYBOARD_ID(S)} S[x] ==> _S_Type__KEYBOARD_ID(S)[Type__KEYBOARD_ID(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type__KEYBOARD_IDInv(S)} S[x] ==> _S_Type__KEYBOARD_IDInv(S)[Type__KEYBOARD_IDInv(x)]);
+
+axiom (forall x:int :: {Type__KEYBOARD_ID(x)} Type__KEYBOARD_ID(x) == x + 0);
+axiom (forall x:int :: {Type__KEYBOARD_IDInv(x)} Type__KEYBOARD_IDInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type__KEYBOARD_IDInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type__KEYBOARD_IDInv(x));
+function Type___unnamed_4_5ca00198(int) returns (int);
+function Type___unnamed_4_5ca00198Inv(int) returns (int);
+function _S_Type___unnamed_4_5ca00198([int]bool) returns ([int]bool);
+function _S_Type___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(Type___unnamed_4_5ca00198(x))} Type___unnamed_4_5ca00198Inv(Type___unnamed_4_5ca00198(x)) == x);
+axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(x)} Type___unnamed_4_5ca00198(Type___unnamed_4_5ca00198Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_5ca00198(S)[x]} _S_Type___unnamed_4_5ca00198(S)[x] <==> S[Type___unnamed_4_5ca00198Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_5ca00198Inv(S)[x]} _S_Type___unnamed_4_5ca00198Inv(S)[x] <==> S[Type___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_5ca00198(S)} S[x] ==> _S_Type___unnamed_4_5ca00198(S)[Type___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_5ca00198Inv(S)} S[x] ==> _S_Type___unnamed_4_5ca00198Inv(S)[Type___unnamed_4_5ca00198Inv(x)]);
+
+axiom (forall x:int :: {Type___unnamed_4_5ca00198(x)} Type___unnamed_4_5ca00198(x) == x + 0);
+axiom (forall x:int :: {Type___unnamed_4_5ca00198Inv(x)} Type___unnamed_4_5ca00198Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type___unnamed_4_5ca00198Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type___unnamed_4_5ca00198Inv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
+function UnitId__KEYBOARD_INDICATOR_PARAMETERS(int) returns (int);
+function UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(int) returns (int);
+function _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS([int]bool) returns ([int]bool);
+function _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(UnitId__KEYBOARD_INDICATOR_PARAMETERS(x))} UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)) == x);
+axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERS(UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[x]} _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[x] <==> S[UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x]} _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[x] <==> S[UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)} S[x] ==> _S_UnitId__KEYBOARD_INDICATOR_PARAMETERS(S)[UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)} S[x] ==> _S_UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(S)[UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)]);
+
+axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERS(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERS(x) == x + 0);
+axiom (forall x:int :: {UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x)} UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == UnitId__KEYBOARD_INDICATOR_PARAMETERSInv(x));
+function UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(int) returns (int);
+function UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(int) returns (int);
+function _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS([int]bool) returns ([int]bool);
+function _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x))} UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)) == x);
+axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x]} _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[x] <==> S[UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x]} _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[x] <==> S[UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)} S[x] ==> _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(S)[UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)} S[x] ==> _S_UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(S)[UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)]);
+
+axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERS(x) == x + 0);
+axiom (forall x:int :: {UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x)} UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == UnitId__KEYBOARD_TYPEMATIC_PARAMETERSInv(x));
+function WaitListHead__DISPATCHER_HEADER(int) returns (int);
+function WaitListHead__DISPATCHER_HEADERInv(int) returns (int);
+function _S_WaitListHead__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S_WaitListHead__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x))} WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADER(WaitListHead__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADER(S)[x]} _S_WaitListHead__DISPATCHER_HEADER(S)[x] <==> S[WaitListHead__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADERInv(S)[x]} _S_WaitListHead__DISPATCHER_HEADERInv(S)[x] <==> S[WaitListHead__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADER(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADER(S)[WaitListHead__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADERInv(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADERInv(S)[WaitListHead__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADER(x)} WaitListHead__DISPATCHER_HEADER(x) == x + 8);
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADERInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == WaitListHead__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == WaitListHead__DISPATCHER_HEADERInv(x));
+function WaitWakeEnabled__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeEnabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeEnabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeEnabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x))} WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSION(WaitWakeEnabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSION(x)} WaitWakeEnabled__DEVICE_EXTENSION(x) == x + 286);
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSIONInv(x) == x - 286);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 286, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 286, 1) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 286)} MINUS_LEFT_PTR(x, 1, 286) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
+function WaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x))} WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSION(WaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSION(S)[WaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSION(x)} WaitWakeIrp__DEVICE_EXTENSION(x) == x + 260);
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 260);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
+function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+function WmiFunctionControl__WMILIB_CONTEXT(int) returns (int);
+function WmiFunctionControl__WMILIB_CONTEXTInv(int) returns (int);
+function _S_WmiFunctionControl__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_WmiFunctionControl__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x))} WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXT(WmiFunctionControl__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXT(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXT(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXT(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXT(S)[WmiFunctionControl__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXT(x)} WmiFunctionControl__WMILIB_CONTEXT(x) == x + 28);
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
+function WmiLibInfo__DEVICE_EXTENSION(int) returns (int);
+function WmiLibInfo__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WmiLibInfo__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WmiLibInfo__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x))} WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSION(WmiLibInfo__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSION(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSION(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSION(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSION(S)[WmiLibInfo__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSION(x)} WmiLibInfo__DEVICE_EXTENSION(x) == x + 200);
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSIONInv(x) == x - 200);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 200, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 200, 1) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 200)} MINUS_LEFT_PTR(x, 1, 200) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
+function __unnamed_1_29794256___unnamed_4_5ca00198(int) returns (int);
+function __unnamed_1_29794256___unnamed_4_5ca00198Inv(int) returns (int);
+function _S___unnamed_1_29794256___unnamed_4_5ca00198([int]bool) returns ([int]bool);
+function _S___unnamed_1_29794256___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(__unnamed_1_29794256___unnamed_4_5ca00198(x))} __unnamed_1_29794256___unnamed_4_5ca00198Inv(__unnamed_1_29794256___unnamed_4_5ca00198(x)) == x);
+axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)} __unnamed_1_29794256___unnamed_4_5ca00198(__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_29794256___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_29794256___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_29794256___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_29794256___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_29794256___unnamed_4_5ca00198(S)[__unnamed_1_29794256___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_29794256___unnamed_4_5ca00198Inv(S)[__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198(x)} __unnamed_1_29794256___unnamed_4_5ca00198(x) == x + 1);
+axiom (forall x:int :: {__unnamed_1_29794256___unnamed_4_5ca00198Inv(x)} __unnamed_1_29794256___unnamed_4_5ca00198Inv(x) == x - 1);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == __unnamed_1_29794256___unnamed_4_5ca00198Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == __unnamed_1_29794256___unnamed_4_5ca00198Inv(x));
+function __unnamed_1_2dc63b48___unnamed_4_5ca00198(int) returns (int);
+function __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(int) returns (int);
+function _S___unnamed_1_2dc63b48___unnamed_4_5ca00198([int]bool) returns ([int]bool);
+function _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(__unnamed_1_2dc63b48___unnamed_4_5ca00198(x))} __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)) == x);
+axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198(__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_2dc63b48___unnamed_4_5ca00198(S)[__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(S)[__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198(x) == x + 3);
+axiom (forall x:int :: {__unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x)} __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x) == x - 3);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1) == __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 3)} MINUS_LEFT_PTR(x, 1, 3) == __unnamed_1_2dc63b48___unnamed_4_5ca00198Inv(x));
+function __unnamed_1_2ef8da39___unnamed_4_5ca00198(int) returns (int);
+function __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(int) returns (int);
+function _S___unnamed_1_2ef8da39___unnamed_4_5ca00198([int]bool) returns ([int]bool);
+function _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(__unnamed_1_2ef8da39___unnamed_4_5ca00198(x))} __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)) == x);
+axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198(__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[x]} _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[x] <==> S[__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[x]} _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[x] <==> S[__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)} S[x] ==> _S___unnamed_1_2ef8da39___unnamed_4_5ca00198(S)[__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)} S[x] ==> _S___unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(S)[__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198(x) == x + 2);
+axiom (forall x:int :: {__unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x)} __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == __unnamed_1_2ef8da39___unnamed_4_5ca00198Inv(x));
+function __unnamed_4_5ca00198___unnamed_4_a97c65a1(int) returns (int);
+function __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(int) returns (int);
+function _S___unnamed_4_5ca00198___unnamed_4_a97c65a1([int]bool) returns ([int]bool);
+function _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(__unnamed_4_5ca00198___unnamed_4_a97c65a1(x))} __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)) == x);
+axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1(__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[x]} _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[x] <==> S[__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[x]} _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[x] <==> S[__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)} S[x] ==> _S___unnamed_4_5ca00198___unnamed_4_a97c65a1(S)[__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)} S[x] ==> _S___unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(S)[__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)]);
+
+axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x)} __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_5ca00198___unnamed_4_a97c65a1Inv(x));
+function __unnamed_4_a97c65a1__DISPATCHER_HEADER(int) returns (int);
+function __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(int) returns (int);
+function _S___unnamed_4_a97c65a1__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(__unnamed_4_a97c65a1__DISPATCHER_HEADER(x))} __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADER(__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[x]} _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[x] <==> S[__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[x]} _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[x] <==> S[__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)} S[x] ==> _S___unnamed_4_a97c65a1__DISPATCHER_HEADER(S)[__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)} S[x] ==> _S___unnamed_4_a97c65a1__DISPATCHER_HEADERInv(S)[__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADER(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADER(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x)} __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_a97c65a1__DISPATCHER_HEADERInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_4294967273:int;
+
+
+
+procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure InitializeListHead_IRP($ListHead$1$12.44$InitializeListHead_IRP$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoCreateDevice($DriverObject$1$21226.25$IoCreateDevice$281:int, $DeviceExtensionSize$2$21227.16$IoCreateDevice$281:int, $DeviceName$3$21228.29$IoCreateDevice$281:int, $DeviceType$4$21229.22$IoCreateDevice$281:int, $DeviceCharacteristics$5$21230.16$IoCreateDevice$281:int, $Exclusive$6$21231.18$IoCreateDevice$281:int, $DeviceObject$7$21237.20$IoCreateDevice$281:int) returns ($result.IoCreateDevice$21225.0$1$:int);
+
+//TAG: ensures (LONG)__return >= 0 ==> *DeviceObject != (void *)0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*DeviceObject)->DeviceExtension != (void *)0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*DeviceObject)->DeviceExtension) == 1
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 1
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *DeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *DeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension), 1)
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *DeviceObject) == __old_resource("DEV_OBJ_INIT", *DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))
+ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+//TAG: ensures (LONG)__return >= 0 ==> !(__resource("DEV_OBJ_INIT", ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))->Self) == 1)
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (!(Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])])]] == 1)));
+//TAG: ensures !((LONG)__return >= 0) ==> *DeviceObject == __old(*DeviceObject)
+ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == old(Mem)[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> __return == 0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ($result.IoCreateDevice$21225.0$1$ == 0));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$7$21237.20$IoCreateDevice$281))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $DeviceObject$7$21237.20$IoCreateDevice$281) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoInitializeRemoveLockEx($Lock$1$22135.25$IoInitializeRemoveLockEx$201:int, $AllocateTag$2$22136.16$IoInitializeRemoveLockEx$201:int, $MaxLockedMinutes$3$22137.16$IoInitializeRemoveLockEx$201:int, $HighWatermark$4$22138.16$IoInitializeRemoveLockEx$201:int, $RemlockSize$5$22139.16$IoInitializeRemoveLockEx$201:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KbdInitializeDataQueue($Context$1$557.13$KbdInitializeDataQueue$41:int);
+
+//TAG: requires __resource("DEV_EXTN", Context) == 1
+requires(Res_DEV_EXTN[$Context$1$557.13$KbdInitializeDataQueue$41] == 1);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __resource("DEV_EXTN", Context) == 1
+ensures(Res_DEV_EXTN[$Context$1$557.13$KbdInitializeDataQueue$41] == 1);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeInitializeSpinLock($SpinLock$1$13860.22$KeInitializeSpinLock$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardClassLogError($Object$1$580.10$KeyboardClassLogError$281:int, $ErrorCode$2$581.10$KeyboardClassLogError$281:int, $UniqueErrorValue$3$582.10$KeyboardClassLogError$281:int, $FinalStatus$4$583.13$KeyboardClassLogError$281:int, $DumpCount$5$584.10$KeyboardClassLogError$281:int, $DumpData$6$585.11$KeyboardClassLogError$281:int, $MajorFunction$7$586.10$KeyboardClassLogError$281:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlFreeUnicodeString($UnicodeString$1$7452.28$RtlFreeUnicodeString$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KbdCreateClassObject($DriverObject$1$3354.28$KbdCreateClassObject$201:int, $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201:int, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201:int, $FullDeviceName$4$3357.35$KbdCreateClassObject$201:int, $Legacy$5$3358.28$KbdCreateClassObject$201:int) returns ($result.KbdCreateClassObject$3353.0$1$:int)
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
+ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3356.28$KbdCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 : int;
+var $DriverObject$1$3354.28$KbdCreateClassObject$20 : int;
+var $ExAllocatePoolWithTag.arg.2$4$ : int;
+var $FullDeviceName$4$3357.35$KbdCreateClassObject$20 : int;
+var $KbdDebugPrint.arg.2$15$ : int;
+var $KbdDebugPrint.arg.2$18$ : int;
+var $KbdDebugPrint.arg.2$2$ : int;
+var $KbdDebugPrint.arg.2$20$ : int;
+var $KbdDebugPrint.arg.2$22$ : int;
+var $KbdDebugPrint.arg.2$5$ : int;
+var $Legacy$5$3358.28$KbdCreateClassObject$20 : int;
+var $RtlAppendUnicodeToString.arg.2$12$ : int;
+var $RtlAppendUnicodeToString.arg.2$14$ : int;
+var $RtlAppendUnicodeToString.arg.2$9$ : int;
+var $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$20 : int;
+var $deviceExtension$8$3388.24$KbdCreateClassObject$20 : int;
+var $dumpCount$11$3391.24$KbdCreateClassObject$20 : int;
+var $dumpData$12$3392.24$KbdCreateClassObject$20 : int;
+var $errorCode$9$3389.24$KbdCreateClassObject$20 : int;
+var $fullClassName$10$3390.24$KbdCreateClassObject$20 : int;
+var $i$13$3393.24$KbdCreateClassObject$20 : int;
+var $memset.arg.3$7$ : int;
+var $nameIndex$14$3394.24$KbdCreateClassObject$20 : int;
+var $result.ExAllocatePoolWithTag$3441.0$3$ : int;
+var $result.ExAllocatePoolWithTag$3557.0$19$ : int;
+var $result.IoCreateDevice$3485.35$16$ : int;
+var $result.IoCreateDevice$3499.31$17$ : int;
+var $result.RtlAppendUnicodeToString$3460.32$8$ : int;
+var $result.RtlAppendUnicodeToString$3461.32$10$ : int;
+var $result.RtlAppendUnicodeToString$3464.36$11$ : int;
+var $result.RtlAppendUnicodeToString$3467.32$13$ : int;
+var $result.memset$3459.8$6$ : int;
+var $result.question.21$ : int;
+var $status$6$3386.24$KbdCreateClassObject$20 : int;
+var $uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_78_alloc:[int]name;
+var LOOP_78_Mem:[name][int]int;
+var LOOP_78_Res_DEVICE_STACK:[int]int;
+var LOOP_78_Res_DEV_EXTN:[int]int;
+var LOOP_78_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_78_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$3354.28$KbdCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$FullDeviceName$4$3357.35$KbdCreateClassObject$201] != UNALLOCATED);
+call $dumpData$12$3392.24$KbdCreateClassObject$20 := __HAVOC_malloc(16);
+call $fullClassName$10$3390.24$KbdCreateClassObject$20 := __HAVOC_malloc(8);
+$DriverObject$1$3354.28$KbdCreateClassObject$20 := $DriverObject$1$3354.28$KbdCreateClassObject$201;
+$TmpDeviceExtension$2$3355.28$KbdCreateClassObject$20 := $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201;
+$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201;
+$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := $FullDeviceName$4$3357.35$KbdCreateClassObject$201;
+$Legacy$5$3358.28$KbdCreateClassObject$20 := $Legacy$5$3358.28$KbdCreateClassObject$201;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3627)
+label_1:
+call __HAVOC_free($dumpData$12$3392.24$KbdCreateClassObject$20);
+call __HAVOC_free($fullClassName$10$3390.24$KbdCreateClassObject$20);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
+assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
+assume (forall m:int :: {Mem[T.A40CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A40CHAR][m] == old(Mem[T.A40CHAR])[m]);
+assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
+assume (forall m:int :: {Mem[T.A65CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A65CHAR][m] == old(Mem[T.A65CHAR])[m]);
+assume (forall m:int :: {Mem[T.A75CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A75CHAR][m] == old(Mem[T.A75CHAR])[m]);
+assume (forall m:int :: {Mem[T.A76CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A76CHAR][m] == old(Mem[T.A76CHAR])[m]);
+assume (forall m:int :: {Mem[T.A7UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A7UINT2][m] == old(Mem[T.A7UINT2])[m]);
+assume (forall m:int :: {Mem[T.A83CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A83CHAR][m] == old(Mem[T.A83CHAR])[m]);
+assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
+assume (forall m:int :: {Mem[T.Abandoned___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Abandoned___unnamed_1_29794256][m] == old(Mem[T.Abandoned___unnamed_1_29794256])[m]);
+assume (forall m:int :: {Mem[T.Absolute___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Absolute___unnamed_1_29794256][m] == old(Mem[T.Absolute___unnamed_1_29794256])[m]);
+assume (forall m:int :: {Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.AllowDisable__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllowDisable__DEVICE_EXTENSION][m] == old(Mem[T.AllowDisable__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Blink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blink__LIST_ENTRY][m] == old(Mem[T.Blink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.ConnectOneClassToOnePort__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ConnectOneClassToOnePort__GLOBALS][m] == old(Mem[T.ConnectOneClassToOnePort__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DebugActive___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DebugActive___unnamed_1_2dc63b48][m] == old(Mem[T.DebugActive___unnamed_1_2dc63b48])[m]);
+assume (forall m:int :: {Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.DeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceState__DEVICE_EXTENSION][m] == old(Mem[T.DeviceState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DpcActive___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DpcActive___unnamed_1_2dc63b48][m] == old(Mem[T.DpcActive___unnamed_1_2dc63b48])[m]);
+assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m] == old(Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.GuidCount__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidCount__WMILIB_CONTEXT][m] == old(Mem[T.GuidCount__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.GuidList__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidList__WMILIB_CONTEXT][m] == old(Mem[T.GuidList__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.Hand___unnamed_1_2ef8da39][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Hand___unnamed_1_2ef8da39][m] == old(Mem[T.Hand___unnamed_1_2ef8da39])[m]);
+assume (forall m:int :: {Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InputCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputCount__DEVICE_EXTENSION][m] == old(Mem[T.InputCount__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Inserted___unnamed_1_2dc63b48][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Inserted___unnamed_1_2dc63b48][m] == old(Mem[T.Inserted___unnamed_1_2dc63b48])[m]);
+assume (forall m:int :: {Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.KeyboardAttributes__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.KeyboardAttributes__DEVICE_EXTENSION][m] == old(Mem[T.KeyboardAttributes__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.KeyboardMode__KEYBOARD_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS][m] == old(Mem[T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Lock___unnamed_4_a97c65a1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Lock___unnamed_4_a97c65a1][m] == old(Mem[T.Lock___unnamed_4_a97c65a1])[m]);
+assume (forall m:int :: {Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinDeviceWakeState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinSystemWakeState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.NpxIrql___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NpxIrql___unnamed_1_29794256][m] == old(Mem[T.NpxIrql___unnamed_1_29794256])[m]);
+assume (forall m:int :: {Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfIndicators__KEYBOARD_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES][m] == old(Mem[T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m] == old(Mem[T.OkayToLogOverflow__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PDO__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PDO__DEVICE_EXTENSION][m] == old(Mem[T.PDO__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
+assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
+assume (forall m:int :: {Mem[T.P_IO_REMOVE_LOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IO_REMOVE_LOCK][m] == old(Mem[T.P_IO_REMOVE_LOCK])[m]);
+assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
+assume (forall m:int :: {Mem[T.ReadQueue__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ReadQueue__DEVICE_EXTENSION][m] == old(Mem[T.ReadQueue__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.RemoveLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RemoveLock__DEVICE_EXTENSION][m] == old(Mem[T.RemoveLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SequenceNumber__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SequenceNumber__DEVICE_EXTENSION][m] == old(Mem[T.SequenceNumber__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataBlock__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataItem__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.SignalState__DISPATCHER_HEADER][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SignalState__DISPATCHER_HEADER][m] == old(Mem[T.SignalState__DISPATCHER_HEADER])[m]);
+assume (forall m:int :: {Mem[T.Signalling___unnamed_1_29794256][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signalling___unnamed_1_29794256][m] == old(Mem[T.Signalling___unnamed_1_29794256])[m]);
+assume (forall m:int :: {Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Size___unnamed_1_2ef8da39][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Size___unnamed_1_2ef8da39][m] == old(Mem[T.Size___unnamed_1_2ef8da39])[m]);
+assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Subtype__KEYBOARD_ID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Subtype__KEYBOARD_ID][m] == old(Mem[T.Subtype__KEYBOARD_ID])[m]);
+assume (forall m:int :: {Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m] == old(Mem[T.SurpriseRemoved__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SystemState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemState__DEVICE_EXTENSION][m] == old(Mem[T.SystemState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m] == old(Mem[T.SystemToDeviceState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m] == old(Mem[T.TargetNotifyHandle__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TrueClassDevice__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrueClassDevice__DEVICE_EXTENSION][m] == old(Mem[T.TrueClassDevice__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m] == old(Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Type__KEYBOARD_ID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type__KEYBOARD_ID][m] == old(Mem[T.Type__KEYBOARD_ID])[m]);
+assume (forall m:int :: {Mem[T.Type___unnamed_4_5ca00198][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type___unnamed_4_5ca00198][m] == old(Mem[T.Type___unnamed_4_5ca00198])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS][m] == old(Mem[T.UnitId__KEYBOARD_INDICATOR_PARAMETERS])[m]);
+assume (forall m:int :: {Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS][m] == old(Mem[T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeEnabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeIrp__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m] == old(Mem[T.WmiFunctionControl__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3627)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3386)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3387)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3388)
+label_5:
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3388)
+label_6:
+$deviceExtension$8$3388.24$KbdCreateClassObject$20 := 0 ;
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3389)
+label_7:
+goto label_8;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3389)
+label_8:
+$errorCode$9$3389.24$KbdCreateClassObject$20 := 0 ;
+goto label_9;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3390)
+label_9:
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3390)
+label_10:
+// Skipping Structure assignment due to the flag SkipStructAssignments
+goto label_11;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3391)
+label_11:
+goto label_12;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3391)
+label_12:
+$dumpCount$11$3391.24$KbdCreateClassObject$20 := 0 ;
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3392)
+label_13:
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3393)
+label_14:
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3394)
+label_15:
+goto label_16;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3396)
+label_16:
+call __PREfastPagedCode ();
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3398)
+label_19:
+// skip KbdDebugPrint
+goto label_23;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3398)
+label_22:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$2$ := havoc_stringTemp ;
+goto label_19;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3404)
+label_23:
+call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3409)
+label_26:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := 0];
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3411)
+label_27:
+goto label_27_true , label_27_false ;
+
+
+label_27_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_89;
+
+
+label_27_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_28;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3415)
+label_28:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_31;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3421)
+label_31:
+goto label_31_true , label_31_false ;
+
+
+label_31_true :
+assume (BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_32;
+
+
+label_31_false :
+assume !(BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_37;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3422)
+label_32:
+$status$6$3386.24$KbdCreateClassObject$20 := -1073741823 ;
+goto label_33;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3423)
+label_33:
+$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414143 ;
+goto label_34;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3424)
+label_34:
+$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3425)
+label_35:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3426)
+label_36:
+$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3433)
+label_37:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]), 1, 4)];
+goto label_38;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3437)
+label_38:
+goto label_38_true , label_38_false ;
+
+
+label_38_true :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
+goto label_39;
+
+
+label_38_false :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3437)
+label_39:
+goto label_39_true , label_39_false ;
+
+
+label_39_true :
+assume ($Legacy$5$3358.28$KbdCreateClassObject$20 != 0);
+goto label_40;
+
+
+label_39_false :
+assume ($Legacy$5$3358.28$KbdCreateClassObject$20 == 0);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3438)
+label_40:
+tempBoogie0 := PLUS(Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 1, 14) ;
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := tempBoogie0];
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
+label_41:
+call $result.ExAllocatePoolWithTag$3441.0$3$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$4$, 1130652235);
+goto label_45;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
+label_44:
+$ExAllocatePoolWithTag.arg.2$4$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] ;
+goto label_41;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3441)
+label_45:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20) := $result.ExAllocatePoolWithTag$3441.0$3$];
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3444)
+label_46:
+goto label_46_true , label_46_false ;
+
+
+label_46_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] != 0);
+goto label_59;
+
+
+label_46_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] == 0);
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3446)
+label_47:
+// skip KbdDebugPrint
+goto label_51;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3446)
+label_50:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$5$ := havoc_stringTemp ;
+goto label_47;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3451)
+label_51:
+$status$6$3386.24$KbdCreateClassObject$20 := -1073741823 ;
+goto label_52;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3452)
+label_52:
+$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414143 ;
+goto label_53;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3453)
+label_53:
+$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3454)
+label_54:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
+goto label_55;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3455)
+label_55:
+$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3459)
+label_56:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$3459.8$6$;
+goto label_63;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3459)
+label_59:
+$memset.arg.3$7$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)] ;
+goto label_56;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3460)
+label_60:
+call $result.RtlAppendUnicodeToString$3460.32$8$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$9$);
+goto label_64;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3460)
+label_63:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$9$ := havoc_stringTemp ;
+goto label_60;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3461)
+label_64:
+call $result.RtlAppendUnicodeToString$3461.32$10$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_67;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3463)
+label_67:
+goto label_67_true , label_67_false ;
+
+
+label_67_true :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
+goto label_68;
+
+
+label_67_false :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3463)
+label_68:
+goto label_68_true , label_68_false ;
+
+
+label_68_true :
+assume ($Legacy$5$3358.28$KbdCreateClassObject$20 != 0);
+goto label_72;
+
+
+label_68_false :
+assume ($Legacy$5$3358.28$KbdCreateClassObject$20 == 0);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3464)
+label_69:
+call $result.RtlAppendUnicodeToString$3464.36$11$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$12$);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3464)
+label_72:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$12$ := havoc_stringTemp ;
+goto label_69;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3467)
+label_73:
+call $result.RtlAppendUnicodeToString$3467.32$13$ := RtlAppendUnicodeToString ($fullClassName$10$3390.24$KbdCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$14$);
+goto label_77;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3467)
+label_76:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$14$ := havoc_stringTemp ;
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3473)
+label_77:
+$nameIndex$14$3394.24$KbdCreateClassObject$20 := 0 ;
+goto label_78;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3477)
+label_78:
+// loop entry initialization...
+LOOP_78_alloc := alloc;
+LOOP_78_Mem := Mem;
+LOOP_78_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_78_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_78_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_78_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_78_head;
+
+
+label_78_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __preserves_resource("DEV_OBJ_INIT")
+assert(Res_DEV_OBJ_INIT == LOOP_78_Res_DEV_OBJ_INIT);
+//TAG: requires __preserves_resource("DEV_EXTN")
+assert(Res_DEV_EXTN == LOOP_78_Res_DEV_EXTN);
+//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+assert(Mem[T.Flink__LIST_ENTRY] == LOOP_78_Mem[T.Flink__LIST_ENTRY]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_78_alloc[Base(f)] == UNALLOCATED || LOOP_78_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_78_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_78_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_78_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_78_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_78_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_78_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_78_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true, __set_empty
+assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_78_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_78_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)], 2), 1, 1)) := PLUS(48, 1, $nameIndex$14$3394.24$KbdCreateClassObject$20)];
+$nameIndex$14$3394.24$KbdCreateClassObject$20 := PLUS($nameIndex$14$3394.24$KbdCreateClassObject$20, 1, 1) ;
+goto label_82;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3479)
+label_79:
+// skip KbdDebugPrint
+goto label_83;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3479)
+label_82:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$15$ := havoc_stringTemp ;
+goto label_79;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3485)
+label_83:
+call $result.IoCreateDevice$3485.35$16$ := IoCreateDevice ($DriverObject$1$3354.28$KbdCreateClassObject$20, 288, $fullClassName$10$3390.24$KbdCreateClassObject$20, 11, 0, 0, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20);
+goto label_86;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3485)
+label_86:
+$status$6$3386.24$KbdCreateClassObject$20 := $result.IoCreateDevice$3485.35$16$ ;
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3493)
+label_87:
+goto label_87_true , label_87_false ;
+
+
+label_87_true :
+assume (-1073741771 == $status$6$3386.24$KbdCreateClassObject$20);
+goto label_78_head;
+
+
+label_87_false :
+assume !(-1073741771 == $status$6$3386.24$KbdCreateClassObject$20);
+goto label_88;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3495)
+label_88:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3498)
+label_89:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_92;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3499)
+label_92:
+call $result.IoCreateDevice$3499.31$17$ := IoCreateDevice ($DriverObject$1$3354.28$KbdCreateClassObject$20, 288, 0, 11, 0, 0, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$20);
+goto label_95;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3499)
+label_95:
+$status$6$3386.24$KbdCreateClassObject$20 := $result.IoCreateDevice$3499.31$17$ ;
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3506)
+label_96:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := 0];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3509)
+label_97:
+goto label_97_true , label_97_false ;
+
+
+label_97_true :
+assume (0 <= $status$6$3386.24$KbdCreateClassObject$20);
+goto label_98;
+
+
+label_97_false :
+assume !(0 <= $status$6$3386.24$KbdCreateClassObject$20);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3509)
+label_98:
+goto label_98_true , label_98_false ;
+
+
+label_98_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
+goto label_107;
+
+
+label_98_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3510)
+label_99:
+// skip KbdDebugPrint
+goto label_103;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3510)
+label_102:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$18$ := havoc_stringTemp ;
+goto label_99;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3516)
+label_103:
+$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414131 ;
+goto label_104;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3517)
+label_104:
+$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10006 ;
+goto label_105;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3518)
+label_105:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3392.24$KbdCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3390.24$KbdCreateClassObject$20)]];
+goto label_106;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3519)
+label_106:
+$dumpCount$11$3391.24$KbdCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3528)
+label_107:
+assume (forall r:int :: {BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4),r)} BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])],r)!= 0 || BIT_BAND(4,r)!= 0 <==> BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4),r)!= 0);
+tempBoogie0 := BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])], 4) ;
+Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]) := tempBoogie0];
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3529)
+label_108:
+$deviceExtension$8$3388.24$KbdCreateClassObject$20 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20])] ;
+goto label_109;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3531)
+label_109:
+// Skipping Structure assignment due to the flag SkipStructAssignments
+goto label_110;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3533)
+label_110:
+Mem[T.Self__DEVICE_EXTENSION] := Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]];
+goto label_111;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3534)
+label_111:
+call IoInitializeRemoveLockEx (RemoveLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20), 1130652235, 0, 0, 88);
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3539)
+label_114:
+assume (Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)]);
+call KeInitializeSpinLock (SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20));
+Mem[T.SpinLock__DEVICE_EXTENSION] := Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)]];
+goto label_117;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3544)
+label_117:
+call InitializeListHead_IRP (ReadQueue__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20));
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3550)
+label_120:
+Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION] := Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][TrustedSubsystemCount__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := 0];
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3557)
+label_121:
+call $result.ExAllocatePoolWithTag$3557.0$19$ := ExAllocatePoolWithTag (0, Mem[T.InputDataQueueLength__KEYBOARD_ATTRIBUTES][InputDataQueueLength__KEYBOARD_ATTRIBUTES(KeyboardAttributes__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20))], 1130652235);
+goto label_124;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3556)
+label_124:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := $result.ExAllocatePoolWithTag$3557.0$19$];
+goto label_125;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3562)
+label_125:
+goto label_125_true , label_125_false ;
+
+
+label_125_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] != 0);
+goto label_133;
+
+
+label_125_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == 0);
+goto label_129;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3568)
+label_126:
+// skip KbdDebugPrint
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3568)
+label_129:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$20$ := havoc_stringTemp ;
+goto label_126;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3574)
+label_130:
+$status$6$3386.24$KbdCreateClassObject$20 := -1073741670 ;
+goto label_131;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3580)
+label_131:
+$errorCode$9$3389.24$KbdCreateClassObject$20 := -1073414142 ;
+goto label_132;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3581)
+label_132:
+$uniqueErrorValue$7$3387.24$KbdCreateClassObject$20 := 10020 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3589)
+label_133:
+call KbdInitializeDataQueue ($deviceExtension$8$3388.24$KbdCreateClassObject$20);
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3593)
+label_136:
+goto label_136_true , label_136_false ;
+
+
+label_136_true :
+assume ($status$6$3386.24$KbdCreateClassObject$20 != 0);
+goto label_137;
+
+
+label_136_false :
+assume ($status$6$3386.24$KbdCreateClassObject$20 == 0);
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3599)
+label_137:
+call RtlFreeUnicodeString ($fullClassName$10$3390.24$KbdCreateClassObject$20);
+goto label_140;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3600)
+label_140:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3357.35$KbdCreateClassObject$20 := 0];
+goto label_141;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3602)
+label_141:
+goto label_141_true , label_141_false ;
+
+
+label_141_true :
+assume ($errorCode$9$3389.24$KbdCreateClassObject$20 != 0);
+goto label_145;
+
+
+label_141_false :
+assume ($errorCode$9$3389.24$KbdCreateClassObject$20 == 0);
+goto label_148;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3603)
+label_142:
+call KeyboardClassLogError ($result.question.21$, $errorCode$9$3389.24$KbdCreateClassObject$20, $uniqueErrorValue$7$3387.24$KbdCreateClassObject$20, $status$6$3386.24$KbdCreateClassObject$20, $dumpCount$11$3391.24$KbdCreateClassObject$20, $dumpData$12$3392.24$KbdCreateClassObject$20, 0);
+goto label_148;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
+label_145:
+goto label_145_true , label_145_false ;
+
+
+label_145_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
+goto label_147;
+
+
+label_145_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
+goto label_146;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
+label_146:
+$result.question.21$ := $DriverObject$1$3354.28$KbdCreateClassObject$20 ;
+goto label_142;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3604)
+label_147:
+$result.question.21$ := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] ;
+goto label_142;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3614)
+label_148:
+goto label_148_true , label_148_false ;
+
+
+label_148_true :
+assume ($deviceExtension$8$3388.24$KbdCreateClassObject$20 != 0);
+goto label_149;
+
+
+label_148_false :
+assume ($deviceExtension$8$3388.24$KbdCreateClassObject$20 == 0);
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3614)
+label_149:
+goto label_149_true , label_149_false ;
+
+
+label_149_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] != 0);
+goto label_150;
+
+
+label_149_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)] == 0);
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3615)
+label_150:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20)], 0);
+goto label_153;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3616)
+label_153:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3388.24$KbdCreateClassObject$20) := 0];
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3618)
+label_154:
+goto label_154_true , label_154_false ;
+
+
+label_154_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] != 0);
+goto label_155;
+
+
+label_154_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20] == 0);
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3619)
+label_155:
+call IoDeleteDevice (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20]);
+goto label_158;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3620)
+label_158:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$20 := 0];
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3624)
+label_159:
+// skip KbdDebugPrint
+goto label_163;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3624)
+label_162:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$22$ := havoc_stringTemp ;
+goto label_159;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3626)
+label_163:
+$result.KbdCreateClassObject$3353.0$1$ := $status$6$3386.24$KbdCreateClassObject$20 ;
+goto label_1;
+
+}
+
diff --git a/Test/havoc0/KeyboardClassFindMorePorts.bpl b/Test/havoc0/KeyboardClassFindMorePorts.bpl
index a2b8994d..e419e8a0 100644
--- a/Test/havoc0/KeyboardClassFindMorePorts.bpl
+++ b/Test/havoc0/KeyboardClassFindMorePorts.bpl
@@ -1,3782 +1,3782 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.AllowDisable__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
-const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.SendOutputToAllPorts__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
-const unique T.Type__KEYBOARD_ID:name;
-const unique T.Subtype__KEYBOARD_ID:name;
-const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
-const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
-const unique T.Flags__KEYBOARD_INPUT_DATA:name;
-const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
-const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
-const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Reserved___unnamed_12_0d6a30de:name;
-const unique T.MessageCount___unnamed_12_0d6a30de:name;
-const unique T.Vector___unnamed_12_0d6a30de:name;
-const unique T.Affinity___unnamed_12_0d6a30de:name;
-const unique T.Start___unnamed_12_17f5c211:name;
-const unique T.Length48___unnamed_12_17f5c211:name;
-const unique T.Start___unnamed_12_1fb42e39:name;
-const unique T.Length___unnamed_12_1fb42e39:name;
-const unique T.Reserved___unnamed_12_1fb42e39:name;
-const unique T.Start___unnamed_12_2a1563c6:name;
-const unique T.Length___unnamed_12_2a1563c6:name;
-const unique T.DataSize___unnamed_12_31347272:name;
-const unique T.Reserved1___unnamed_12_31347272:name;
-const unique T.Reserved2___unnamed_12_31347272:name;
-const unique T.Raw___unnamed_12_429aadc0:name;
-const unique T.Translated___unnamed_12_429aadc0:name;
-const unique T.Start___unnamed_12_4719de1a:name;
-const unique T.Length___unnamed_12_4719de1a:name;
-const unique T.Data___unnamed_12_4be56faa:name;
-const unique T.Data___unnamed_12_5ce25b92:name;
-const unique T.Generic___unnamed_12_7a698b72:name;
-const unique T.Port___unnamed_12_7a698b72:name;
-const unique T.Interrupt___unnamed_12_7a698b72:name;
-const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
-const unique T.Memory___unnamed_12_7a698b72:name;
-const unique T.Dma___unnamed_12_7a698b72:name;
-const unique T.DevicePrivate___unnamed_12_7a698b72:name;
-const unique T.BusNumber___unnamed_12_7a698b72:name;
-const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
-const unique T.Memory40___unnamed_12_7a698b72:name;
-const unique T.Memory48___unnamed_12_7a698b72:name;
-const unique T.Memory64___unnamed_12_7a698b72:name;
-const unique T.Start___unnamed_12_87c0de8d:name;
-const unique T.Length64___unnamed_12_87c0de8d:name;
-const unique T.Start___unnamed_12_98bfc55a:name;
-const unique T.Length40___unnamed_12_98bfc55a:name;
-const unique T.Priority___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
-const unique T.Level___unnamed_12_b0429be9:name;
-const unique T.Vector___unnamed_12_b0429be9:name;
-const unique T.Affinity___unnamed_12_b0429be9:name;
-const unique T.ListEntry___unnamed_12_b43e8de8:name;
-const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
-const unique T.Level___unnamed_12_bfdb39ee:name;
-const unique T.Vector___unnamed_12_bfdb39ee:name;
-const unique T.Affinity___unnamed_12_bfdb39ee:name;
-const unique T.Start___unnamed_12_cd42b3c3:name;
-const unique T.Length___unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
-const unique T.Channel___unnamed_12_e80d029e:name;
-const unique T.Port___unnamed_12_e80d029e:name;
-const unique T.Reserved1___unnamed_12_e80d029e:name;
-const unique T.Length___unnamed_16_07c0bcc5:name;
-const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.Reserved___unnamed_16_07c0bcc5:name;
-const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
-const unique T.Size___unnamed_16_29cb9f2f:name;
-const unique T.Version___unnamed_16_29cb9f2f:name;
-const unique T.Interface___unnamed_16_29cb9f2f:name;
-const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
-const unique T.SecurityContext___unnamed_16_30f11dbf:name;
-const unique T.Options___unnamed_16_30f11dbf:name;
-const unique T.FileAttributes___unnamed_16_30f11dbf:name;
-const unique T.ShareAccess___unnamed_16_30f11dbf:name;
-const unique T.EaLength___unnamed_16_30f11dbf:name;
-const unique T.DriverContext___unnamed_16_35034f68:name;
-const unique T.Length___unnamed_16_487a9498:name;
-const unique T.FileName___unnamed_16_487a9498:name;
-const unique T.FileInformationClass___unnamed_16_487a9498:name;
-const unique T.FileIndex___unnamed_16_487a9498:name;
-const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.FsControlCode___unnamed_16_5f6a8844:name;
-const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
-const unique T.Length___unnamed_16_7177b9f3:name;
-const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
-const unique T.FileObject___unnamed_16_7177b9f3:name;
-const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
-const unique T.Length___unnamed_16_88e91ef6:name;
-const unique T.Key___unnamed_16_88e91ef6:name;
-const unique T.ByteOffset___unnamed_16_88e91ef6:name;
-const unique T.Length___unnamed_16_8c506c98:name;
-const unique T.Key___unnamed_16_8c506c98:name;
-const unique T.ByteOffset___unnamed_16_8c506c98:name;
-const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
-const unique T.Buffer___unnamed_16_9ac2e5f8:name;
-const unique T.Offset___unnamed_16_9ac2e5f8:name;
-const unique T.Length___unnamed_16_9ac2e5f8:name;
-const unique T.Create___unnamed_16_b93842ad:name;
-const unique T.Read___unnamed_16_b93842ad:name;
-const unique T.Write___unnamed_16_b93842ad:name;
-const unique T.QueryDirectory___unnamed_16_b93842ad:name;
-const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
-const unique T.QueryFile___unnamed_16_b93842ad:name;
-const unique T.SetFile___unnamed_16_b93842ad:name;
-const unique T.QueryEa___unnamed_16_b93842ad:name;
-const unique T.SetEa___unnamed_16_b93842ad:name;
-const unique T.QueryVolume___unnamed_16_b93842ad:name;
-const unique T.SetVolume___unnamed_16_b93842ad:name;
-const unique T.FileSystemControl___unnamed_16_b93842ad:name;
-const unique T.LockControl___unnamed_16_b93842ad:name;
-const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
-const unique T.QuerySecurity___unnamed_16_b93842ad:name;
-const unique T.SetSecurity___unnamed_16_b93842ad:name;
-const unique T.MountVolume___unnamed_16_b93842ad:name;
-const unique T.VerifyVolume___unnamed_16_b93842ad:name;
-const unique T.Scsi___unnamed_16_b93842ad:name;
-const unique T.QueryQuota___unnamed_16_b93842ad:name;
-const unique T.SetQuota___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
-const unique T.QueryInterface___unnamed_16_b93842ad:name;
-const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
-const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
-const unique T.SetLock___unnamed_16_b93842ad:name;
-const unique T.QueryId___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
-const unique T.UsageNotification___unnamed_16_b93842ad:name;
-const unique T.WaitWake___unnamed_16_b93842ad:name;
-const unique T.PowerSequence___unnamed_16_b93842ad:name;
-const unique T.Power___unnamed_16_b93842ad:name;
-const unique T.StartDevice___unnamed_16_b93842ad:name;
-const unique T.WMI___unnamed_16_b93842ad:name;
-const unique T.Others___unnamed_16_b93842ad:name;
-const unique T.Length___unnamed_16_b9c62eab:name;
-const unique T.Key___unnamed_16_b9c62eab:name;
-const unique T.ByteOffset___unnamed_16_b9c62eab:name;
-const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
-const unique T.Type___unnamed_16_bb584060:name;
-const unique T.State___unnamed_16_bb584060:name;
-const unique T.ShutdownType___unnamed_16_bb584060:name;
-const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.IoControlCode___unnamed_16_dba55c7c:name;
-const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
-const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
-const unique T.Argument1___unnamed_16_e734d694:name;
-const unique T.Argument2___unnamed_16_e734d694:name;
-const unique T.Argument3___unnamed_16_e734d694:name;
-const unique T.Argument4___unnamed_16_e734d694:name;
-const unique T.ProviderId___unnamed_16_eac6dbea:name;
-const unique T.DataPath___unnamed_16_eac6dbea:name;
-const unique T.BufferSize___unnamed_16_eac6dbea:name;
-const unique T.Buffer___unnamed_16_eac6dbea:name;
-const unique T.Length___unnamed_16_f6cae4c2:name;
-const unique T.EaList___unnamed_16_f6cae4c2:name;
-const unique T.EaListLength___unnamed_16_f6cae4c2:name;
-const unique T.EaIndex___unnamed_16_f6cae4c2:name;
-const unique T.Length___unnamed_16_fe36e4f4:name;
-const unique T.StartSid___unnamed_16_fe36e4f4:name;
-const unique T.SidList___unnamed_16_fe36e4f4:name;
-const unique T.SidListLength___unnamed_16_fe36e4f4:name;
-const unique T.Abandoned___unnamed_1_29794256:name;
-const unique T.Absolute___unnamed_1_29794256:name;
-const unique T.NpxIrql___unnamed_1_29794256:name;
-const unique T.Signalling___unnamed_1_29794256:name;
-const unique T.Inserted___unnamed_1_2dc63b48:name;
-const unique T.DebugActive___unnamed_1_2dc63b48:name;
-const unique T.DpcActive___unnamed_1_2dc63b48:name;
-const unique T.Size___unnamed_1_2ef8da39:name;
-const unique T.Hand___unnamed_1_2ef8da39:name;
-const unique T.Lock___unnamed_1_faa7dc71:name;
-const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
-const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
-const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
-const unique T.Length___unnamed_24_41cbc8c0:name;
-const unique T.Alignment___unnamed_24_41cbc8c0:name;
-const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
-const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
-const unique T.Length48___unnamed_24_5419c914:name;
-const unique T.Alignment48___unnamed_24_5419c914:name;
-const unique T.MinimumAddress___unnamed_24_5419c914:name;
-const unique T.MaximumAddress___unnamed_24_5419c914:name;
-const unique T.Length___unnamed_24_67a5ff10:name;
-const unique T.Alignment___unnamed_24_67a5ff10:name;
-const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
-const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
-const unique T.Port___unnamed_24_72c3976e:name;
-const unique T.Memory___unnamed_24_72c3976e:name;
-const unique T.Interrupt___unnamed_24_72c3976e:name;
-const unique T.Dma___unnamed_24_72c3976e:name;
-const unique T.Generic___unnamed_24_72c3976e:name;
-const unique T.DevicePrivate___unnamed_24_72c3976e:name;
-const unique T.BusNumber___unnamed_24_72c3976e:name;
-const unique T.ConfigData___unnamed_24_72c3976e:name;
-const unique T.Memory40___unnamed_24_72c3976e:name;
-const unique T.Memory48___unnamed_24_72c3976e:name;
-const unique T.Memory64___unnamed_24_72c3976e:name;
-const unique T.Length64___unnamed_24_a26050bb:name;
-const unique T.Alignment64___unnamed_24_a26050bb:name;
-const unique T.MinimumAddress___unnamed_24_a26050bb:name;
-const unique T.MaximumAddress___unnamed_24_a26050bb:name;
-const unique T.Length___unnamed_24_b8f476db:name;
-const unique T.Alignment___unnamed_24_b8f476db:name;
-const unique T.MinimumAddress___unnamed_24_b8f476db:name;
-const unique T.MaximumAddress___unnamed_24_b8f476db:name;
-const unique T.Length40___unnamed_24_d09044b4:name;
-const unique T.Alignment40___unnamed_24_d09044b4:name;
-const unique T.MinimumAddress___unnamed_24_d09044b4:name;
-const unique T.MaximumAddress___unnamed_24_d09044b4:name;
-const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
-const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
-const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
-const unique T.Thread___unnamed_40_7218f704:name;
-const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
-const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
-const unique T.OriginalFileObject___unnamed_40_7218f704:name;
-const unique T.ListEntry___unnamed_40_c55c9377:name;
-const unique T.Wcb___unnamed_40_c55c9377:name;
-const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
-const unique T.PrivilegeSet___unnamed_44_5584090d:name;
-const unique T.Overlay___unnamed_48_cf99b13f:name;
-const unique T.Apc___unnamed_48_cf99b13f:name;
-const unique T.CompletionKey___unnamed_48_cf99b13f:name;
-const unique T.PowerState___unnamed_4_069846fb:name;
-const unique T.IdType___unnamed_4_224c32f4:name;
-const unique T.Capabilities___unnamed_4_2de698da:name;
-const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
-const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
-const unique T.Length___unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
-const unique T.ClusterCount___unnamed_4_43913aa5:name;
-const unique T.DeleteHandle___unnamed_4_43913aa5:name;
-const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
-const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
-const unique T.Srb___unnamed_4_52603077:name;
-const unique T.Address___unnamed_4_52c594f7:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
-const unique T.Type___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
-const unique T.MasterIrp___unnamed_4_6ac6463c:name;
-const unique T.IrpCount___unnamed_4_6ac6463c:name;
-const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
-const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
-const unique T.TableSize___unnamed_4_6f9ac8e1:name;
-const unique T.PowerSequence___unnamed_4_7a02167b:name;
-const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
-const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
-const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
-const unique T.Length___unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
-const unique T.Lock___unnamed_4_a97c65a1:name;
-const unique T.Reserved1___unnamed_4_c3479730:name;
-const unique T.TargetSystemState___unnamed_4_c3479730:name;
-const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
-const unique T.CurrentSystemState___unnamed_4_c3479730:name;
-const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
-const unique T.PseudoTransition___unnamed_4_c3479730:name;
-const unique T.Reserved2___unnamed_4_c3479730:name;
-const unique T.Status___unnamed_4_d99b6e2b:name;
-const unique T.Pointer___unnamed_4_d99b6e2b:name;
-const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
-const unique T.PacketType___unnamed_4_f19b65c1:name;
-const unique T.Type___unnamed_4_fa10fc16:name;
-const unique T.SecurityInformation___unnamed_8_01efa60d:name;
-const unique T.Length___unnamed_8_01efa60d:name;
-const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
-const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
-const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
-const unique T.UserApcContext___unnamed_8_0a898c0c:name;
-const unique T.SecurityInformation___unnamed_8_1330f93a:name;
-const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
-const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
-const unique T.AllocationSize___unnamed_8_181d0de9:name;
-const unique T.Vpb___unnamed_8_4812764d:name;
-const unique T.DeviceObject___unnamed_8_4812764d:name;
-const unique T.Length___unnamed_8_559a91e6:name;
-const unique T.FsInformationClass___unnamed_8_559a91e6:name;
-const unique T.Length___unnamed_8_5845b309:name;
-const unique T.FileInformationClass___unnamed_8_5845b309:name;
-const unique T.LowPart___unnamed_8_58ee4a31:name;
-const unique T.HighPart___unnamed_8_58ee4a31:name;
-const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
-const unique T.DeviceTextType___unnamed_8_6acfee04:name;
-const unique T.LocaleId___unnamed_8_6acfee04:name;
-const unique T.Length___unnamed_8_7f26a9dd:name;
-const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
-const unique T.Vpb___unnamed_8_87add0bd:name;
-const unique T.DeviceObject___unnamed_8_87add0bd:name;
-const unique T.InPath___unnamed_8_b2773e4c:name;
-const unique T.Reserved___unnamed_8_b2773e4c:name;
-const unique T.Type___unnamed_8_b2773e4c:name;
-const unique T.Length___unnamed_8_de890d4e:name;
-const unique T.FsInformationClass___unnamed_8_de890d4e:name;
-const unique T.LowPart___unnamed_8_ef9ba0d3:name;
-const unique T.HighPart___unnamed_8_ef9ba0d3:name;
-
-// Type declarations
-
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A2UINT2:name;
-const unique T.A32UINT2:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5UINT2:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A88CHAR:name;
-const unique T.A8UCHAR:name;
-const unique T.A9UINT2:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA256UINT2:name;
-const unique T.PA2UINT2:name;
-const unique T.PA4UINT4:name;
-const unique T.PA5UINT2:name;
-const unique T.PA88CHAR:name;
-const unique T.PA9UINT2:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPPUINT2:name;
-const unique T.PPP_DEVICE_OBJECT:name;
-const unique T.PPP_FILE_OBJECT:name;
-const unique T.PPUINT2:name;
-const unique T.PPUINT4:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FAST_MUTEX:name;
-const unique T.PP_FILE_OBJECT:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_PORT:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FAST_MUTEX:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KEYBOARD_INPUT_DATA:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_POOL_TYPE:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KEYBOARD_ATTRIBUTES:name;
-const unique T._KEYBOARD_ID:name;
-const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T._KEYBOARD_INPUT_DATA:name;
-const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._POOL_TYPE:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_0d6a30de:name;
-const unique T.__unnamed_12_17f5c211:name;
-const unique T.__unnamed_12_1fb42e39:name;
-const unique T.__unnamed_12_2a1563c6:name;
-const unique T.__unnamed_12_31347272:name;
-const unique T.__unnamed_12_429aadc0:name;
-const unique T.__unnamed_12_4719de1a:name;
-const unique T.__unnamed_12_4be56faa:name;
-const unique T.__unnamed_12_5ce25b92:name;
-const unique T.__unnamed_12_7a698b72:name;
-const unique T.__unnamed_12_87c0de8d:name;
-const unique T.__unnamed_12_98bfc55a:name;
-const unique T.__unnamed_12_ab1bd9d7:name;
-const unique T.__unnamed_12_b0429be9:name;
-const unique T.__unnamed_12_b43e8de8:name;
-const unique T.__unnamed_12_bfdb39ee:name;
-const unique T.__unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_e668effc:name;
-const unique T.__unnamed_12_e80d029e:name;
-const unique T.__unnamed_16_07c0bcc5:name;
-const unique T.__unnamed_16_29cb9f2f:name;
-const unique T.__unnamed_16_30f11dbf:name;
-const unique T.__unnamed_16_35034f68:name;
-const unique T.__unnamed_16_487a9498:name;
-const unique T.__unnamed_16_5f6a8844:name;
-const unique T.__unnamed_16_7177b9f3:name;
-const unique T.__unnamed_16_88e91ef6:name;
-const unique T.__unnamed_16_8c506c98:name;
-const unique T.__unnamed_16_9ac2e5f8:name;
-const unique T.__unnamed_16_b93842ad:name;
-const unique T.__unnamed_16_b9c62eab:name;
-const unique T.__unnamed_16_bb584060:name;
-const unique T.__unnamed_16_dba55c7c:name;
-const unique T.__unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_e734d694:name;
-const unique T.__unnamed_16_eac6dbea:name;
-const unique T.__unnamed_16_f6cae4c2:name;
-const unique T.__unnamed_16_fe36e4f4:name;
-const unique T.__unnamed_1_29794256:name;
-const unique T.__unnamed_1_2dc63b48:name;
-const unique T.__unnamed_1_2ef8da39:name;
-const unique T.__unnamed_1_faa7dc71:name;
-const unique T.__unnamed_20_f4d2e6d8:name;
-const unique T.__unnamed_24_41cbc8c0:name;
-const unique T.__unnamed_24_5419c914:name;
-const unique T.__unnamed_24_67a5ff10:name;
-const unique T.__unnamed_24_72c3976e:name;
-const unique T.__unnamed_24_a26050bb:name;
-const unique T.__unnamed_24_b8f476db:name;
-const unique T.__unnamed_24_d09044b4:name;
-const unique T.__unnamed_2_46cc4597:name;
-const unique T.__unnamed_40_7218f704:name;
-const unique T.__unnamed_40_c55c9377:name;
-const unique T.__unnamed_44_5584090d:name;
-const unique T.__unnamed_48_cf99b13f:name;
-const unique T.__unnamed_4_069846fb:name;
-const unique T.__unnamed_4_224c32f4:name;
-const unique T.__unnamed_4_2de698da:name;
-const unique T.__unnamed_4_3a2fdc5e:name;
-const unique T.__unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_4_43913aa5:name;
-const unique T.__unnamed_4_4e8dd2ba:name;
-const unique T.__unnamed_4_52603077:name;
-const unique T.__unnamed_4_52c594f7:name;
-const unique T.__unnamed_4_5ca00198:name;
-const unique T.__unnamed_4_6ac6463c:name;
-const unique T.__unnamed_4_6f9ac8e1:name;
-const unique T.__unnamed_4_7a02167b:name;
-const unique T.__unnamed_4_7d9d0c7e:name;
-const unique T.__unnamed_4_82f7a864:name;
-const unique T.__unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_a97c65a1:name;
-const unique T.__unnamed_4_c3479730:name;
-const unique T.__unnamed_4_d99b6e2b:name;
-const unique T.__unnamed_4_f19b65c1:name;
-const unique T.__unnamed_4_fa10fc16:name;
-const unique T.__unnamed_8_01efa60d:name;
-const unique T.__unnamed_8_08d4cef8:name;
-const unique T.__unnamed_8_0a898c0c:name;
-const unique T.__unnamed_8_1330f93a:name;
-const unique T.__unnamed_8_181d0de9:name;
-const unique T.__unnamed_8_4812764d:name;
-const unique T.__unnamed_8_559a91e6:name;
-const unique T.__unnamed_8_5845b309:name;
-const unique T.__unnamed_8_58ee4a31:name;
-const unique T.__unnamed_8_61acf4ce:name;
-const unique T.__unnamed_8_6acfee04:name;
-const unique T.__unnamed_8_7f26a9dd:name;
-const unique T.__unnamed_8_87add0bd:name;
-const unique T.__unnamed_8_b2773e4c:name;
-const unique T.__unnamed_8_de890d4e:name;
-const unique T.__unnamed_8_ef9ba0d3:name;
-
-function AssocClassList__GLOBALS(int) returns (int);
-function AssocClassList__GLOBALSInv(int) returns (int);
-function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
-function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
-function BaseClassName__GLOBALS(int) returns (int);
-function BaseClassName__GLOBALSInv(int) returns (int);
-function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
-function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 368);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 368);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1) == BaseClassName__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 368)} MINUS_LEFT_PTR(x, 1, 368) == BaseClassName__GLOBALSInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
-function File__PORT(int) returns (int);
-function File__PORTInv(int) returns (int);
-function _S_File__PORT([int]bool) returns ([int]bool);
-function _S_File__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
-
-axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
-function Flags__DEVICE_OBJECT(int) returns (int);
-function Flags__DEVICE_OBJECTInv(int) returns (int);
-function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function Free__PORT(int) returns (int);
-function Free__PORTInv(int) returns (int);
-function _S_Free__PORT([int]bool) returns ([int]bool);
-function _S_Free__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
-
-axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function InitExtension__GLOBALS(int) returns (int);
-function InitExtension__GLOBALSInv(int) returns (int);
-function _S_InitExtension__GLOBALS([int]bool) returns ([int]bool);
-function _S_InitExtension__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InitExtension__GLOBALSInv(InitExtension__GLOBALS(x))} InitExtension__GLOBALSInv(InitExtension__GLOBALS(x)) == x);
-axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALS(InitExtension__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALS(S)[x]} _S_InitExtension__GLOBALS(S)[x] <==> S[InitExtension__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALSInv(S)[x]} _S_InitExtension__GLOBALSInv(S)[x] <==> S[InitExtension__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALS(S)} S[x] ==> _S_InitExtension__GLOBALS(S)[InitExtension__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALSInv(S)} S[x] ==> _S_InitExtension__GLOBALSInv(S)[InitExtension__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {InitExtension__GLOBALS(x)} InitExtension__GLOBALS(x) == x + 72);
-axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALSInv(x) == x - 72);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 72, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 72, 1) == InitExtension__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 72)} MINUS_LEFT_PTR(x, 1, 72) == InitExtension__GLOBALSInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
-function Length__UNICODE_STRING(int) returns (int);
-function Length__UNICODE_STRINGInv(int) returns (int);
-function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
-function MaximumLength__UNICODE_STRING(int) returns (int);
-function MaximumLength__UNICODE_STRINGInv(int) returns (int);
-function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
-function Mutex__GLOBALS(int) returns (int);
-function Mutex__GLOBALSInv(int) returns (int);
-function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
-function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
-function NumberLegacyPorts__GLOBALS(int) returns (int);
-function NumberLegacyPorts__GLOBALSInv(int) returns (int);
-function _S_NumberLegacyPorts__GLOBALS([int]bool) returns ([int]bool);
-function _S_NumberLegacyPorts__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x))} NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x)) == x);
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALS(NumberLegacyPorts__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALS(S)[x]} _S_NumberLegacyPorts__GLOBALS(S)[x] <==> S[NumberLegacyPorts__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALSInv(S)[x]} _S_NumberLegacyPorts__GLOBALSInv(S)[x] <==> S[NumberLegacyPorts__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALS(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALS(S)[NumberLegacyPorts__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALSInv(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALSInv(S)[NumberLegacyPorts__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALS(x)} NumberLegacyPorts__GLOBALS(x) == x + 20);
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALSInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == NumberLegacyPorts__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == NumberLegacyPorts__GLOBALSInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function Port__PORT(int) returns (int);
-function Port__PORTInv(int) returns (int);
-function _S_Port__PORT([int]bool) returns ([int]bool);
-function _S_Port__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
-
-axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
-function PortsServiced__GLOBALS(int) returns (int);
-function PortsServiced__GLOBALSInv(int) returns (int);
-function _S_PortsServiced__GLOBALS([int]bool) returns ([int]bool);
-function _S_PortsServiced__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x))} PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x)) == x);
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALS(PortsServiced__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALS(S)[x]} _S_PortsServiced__GLOBALS(S)[x] <==> S[PortsServiced__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALSInv(S)[x]} _S_PortsServiced__GLOBALSInv(S)[x] <==> S[PortsServiced__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALS(S)} S[x] ==> _S_PortsServiced__GLOBALS(S)[PortsServiced__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALSInv(S)} S[x] ==> _S_PortsServiced__GLOBALSInv(S)[PortsServiced__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {PortsServiced__GLOBALS(x)} PortsServiced__GLOBALS(x) == x + 64);
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALSInv(x) == x - 64);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1) == PortsServiced__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 64)} MINUS_LEFT_PTR(x, 1, 64) == PortsServiced__GLOBALSInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function StackSize__DEVICE_OBJECT(int) returns (int);
-function StackSize__DEVICE_OBJECTInv(int) returns (int);
-function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_3221553153:int;
-
-
-
-procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure InsertTailList($ListHead$1$6980.24$InsertTailList$81:int, $Entry$2$6981.41$InsertTailList$81:int);
-
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead), __setunion(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead)), __set(Entry)))
-ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81))) && Subset(Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoGetDeviceObjectPointer($ObjectName$1$21492.26$IoGetDeviceObjectPointer$161:int, $DesiredAccess$2$21493.22$IoGetDeviceObjectPointer$161:int, $FileObject$3$21494.24$IoGetDeviceObjectPointer$161:int, $DeviceObject$4$21495.26$IoGetDeviceObjectPointer$161:int) returns ($result.IoGetDeviceObjectPointer$21491.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KbdCreateClassObject($DriverObject$1$3354.28$KbdCreateClassObject$201:int, $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201:int, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201:int, $FullDeviceName$4$3357.35$KbdCreateClassObject$201:int, $Legacy$5$3358.28$KbdCreateClassObject$201:int) returns ($result.KbdCreateClassObject$3353.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
-ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
-ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3356.28$KbdCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KbdDeterminePortsServiced($BasePortName$1$3676.23$KbdDeterminePortsServiced$81:int, $NumberPortsServiced$2$3677.18$KbdDeterminePortsServiced$81:int) returns ($result.KbdDeterminePortsServiced$3675.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardAddDeviceEx($ClassData$1$819.28$KeyboardAddDeviceEx$121:int, $FullClassName$2$820.28$KeyboardAddDeviceEx$121:int, $File$3$821.28$KeyboardAddDeviceEx$121:int) returns ($result.KeyboardAddDeviceEx$818.0$1$:int);
-
-//TAG: requires __resource("DEV_EXTN", ClassData) == 1
-requires(Res_DEV_EXTN[$ClassData$1$819.28$KeyboardAddDeviceEx$121] == 1);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __resource("DEV_EXTN", ClassData) == 1
-ensures(Res_DEV_EXTN[$ClassData$1$819.28$KeyboardAddDeviceEx$121] == 1);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardClassLogError($Object$1$4824.10$KeyboardClassLogError$281:int, $ErrorCode$2$4825.10$KeyboardClassLogError$281:int, $UniqueErrorValue$3$4826.10$KeyboardClassLogError$281:int, $FinalStatus$4$4827.13$KeyboardClassLogError$281:int, $DumpCount$5$4828.10$KeyboardClassLogError$281:int, $DumpData$6$4829.11$KeyboardClassLogError$281:int, $MajorFunction$7$4830.10$KeyboardClassLogError$281:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlCopyUnicodeString($DestinationString$1$7401.28$RtlCopyUnicodeString$81:int, $SourceString$2$7402.30$RtlCopyUnicodeString$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlInitUnicodeString($DestinationString$1$7281.26$RtlInitUnicodeString$81:int, $SourceString$2$7282.37$RtlInitUnicodeString$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardClassFindMorePorts($DriverObject$1$4861.20$KeyboardClassFindMorePorts$121:int, $Context$2$4862.20$KeyboardClassFindMorePorts$121:int, $Count$3$4863.20$KeyboardClassFindMorePorts$121:int)
-
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for:
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for:
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for:
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for:
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $Context$2$4862.20$KeyboardClassFindMorePorts$12 : int;
-var $Count$3$4863.20$KeyboardClassFindMorePorts$12 : int;
-var $DriverObject$1$4861.20$KeyboardClassFindMorePorts$12 : int;
-var $ExAllocatePoolWithTag.arg.2$5$ : int;
-var $KbdDebugPrint.arg.2$6$ : int;
-var $RtlAppendUnicodeToString.arg.2$10$ : int;
-var $RtlAppendUnicodeToString.arg.2$13$ : int;
-var $RtlAppendUnicodeToString.arg.2$3$ : int;
-var $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12 : int;
-var $basePortName$11$4893.28$KeyboardClassFindMorePorts$12 : int;
-var $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 : int;
-var $deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 : int;
-var $dumpData$7$4889.28$KeyboardClassFindMorePorts$12 : int;
-var $file$15$4897.28$KeyboardClassFindMorePorts$12 : int;
-var $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 : int;
-var $fullPortName$12$4894.28$KeyboardClassFindMorePorts$12 : int;
-var $i$8$4890.28$KeyboardClassFindMorePorts$12 : int;
-var $memset.arg.3$8$ : int;
-var $numPorts$9$4891.28$KeyboardClassFindMorePorts$12 : int;
-var $port$16$5029.22$KeyboardClassFindMorePorts$12 : int;
-var $result.ExAllocatePoolWithTag$4926.0$4$ : int;
-var $result.IoGetDeviceObjectPointer$5001.42$16$ : int;
-var $result.KbdCreateClassObject$4978.38$15$ : int;
-var $result.KbdDeterminePortsServiced$4954.29$14$ : int;
-var $result.KeyboardAddDeviceEx$5013.37$17$ : int;
-var $result.ObfDereferenceObject$5042.16$18$ : int;
-var $result.RtlAppendUnicodeToString$4915.28$2$ : int;
-var $result.RtlAppendUnicodeToString$4950.28$9$ : int;
-var $result.RtlAppendUnicodeToString$4951.28$11$ : int;
-var $result.RtlAppendUnicodeToString$4952.28$12$ : int;
-var $result.memset$4903.4$1$ : int;
-var $result.memset$4949.4$7$ : int;
-var $status$4$4886.28$KeyboardClassFindMorePorts$12 : int;
-var $successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_74_alloc:[int]name;
-var LOOP_74_Mem:[name][int]int;
-var LOOP_74_Res_DEVICE_STACK:[int]int;
-var LOOP_74_Res_DEV_EXTN:[int]int;
-var LOOP_74_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_74_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$4861.20$KeyboardClassFindMorePorts$121] != UNALLOCATED);
-assume (alloc[$Context$2$4862.20$KeyboardClassFindMorePorts$121] != UNALLOCATED);
-call $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(512);
-call $basePortName$11$4893.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(8);
-call $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $dumpData$7$4889.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(16);
-call $file$15$4897.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $fullPortName$12$4894.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(8);
-call $numPorts$9$4891.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
-$DriverObject$1$4861.20$KeyboardClassFindMorePorts$12 := $DriverObject$1$4861.20$KeyboardClassFindMorePorts$121;
-$Context$2$4862.20$KeyboardClassFindMorePorts$12 := $Context$2$4862.20$KeyboardClassFindMorePorts$121;
-$Count$3$4863.20$KeyboardClassFindMorePorts$12 := $Count$3$4863.20$KeyboardClassFindMorePorts$121;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5070)
-label_1:
-call __HAVOC_free($basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($basePortName$11$4893.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($dumpData$7$4889.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($file$15$4897.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($fullClassName$14$4896.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12);
-call __HAVOC_free($numPorts$9$4891.28$KeyboardClassFindMorePorts$12);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A256UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A256UINT2][m] == old(Mem[T.A256UINT2])[m]);
-assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
-assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
-assume (forall m:int :: {Mem[T.A5UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A5UINT2][m] == old(Mem[T.A5UINT2])[m]);
-assume (forall m:int :: {Mem[T.A88CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A88CHAR][m] == old(Mem[T.A88CHAR])[m]);
-assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
-assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CHAR][m] == old(Mem[T.CHAR])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
-assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InitExtension__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InitExtension__GLOBALS][m] == old(Mem[T.InitExtension__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.NumberLegacyPorts__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberLegacyPorts__GLOBALS][m] == old(Mem[T.NumberLegacyPorts__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PPUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PPUINT2][m] == old(Mem[T.PPUINT2])[m]);
-assume (forall m:int :: {Mem[T.PP_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_DEVICE_OBJECT][m] == old(Mem[T.PP_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
-assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
-assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
-assume (forall m:int :: {Mem[T.PortsServiced__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PortsServiced__GLOBALS][m] == old(Mem[T.PortsServiced__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5070)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4886)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4887)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4887)
-label_5:
-$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4888)
-label_6:
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4888)
-label_7:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 := 0];
-goto label_8;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4889)
-label_8:
-goto label_9;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4890)
-label_9:
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4891)
-label_10:
-goto label_11;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4892)
-label_11:
-goto label_12;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4893)
-label_12:
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4894)
-label_13:
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4895)
-label_14:
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4896)
-label_15:
-goto label_16;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4896)
-label_16:
-Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := 0];
-goto label_17;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4897)
-label_17:
-goto label_18;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4899)
-label_18:
-call __PREfastPagedCode ();
-goto label_21;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4901)
-label_21:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4903)
-label_22:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$4903.4$1$;
-goto label_25;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4904)
-label_25:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12];
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4905)
-label_26:
-Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4906)
-label_27:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := 512];
-goto label_28;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4913)
-label_28:
-call RtlCopyUnicodeString ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, BaseClassName__GLOBALS(Globals));
-goto label_31;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4914)
-label_31:
-tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT( Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)], 10, 1) ;
-Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := tempBoogie0];
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4915)
-label_32:
-call $result.RtlAppendUnicodeToString$4915.28$2$ := RtlAppendUnicodeToString ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$3$);
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4915)
-label_35:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$3$ := havoc_stringTemp ;
-goto label_32;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4920)
-label_36:
-call RtlInitUnicodeString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, 0);
-goto label_39;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4922)
-label_39:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)]), 1, 2)];
-goto label_43;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
-label_40:
-call $result.ExAllocatePoolWithTag$4926.0$4$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$5$, 1130652235);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
-label_43:
-$ExAllocatePoolWithTag.arg.2$5$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] ;
-goto label_40;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
-label_44:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := $result.ExAllocatePoolWithTag$4926.0$4$];
-goto label_45;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4929)
-label_45:
-goto label_45_true , label_45_false ;
-
-
-label_45_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] != 0);
-goto label_57;
-
-
-label_45_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] == 0);
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4931)
-label_46:
-// skip KbdDebugPrint
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4931)
-label_49:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$6$ := havoc_stringTemp ;
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4936)
-label_50:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$7$4889.28$KeyboardClassFindMorePorts$12, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)]];
-goto label_51;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4937)
-label_51:
-call KeyboardClassLogError ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 10008, -1073741823, 1, $dumpData$7$4889.28$KeyboardClassFindMorePorts$12, 0);
-goto label_151;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4949)
-label_54:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$4949.4$7$;
-goto label_61;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4949)
-label_57:
-$memset.arg.3$8$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] ;
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4950)
-label_58:
-call $result.RtlAppendUnicodeToString$4950.28$9$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$10$);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4950)
-label_61:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$10$ := havoc_stringTemp ;
-goto label_58;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4951)
-label_62:
-call $result.RtlAppendUnicodeToString$4951.28$11$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)]);
-goto label_68;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4952)
-label_65:
-call $result.RtlAppendUnicodeToString$4952.28$12$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$13$);
-goto label_69;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4952)
-label_68:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$13$ := havoc_stringTemp ;
-goto label_65;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4954)
-label_69:
-call $result.KbdDeterminePortsServiced$4954.29$14$ := KbdDeterminePortsServiced ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, $numPorts$9$4891.28$KeyboardClassFindMorePorts$12);
-goto label_72;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4961)
-label_72:
-$i$8$4890.28$KeyboardClassFindMorePorts$12 := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)] ;
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4961)
-label_73:
-$successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 := 0 ;
-goto label_74;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4962)
-label_74:
-// loop entry initialization...
-LOOP_74_alloc := alloc;
-LOOP_74_Mem := Mem;
-LOOP_74_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_74_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_74_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_74_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_74_head;
-
-
-label_74_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-assume(forall f:int :: {alloc[Base(f)]} LOOP_74_alloc[Base(f)] == UNALLOCATED || LOOP_74_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_74_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_74_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_74_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_74_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_74_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_74_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (SetTrue()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_74_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_74_true , label_74_false ;
-
-
-label_74_true :
-assume ($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
-goto label_75;
-
-
-label_74_false :
-assume !($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
-goto label_150;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4962)
-label_75:
-goto label_75_true , label_75_false ;
-
-
-label_75_true :
-assume ($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4891.28$KeyboardClassFindMorePorts$12]);
-goto label_76;
-
-
-label_75_false :
-assume !($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4891.28$KeyboardClassFindMorePorts$12]);
-goto label_150;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4973)
-label_76:
-Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 2), 1, 1)) := PLUS(48, 1, $i$8$4890.28$KeyboardClassFindMorePorts$12)];
-goto label_77;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4978)
-label_77:
-call $result.KbdCreateClassObject$4978.38$15$ := KbdCreateClassObject ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, InitExtension__GLOBALS(Globals), $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12, $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12, 1);
-goto label_80;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4978)
-label_80:
-$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.KbdCreateClassObject$4978.38$15$ ;
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4984)
-label_81:
-goto label_81_true , label_81_false ;
-
-
-label_81_true :
-assume (0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
-goto label_85;
-
-
-label_81_false :
-assume !(0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
-goto label_82;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4985)
-label_82:
-call KeyboardClassLogError ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 10008, $status$4$4886.28$KeyboardClassFindMorePorts$12, 0, 0, 0);
-goto label_149;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4995)
-label_85:
-$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])] ;
-goto label_86;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4996)
-label_86:
-Mem[T.PnP__DEVICE_EXTENSION] := Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5001)
-label_87:
-assume (Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
-call $result.IoGetDeviceObjectPointer$5001.42$16$ := IoGetDeviceObjectPointer ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, 128, $file$15$4897.28$KeyboardClassFindMorePorts$12, TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12));
-Mem[T.TopPort__DEVICE_EXTENSION] := Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_90;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5001)
-label_90:
-$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.IoGetDeviceObjectPointer$5001.42$16$ ;
-goto label_91;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5006)
-label_91:
-goto label_91_true , label_91_false ;
-
-
-label_91_true :
-assume ($status$4$4886.28$KeyboardClassFindMorePorts$12 != 0);
-goto label_92;
-
-
-label_91_false :
-assume ($status$4$4886.28$KeyboardClassFindMorePorts$12 == 0);
-goto label_103;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_92:
-goto label_92_true , label_92_false ;
-
-
-label_92_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
-goto label_93;
-
-
-label_92_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
-goto label_99;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_93:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)], 0);
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_96:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_97:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_98;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_98:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_99;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_99:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
-label_102:
-$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
-goto label_149;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5012)
-label_103:
-Mem[T.StackSize__DEVICE_OBJECT] := Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12]) := PLUS(1, 1, Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])])];
-goto label_104;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5013)
-label_104:
-call $result.KeyboardAddDeviceEx$5013.37$17$ := KeyboardAddDeviceEx ($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12, Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12]);
-goto label_107;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5013)
-label_107:
-$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.KeyboardAddDeviceEx$5013.37$17$ ;
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5014)
-label_108:
-assume (forall r:int :: {BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),r)} (POW2(r) && POW2(128) && r != 128) ==> (BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])],r)!= 0 <==> BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),r)!= 0));
-assume (BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),128) == 0);
-tempBoogie0 := BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)) ;
-Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12]) := tempBoogie0];
-goto label_109;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5016)
-label_109:
-goto label_109_true , label_109_false ;
-
-
-label_109_true :
-assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] != 0);
-goto label_110;
-
-
-label_109_false :
-assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] == 0);
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5017)
-label_110:
-call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], 0);
-goto label_113;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5018)
-label_113:
-Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := 0];
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5021)
-label_114:
-goto label_114_true , label_114_false ;
-
-
-label_114_true :
-assume (0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
-goto label_145;
-
-
-label_114_false :
-assume !(0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
-goto label_115;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5022)
-label_115:
-goto label_115_true , label_115_false ;
-
-
-label_115_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_119;
-
-
-label_115_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_116;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5023)
-label_116:
-goto label_116_true , label_116_false ;
-
-
-label_116_true :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
-goto label_117;
-
-
-label_116_false :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5024)
-label_117:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_118;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5025)
-label_118:
-Mem[T.File__DEVICE_EXTENSION] := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5029)
-label_119:
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5031)
-label_120:
-call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
-goto label_123;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5033)
-label_123:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12 := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]))]];
-goto label_124;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5034)
-label_124:
-Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 0];
-goto label_125;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5035)
-label_125:
-Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 1];
-goto label_126;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5036)
-label_126:
-Mem[T.Port__PORT] := Mem[T.Port__PORT][Port__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 0];
-goto label_127;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5038)
-label_127:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5041)
-label_130:
-goto label_130_true , label_130_false ;
-
-
-label_130_true :
-assume (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12] != 0);
-goto label_131;
-
-
-label_130_false :
-assume (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12] == 0);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5042)
-label_131:
-call $result.ObfDereferenceObject$5042.16$18$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12]);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_134:
-goto label_134_true , label_134_false ;
-
-
-label_134_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
-goto label_135;
-
-
-label_134_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
-goto label_141;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_135:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)], 0);
-goto label_138;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_138:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
-goto label_139;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_139:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_140;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_140:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
-goto label_141;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_141:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
-goto label_144;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
-label_144:
-$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
-goto label_149;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5052)
-label_145:
-call InsertTailList (LegacyDeviceList__GLOBALS(Globals), Link__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12));
-goto label_148;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5053)
-label_148:
-$successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 := PLUS($successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12, 1, 1) ;
-goto label_149;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4963)
-label_149:
-$i$8$4890.28$KeyboardClassFindMorePorts$12 := PLUS($i$8$4890.28$KeyboardClassFindMorePorts$12, 1, 1) ;
-goto label_74_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5055)
-label_150:
-Mem[T.NumberLegacyPorts__GLOBALS] := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals) := $i$8$4890.28$KeyboardClassFindMorePorts$12];
-goto label_151;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5063)
-label_151:
-goto label_151_true , label_151_false ;
-
-
-label_151_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] != 0);
-goto label_152;
-
-
-label_151_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] == 0);
-goto label_155;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5064)
-label_152:
-call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 0);
-goto label_155;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5067)
-label_155:
-goto label_155_true , label_155_false ;
-
-
-label_155_true :
-assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] != 0);
-goto label_156;
-
-
-label_155_false :
-assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] == 0);
-goto label_1;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5068)
-label_156:
-call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], 0);
-goto label_1;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.AllowDisable__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
+const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.SendOutputToAllPorts__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
+const unique T.Type__KEYBOARD_ID:name;
+const unique T.Subtype__KEYBOARD_ID:name;
+const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
+const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
+const unique T.Flags__KEYBOARD_INPUT_DATA:name;
+const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
+const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
+const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Reserved___unnamed_12_0d6a30de:name;
+const unique T.MessageCount___unnamed_12_0d6a30de:name;
+const unique T.Vector___unnamed_12_0d6a30de:name;
+const unique T.Affinity___unnamed_12_0d6a30de:name;
+const unique T.Start___unnamed_12_17f5c211:name;
+const unique T.Length48___unnamed_12_17f5c211:name;
+const unique T.Start___unnamed_12_1fb42e39:name;
+const unique T.Length___unnamed_12_1fb42e39:name;
+const unique T.Reserved___unnamed_12_1fb42e39:name;
+const unique T.Start___unnamed_12_2a1563c6:name;
+const unique T.Length___unnamed_12_2a1563c6:name;
+const unique T.DataSize___unnamed_12_31347272:name;
+const unique T.Reserved1___unnamed_12_31347272:name;
+const unique T.Reserved2___unnamed_12_31347272:name;
+const unique T.Raw___unnamed_12_429aadc0:name;
+const unique T.Translated___unnamed_12_429aadc0:name;
+const unique T.Start___unnamed_12_4719de1a:name;
+const unique T.Length___unnamed_12_4719de1a:name;
+const unique T.Data___unnamed_12_4be56faa:name;
+const unique T.Data___unnamed_12_5ce25b92:name;
+const unique T.Generic___unnamed_12_7a698b72:name;
+const unique T.Port___unnamed_12_7a698b72:name;
+const unique T.Interrupt___unnamed_12_7a698b72:name;
+const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
+const unique T.Memory___unnamed_12_7a698b72:name;
+const unique T.Dma___unnamed_12_7a698b72:name;
+const unique T.DevicePrivate___unnamed_12_7a698b72:name;
+const unique T.BusNumber___unnamed_12_7a698b72:name;
+const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
+const unique T.Memory40___unnamed_12_7a698b72:name;
+const unique T.Memory48___unnamed_12_7a698b72:name;
+const unique T.Memory64___unnamed_12_7a698b72:name;
+const unique T.Start___unnamed_12_87c0de8d:name;
+const unique T.Length64___unnamed_12_87c0de8d:name;
+const unique T.Start___unnamed_12_98bfc55a:name;
+const unique T.Length40___unnamed_12_98bfc55a:name;
+const unique T.Priority___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
+const unique T.Level___unnamed_12_b0429be9:name;
+const unique T.Vector___unnamed_12_b0429be9:name;
+const unique T.Affinity___unnamed_12_b0429be9:name;
+const unique T.ListEntry___unnamed_12_b43e8de8:name;
+const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
+const unique T.Level___unnamed_12_bfdb39ee:name;
+const unique T.Vector___unnamed_12_bfdb39ee:name;
+const unique T.Affinity___unnamed_12_bfdb39ee:name;
+const unique T.Start___unnamed_12_cd42b3c3:name;
+const unique T.Length___unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
+const unique T.Channel___unnamed_12_e80d029e:name;
+const unique T.Port___unnamed_12_e80d029e:name;
+const unique T.Reserved1___unnamed_12_e80d029e:name;
+const unique T.Length___unnamed_16_07c0bcc5:name;
+const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.Reserved___unnamed_16_07c0bcc5:name;
+const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
+const unique T.Size___unnamed_16_29cb9f2f:name;
+const unique T.Version___unnamed_16_29cb9f2f:name;
+const unique T.Interface___unnamed_16_29cb9f2f:name;
+const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
+const unique T.SecurityContext___unnamed_16_30f11dbf:name;
+const unique T.Options___unnamed_16_30f11dbf:name;
+const unique T.FileAttributes___unnamed_16_30f11dbf:name;
+const unique T.ShareAccess___unnamed_16_30f11dbf:name;
+const unique T.EaLength___unnamed_16_30f11dbf:name;
+const unique T.DriverContext___unnamed_16_35034f68:name;
+const unique T.Length___unnamed_16_487a9498:name;
+const unique T.FileName___unnamed_16_487a9498:name;
+const unique T.FileInformationClass___unnamed_16_487a9498:name;
+const unique T.FileIndex___unnamed_16_487a9498:name;
+const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.FsControlCode___unnamed_16_5f6a8844:name;
+const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
+const unique T.Length___unnamed_16_7177b9f3:name;
+const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
+const unique T.FileObject___unnamed_16_7177b9f3:name;
+const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
+const unique T.Length___unnamed_16_88e91ef6:name;
+const unique T.Key___unnamed_16_88e91ef6:name;
+const unique T.ByteOffset___unnamed_16_88e91ef6:name;
+const unique T.Length___unnamed_16_8c506c98:name;
+const unique T.Key___unnamed_16_8c506c98:name;
+const unique T.ByteOffset___unnamed_16_8c506c98:name;
+const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
+const unique T.Buffer___unnamed_16_9ac2e5f8:name;
+const unique T.Offset___unnamed_16_9ac2e5f8:name;
+const unique T.Length___unnamed_16_9ac2e5f8:name;
+const unique T.Create___unnamed_16_b93842ad:name;
+const unique T.Read___unnamed_16_b93842ad:name;
+const unique T.Write___unnamed_16_b93842ad:name;
+const unique T.QueryDirectory___unnamed_16_b93842ad:name;
+const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
+const unique T.QueryFile___unnamed_16_b93842ad:name;
+const unique T.SetFile___unnamed_16_b93842ad:name;
+const unique T.QueryEa___unnamed_16_b93842ad:name;
+const unique T.SetEa___unnamed_16_b93842ad:name;
+const unique T.QueryVolume___unnamed_16_b93842ad:name;
+const unique T.SetVolume___unnamed_16_b93842ad:name;
+const unique T.FileSystemControl___unnamed_16_b93842ad:name;
+const unique T.LockControl___unnamed_16_b93842ad:name;
+const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
+const unique T.QuerySecurity___unnamed_16_b93842ad:name;
+const unique T.SetSecurity___unnamed_16_b93842ad:name;
+const unique T.MountVolume___unnamed_16_b93842ad:name;
+const unique T.VerifyVolume___unnamed_16_b93842ad:name;
+const unique T.Scsi___unnamed_16_b93842ad:name;
+const unique T.QueryQuota___unnamed_16_b93842ad:name;
+const unique T.SetQuota___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
+const unique T.QueryInterface___unnamed_16_b93842ad:name;
+const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
+const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
+const unique T.SetLock___unnamed_16_b93842ad:name;
+const unique T.QueryId___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
+const unique T.UsageNotification___unnamed_16_b93842ad:name;
+const unique T.WaitWake___unnamed_16_b93842ad:name;
+const unique T.PowerSequence___unnamed_16_b93842ad:name;
+const unique T.Power___unnamed_16_b93842ad:name;
+const unique T.StartDevice___unnamed_16_b93842ad:name;
+const unique T.WMI___unnamed_16_b93842ad:name;
+const unique T.Others___unnamed_16_b93842ad:name;
+const unique T.Length___unnamed_16_b9c62eab:name;
+const unique T.Key___unnamed_16_b9c62eab:name;
+const unique T.ByteOffset___unnamed_16_b9c62eab:name;
+const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
+const unique T.Type___unnamed_16_bb584060:name;
+const unique T.State___unnamed_16_bb584060:name;
+const unique T.ShutdownType___unnamed_16_bb584060:name;
+const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.IoControlCode___unnamed_16_dba55c7c:name;
+const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
+const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
+const unique T.Argument1___unnamed_16_e734d694:name;
+const unique T.Argument2___unnamed_16_e734d694:name;
+const unique T.Argument3___unnamed_16_e734d694:name;
+const unique T.Argument4___unnamed_16_e734d694:name;
+const unique T.ProviderId___unnamed_16_eac6dbea:name;
+const unique T.DataPath___unnamed_16_eac6dbea:name;
+const unique T.BufferSize___unnamed_16_eac6dbea:name;
+const unique T.Buffer___unnamed_16_eac6dbea:name;
+const unique T.Length___unnamed_16_f6cae4c2:name;
+const unique T.EaList___unnamed_16_f6cae4c2:name;
+const unique T.EaListLength___unnamed_16_f6cae4c2:name;
+const unique T.EaIndex___unnamed_16_f6cae4c2:name;
+const unique T.Length___unnamed_16_fe36e4f4:name;
+const unique T.StartSid___unnamed_16_fe36e4f4:name;
+const unique T.SidList___unnamed_16_fe36e4f4:name;
+const unique T.SidListLength___unnamed_16_fe36e4f4:name;
+const unique T.Abandoned___unnamed_1_29794256:name;
+const unique T.Absolute___unnamed_1_29794256:name;
+const unique T.NpxIrql___unnamed_1_29794256:name;
+const unique T.Signalling___unnamed_1_29794256:name;
+const unique T.Inserted___unnamed_1_2dc63b48:name;
+const unique T.DebugActive___unnamed_1_2dc63b48:name;
+const unique T.DpcActive___unnamed_1_2dc63b48:name;
+const unique T.Size___unnamed_1_2ef8da39:name;
+const unique T.Hand___unnamed_1_2ef8da39:name;
+const unique T.Lock___unnamed_1_faa7dc71:name;
+const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
+const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
+const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
+const unique T.Length___unnamed_24_41cbc8c0:name;
+const unique T.Alignment___unnamed_24_41cbc8c0:name;
+const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
+const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
+const unique T.Length48___unnamed_24_5419c914:name;
+const unique T.Alignment48___unnamed_24_5419c914:name;
+const unique T.MinimumAddress___unnamed_24_5419c914:name;
+const unique T.MaximumAddress___unnamed_24_5419c914:name;
+const unique T.Length___unnamed_24_67a5ff10:name;
+const unique T.Alignment___unnamed_24_67a5ff10:name;
+const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
+const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
+const unique T.Port___unnamed_24_72c3976e:name;
+const unique T.Memory___unnamed_24_72c3976e:name;
+const unique T.Interrupt___unnamed_24_72c3976e:name;
+const unique T.Dma___unnamed_24_72c3976e:name;
+const unique T.Generic___unnamed_24_72c3976e:name;
+const unique T.DevicePrivate___unnamed_24_72c3976e:name;
+const unique T.BusNumber___unnamed_24_72c3976e:name;
+const unique T.ConfigData___unnamed_24_72c3976e:name;
+const unique T.Memory40___unnamed_24_72c3976e:name;
+const unique T.Memory48___unnamed_24_72c3976e:name;
+const unique T.Memory64___unnamed_24_72c3976e:name;
+const unique T.Length64___unnamed_24_a26050bb:name;
+const unique T.Alignment64___unnamed_24_a26050bb:name;
+const unique T.MinimumAddress___unnamed_24_a26050bb:name;
+const unique T.MaximumAddress___unnamed_24_a26050bb:name;
+const unique T.Length___unnamed_24_b8f476db:name;
+const unique T.Alignment___unnamed_24_b8f476db:name;
+const unique T.MinimumAddress___unnamed_24_b8f476db:name;
+const unique T.MaximumAddress___unnamed_24_b8f476db:name;
+const unique T.Length40___unnamed_24_d09044b4:name;
+const unique T.Alignment40___unnamed_24_d09044b4:name;
+const unique T.MinimumAddress___unnamed_24_d09044b4:name;
+const unique T.MaximumAddress___unnamed_24_d09044b4:name;
+const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
+const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
+const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
+const unique T.Thread___unnamed_40_7218f704:name;
+const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
+const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
+const unique T.OriginalFileObject___unnamed_40_7218f704:name;
+const unique T.ListEntry___unnamed_40_c55c9377:name;
+const unique T.Wcb___unnamed_40_c55c9377:name;
+const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
+const unique T.PrivilegeSet___unnamed_44_5584090d:name;
+const unique T.Overlay___unnamed_48_cf99b13f:name;
+const unique T.Apc___unnamed_48_cf99b13f:name;
+const unique T.CompletionKey___unnamed_48_cf99b13f:name;
+const unique T.PowerState___unnamed_4_069846fb:name;
+const unique T.IdType___unnamed_4_224c32f4:name;
+const unique T.Capabilities___unnamed_4_2de698da:name;
+const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
+const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
+const unique T.Length___unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
+const unique T.ClusterCount___unnamed_4_43913aa5:name;
+const unique T.DeleteHandle___unnamed_4_43913aa5:name;
+const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
+const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
+const unique T.Srb___unnamed_4_52603077:name;
+const unique T.Address___unnamed_4_52c594f7:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
+const unique T.Type___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
+const unique T.MasterIrp___unnamed_4_6ac6463c:name;
+const unique T.IrpCount___unnamed_4_6ac6463c:name;
+const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
+const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
+const unique T.TableSize___unnamed_4_6f9ac8e1:name;
+const unique T.PowerSequence___unnamed_4_7a02167b:name;
+const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
+const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
+const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
+const unique T.Length___unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
+const unique T.Lock___unnamed_4_a97c65a1:name;
+const unique T.Reserved1___unnamed_4_c3479730:name;
+const unique T.TargetSystemState___unnamed_4_c3479730:name;
+const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
+const unique T.CurrentSystemState___unnamed_4_c3479730:name;
+const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
+const unique T.PseudoTransition___unnamed_4_c3479730:name;
+const unique T.Reserved2___unnamed_4_c3479730:name;
+const unique T.Status___unnamed_4_d99b6e2b:name;
+const unique T.Pointer___unnamed_4_d99b6e2b:name;
+const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
+const unique T.PacketType___unnamed_4_f19b65c1:name;
+const unique T.Type___unnamed_4_fa10fc16:name;
+const unique T.SecurityInformation___unnamed_8_01efa60d:name;
+const unique T.Length___unnamed_8_01efa60d:name;
+const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
+const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
+const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
+const unique T.UserApcContext___unnamed_8_0a898c0c:name;
+const unique T.SecurityInformation___unnamed_8_1330f93a:name;
+const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
+const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
+const unique T.AllocationSize___unnamed_8_181d0de9:name;
+const unique T.Vpb___unnamed_8_4812764d:name;
+const unique T.DeviceObject___unnamed_8_4812764d:name;
+const unique T.Length___unnamed_8_559a91e6:name;
+const unique T.FsInformationClass___unnamed_8_559a91e6:name;
+const unique T.Length___unnamed_8_5845b309:name;
+const unique T.FileInformationClass___unnamed_8_5845b309:name;
+const unique T.LowPart___unnamed_8_58ee4a31:name;
+const unique T.HighPart___unnamed_8_58ee4a31:name;
+const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
+const unique T.DeviceTextType___unnamed_8_6acfee04:name;
+const unique T.LocaleId___unnamed_8_6acfee04:name;
+const unique T.Length___unnamed_8_7f26a9dd:name;
+const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
+const unique T.Vpb___unnamed_8_87add0bd:name;
+const unique T.DeviceObject___unnamed_8_87add0bd:name;
+const unique T.InPath___unnamed_8_b2773e4c:name;
+const unique T.Reserved___unnamed_8_b2773e4c:name;
+const unique T.Type___unnamed_8_b2773e4c:name;
+const unique T.Length___unnamed_8_de890d4e:name;
+const unique T.FsInformationClass___unnamed_8_de890d4e:name;
+const unique T.LowPart___unnamed_8_ef9ba0d3:name;
+const unique T.HighPart___unnamed_8_ef9ba0d3:name;
+
+// Type declarations
+
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A2UINT2:name;
+const unique T.A32UINT2:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5UINT2:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A88CHAR:name;
+const unique T.A8UCHAR:name;
+const unique T.A9UINT2:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA256UINT2:name;
+const unique T.PA2UINT2:name;
+const unique T.PA4UINT4:name;
+const unique T.PA5UINT2:name;
+const unique T.PA88CHAR:name;
+const unique T.PA9UINT2:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPPUINT2:name;
+const unique T.PPP_DEVICE_OBJECT:name;
+const unique T.PPP_FILE_OBJECT:name;
+const unique T.PPUINT2:name;
+const unique T.PPUINT4:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FAST_MUTEX:name;
+const unique T.PP_FILE_OBJECT:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_PORT:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FAST_MUTEX:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KEYBOARD_INPUT_DATA:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_POOL_TYPE:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KEYBOARD_ATTRIBUTES:name;
+const unique T._KEYBOARD_ID:name;
+const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T._KEYBOARD_INPUT_DATA:name;
+const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._POOL_TYPE:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_0d6a30de:name;
+const unique T.__unnamed_12_17f5c211:name;
+const unique T.__unnamed_12_1fb42e39:name;
+const unique T.__unnamed_12_2a1563c6:name;
+const unique T.__unnamed_12_31347272:name;
+const unique T.__unnamed_12_429aadc0:name;
+const unique T.__unnamed_12_4719de1a:name;
+const unique T.__unnamed_12_4be56faa:name;
+const unique T.__unnamed_12_5ce25b92:name;
+const unique T.__unnamed_12_7a698b72:name;
+const unique T.__unnamed_12_87c0de8d:name;
+const unique T.__unnamed_12_98bfc55a:name;
+const unique T.__unnamed_12_ab1bd9d7:name;
+const unique T.__unnamed_12_b0429be9:name;
+const unique T.__unnamed_12_b43e8de8:name;
+const unique T.__unnamed_12_bfdb39ee:name;
+const unique T.__unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_e668effc:name;
+const unique T.__unnamed_12_e80d029e:name;
+const unique T.__unnamed_16_07c0bcc5:name;
+const unique T.__unnamed_16_29cb9f2f:name;
+const unique T.__unnamed_16_30f11dbf:name;
+const unique T.__unnamed_16_35034f68:name;
+const unique T.__unnamed_16_487a9498:name;
+const unique T.__unnamed_16_5f6a8844:name;
+const unique T.__unnamed_16_7177b9f3:name;
+const unique T.__unnamed_16_88e91ef6:name;
+const unique T.__unnamed_16_8c506c98:name;
+const unique T.__unnamed_16_9ac2e5f8:name;
+const unique T.__unnamed_16_b93842ad:name;
+const unique T.__unnamed_16_b9c62eab:name;
+const unique T.__unnamed_16_bb584060:name;
+const unique T.__unnamed_16_dba55c7c:name;
+const unique T.__unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_e734d694:name;
+const unique T.__unnamed_16_eac6dbea:name;
+const unique T.__unnamed_16_f6cae4c2:name;
+const unique T.__unnamed_16_fe36e4f4:name;
+const unique T.__unnamed_1_29794256:name;
+const unique T.__unnamed_1_2dc63b48:name;
+const unique T.__unnamed_1_2ef8da39:name;
+const unique T.__unnamed_1_faa7dc71:name;
+const unique T.__unnamed_20_f4d2e6d8:name;
+const unique T.__unnamed_24_41cbc8c0:name;
+const unique T.__unnamed_24_5419c914:name;
+const unique T.__unnamed_24_67a5ff10:name;
+const unique T.__unnamed_24_72c3976e:name;
+const unique T.__unnamed_24_a26050bb:name;
+const unique T.__unnamed_24_b8f476db:name;
+const unique T.__unnamed_24_d09044b4:name;
+const unique T.__unnamed_2_46cc4597:name;
+const unique T.__unnamed_40_7218f704:name;
+const unique T.__unnamed_40_c55c9377:name;
+const unique T.__unnamed_44_5584090d:name;
+const unique T.__unnamed_48_cf99b13f:name;
+const unique T.__unnamed_4_069846fb:name;
+const unique T.__unnamed_4_224c32f4:name;
+const unique T.__unnamed_4_2de698da:name;
+const unique T.__unnamed_4_3a2fdc5e:name;
+const unique T.__unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_4_43913aa5:name;
+const unique T.__unnamed_4_4e8dd2ba:name;
+const unique T.__unnamed_4_52603077:name;
+const unique T.__unnamed_4_52c594f7:name;
+const unique T.__unnamed_4_5ca00198:name;
+const unique T.__unnamed_4_6ac6463c:name;
+const unique T.__unnamed_4_6f9ac8e1:name;
+const unique T.__unnamed_4_7a02167b:name;
+const unique T.__unnamed_4_7d9d0c7e:name;
+const unique T.__unnamed_4_82f7a864:name;
+const unique T.__unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_a97c65a1:name;
+const unique T.__unnamed_4_c3479730:name;
+const unique T.__unnamed_4_d99b6e2b:name;
+const unique T.__unnamed_4_f19b65c1:name;
+const unique T.__unnamed_4_fa10fc16:name;
+const unique T.__unnamed_8_01efa60d:name;
+const unique T.__unnamed_8_08d4cef8:name;
+const unique T.__unnamed_8_0a898c0c:name;
+const unique T.__unnamed_8_1330f93a:name;
+const unique T.__unnamed_8_181d0de9:name;
+const unique T.__unnamed_8_4812764d:name;
+const unique T.__unnamed_8_559a91e6:name;
+const unique T.__unnamed_8_5845b309:name;
+const unique T.__unnamed_8_58ee4a31:name;
+const unique T.__unnamed_8_61acf4ce:name;
+const unique T.__unnamed_8_6acfee04:name;
+const unique T.__unnamed_8_7f26a9dd:name;
+const unique T.__unnamed_8_87add0bd:name;
+const unique T.__unnamed_8_b2773e4c:name;
+const unique T.__unnamed_8_de890d4e:name;
+const unique T.__unnamed_8_ef9ba0d3:name;
+
+function AssocClassList__GLOBALS(int) returns (int);
+function AssocClassList__GLOBALSInv(int) returns (int);
+function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
+function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
+function BaseClassName__GLOBALS(int) returns (int);
+function BaseClassName__GLOBALSInv(int) returns (int);
+function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
+function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 368);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 368);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 368, 1) == BaseClassName__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 368)} MINUS_LEFT_PTR(x, 1, 368) == BaseClassName__GLOBALSInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
+function File__PORT(int) returns (int);
+function File__PORTInv(int) returns (int);
+function _S_File__PORT([int]bool) returns ([int]bool);
+function _S_File__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
+
+axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
+function Flags__DEVICE_OBJECT(int) returns (int);
+function Flags__DEVICE_OBJECTInv(int) returns (int);
+function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function Free__PORT(int) returns (int);
+function Free__PORTInv(int) returns (int);
+function _S_Free__PORT([int]bool) returns ([int]bool);
+function _S_Free__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
+
+axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function InitExtension__GLOBALS(int) returns (int);
+function InitExtension__GLOBALSInv(int) returns (int);
+function _S_InitExtension__GLOBALS([int]bool) returns ([int]bool);
+function _S_InitExtension__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InitExtension__GLOBALSInv(InitExtension__GLOBALS(x))} InitExtension__GLOBALSInv(InitExtension__GLOBALS(x)) == x);
+axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALS(InitExtension__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALS(S)[x]} _S_InitExtension__GLOBALS(S)[x] <==> S[InitExtension__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALSInv(S)[x]} _S_InitExtension__GLOBALSInv(S)[x] <==> S[InitExtension__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALS(S)} S[x] ==> _S_InitExtension__GLOBALS(S)[InitExtension__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALSInv(S)} S[x] ==> _S_InitExtension__GLOBALSInv(S)[InitExtension__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {InitExtension__GLOBALS(x)} InitExtension__GLOBALS(x) == x + 72);
+axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALSInv(x) == x - 72);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 72, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 72, 1) == InitExtension__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 72)} MINUS_LEFT_PTR(x, 1, 72) == InitExtension__GLOBALSInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
+function Length__UNICODE_STRING(int) returns (int);
+function Length__UNICODE_STRINGInv(int) returns (int);
+function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
+function MaximumLength__UNICODE_STRING(int) returns (int);
+function MaximumLength__UNICODE_STRINGInv(int) returns (int);
+function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
+function Mutex__GLOBALS(int) returns (int);
+function Mutex__GLOBALSInv(int) returns (int);
+function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
+function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
+function NumberLegacyPorts__GLOBALS(int) returns (int);
+function NumberLegacyPorts__GLOBALSInv(int) returns (int);
+function _S_NumberLegacyPorts__GLOBALS([int]bool) returns ([int]bool);
+function _S_NumberLegacyPorts__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x))} NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x)) == x);
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALS(NumberLegacyPorts__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALS(S)[x]} _S_NumberLegacyPorts__GLOBALS(S)[x] <==> S[NumberLegacyPorts__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALSInv(S)[x]} _S_NumberLegacyPorts__GLOBALSInv(S)[x] <==> S[NumberLegacyPorts__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALS(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALS(S)[NumberLegacyPorts__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALSInv(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALSInv(S)[NumberLegacyPorts__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALS(x)} NumberLegacyPorts__GLOBALS(x) == x + 20);
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALSInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == NumberLegacyPorts__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == NumberLegacyPorts__GLOBALSInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function Port__PORT(int) returns (int);
+function Port__PORTInv(int) returns (int);
+function _S_Port__PORT([int]bool) returns ([int]bool);
+function _S_Port__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
+
+axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
+function PortsServiced__GLOBALS(int) returns (int);
+function PortsServiced__GLOBALSInv(int) returns (int);
+function _S_PortsServiced__GLOBALS([int]bool) returns ([int]bool);
+function _S_PortsServiced__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x))} PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x)) == x);
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALS(PortsServiced__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALS(S)[x]} _S_PortsServiced__GLOBALS(S)[x] <==> S[PortsServiced__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALSInv(S)[x]} _S_PortsServiced__GLOBALSInv(S)[x] <==> S[PortsServiced__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALS(S)} S[x] ==> _S_PortsServiced__GLOBALS(S)[PortsServiced__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALSInv(S)} S[x] ==> _S_PortsServiced__GLOBALSInv(S)[PortsServiced__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {PortsServiced__GLOBALS(x)} PortsServiced__GLOBALS(x) == x + 64);
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALSInv(x) == x - 64);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1) == PortsServiced__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 64)} MINUS_LEFT_PTR(x, 1, 64) == PortsServiced__GLOBALSInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function StackSize__DEVICE_OBJECT(int) returns (int);
+function StackSize__DEVICE_OBJECTInv(int) returns (int);
+function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_3221553153:int;
+
+
+
+procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure InsertTailList($ListHead$1$6980.24$InsertTailList$81:int, $Entry$2$6981.41$InsertTailList$81:int);
+
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead), __setunion(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead)), __set(Entry)))
+ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81))) && Subset(Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoGetDeviceObjectPointer($ObjectName$1$21492.26$IoGetDeviceObjectPointer$161:int, $DesiredAccess$2$21493.22$IoGetDeviceObjectPointer$161:int, $FileObject$3$21494.24$IoGetDeviceObjectPointer$161:int, $DeviceObject$4$21495.26$IoGetDeviceObjectPointer$161:int) returns ($result.IoGetDeviceObjectPointer$21491.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KbdCreateClassObject($DriverObject$1$3354.28$KbdCreateClassObject$201:int, $TmpDeviceExtension$2$3355.28$KbdCreateClassObject$201:int, $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201:int, $FullDeviceName$4$3357.35$KbdCreateClassObject$201:int, $Legacy$5$3358.28$KbdCreateClassObject$201:int) returns ($result.KbdCreateClassObject$3353.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
+ensures(($result.KbdCreateClassObject$3353.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
+ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.KbdCreateClassObject$3353.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3356.28$KbdCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3356.28$KbdCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3356.28$KbdCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KbdDeterminePortsServiced($BasePortName$1$3676.23$KbdDeterminePortsServiced$81:int, $NumberPortsServiced$2$3677.18$KbdDeterminePortsServiced$81:int) returns ($result.KbdDeterminePortsServiced$3675.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardAddDeviceEx($ClassData$1$819.28$KeyboardAddDeviceEx$121:int, $FullClassName$2$820.28$KeyboardAddDeviceEx$121:int, $File$3$821.28$KeyboardAddDeviceEx$121:int) returns ($result.KeyboardAddDeviceEx$818.0$1$:int);
+
+//TAG: requires __resource("DEV_EXTN", ClassData) == 1
+requires(Res_DEV_EXTN[$ClassData$1$819.28$KeyboardAddDeviceEx$121] == 1);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __resource("DEV_EXTN", ClassData) == 1
+ensures(Res_DEV_EXTN[$ClassData$1$819.28$KeyboardAddDeviceEx$121] == 1);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardClassLogError($Object$1$4824.10$KeyboardClassLogError$281:int, $ErrorCode$2$4825.10$KeyboardClassLogError$281:int, $UniqueErrorValue$3$4826.10$KeyboardClassLogError$281:int, $FinalStatus$4$4827.13$KeyboardClassLogError$281:int, $DumpCount$5$4828.10$KeyboardClassLogError$281:int, $DumpData$6$4829.11$KeyboardClassLogError$281:int, $MajorFunction$7$4830.10$KeyboardClassLogError$281:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlCopyUnicodeString($DestinationString$1$7401.28$RtlCopyUnicodeString$81:int, $SourceString$2$7402.30$RtlCopyUnicodeString$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlInitUnicodeString($DestinationString$1$7281.26$RtlInitUnicodeString$81:int, $SourceString$2$7282.37$RtlInitUnicodeString$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardClassFindMorePorts($DriverObject$1$4861.20$KeyboardClassFindMorePorts$121:int, $Context$2$4862.20$KeyboardClassFindMorePorts$121:int, $Count$3$4863.20$KeyboardClassFindMorePorts$121:int)
+
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for:
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for:
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for:
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for:
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $Context$2$4862.20$KeyboardClassFindMorePorts$12 : int;
+var $Count$3$4863.20$KeyboardClassFindMorePorts$12 : int;
+var $DriverObject$1$4861.20$KeyboardClassFindMorePorts$12 : int;
+var $ExAllocatePoolWithTag.arg.2$5$ : int;
+var $KbdDebugPrint.arg.2$6$ : int;
+var $RtlAppendUnicodeToString.arg.2$10$ : int;
+var $RtlAppendUnicodeToString.arg.2$13$ : int;
+var $RtlAppendUnicodeToString.arg.2$3$ : int;
+var $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12 : int;
+var $basePortName$11$4893.28$KeyboardClassFindMorePorts$12 : int;
+var $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 : int;
+var $deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 : int;
+var $dumpData$7$4889.28$KeyboardClassFindMorePorts$12 : int;
+var $file$15$4897.28$KeyboardClassFindMorePorts$12 : int;
+var $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 : int;
+var $fullPortName$12$4894.28$KeyboardClassFindMorePorts$12 : int;
+var $i$8$4890.28$KeyboardClassFindMorePorts$12 : int;
+var $memset.arg.3$8$ : int;
+var $numPorts$9$4891.28$KeyboardClassFindMorePorts$12 : int;
+var $port$16$5029.22$KeyboardClassFindMorePorts$12 : int;
+var $result.ExAllocatePoolWithTag$4926.0$4$ : int;
+var $result.IoGetDeviceObjectPointer$5001.42$16$ : int;
+var $result.KbdCreateClassObject$4978.38$15$ : int;
+var $result.KbdDeterminePortsServiced$4954.29$14$ : int;
+var $result.KeyboardAddDeviceEx$5013.37$17$ : int;
+var $result.ObfDereferenceObject$5042.16$18$ : int;
+var $result.RtlAppendUnicodeToString$4915.28$2$ : int;
+var $result.RtlAppendUnicodeToString$4950.28$9$ : int;
+var $result.RtlAppendUnicodeToString$4951.28$11$ : int;
+var $result.RtlAppendUnicodeToString$4952.28$12$ : int;
+var $result.memset$4903.4$1$ : int;
+var $result.memset$4949.4$7$ : int;
+var $status$4$4886.28$KeyboardClassFindMorePorts$12 : int;
+var $successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_74_alloc:[int]name;
+var LOOP_74_Mem:[name][int]int;
+var LOOP_74_Res_DEVICE_STACK:[int]int;
+var LOOP_74_Res_DEV_EXTN:[int]int;
+var LOOP_74_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_74_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$4861.20$KeyboardClassFindMorePorts$121] != UNALLOCATED);
+assume (alloc[$Context$2$4862.20$KeyboardClassFindMorePorts$121] != UNALLOCATED);
+call $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(512);
+call $basePortName$11$4893.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(8);
+call $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $dumpData$7$4889.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(16);
+call $file$15$4897.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $fullPortName$12$4894.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(8);
+call $numPorts$9$4891.28$KeyboardClassFindMorePorts$12 := __HAVOC_malloc(4);
+$DriverObject$1$4861.20$KeyboardClassFindMorePorts$12 := $DriverObject$1$4861.20$KeyboardClassFindMorePorts$121;
+$Context$2$4862.20$KeyboardClassFindMorePorts$12 := $Context$2$4862.20$KeyboardClassFindMorePorts$121;
+$Count$3$4863.20$KeyboardClassFindMorePorts$12 := $Count$3$4863.20$KeyboardClassFindMorePorts$121;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5070)
+label_1:
+call __HAVOC_free($basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($basePortName$11$4893.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($dumpData$7$4889.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($file$15$4897.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($fullClassName$14$4896.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12);
+call __HAVOC_free($numPorts$9$4891.28$KeyboardClassFindMorePorts$12);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A256UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A256UINT2][m] == old(Mem[T.A256UINT2])[m]);
+assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
+assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
+assume (forall m:int :: {Mem[T.A5UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A5UINT2][m] == old(Mem[T.A5UINT2])[m]);
+assume (forall m:int :: {Mem[T.A88CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A88CHAR][m] == old(Mem[T.A88CHAR])[m]);
+assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
+assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CHAR][m] == old(Mem[T.CHAR])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
+assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InitExtension__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InitExtension__GLOBALS][m] == old(Mem[T.InitExtension__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.NumberLegacyPorts__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberLegacyPorts__GLOBALS][m] == old(Mem[T.NumberLegacyPorts__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PPUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PPUINT2][m] == old(Mem[T.PPUINT2])[m]);
+assume (forall m:int :: {Mem[T.PP_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_DEVICE_OBJECT][m] == old(Mem[T.PP_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
+assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
+assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
+assume (forall m:int :: {Mem[T.PortsServiced__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PortsServiced__GLOBALS][m] == old(Mem[T.PortsServiced__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5070)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4886)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4887)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4887)
+label_5:
+$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4888)
+label_6:
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4888)
+label_7:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12 := 0];
+goto label_8;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4889)
+label_8:
+goto label_9;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4890)
+label_9:
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4891)
+label_10:
+goto label_11;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4892)
+label_11:
+goto label_12;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4893)
+label_12:
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4894)
+label_13:
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4895)
+label_14:
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4896)
+label_15:
+goto label_16;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4896)
+label_16:
+Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := 0];
+goto label_17;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4897)
+label_17:
+goto label_18;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4899)
+label_18:
+call __PREfastPagedCode ();
+goto label_21;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4901)
+label_21:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4903)
+label_22:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$4903.4$1$;
+goto label_25;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4904)
+label_25:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := $basePortBuffer$13$4895.28$KeyboardClassFindMorePorts$12];
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4905)
+label_26:
+Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4906)
+label_27:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := 512];
+goto label_28;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4913)
+label_28:
+call RtlCopyUnicodeString ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, BaseClassName__GLOBALS(Globals));
+goto label_31;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4914)
+label_31:
+tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT( Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)], 10, 1) ;
+Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12) := tempBoogie0];
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4915)
+label_32:
+call $result.RtlAppendUnicodeToString$4915.28$2$ := RtlAppendUnicodeToString ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$3$);
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4915)
+label_35:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$3$ := havoc_stringTemp ;
+goto label_32;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4920)
+label_36:
+call RtlInitUnicodeString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, 0);
+goto label_39;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4922)
+label_39:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)]), 1, 2)];
+goto label_43;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
+label_40:
+call $result.ExAllocatePoolWithTag$4926.0$4$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$5$, 1130652235);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
+label_43:
+$ExAllocatePoolWithTag.arg.2$5$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] ;
+goto label_40;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4926)
+label_44:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12) := $result.ExAllocatePoolWithTag$4926.0$4$];
+goto label_45;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4929)
+label_45:
+goto label_45_true , label_45_false ;
+
+
+label_45_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] != 0);
+goto label_57;
+
+
+label_45_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] == 0);
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4931)
+label_46:
+// skip KbdDebugPrint
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4931)
+label_49:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$6$ := havoc_stringTemp ;
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4936)
+label_50:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$7$4889.28$KeyboardClassFindMorePorts$12, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)]];
+goto label_51;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4937)
+label_51:
+call KeyboardClassLogError ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 10008, -1073741823, 1, $dumpData$7$4889.28$KeyboardClassFindMorePorts$12, 0);
+goto label_151;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4949)
+label_54:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$4949.4$7$;
+goto label_61;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4949)
+label_57:
+$memset.arg.3$8$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] ;
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4950)
+label_58:
+call $result.RtlAppendUnicodeToString$4950.28$9$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$10$);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4950)
+label_61:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$10$ := havoc_stringTemp ;
+goto label_58;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4951)
+label_62:
+call $result.RtlAppendUnicodeToString$4951.28$11$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4893.28$KeyboardClassFindMorePorts$12)]);
+goto label_68;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4952)
+label_65:
+call $result.RtlAppendUnicodeToString$4952.28$12$ := RtlAppendUnicodeToString ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$13$);
+goto label_69;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4952)
+label_68:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$13$ := havoc_stringTemp ;
+goto label_65;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4954)
+label_69:
+call $result.KbdDeterminePortsServiced$4954.29$14$ := KbdDeterminePortsServiced ($basePortName$11$4893.28$KeyboardClassFindMorePorts$12, $numPorts$9$4891.28$KeyboardClassFindMorePorts$12);
+goto label_72;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4961)
+label_72:
+$i$8$4890.28$KeyboardClassFindMorePorts$12 := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)] ;
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4961)
+label_73:
+$successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 := 0 ;
+goto label_74;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4962)
+label_74:
+// loop entry initialization...
+LOOP_74_alloc := alloc;
+LOOP_74_Mem := Mem;
+LOOP_74_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_74_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_74_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_74_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_74_head;
+
+
+label_74_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+assume(forall f:int :: {alloc[Base(f)]} LOOP_74_alloc[Base(f)] == UNALLOCATED || LOOP_74_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_74_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_74_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_74_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_74_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_74_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_74_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (SetTrue()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_74_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_74_true , label_74_false ;
+
+
+label_74_true :
+assume ($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
+goto label_75;
+
+
+label_74_false :
+assume !($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
+goto label_150;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4962)
+label_75:
+goto label_75_true , label_75_false ;
+
+
+label_75_true :
+assume ($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4891.28$KeyboardClassFindMorePorts$12]);
+goto label_76;
+
+
+label_75_false :
+assume !($i$8$4890.28$KeyboardClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4891.28$KeyboardClassFindMorePorts$12]);
+goto label_150;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4973)
+label_76:
+Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 2), 1, 1)) := PLUS(48, 1, $i$8$4890.28$KeyboardClassFindMorePorts$12)];
+goto label_77;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4978)
+label_77:
+call $result.KbdCreateClassObject$4978.38$15$ := KbdCreateClassObject ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, InitExtension__GLOBALS(Globals), $classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12, $fullClassName$14$4896.28$KeyboardClassFindMorePorts$12, 1);
+goto label_80;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4978)
+label_80:
+$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.KbdCreateClassObject$4978.38$15$ ;
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4984)
+label_81:
+goto label_81_true , label_81_false ;
+
+
+label_81_true :
+assume (0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
+goto label_85;
+
+
+label_81_false :
+assume !(0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
+goto label_82;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4985)
+label_82:
+call KeyboardClassLogError ($DriverObject$1$4861.20$KeyboardClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 10008, $status$4$4886.28$KeyboardClassFindMorePorts$12, 0, 0, 0);
+goto label_149;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4995)
+label_85:
+$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])] ;
+goto label_86;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4996)
+label_86:
+Mem[T.PnP__DEVICE_EXTENSION] := Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5001)
+label_87:
+assume (Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
+call $result.IoGetDeviceObjectPointer$5001.42$16$ := IoGetDeviceObjectPointer ($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12, 128, $file$15$4897.28$KeyboardClassFindMorePorts$12, TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12));
+Mem[T.TopPort__DEVICE_EXTENSION] := Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_90;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5001)
+label_90:
+$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.IoGetDeviceObjectPointer$5001.42$16$ ;
+goto label_91;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5006)
+label_91:
+goto label_91_true , label_91_false ;
+
+
+label_91_true :
+assume ($status$4$4886.28$KeyboardClassFindMorePorts$12 != 0);
+goto label_92;
+
+
+label_91_false :
+assume ($status$4$4886.28$KeyboardClassFindMorePorts$12 == 0);
+goto label_103;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_92:
+goto label_92_true , label_92_false ;
+
+
+label_92_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
+goto label_93;
+
+
+label_92_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
+goto label_99;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_93:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)], 0);
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_96:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_97:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_98;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_98:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_99;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_99:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5008)
+label_102:
+$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
+goto label_149;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5012)
+label_103:
+Mem[T.StackSize__DEVICE_OBJECT] := Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12]) := PLUS(1, 1, Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])])];
+goto label_104;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5013)
+label_104:
+call $result.KeyboardAddDeviceEx$5013.37$17$ := KeyboardAddDeviceEx ($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12, Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12]);
+goto label_107;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5013)
+label_107:
+$status$4$4886.28$KeyboardClassFindMorePorts$12 := $result.KeyboardAddDeviceEx$5013.37$17$ ;
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5014)
+label_108:
+assume (forall r:int :: {BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),r)} (POW2(r) && POW2(128) && r != 128) ==> (BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])],r)!= 0 <==> BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),r)!= 0));
+assume (BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)),128) == 0);
+tempBoogie0 := BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12])], BIT_BNOT(128)) ;
+Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4888.28$KeyboardClassFindMorePorts$12]) := tempBoogie0];
+goto label_109;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5016)
+label_109:
+goto label_109_true , label_109_false ;
+
+
+label_109_true :
+assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] != 0);
+goto label_110;
+
+
+label_109_false :
+assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] == 0);
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5017)
+label_110:
+call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], 0);
+goto label_113;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5018)
+label_113:
+Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12 := 0];
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5021)
+label_114:
+goto label_114_true , label_114_false ;
+
+
+label_114_true :
+assume (0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
+goto label_145;
+
+
+label_114_false :
+assume !(0 <= $status$4$4886.28$KeyboardClassFindMorePorts$12);
+goto label_115;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5022)
+label_115:
+goto label_115_true , label_115_false ;
+
+
+label_115_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_119;
+
+
+label_115_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_116;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5023)
+label_116:
+goto label_116_true , label_116_false ;
+
+
+label_116_true :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
+goto label_117;
+
+
+label_116_false :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5024)
+label_117:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_118;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5025)
+label_118:
+Mem[T.File__DEVICE_EXTENSION] := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5029)
+label_119:
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5031)
+label_120:
+call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
+goto label_123;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5033)
+label_123:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12 := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]))]];
+goto label_124;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5034)
+label_124:
+Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 0];
+goto label_125;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5035)
+label_125:
+Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 1];
+goto label_126;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5036)
+label_126:
+Mem[T.Port__PORT] := Mem[T.Port__PORT][Port__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)])) := 0];
+goto label_127;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5038)
+label_127:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5041)
+label_130:
+goto label_130_true , label_130_false ;
+
+
+label_130_true :
+assume (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12] != 0);
+goto label_131;
+
+
+label_130_false :
+assume (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12] == 0);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5042)
+label_131:
+call $result.ObfDereferenceObject$5042.16$18$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$15$4897.28$KeyboardClassFindMorePorts$12]);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_134:
+goto label_134_true , label_134_false ;
+
+
+label_134_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] != 0);
+goto label_135;
+
+
+label_134_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)] == 0);
+goto label_141;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_135:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)], 0);
+goto label_138;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_138:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := 0];
+goto label_139;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_139:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_140;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_140:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]];
+goto label_141;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_141:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12)]);
+goto label_144;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5045)
+label_144:
+$deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12 := 0 ;
+goto label_149;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5052)
+label_145:
+call InsertTailList (LegacyDeviceList__GLOBALS(Globals), Link__DEVICE_EXTENSION($deviceExtension$5$4887.28$KeyboardClassFindMorePorts$12));
+goto label_148;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5053)
+label_148:
+$successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12 := PLUS($successfulCreates$10$4892.28$KeyboardClassFindMorePorts$12, 1, 1) ;
+goto label_149;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(4963)
+label_149:
+$i$8$4890.28$KeyboardClassFindMorePorts$12 := PLUS($i$8$4890.28$KeyboardClassFindMorePorts$12, 1, 1) ;
+goto label_74_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5055)
+label_150:
+Mem[T.NumberLegacyPorts__GLOBALS] := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals) := $i$8$4890.28$KeyboardClassFindMorePorts$12];
+goto label_151;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5063)
+label_151:
+goto label_151_true , label_151_false ;
+
+
+label_151_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] != 0);
+goto label_152;
+
+
+label_151_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)] == 0);
+goto label_155;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5064)
+label_152:
+call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4894.28$KeyboardClassFindMorePorts$12)], 0);
+goto label_155;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5067)
+label_155:
+goto label_155_true , label_155_false ;
+
+
+label_155_true :
+assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] != 0);
+goto label_156;
+
+
+label_155_false :
+assume (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12] == 0);
+goto label_1;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(5068)
+label_156:
+call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4896.28$KeyboardClassFindMorePorts$12], 0);
+goto label_1;
+
+}
+
diff --git a/Test/havoc0/KeyboardClassUnload.bpl b/Test/havoc0/KeyboardClassUnload.bpl
index 3ca87200..19d23227 100644
--- a/Test/havoc0/KeyboardClassUnload.bpl
+++ b/Test/havoc0/KeyboardClassUnload.bpl
@@ -1,3335 +1,3335 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.AllowDisable__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
-const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.SendOutputToAllPorts__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
-const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
-const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
-const unique T.Type__KEYBOARD_ID:name;
-const unique T.Subtype__KEYBOARD_ID:name;
-const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
-const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
-const unique T.Flags__KEYBOARD_INPUT_DATA:name;
-const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
-const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
-const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Reserved___unnamed_12_0d6a30de:name;
-const unique T.MessageCount___unnamed_12_0d6a30de:name;
-const unique T.Vector___unnamed_12_0d6a30de:name;
-const unique T.Affinity___unnamed_12_0d6a30de:name;
-const unique T.Start___unnamed_12_17f5c211:name;
-const unique T.Length48___unnamed_12_17f5c211:name;
-const unique T.Start___unnamed_12_1fb42e39:name;
-const unique T.Length___unnamed_12_1fb42e39:name;
-const unique T.Reserved___unnamed_12_1fb42e39:name;
-const unique T.Start___unnamed_12_2a1563c6:name;
-const unique T.Length___unnamed_12_2a1563c6:name;
-const unique T.DataSize___unnamed_12_31347272:name;
-const unique T.Reserved1___unnamed_12_31347272:name;
-const unique T.Reserved2___unnamed_12_31347272:name;
-const unique T.Raw___unnamed_12_429aadc0:name;
-const unique T.Translated___unnamed_12_429aadc0:name;
-const unique T.Start___unnamed_12_4719de1a:name;
-const unique T.Length___unnamed_12_4719de1a:name;
-const unique T.Data___unnamed_12_4be56faa:name;
-const unique T.Data___unnamed_12_5ce25b92:name;
-const unique T.Generic___unnamed_12_7a698b72:name;
-const unique T.Port___unnamed_12_7a698b72:name;
-const unique T.Interrupt___unnamed_12_7a698b72:name;
-const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
-const unique T.Memory___unnamed_12_7a698b72:name;
-const unique T.Dma___unnamed_12_7a698b72:name;
-const unique T.DevicePrivate___unnamed_12_7a698b72:name;
-const unique T.BusNumber___unnamed_12_7a698b72:name;
-const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
-const unique T.Memory40___unnamed_12_7a698b72:name;
-const unique T.Memory48___unnamed_12_7a698b72:name;
-const unique T.Memory64___unnamed_12_7a698b72:name;
-const unique T.Start___unnamed_12_87c0de8d:name;
-const unique T.Length64___unnamed_12_87c0de8d:name;
-const unique T.Start___unnamed_12_98bfc55a:name;
-const unique T.Length40___unnamed_12_98bfc55a:name;
-const unique T.Priority___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
-const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
-const unique T.Level___unnamed_12_b0429be9:name;
-const unique T.Vector___unnamed_12_b0429be9:name;
-const unique T.Affinity___unnamed_12_b0429be9:name;
-const unique T.ListEntry___unnamed_12_b43e8de8:name;
-const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
-const unique T.Level___unnamed_12_bfdb39ee:name;
-const unique T.Vector___unnamed_12_bfdb39ee:name;
-const unique T.Affinity___unnamed_12_bfdb39ee:name;
-const unique T.Start___unnamed_12_cd42b3c3:name;
-const unique T.Length___unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
-const unique T.Channel___unnamed_12_e80d029e:name;
-const unique T.Port___unnamed_12_e80d029e:name;
-const unique T.Reserved1___unnamed_12_e80d029e:name;
-const unique T.Length___unnamed_16_07c0bcc5:name;
-const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
-const unique T.Reserved___unnamed_16_07c0bcc5:name;
-const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
-const unique T.Size___unnamed_16_29cb9f2f:name;
-const unique T.Version___unnamed_16_29cb9f2f:name;
-const unique T.Interface___unnamed_16_29cb9f2f:name;
-const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
-const unique T.SecurityContext___unnamed_16_30f11dbf:name;
-const unique T.Options___unnamed_16_30f11dbf:name;
-const unique T.FileAttributes___unnamed_16_30f11dbf:name;
-const unique T.ShareAccess___unnamed_16_30f11dbf:name;
-const unique T.EaLength___unnamed_16_30f11dbf:name;
-const unique T.DriverContext___unnamed_16_35034f68:name;
-const unique T.Length___unnamed_16_487a9498:name;
-const unique T.FileName___unnamed_16_487a9498:name;
-const unique T.FileInformationClass___unnamed_16_487a9498:name;
-const unique T.FileIndex___unnamed_16_487a9498:name;
-const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
-const unique T.FsControlCode___unnamed_16_5f6a8844:name;
-const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
-const unique T.Length___unnamed_16_7177b9f3:name;
-const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
-const unique T.FileObject___unnamed_16_7177b9f3:name;
-const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
-const unique T.Length___unnamed_16_88e91ef6:name;
-const unique T.Key___unnamed_16_88e91ef6:name;
-const unique T.ByteOffset___unnamed_16_88e91ef6:name;
-const unique T.Length___unnamed_16_8c506c98:name;
-const unique T.Key___unnamed_16_8c506c98:name;
-const unique T.ByteOffset___unnamed_16_8c506c98:name;
-const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
-const unique T.Buffer___unnamed_16_9ac2e5f8:name;
-const unique T.Offset___unnamed_16_9ac2e5f8:name;
-const unique T.Length___unnamed_16_9ac2e5f8:name;
-const unique T.Create___unnamed_16_b93842ad:name;
-const unique T.Read___unnamed_16_b93842ad:name;
-const unique T.Write___unnamed_16_b93842ad:name;
-const unique T.QueryDirectory___unnamed_16_b93842ad:name;
-const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
-const unique T.QueryFile___unnamed_16_b93842ad:name;
-const unique T.SetFile___unnamed_16_b93842ad:name;
-const unique T.QueryEa___unnamed_16_b93842ad:name;
-const unique T.SetEa___unnamed_16_b93842ad:name;
-const unique T.QueryVolume___unnamed_16_b93842ad:name;
-const unique T.SetVolume___unnamed_16_b93842ad:name;
-const unique T.FileSystemControl___unnamed_16_b93842ad:name;
-const unique T.LockControl___unnamed_16_b93842ad:name;
-const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
-const unique T.QuerySecurity___unnamed_16_b93842ad:name;
-const unique T.SetSecurity___unnamed_16_b93842ad:name;
-const unique T.MountVolume___unnamed_16_b93842ad:name;
-const unique T.VerifyVolume___unnamed_16_b93842ad:name;
-const unique T.Scsi___unnamed_16_b93842ad:name;
-const unique T.QueryQuota___unnamed_16_b93842ad:name;
-const unique T.SetQuota___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
-const unique T.QueryInterface___unnamed_16_b93842ad:name;
-const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
-const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
-const unique T.SetLock___unnamed_16_b93842ad:name;
-const unique T.QueryId___unnamed_16_b93842ad:name;
-const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
-const unique T.UsageNotification___unnamed_16_b93842ad:name;
-const unique T.WaitWake___unnamed_16_b93842ad:name;
-const unique T.PowerSequence___unnamed_16_b93842ad:name;
-const unique T.Power___unnamed_16_b93842ad:name;
-const unique T.StartDevice___unnamed_16_b93842ad:name;
-const unique T.WMI___unnamed_16_b93842ad:name;
-const unique T.Others___unnamed_16_b93842ad:name;
-const unique T.Length___unnamed_16_b9c62eab:name;
-const unique T.Key___unnamed_16_b9c62eab:name;
-const unique T.ByteOffset___unnamed_16_b9c62eab:name;
-const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
-const unique T.Type___unnamed_16_bb584060:name;
-const unique T.State___unnamed_16_bb584060:name;
-const unique T.ShutdownType___unnamed_16_bb584060:name;
-const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
-const unique T.IoControlCode___unnamed_16_dba55c7c:name;
-const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
-const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
-const unique T.Argument1___unnamed_16_e734d694:name;
-const unique T.Argument2___unnamed_16_e734d694:name;
-const unique T.Argument3___unnamed_16_e734d694:name;
-const unique T.Argument4___unnamed_16_e734d694:name;
-const unique T.ProviderId___unnamed_16_eac6dbea:name;
-const unique T.DataPath___unnamed_16_eac6dbea:name;
-const unique T.BufferSize___unnamed_16_eac6dbea:name;
-const unique T.Buffer___unnamed_16_eac6dbea:name;
-const unique T.Length___unnamed_16_f6cae4c2:name;
-const unique T.EaList___unnamed_16_f6cae4c2:name;
-const unique T.EaListLength___unnamed_16_f6cae4c2:name;
-const unique T.EaIndex___unnamed_16_f6cae4c2:name;
-const unique T.Length___unnamed_16_fe36e4f4:name;
-const unique T.StartSid___unnamed_16_fe36e4f4:name;
-const unique T.SidList___unnamed_16_fe36e4f4:name;
-const unique T.SidListLength___unnamed_16_fe36e4f4:name;
-const unique T.Abandoned___unnamed_1_29794256:name;
-const unique T.Absolute___unnamed_1_29794256:name;
-const unique T.NpxIrql___unnamed_1_29794256:name;
-const unique T.Signalling___unnamed_1_29794256:name;
-const unique T.Inserted___unnamed_1_2dc63b48:name;
-const unique T.DebugActive___unnamed_1_2dc63b48:name;
-const unique T.DpcActive___unnamed_1_2dc63b48:name;
-const unique T.Size___unnamed_1_2ef8da39:name;
-const unique T.Hand___unnamed_1_2ef8da39:name;
-const unique T.Lock___unnamed_1_faa7dc71:name;
-const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
-const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
-const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
-const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
-const unique T.Length___unnamed_24_41cbc8c0:name;
-const unique T.Alignment___unnamed_24_41cbc8c0:name;
-const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
-const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
-const unique T.Length48___unnamed_24_5419c914:name;
-const unique T.Alignment48___unnamed_24_5419c914:name;
-const unique T.MinimumAddress___unnamed_24_5419c914:name;
-const unique T.MaximumAddress___unnamed_24_5419c914:name;
-const unique T.Length___unnamed_24_67a5ff10:name;
-const unique T.Alignment___unnamed_24_67a5ff10:name;
-const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
-const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
-const unique T.Port___unnamed_24_72c3976e:name;
-const unique T.Memory___unnamed_24_72c3976e:name;
-const unique T.Interrupt___unnamed_24_72c3976e:name;
-const unique T.Dma___unnamed_24_72c3976e:name;
-const unique T.Generic___unnamed_24_72c3976e:name;
-const unique T.DevicePrivate___unnamed_24_72c3976e:name;
-const unique T.BusNumber___unnamed_24_72c3976e:name;
-const unique T.ConfigData___unnamed_24_72c3976e:name;
-const unique T.Memory40___unnamed_24_72c3976e:name;
-const unique T.Memory48___unnamed_24_72c3976e:name;
-const unique T.Memory64___unnamed_24_72c3976e:name;
-const unique T.Length64___unnamed_24_a26050bb:name;
-const unique T.Alignment64___unnamed_24_a26050bb:name;
-const unique T.MinimumAddress___unnamed_24_a26050bb:name;
-const unique T.MaximumAddress___unnamed_24_a26050bb:name;
-const unique T.Length___unnamed_24_b8f476db:name;
-const unique T.Alignment___unnamed_24_b8f476db:name;
-const unique T.MinimumAddress___unnamed_24_b8f476db:name;
-const unique T.MaximumAddress___unnamed_24_b8f476db:name;
-const unique T.Length40___unnamed_24_d09044b4:name;
-const unique T.Alignment40___unnamed_24_d09044b4:name;
-const unique T.MinimumAddress___unnamed_24_d09044b4:name;
-const unique T.MaximumAddress___unnamed_24_d09044b4:name;
-const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
-const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
-const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
-const unique T.Thread___unnamed_40_7218f704:name;
-const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
-const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
-const unique T.OriginalFileObject___unnamed_40_7218f704:name;
-const unique T.ListEntry___unnamed_40_c55c9377:name;
-const unique T.Wcb___unnamed_40_c55c9377:name;
-const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
-const unique T.PrivilegeSet___unnamed_44_5584090d:name;
-const unique T.Overlay___unnamed_48_cf99b13f:name;
-const unique T.Apc___unnamed_48_cf99b13f:name;
-const unique T.CompletionKey___unnamed_48_cf99b13f:name;
-const unique T.PowerState___unnamed_4_069846fb:name;
-const unique T.IdType___unnamed_4_224c32f4:name;
-const unique T.Capabilities___unnamed_4_2de698da:name;
-const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
-const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
-const unique T.Length___unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
-const unique T.ClusterCount___unnamed_4_43913aa5:name;
-const unique T.DeleteHandle___unnamed_4_43913aa5:name;
-const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
-const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
-const unique T.Srb___unnamed_4_52603077:name;
-const unique T.Address___unnamed_4_52c594f7:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
-const unique T.Type___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
-const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
-const unique T.MasterIrp___unnamed_4_6ac6463c:name;
-const unique T.IrpCount___unnamed_4_6ac6463c:name;
-const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
-const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
-const unique T.TableSize___unnamed_4_6f9ac8e1:name;
-const unique T.PowerSequence___unnamed_4_7a02167b:name;
-const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
-const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
-const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
-const unique T.Length___unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
-const unique T.Lock___unnamed_4_a97c65a1:name;
-const unique T.Reserved1___unnamed_4_c3479730:name;
-const unique T.TargetSystemState___unnamed_4_c3479730:name;
-const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
-const unique T.CurrentSystemState___unnamed_4_c3479730:name;
-const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
-const unique T.PseudoTransition___unnamed_4_c3479730:name;
-const unique T.Reserved2___unnamed_4_c3479730:name;
-const unique T.Status___unnamed_4_d99b6e2b:name;
-const unique T.Pointer___unnamed_4_d99b6e2b:name;
-const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
-const unique T.PacketType___unnamed_4_f19b65c1:name;
-const unique T.Type___unnamed_4_fa10fc16:name;
-const unique T.SecurityInformation___unnamed_8_01efa60d:name;
-const unique T.Length___unnamed_8_01efa60d:name;
-const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
-const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
-const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
-const unique T.UserApcContext___unnamed_8_0a898c0c:name;
-const unique T.SecurityInformation___unnamed_8_1330f93a:name;
-const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
-const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
-const unique T.AllocationSize___unnamed_8_181d0de9:name;
-const unique T.Vpb___unnamed_8_4812764d:name;
-const unique T.DeviceObject___unnamed_8_4812764d:name;
-const unique T.Length___unnamed_8_559a91e6:name;
-const unique T.FsInformationClass___unnamed_8_559a91e6:name;
-const unique T.Length___unnamed_8_5845b309:name;
-const unique T.FileInformationClass___unnamed_8_5845b309:name;
-const unique T.LowPart___unnamed_8_58ee4a31:name;
-const unique T.HighPart___unnamed_8_58ee4a31:name;
-const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
-const unique T.DeviceTextType___unnamed_8_6acfee04:name;
-const unique T.LocaleId___unnamed_8_6acfee04:name;
-const unique T.Length___unnamed_8_7f26a9dd:name;
-const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
-const unique T.Vpb___unnamed_8_87add0bd:name;
-const unique T.DeviceObject___unnamed_8_87add0bd:name;
-const unique T.InPath___unnamed_8_b2773e4c:name;
-const unique T.Reserved___unnamed_8_b2773e4c:name;
-const unique T.Type___unnamed_8_b2773e4c:name;
-const unique T.Length___unnamed_8_de890d4e:name;
-const unique T.FsInformationClass___unnamed_8_de890d4e:name;
-const unique T.LowPart___unnamed_8_ef9ba0d3:name;
-const unique T.HighPart___unnamed_8_ef9ba0d3:name;
-
-// Type declarations
-
-const unique T.A11CHAR:name;
-const unique T.A19CHAR:name;
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A32UINT2:name;
-const unique T.A36CHAR:name;
-const unique T.A37CHAR:name;
-const unique T.A39CHAR:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A43CHAR:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A74CHAR:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A8UCHAR:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA11CHAR:name;
-const unique T.PA19CHAR:name;
-const unique T.PA36CHAR:name;
-const unique T.PA37CHAR:name;
-const unique T.PA39CHAR:name;
-const unique T.PA43CHAR:name;
-const unique T.PA74CHAR:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPP_FILE_OBJECT:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FILE_OBJECT:name;
-const unique T.PP_IRP:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_PORT:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KEYBOARD_INPUT_DATA:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KEYBOARD_ATTRIBUTES:name;
-const unique T._KEYBOARD_ID:name;
-const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
-const unique T._KEYBOARD_INPUT_DATA:name;
-const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_0d6a30de:name;
-const unique T.__unnamed_12_17f5c211:name;
-const unique T.__unnamed_12_1fb42e39:name;
-const unique T.__unnamed_12_2a1563c6:name;
-const unique T.__unnamed_12_31347272:name;
-const unique T.__unnamed_12_429aadc0:name;
-const unique T.__unnamed_12_4719de1a:name;
-const unique T.__unnamed_12_4be56faa:name;
-const unique T.__unnamed_12_5ce25b92:name;
-const unique T.__unnamed_12_7a698b72:name;
-const unique T.__unnamed_12_87c0de8d:name;
-const unique T.__unnamed_12_98bfc55a:name;
-const unique T.__unnamed_12_ab1bd9d7:name;
-const unique T.__unnamed_12_b0429be9:name;
-const unique T.__unnamed_12_b43e8de8:name;
-const unique T.__unnamed_12_bfdb39ee:name;
-const unique T.__unnamed_12_cd42b3c3:name;
-const unique T.__unnamed_12_e668effc:name;
-const unique T.__unnamed_12_e80d029e:name;
-const unique T.__unnamed_16_07c0bcc5:name;
-const unique T.__unnamed_16_29cb9f2f:name;
-const unique T.__unnamed_16_30f11dbf:name;
-const unique T.__unnamed_16_35034f68:name;
-const unique T.__unnamed_16_487a9498:name;
-const unique T.__unnamed_16_5f6a8844:name;
-const unique T.__unnamed_16_7177b9f3:name;
-const unique T.__unnamed_16_88e91ef6:name;
-const unique T.__unnamed_16_8c506c98:name;
-const unique T.__unnamed_16_9ac2e5f8:name;
-const unique T.__unnamed_16_b93842ad:name;
-const unique T.__unnamed_16_b9c62eab:name;
-const unique T.__unnamed_16_bb584060:name;
-const unique T.__unnamed_16_dba55c7c:name;
-const unique T.__unnamed_16_e70c268b:name;
-const unique T.__unnamed_16_e734d694:name;
-const unique T.__unnamed_16_eac6dbea:name;
-const unique T.__unnamed_16_f6cae4c2:name;
-const unique T.__unnamed_16_fe36e4f4:name;
-const unique T.__unnamed_1_29794256:name;
-const unique T.__unnamed_1_2dc63b48:name;
-const unique T.__unnamed_1_2ef8da39:name;
-const unique T.__unnamed_1_faa7dc71:name;
-const unique T.__unnamed_20_f4d2e6d8:name;
-const unique T.__unnamed_24_41cbc8c0:name;
-const unique T.__unnamed_24_5419c914:name;
-const unique T.__unnamed_24_67a5ff10:name;
-const unique T.__unnamed_24_72c3976e:name;
-const unique T.__unnamed_24_a26050bb:name;
-const unique T.__unnamed_24_b8f476db:name;
-const unique T.__unnamed_24_d09044b4:name;
-const unique T.__unnamed_2_46cc4597:name;
-const unique T.__unnamed_40_7218f704:name;
-const unique T.__unnamed_40_c55c9377:name;
-const unique T.__unnamed_44_5584090d:name;
-const unique T.__unnamed_48_cf99b13f:name;
-const unique T.__unnamed_4_069846fb:name;
-const unique T.__unnamed_4_224c32f4:name;
-const unique T.__unnamed_4_2de698da:name;
-const unique T.__unnamed_4_3a2fdc5e:name;
-const unique T.__unnamed_4_3a4c1a13:name;
-const unique T.__unnamed_4_43913aa5:name;
-const unique T.__unnamed_4_4e8dd2ba:name;
-const unique T.__unnamed_4_52603077:name;
-const unique T.__unnamed_4_52c594f7:name;
-const unique T.__unnamed_4_5ca00198:name;
-const unique T.__unnamed_4_6ac6463c:name;
-const unique T.__unnamed_4_6f9ac8e1:name;
-const unique T.__unnamed_4_7a02167b:name;
-const unique T.__unnamed_4_7d9d0c7e:name;
-const unique T.__unnamed_4_82f7a864:name;
-const unique T.__unnamed_4_9aec220b:name;
-const unique T.__unnamed_4_a97c65a1:name;
-const unique T.__unnamed_4_c3479730:name;
-const unique T.__unnamed_4_d99b6e2b:name;
-const unique T.__unnamed_4_f19b65c1:name;
-const unique T.__unnamed_4_fa10fc16:name;
-const unique T.__unnamed_8_01efa60d:name;
-const unique T.__unnamed_8_08d4cef8:name;
-const unique T.__unnamed_8_0a898c0c:name;
-const unique T.__unnamed_8_1330f93a:name;
-const unique T.__unnamed_8_181d0de9:name;
-const unique T.__unnamed_8_4812764d:name;
-const unique T.__unnamed_8_559a91e6:name;
-const unique T.__unnamed_8_5845b309:name;
-const unique T.__unnamed_8_58ee4a31:name;
-const unique T.__unnamed_8_61acf4ce:name;
-const unique T.__unnamed_8_6acfee04:name;
-const unique T.__unnamed_8_7f26a9dd:name;
-const unique T.__unnamed_8_87add0bd:name;
-const unique T.__unnamed_8_b2773e4c:name;
-const unique T.__unnamed_8_de890d4e:name;
-const unique T.__unnamed_8_ef9ba0d3:name;
-
-function AssocClassList__GLOBALS(int) returns (int);
-function AssocClassList__GLOBALSInv(int) returns (int);
-function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
-function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function Enabled__DEVICE_EXTENSION(int) returns (int);
-function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 284);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 284);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1) == Enabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 284)} MINUS_LEFT_PTR(x, 1, 284) == Enabled__DEVICE_EXTENSIONInv(x));
-function Enabled__PORT(int) returns (int);
-function Enabled__PORTInv(int) returns (int);
-function _S_Enabled__PORT([int]bool) returns ([int]bool);
-function _S_Enabled__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__PORTInv(Enabled__PORT(x))} Enabled__PORTInv(Enabled__PORT(x)) == x);
-axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORT(Enabled__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORT(S)[x]} _S_Enabled__PORT(S)[x] <==> S[Enabled__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORTInv(S)[x]} _S_Enabled__PORTInv(S)[x] <==> S[Enabled__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORT(S)} S[x] ==> _S_Enabled__PORT(S)[Enabled__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORTInv(S)} S[x] ==> _S_Enabled__PORTInv(S)[Enabled__PORTInv(x)]);
-
-axiom (forall x:int :: {Enabled__PORT(x)} Enabled__PORT(x) == x + 8);
-axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORTInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == Enabled__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == Enabled__PORTInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
-function File__PORT(int) returns (int);
-function File__PORTInv(int) returns (int);
-function _S_File__PORT([int]bool) returns ([int]bool);
-function _S_File__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
-
-axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function Free__PORT(int) returns (int);
-function Free__PORTInv(int) returns (int);
-function _S_Free__PORT([int]bool) returns ([int]bool);
-function _S_Free__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
-
-axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
-function NumAssocClass__GLOBALS(int) returns (int);
-function NumAssocClass__GLOBALSInv(int) returns (int);
-function _S_NumAssocClass__GLOBALS([int]bool) returns ([int]bool);
-function _S_NumAssocClass__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x))} NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x)) == x);
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALS(NumAssocClass__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALS(S)[x]} _S_NumAssocClass__GLOBALS(S)[x] <==> S[NumAssocClass__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALSInv(S)[x]} _S_NumAssocClass__GLOBALSInv(S)[x] <==> S[NumAssocClass__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALS(S)} S[x] ==> _S_NumAssocClass__GLOBALS(S)[NumAssocClass__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALSInv(S)} S[x] ==> _S_NumAssocClass__GLOBALSInv(S)[NumAssocClass__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {NumAssocClass__GLOBALS(x)} NumAssocClass__GLOBALS(x) == x + 12);
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALSInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == NumAssocClass__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == NumAssocClass__GLOBALSInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function Port__PORT(int) returns (int);
-function Port__PORTInv(int) returns (int);
-function _S_Port__PORT([int]bool) returns ([int]bool);
-function _S_Port__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
-
-axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
-function RegistryPath__GLOBALS(int) returns (int);
-function RegistryPath__GLOBALSInv(int) returns (int);
-function _S_RegistryPath__GLOBALS([int]bool) returns ([int]bool);
-function _S_RegistryPath__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x))} RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x)) == x);
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALS(RegistryPath__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALS(S)[x]} _S_RegistryPath__GLOBALS(S)[x] <==> S[RegistryPath__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALSInv(S)[x]} _S_RegistryPath__GLOBALSInv(S)[x] <==> S[RegistryPath__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALS(S)} S[x] ==> _S_RegistryPath__GLOBALS(S)[RegistryPath__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALSInv(S)} S[x] ==> _S_RegistryPath__GLOBALSInv(S)[RegistryPath__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {RegistryPath__GLOBALS(x)} RegistryPath__GLOBALS(x) == x + 360);
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALSInv(x) == x - 360);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 360, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 360, 1) == RegistryPath__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 360)} MINUS_LEFT_PTR(x, 1, 360) == RegistryPath__GLOBALSInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function StackSize__DEVICE_OBJECT(int) returns (int);
-function StackSize__DEVICE_OBJECTInv(int) returns (int);
-function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoAllocateIrp($StackSize$1$20453.15$IoAllocateIrp$81:int, $ChargeQuota$2$20454.17$IoAllocateIrp$81:int) returns ($result.IoAllocateIrp$20452.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoFreeIrp($Irp$1$21417.14$IoFreeIrp$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KbdEnableDisablePort($EnableFlag$1$543.15$KbdEnableDisablePort$161:int, $Irp$2$544.15$KbdEnableDisablePort$161:int, $Port$3$545.25$KbdEnableDisablePort$161:int, $File$4$546.22$KbdEnableDisablePort$161:int) returns ($result.KbdEnableDisablePort$542.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardClassCleanupQueue($DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121:int, $DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121:int, $FileObject$3$1082.28$KeyboardClassCleanupQueue$121:int);
-
-//TAG: requires __resource("DEV_EXTN", DeviceExtension) == 1
-requires(Res_DEV_EXTN[$DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121] == 1);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires __resource("DEV_OBJ_INIT", DeviceObject) == 1
-requires(Res_DEV_OBJ_INIT[$DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121] == 1);
-//TAG: ensures __resource("DEV_EXTN", DeviceExtension) == 1
-ensures(Res_DEV_EXTN[$DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121] == 1);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-//TAG: ensures __resource("DEV_OBJ_INIT", DeviceObject) == 1
-ensures(Res_DEV_OBJ_INIT[$DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121] == 1);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RemoveEntryList($Entry$1$6929.19$RemoveEntryList$41:int) returns ($result.RemoveEntryList$6928.0$1$:int);
-
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __setminus(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList)), __set(Entry)))
-ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41))) && Subset(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)))));
-//TAG: ensures Entry->Flink == __old(Entry->Flink)
-ensures(Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)] == old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeyboardClassUnload($DriverObject$1$2966.24$KeyboardClassUnload$41:int)
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for:
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for:
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for:
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for:
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $DriverObject$1$2966.24$KeyboardClassUnload$4 : int;
-var $IoAllocateIrp.arg.1$9$ : int;
-var $KbdDebugPrint.arg.2$1$ : int;
-var $KbdDebugPrint.arg.2$19$ : int;
-var $RtlAssert.arg.1$14$ : int;
-var $RtlAssert.arg.1$16$ : int;
-var $RtlAssert.arg.1$18$ : int;
-var $RtlAssert.arg.1$3$ : int;
-var $RtlAssert.arg.1$5$ : int;
-var $RtlAssert.arg.1$7$ : int;
-var $RtlAssert.arg.2$13$ : int;
-var $RtlAssert.arg.2$15$ : int;
-var $RtlAssert.arg.2$17$ : int;
-var $RtlAssert.arg.2$2$ : int;
-var $RtlAssert.arg.2$4$ : int;
-var $RtlAssert.arg.2$6$ : int;
-var $data$3$2989.22$KeyboardClassUnload$4 : int;
-var $enabled$6$3006.16$KeyboardClassUnload$4 : int;
-var $entry$2$2988.16$KeyboardClassUnload$4 : int;
-var $file$7$3007.21$KeyboardClassUnload$4 : int;
-var $i$8$3075.14$KeyboardClassUnload$4 : int;
-var $irp$5$2991.9$KeyboardClassUnload$4 : int;
-var $port$4$2990.10$KeyboardClassUnload$4 : int;
-var $result.IoAllocateIrp$3031.31$8$ : int;
-var $result.KbdEnableDisablePort$3033.37$10$ : int;
-var $result.ObfDereferenceObject$3044.12$11$ : int;
-var $result.RemoveEntryList$3055.24$12$ : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_15_alloc:[int]name;
-var LOOP_15_Mem:[name][int]int;
-var LOOP_15_Res_DEVICE_STACK:[int]int;
-var LOOP_15_Res_DEV_EXTN:[int]int;
-var LOOP_15_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_15_Res_SPIN_LOCK:[int]int;
-var LOOP_108_alloc:[int]name;
-var LOOP_108_Mem:[name][int]int;
-var LOOP_108_Res_DEVICE_STACK:[int]int;
-var LOOP_108_Res_DEV_EXTN:[int]int;
-var LOOP_108_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_108_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$2966.24$KeyboardClassUnload$41] != UNALLOCATED);
-call $file$7$3007.21$KeyboardClassUnload$4 := __HAVOC_malloc(4);
-$DriverObject$1$2966.24$KeyboardClassUnload$4 := $DriverObject$1$2966.24$KeyboardClassUnload$41;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3088)
-label_1:
-call __HAVOC_free($file$7$3007.21$KeyboardClassUnload$4);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A11CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A11CHAR][m] == old(Mem[T.A11CHAR])[m]);
-assume (forall m:int :: {Mem[T.A19CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A19CHAR][m] == old(Mem[T.A19CHAR])[m]);
-assume (forall m:int :: {Mem[T.A36CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A36CHAR][m] == old(Mem[T.A36CHAR])[m]);
-assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
-assume (forall m:int :: {Mem[T.A39CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A39CHAR][m] == old(Mem[T.A39CHAR])[m]);
-assume (forall m:int :: {Mem[T.A43CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A43CHAR][m] == old(Mem[T.A43CHAR])[m]);
-assume (forall m:int :: {Mem[T.A74CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A74CHAR][m] == old(Mem[T.A74CHAR])[m]);
-assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Enabled__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__PORT][m] == old(Mem[T.Enabled__PORT])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.NumAssocClass__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumAssocClass__GLOBALS][m] == old(Mem[T.NumAssocClass__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_IRP][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IRP][m] == old(Mem[T.P_IRP])[m]);
-assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_PORT][m] == old(Mem[T.P_PORT])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
-assume (forall m:int :: {Mem[T.RegistryPath__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RegistryPath__GLOBALS][m] == old(Mem[T.RegistryPath__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3088)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2988)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2989)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2990)
-label_5:
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2991)
-label_6:
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2995)
-label_7:
-call __PREfastPagedCode ();
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2997)
-label_10:
-// skip KbdDebugPrint
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2997)
-label_13:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$1$ := havoc_stringTemp ;
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3002)
-label_14:
-$entry$2$2988.16$KeyboardClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))] ;
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3003)
-label_15:
-// loop entry initialization...
-LOOP_15_alloc := alloc;
-LOOP_15_Mem := Mem;
-LOOP_15_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_15_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_15_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_15_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_15_head;
-
-
-label_15_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __setin(entry, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[$entry$2$2988.16$KeyboardClassUnload$4]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_15_alloc[Base(f)] == UNALLOCATED || LOOP_15_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_15_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_15_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_15_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_15_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_15_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_15_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_15_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_15_true , label_15_false ;
-
-
-label_15_true :
-assume ($entry$2$2988.16$KeyboardClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
-goto label_16;
-
-
-label_15_false :
-assume !($entry$2$2988.16$KeyboardClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
-goto label_85;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3006)
-label_16:
-goto label_17;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3006)
-label_17:
-$enabled$6$3006.16$KeyboardClassUnload$4 := 0 ;
-goto label_18;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3007)
-label_18:
-goto label_19;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3007)
-label_19:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := 0];
-goto label_20;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3009)
-label_20:
-$data$3$2989.22$KeyboardClassUnload$4 := MINUS_LEFT_PTR($entry$2$2988.16$KeyboardClassUnload$4, 1, 272) ;
-goto label_21;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
-label_21:
-goto label_21_true , label_21_false ;
-
-
-label_21_true :
-assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
-goto label_25;
-
-
-label_21_false :
-assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
-label_22:
-// skip RtlAssert
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
-label_25:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$2$ := havoc_stringTemp ;
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
-label_26:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$3$ := havoc_stringTemp ;
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3012)
-label_27:
-goto label_27_true , label_27_false ;
-
-
-label_27_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_28;
-
-
-label_27_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_40;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3013)
-label_28:
-$port$4$2990.10$KeyboardClassUnload$4 := PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]) ;
-goto label_29;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
-label_29:
-goto label_29_true , label_29_false ;
-
-
-label_29_true :
-assume (Mem[T.Port__PORT][Port__PORT($port$4$2990.10$KeyboardClassUnload$4)] == $data$3$2989.22$KeyboardClassUnload$4);
-goto label_35;
-
-
-label_29_false :
-assume !(Mem[T.Port__PORT][Port__PORT($port$4$2990.10$KeyboardClassUnload$4)] == $data$3$2989.22$KeyboardClassUnload$4);
-goto label_33;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
-label_30:
-// skip RtlAssert
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
-label_33:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$4$ := havoc_stringTemp ;
-goto label_34;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
-label_34:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$5$ := havoc_stringTemp ;
-goto label_30;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3016)
-label_35:
-$enabled$6$3006.16$KeyboardClassUnload$4 := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2990.10$KeyboardClassUnload$4)] ;
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3017)
-label_36:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := Mem[T.File__PORT][File__PORT($port$4$2990.10$KeyboardClassUnload$4)]];
-goto label_37;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3019)
-label_37:
-Mem[T.Enabled__PORT] := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2990.10$KeyboardClassUnload$4) := 0];
-goto label_38;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3020)
-label_38:
-Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT($port$4$2990.10$KeyboardClassUnload$4) := 0];
-goto label_39;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3021)
-label_39:
-Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT($port$4$2990.10$KeyboardClassUnload$4) := 1];
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3024)
-label_40:
-$enabled$6$3006.16$KeyboardClassUnload$4 := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] ;
-goto label_41;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3025)
-label_41:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
-goto label_42;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
-label_42:
-goto label_42_true , label_42_false ;
-
-
-label_42_true :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
-goto label_48;
-
-
-label_42_false :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
-label_43:
-// skip RtlAssert
-goto label_48;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
-label_46:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$6$ := havoc_stringTemp ;
-goto label_47;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
-label_47:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$7$ := havoc_stringTemp ;
-goto label_43;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3027)
-label_48:
-Mem[T.Enabled__DEVICE_EXTENSION] := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3030)
-label_49:
-goto label_49_true , label_49_false ;
-
-
-label_49_true :
-assume ($enabled$6$3006.16$KeyboardClassUnload$4 != 0);
-goto label_53;
-
-
-label_49_false :
-assume ($enabled$6$3006.16$KeyboardClassUnload$4 == 0);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
-label_50:
-call $result.IoAllocateIrp$3031.31$8$ := IoAllocateIrp ($IoAllocateIrp.arg.1$9$, 0);
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
-label_53:
-$IoAllocateIrp.arg.1$9$ := PLUS(Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)])], 1, 1) ;
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
-label_54:
-$irp$5$2991.9$KeyboardClassUnload$4 := $result.IoAllocateIrp$3031.31$8$ ;
-goto label_55;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3032)
-label_55:
-goto label_55_true , label_55_false ;
-
-
-label_55_true :
-assume ($irp$5$2991.9$KeyboardClassUnload$4 != 0);
-goto label_56;
-
-
-label_55_false :
-assume ($irp$5$2991.9$KeyboardClassUnload$4 == 0);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3033)
-label_56:
-call $result.KbdEnableDisablePort$3033.37$10$ := KbdEnableDisablePort (0, $irp$5$2991.9$KeyboardClassUnload$4, $data$3$2989.22$KeyboardClassUnload$4, $file$7$3007.21$KeyboardClassUnload$4);
-goto label_59;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3034)
-label_59:
-call IoFreeIrp ($irp$5$2991.9$KeyboardClassUnload$4);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3043)
-label_62:
-goto label_62_true , label_62_false ;
-
-
-label_62_true :
-assume (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4] != 0);
-goto label_63;
-
-
-label_62_false :
-assume (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4] == 0);
-goto label_66;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3044)
-label_63:
-call $result.ObfDereferenceObject$3044.12$11$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4]);
-goto label_66;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3051)
-label_66:
-goto label_66_true , label_66_false ;
-
-
-label_66_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_70;
-
-
-label_66_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_67;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3052)
-label_67:
-call KeyboardClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], $data$3$2989.22$KeyboardClassUnload$4, 0);
-goto label_70;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3055)
-label_70:
-call $result.RemoveEntryList$3055.24$12$ := RemoveEntryList (Link__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4));
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3056)
-label_73:
-$entry$2$2988.16$KeyboardClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($entry$2$2988.16$KeyboardClassUnload$4)] ;
-goto label_74;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_74:
-goto label_74_true , label_74_false ;
-
-
-label_74_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
-goto label_75;
-
-
-label_74_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_75:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], 0);
-goto label_78;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_78:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
-goto label_79;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_79:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
-goto label_80;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_80:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_81:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]);
-goto label_84;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
-label_84:
-$data$3$2989.22$KeyboardClassUnload$4 := 0 ;
-goto label_15_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3064)
-label_85:
-goto label_85_true , label_85_false ;
-
-
-label_85_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_86;
-
-
-label_85_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3065)
-label_86:
-$data$3$2989.22$KeyboardClassUnload$4 := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] ;
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3066)
-label_87:
-Mem[T.GrandMaster__GLOBALS] := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals) := 0];
-goto label_88;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3068)
-label_88:
-call KeyboardClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], $data$3$2989.22$KeyboardClassUnload$4, 0);
-goto label_91;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_91:
-goto label_91_true , label_91_false ;
-
-
-label_91_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
-goto label_92;
-
-
-label_91_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
-goto label_98;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_92:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], 0);
-goto label_95;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_95:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_96:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_97:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
-goto label_98;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_98:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]);
-goto label_101;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
-label_101:
-$data$3$2989.22$KeyboardClassUnload$4 := 0 ;
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3072)
-label_102:
-call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(RegistryPath__GLOBALS(Globals))], 0);
-goto label_105;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3073)
-label_105:
-goto label_105_true , label_105_false ;
-
-
-label_105_true :
-assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] != 0);
-goto label_106;
-
-
-label_105_false :
-assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] == 0);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3075)
-label_106:
-goto label_107;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
-label_107:
-$i$8$3075.14$KeyboardClassUnload$4 := 0 ;
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
-label_108:
-// loop entry initialization...
-LOOP_108_alloc := alloc;
-LOOP_108_Mem := Mem;
-LOOP_108_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_108_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_108_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_108_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_108_head;
-
-
-label_108_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires __preserves_resource("DEV_OBJ_INIT")
-assert(Res_DEV_OBJ_INIT == LOOP_108_Res_DEV_OBJ_INIT);
-//TAG: requires __preserves_resource("DEV_EXTN")
-assert(Res_DEV_EXTN == LOOP_108_Res_DEV_EXTN);
-//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-assert(Mem[T.Flink__LIST_ENTRY] == LOOP_108_Mem[T.Flink__LIST_ENTRY]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_108_alloc[Base(f)] == UNALLOCATED || LOOP_108_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_108_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_108_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_108_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_108_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_108_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_108_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_108_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_108_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_108_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_108_true , label_108_false ;
-
-
-label_108_true :
-assume ($i$8$3075.14$KeyboardClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
-goto label_109;
-
-
-label_108_false :
-assume !($i$8$3075.14$KeyboardClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
-goto label_128;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
-label_109:
-goto label_109_true , label_109_false ;
-
-
-label_109_true :
-assume (Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 1);
-goto label_115;
-
-
-label_109_false :
-assume !(Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 1);
-goto label_113;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
-label_110:
-// skip RtlAssert
-goto label_115;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
-label_113:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$13$ := havoc_stringTemp ;
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
-label_114:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$14$ := havoc_stringTemp ;
-goto label_110;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
-label_115:
-goto label_115_true , label_115_false ;
-
-
-label_115_true :
-assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] != 0);
-goto label_119;
-
-
-label_115_false :
-assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 0);
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
-label_116:
-// skip RtlAssert
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
-label_119:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$15$ := havoc_stringTemp ;
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
-label_120:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$16$ := havoc_stringTemp ;
-goto label_116;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
-label_121:
-goto label_121_true , label_121_false ;
-
-
-label_121_true :
-assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] != 0);
-goto label_125;
-
-
-label_121_false :
-assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 0);
-goto label_127;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
-label_122:
-// skip RtlAssert
-goto label_127;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
-label_125:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$17$ := havoc_stringTemp ;
-goto label_126;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
-label_126:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$18$ := havoc_stringTemp ;
-goto label_122;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
-label_127:
-$i$8$3075.14$KeyboardClassUnload$4 := PLUS($i$8$3075.14$KeyboardClassUnload$4, 1, 1) ;
-goto label_108_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3084)
-label_128:
-call ExFreePoolWithTag (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 0);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3087)
-label_131:
-// skip KbdDebugPrint
-goto label_1;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3087)
-label_134:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$KbdDebugPrint.arg.2$19$ := havoc_stringTemp ;
-goto label_131;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.AllowDisable__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.KeyboardAttributes__DEVICE_EXTENSION:name;
+const unique T.IndicatorParameters__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_a97c65a1__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_52c594f7__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.SendOutputToAllPorts__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_d99b6e2b__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.KeyboardIdentifier__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyboardMode__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfFunctionKeys__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfIndicators__KEYBOARD_ATTRIBUTES:name;
+const unique T.NumberOfKeysTotal__KEYBOARD_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMinimum__KEYBOARD_ATTRIBUTES:name;
+const unique T.KeyRepeatMaximum__KEYBOARD_ATTRIBUTES:name;
+const unique T.Type__KEYBOARD_ID:name;
+const unique T.Subtype__KEYBOARD_ID:name;
+const unique T.UnitId__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.LedFlags__KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T.UnitId__KEYBOARD_INPUT_DATA:name;
+const unique T.MakeCode__KEYBOARD_INPUT_DATA:name;
+const unique T.Flags__KEYBOARD_INPUT_DATA:name;
+const unique T.Reserved__KEYBOARD_INPUT_DATA:name;
+const unique T.ExtraInformation__KEYBOARD_INPUT_DATA:name;
+const unique T.UnitId__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Rate__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Delay__KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_58ee4a31__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_6f9ac8e1__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_3a2fdc5e__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Reserved___unnamed_12_0d6a30de:name;
+const unique T.MessageCount___unnamed_12_0d6a30de:name;
+const unique T.Vector___unnamed_12_0d6a30de:name;
+const unique T.Affinity___unnamed_12_0d6a30de:name;
+const unique T.Start___unnamed_12_17f5c211:name;
+const unique T.Length48___unnamed_12_17f5c211:name;
+const unique T.Start___unnamed_12_1fb42e39:name;
+const unique T.Length___unnamed_12_1fb42e39:name;
+const unique T.Reserved___unnamed_12_1fb42e39:name;
+const unique T.Start___unnamed_12_2a1563c6:name;
+const unique T.Length___unnamed_12_2a1563c6:name;
+const unique T.DataSize___unnamed_12_31347272:name;
+const unique T.Reserved1___unnamed_12_31347272:name;
+const unique T.Reserved2___unnamed_12_31347272:name;
+const unique T.Raw___unnamed_12_429aadc0:name;
+const unique T.Translated___unnamed_12_429aadc0:name;
+const unique T.Start___unnamed_12_4719de1a:name;
+const unique T.Length___unnamed_12_4719de1a:name;
+const unique T.Data___unnamed_12_4be56faa:name;
+const unique T.Data___unnamed_12_5ce25b92:name;
+const unique T.Generic___unnamed_12_7a698b72:name;
+const unique T.Port___unnamed_12_7a698b72:name;
+const unique T.Interrupt___unnamed_12_7a698b72:name;
+const unique T.MessageInterrupt___unnamed_12_7a698b72:name;
+const unique T.Memory___unnamed_12_7a698b72:name;
+const unique T.Dma___unnamed_12_7a698b72:name;
+const unique T.DevicePrivate___unnamed_12_7a698b72:name;
+const unique T.BusNumber___unnamed_12_7a698b72:name;
+const unique T.DeviceSpecificData___unnamed_12_7a698b72:name;
+const unique T.Memory40___unnamed_12_7a698b72:name;
+const unique T.Memory48___unnamed_12_7a698b72:name;
+const unique T.Memory64___unnamed_12_7a698b72:name;
+const unique T.Start___unnamed_12_87c0de8d:name;
+const unique T.Length64___unnamed_12_87c0de8d:name;
+const unique T.Start___unnamed_12_98bfc55a:name;
+const unique T.Length40___unnamed_12_98bfc55a:name;
+const unique T.Priority___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved1___unnamed_12_ab1bd9d7:name;
+const unique T.Reserved2___unnamed_12_ab1bd9d7:name;
+const unique T.Level___unnamed_12_b0429be9:name;
+const unique T.Vector___unnamed_12_b0429be9:name;
+const unique T.Affinity___unnamed_12_b0429be9:name;
+const unique T.ListEntry___unnamed_12_b43e8de8:name;
+const unique T.__unnamed_4_f19b65c1___unnamed_12_b43e8de8:name;
+const unique T.Level___unnamed_12_bfdb39ee:name;
+const unique T.Vector___unnamed_12_bfdb39ee:name;
+const unique T.Affinity___unnamed_12_bfdb39ee:name;
+const unique T.Start___unnamed_12_cd42b3c3:name;
+const unique T.Length___unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_429aadc0___unnamed_12_e668effc:name;
+const unique T.Channel___unnamed_12_e80d029e:name;
+const unique T.Port___unnamed_12_e80d029e:name;
+const unique T.Reserved1___unnamed_12_e80d029e:name;
+const unique T.Length___unnamed_16_07c0bcc5:name;
+const unique T.MinBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.MaxBusNumber___unnamed_16_07c0bcc5:name;
+const unique T.Reserved___unnamed_16_07c0bcc5:name;
+const unique T.InterfaceType___unnamed_16_29cb9f2f:name;
+const unique T.Size___unnamed_16_29cb9f2f:name;
+const unique T.Version___unnamed_16_29cb9f2f:name;
+const unique T.Interface___unnamed_16_29cb9f2f:name;
+const unique T.InterfaceSpecificData___unnamed_16_29cb9f2f:name;
+const unique T.SecurityContext___unnamed_16_30f11dbf:name;
+const unique T.Options___unnamed_16_30f11dbf:name;
+const unique T.FileAttributes___unnamed_16_30f11dbf:name;
+const unique T.ShareAccess___unnamed_16_30f11dbf:name;
+const unique T.EaLength___unnamed_16_30f11dbf:name;
+const unique T.DriverContext___unnamed_16_35034f68:name;
+const unique T.Length___unnamed_16_487a9498:name;
+const unique T.FileName___unnamed_16_487a9498:name;
+const unique T.FileInformationClass___unnamed_16_487a9498:name;
+const unique T.FileIndex___unnamed_16_487a9498:name;
+const unique T.OutputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.InputBufferLength___unnamed_16_5f6a8844:name;
+const unique T.FsControlCode___unnamed_16_5f6a8844:name;
+const unique T.Type3InputBuffer___unnamed_16_5f6a8844:name;
+const unique T.Length___unnamed_16_7177b9f3:name;
+const unique T.FileInformationClass___unnamed_16_7177b9f3:name;
+const unique T.FileObject___unnamed_16_7177b9f3:name;
+const unique T.__unnamed_4_43913aa5___unnamed_16_7177b9f3:name;
+const unique T.Length___unnamed_16_88e91ef6:name;
+const unique T.Key___unnamed_16_88e91ef6:name;
+const unique T.ByteOffset___unnamed_16_88e91ef6:name;
+const unique T.Length___unnamed_16_8c506c98:name;
+const unique T.Key___unnamed_16_8c506c98:name;
+const unique T.ByteOffset___unnamed_16_8c506c98:name;
+const unique T.WhichSpace___unnamed_16_9ac2e5f8:name;
+const unique T.Buffer___unnamed_16_9ac2e5f8:name;
+const unique T.Offset___unnamed_16_9ac2e5f8:name;
+const unique T.Length___unnamed_16_9ac2e5f8:name;
+const unique T.Create___unnamed_16_b93842ad:name;
+const unique T.Read___unnamed_16_b93842ad:name;
+const unique T.Write___unnamed_16_b93842ad:name;
+const unique T.QueryDirectory___unnamed_16_b93842ad:name;
+const unique T.NotifyDirectory___unnamed_16_b93842ad:name;
+const unique T.QueryFile___unnamed_16_b93842ad:name;
+const unique T.SetFile___unnamed_16_b93842ad:name;
+const unique T.QueryEa___unnamed_16_b93842ad:name;
+const unique T.SetEa___unnamed_16_b93842ad:name;
+const unique T.QueryVolume___unnamed_16_b93842ad:name;
+const unique T.SetVolume___unnamed_16_b93842ad:name;
+const unique T.FileSystemControl___unnamed_16_b93842ad:name;
+const unique T.LockControl___unnamed_16_b93842ad:name;
+const unique T.DeviceIoControl___unnamed_16_b93842ad:name;
+const unique T.QuerySecurity___unnamed_16_b93842ad:name;
+const unique T.SetSecurity___unnamed_16_b93842ad:name;
+const unique T.MountVolume___unnamed_16_b93842ad:name;
+const unique T.VerifyVolume___unnamed_16_b93842ad:name;
+const unique T.Scsi___unnamed_16_b93842ad:name;
+const unique T.QueryQuota___unnamed_16_b93842ad:name;
+const unique T.SetQuota___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_b93842ad:name;
+const unique T.QueryInterface___unnamed_16_b93842ad:name;
+const unique T.DeviceCapabilities___unnamed_16_b93842ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_b93842ad:name;
+const unique T.ReadWriteConfig___unnamed_16_b93842ad:name;
+const unique T.SetLock___unnamed_16_b93842ad:name;
+const unique T.QueryId___unnamed_16_b93842ad:name;
+const unique T.QueryDeviceText___unnamed_16_b93842ad:name;
+const unique T.UsageNotification___unnamed_16_b93842ad:name;
+const unique T.WaitWake___unnamed_16_b93842ad:name;
+const unique T.PowerSequence___unnamed_16_b93842ad:name;
+const unique T.Power___unnamed_16_b93842ad:name;
+const unique T.StartDevice___unnamed_16_b93842ad:name;
+const unique T.WMI___unnamed_16_b93842ad:name;
+const unique T.Others___unnamed_16_b93842ad:name;
+const unique T.Length___unnamed_16_b9c62eab:name;
+const unique T.Key___unnamed_16_b9c62eab:name;
+const unique T.ByteOffset___unnamed_16_b9c62eab:name;
+const unique T.__unnamed_4_7d9d0c7e___unnamed_16_bb584060:name;
+const unique T.Type___unnamed_16_bb584060:name;
+const unique T.State___unnamed_16_bb584060:name;
+const unique T.ShutdownType___unnamed_16_bb584060:name;
+const unique T.OutputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.InputBufferLength___unnamed_16_dba55c7c:name;
+const unique T.IoControlCode___unnamed_16_dba55c7c:name;
+const unique T.Type3InputBuffer___unnamed_16_dba55c7c:name;
+const unique T.DeviceQueueEntry___unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_35034f68___unnamed_16_e70c268b:name;
+const unique T.Argument1___unnamed_16_e734d694:name;
+const unique T.Argument2___unnamed_16_e734d694:name;
+const unique T.Argument3___unnamed_16_e734d694:name;
+const unique T.Argument4___unnamed_16_e734d694:name;
+const unique T.ProviderId___unnamed_16_eac6dbea:name;
+const unique T.DataPath___unnamed_16_eac6dbea:name;
+const unique T.BufferSize___unnamed_16_eac6dbea:name;
+const unique T.Buffer___unnamed_16_eac6dbea:name;
+const unique T.Length___unnamed_16_f6cae4c2:name;
+const unique T.EaList___unnamed_16_f6cae4c2:name;
+const unique T.EaListLength___unnamed_16_f6cae4c2:name;
+const unique T.EaIndex___unnamed_16_f6cae4c2:name;
+const unique T.Length___unnamed_16_fe36e4f4:name;
+const unique T.StartSid___unnamed_16_fe36e4f4:name;
+const unique T.SidList___unnamed_16_fe36e4f4:name;
+const unique T.SidListLength___unnamed_16_fe36e4f4:name;
+const unique T.Abandoned___unnamed_1_29794256:name;
+const unique T.Absolute___unnamed_1_29794256:name;
+const unique T.NpxIrql___unnamed_1_29794256:name;
+const unique T.Signalling___unnamed_1_29794256:name;
+const unique T.Inserted___unnamed_1_2dc63b48:name;
+const unique T.DebugActive___unnamed_1_2dc63b48:name;
+const unique T.DpcActive___unnamed_1_2dc63b48:name;
+const unique T.Size___unnamed_1_2ef8da39:name;
+const unique T.Hand___unnamed_1_2ef8da39:name;
+const unique T.Lock___unnamed_1_faa7dc71:name;
+const unique T.MinimumVector___unnamed_20_f4d2e6d8:name;
+const unique T.MaximumVector___unnamed_20_f4d2e6d8:name;
+const unique T.AffinityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.PriorityPolicy___unnamed_20_f4d2e6d8:name;
+const unique T.TargetedProcessors___unnamed_20_f4d2e6d8:name;
+const unique T.Length___unnamed_24_41cbc8c0:name;
+const unique T.Alignment___unnamed_24_41cbc8c0:name;
+const unique T.MinimumAddress___unnamed_24_41cbc8c0:name;
+const unique T.MaximumAddress___unnamed_24_41cbc8c0:name;
+const unique T.Length48___unnamed_24_5419c914:name;
+const unique T.Alignment48___unnamed_24_5419c914:name;
+const unique T.MinimumAddress___unnamed_24_5419c914:name;
+const unique T.MaximumAddress___unnamed_24_5419c914:name;
+const unique T.Length___unnamed_24_67a5ff10:name;
+const unique T.Alignment___unnamed_24_67a5ff10:name;
+const unique T.MinimumAddress___unnamed_24_67a5ff10:name;
+const unique T.MaximumAddress___unnamed_24_67a5ff10:name;
+const unique T.Port___unnamed_24_72c3976e:name;
+const unique T.Memory___unnamed_24_72c3976e:name;
+const unique T.Interrupt___unnamed_24_72c3976e:name;
+const unique T.Dma___unnamed_24_72c3976e:name;
+const unique T.Generic___unnamed_24_72c3976e:name;
+const unique T.DevicePrivate___unnamed_24_72c3976e:name;
+const unique T.BusNumber___unnamed_24_72c3976e:name;
+const unique T.ConfigData___unnamed_24_72c3976e:name;
+const unique T.Memory40___unnamed_24_72c3976e:name;
+const unique T.Memory48___unnamed_24_72c3976e:name;
+const unique T.Memory64___unnamed_24_72c3976e:name;
+const unique T.Length64___unnamed_24_a26050bb:name;
+const unique T.Alignment64___unnamed_24_a26050bb:name;
+const unique T.MinimumAddress___unnamed_24_a26050bb:name;
+const unique T.MaximumAddress___unnamed_24_a26050bb:name;
+const unique T.Length___unnamed_24_b8f476db:name;
+const unique T.Alignment___unnamed_24_b8f476db:name;
+const unique T.MinimumAddress___unnamed_24_b8f476db:name;
+const unique T.MaximumAddress___unnamed_24_b8f476db:name;
+const unique T.Length40___unnamed_24_d09044b4:name;
+const unique T.Alignment40___unnamed_24_d09044b4:name;
+const unique T.MinimumAddress___unnamed_24_d09044b4:name;
+const unique T.MaximumAddress___unnamed_24_d09044b4:name;
+const unique T.ReplaceIfExists___unnamed_2_46cc4597:name;
+const unique T.AdvanceOnly___unnamed_2_46cc4597:name;
+const unique T.__unnamed_16_e70c268b___unnamed_40_7218f704:name;
+const unique T.Thread___unnamed_40_7218f704:name;
+const unique T.AuxiliaryBuffer___unnamed_40_7218f704:name;
+const unique T.__unnamed_12_b43e8de8___unnamed_40_7218f704:name;
+const unique T.OriginalFileObject___unnamed_40_7218f704:name;
+const unique T.ListEntry___unnamed_40_c55c9377:name;
+const unique T.Wcb___unnamed_40_c55c9377:name;
+const unique T.InitialPrivilegeSet___unnamed_44_5584090d:name;
+const unique T.PrivilegeSet___unnamed_44_5584090d:name;
+const unique T.Overlay___unnamed_48_cf99b13f:name;
+const unique T.Apc___unnamed_48_cf99b13f:name;
+const unique T.CompletionKey___unnamed_48_cf99b13f:name;
+const unique T.PowerState___unnamed_4_069846fb:name;
+const unique T.IdType___unnamed_4_224c32f4:name;
+const unique T.Capabilities___unnamed_4_2de698da:name;
+const unique T.__unnamed_4_c3479730___unnamed_4_3a2fdc5e:name;
+const unique T.ContextAsUlong___unnamed_4_3a2fdc5e:name;
+const unique T.Length___unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_2_46cc4597___unnamed_4_43913aa5:name;
+const unique T.ClusterCount___unnamed_4_43913aa5:name;
+const unique T.DeleteHandle___unnamed_4_43913aa5:name;
+const unique T.UserApcRoutine___unnamed_4_4e8dd2ba:name;
+const unique T.IssuingProcess___unnamed_4_4e8dd2ba:name;
+const unique T.Srb___unnamed_4_52603077:name;
+const unique T.Address___unnamed_4_52c594f7:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_52c594f7:name;
+const unique T.Type___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_29794256___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2ef8da39___unnamed_4_5ca00198:name;
+const unique T.__unnamed_1_2dc63b48___unnamed_4_5ca00198:name;
+const unique T.MasterIrp___unnamed_4_6ac6463c:name;
+const unique T.IrpCount___unnamed_4_6ac6463c:name;
+const unique T.SystemBuffer___unnamed_4_6ac6463c:name;
+const unique T.OwnerCount___unnamed_4_6f9ac8e1:name;
+const unique T.TableSize___unnamed_4_6f9ac8e1:name;
+const unique T.PowerSequence___unnamed_4_7a02167b:name;
+const unique T.SystemContext___unnamed_4_7d9d0c7e:name;
+const unique T.SystemPowerStateContext___unnamed_4_7d9d0c7e:name;
+const unique T.IoResourceRequirementList___unnamed_4_82f7a864:name;
+const unique T.Length___unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_5ca00198___unnamed_4_a97c65a1:name;
+const unique T.Lock___unnamed_4_a97c65a1:name;
+const unique T.Reserved1___unnamed_4_c3479730:name;
+const unique T.TargetSystemState___unnamed_4_c3479730:name;
+const unique T.EffectiveSystemState___unnamed_4_c3479730:name;
+const unique T.CurrentSystemState___unnamed_4_c3479730:name;
+const unique T.IgnoreHibernationPath___unnamed_4_c3479730:name;
+const unique T.PseudoTransition___unnamed_4_c3479730:name;
+const unique T.Reserved2___unnamed_4_c3479730:name;
+const unique T.Status___unnamed_4_d99b6e2b:name;
+const unique T.Pointer___unnamed_4_d99b6e2b:name;
+const unique T.CurrentStackLocation___unnamed_4_f19b65c1:name;
+const unique T.PacketType___unnamed_4_f19b65c1:name;
+const unique T.Type___unnamed_4_fa10fc16:name;
+const unique T.SecurityInformation___unnamed_8_01efa60d:name;
+const unique T.Length___unnamed_8_01efa60d:name;
+const unique T.MinimumChannel___unnamed_8_08d4cef8:name;
+const unique T.MaximumChannel___unnamed_8_08d4cef8:name;
+const unique T.__unnamed_4_4e8dd2ba___unnamed_8_0a898c0c:name;
+const unique T.UserApcContext___unnamed_8_0a898c0c:name;
+const unique T.SecurityInformation___unnamed_8_1330f93a:name;
+const unique T.SecurityDescriptor___unnamed_8_1330f93a:name;
+const unique T.AsynchronousParameters___unnamed_8_181d0de9:name;
+const unique T.AllocationSize___unnamed_8_181d0de9:name;
+const unique T.Vpb___unnamed_8_4812764d:name;
+const unique T.DeviceObject___unnamed_8_4812764d:name;
+const unique T.Length___unnamed_8_559a91e6:name;
+const unique T.FsInformationClass___unnamed_8_559a91e6:name;
+const unique T.Length___unnamed_8_5845b309:name;
+const unique T.FileInformationClass___unnamed_8_5845b309:name;
+const unique T.LowPart___unnamed_8_58ee4a31:name;
+const unique T.HighPart___unnamed_8_58ee4a31:name;
+const unique T.AllocatedResources___unnamed_8_61acf4ce:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_61acf4ce:name;
+const unique T.DeviceTextType___unnamed_8_6acfee04:name;
+const unique T.LocaleId___unnamed_8_6acfee04:name;
+const unique T.Length___unnamed_8_7f26a9dd:name;
+const unique T.CompletionFilter___unnamed_8_7f26a9dd:name;
+const unique T.Vpb___unnamed_8_87add0bd:name;
+const unique T.DeviceObject___unnamed_8_87add0bd:name;
+const unique T.InPath___unnamed_8_b2773e4c:name;
+const unique T.Reserved___unnamed_8_b2773e4c:name;
+const unique T.Type___unnamed_8_b2773e4c:name;
+const unique T.Length___unnamed_8_de890d4e:name;
+const unique T.FsInformationClass___unnamed_8_de890d4e:name;
+const unique T.LowPart___unnamed_8_ef9ba0d3:name;
+const unique T.HighPart___unnamed_8_ef9ba0d3:name;
+
+// Type declarations
+
+const unique T.A11CHAR:name;
+const unique T.A19CHAR:name;
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A32UINT2:name;
+const unique T.A36CHAR:name;
+const unique T.A37CHAR:name;
+const unique T.A39CHAR:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A43CHAR:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A74CHAR:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A8UCHAR:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA11CHAR:name;
+const unique T.PA19CHAR:name;
+const unique T.PA36CHAR:name;
+const unique T.PA37CHAR:name;
+const unique T.PA39CHAR:name;
+const unique T.PA43CHAR:name;
+const unique T.PA74CHAR:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPP_FILE_OBJECT:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FILE_OBJECT:name;
+const unique T.PP_IRP:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_PORT:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KEYBOARD_INPUT_DATA:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KEYBOARD_ATTRIBUTES:name;
+const unique T._KEYBOARD_ID:name;
+const unique T._KEYBOARD_INDICATOR_PARAMETERS:name;
+const unique T._KEYBOARD_INPUT_DATA:name;
+const unique T._KEYBOARD_TYPEMATIC_PARAMETERS:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_0d6a30de:name;
+const unique T.__unnamed_12_17f5c211:name;
+const unique T.__unnamed_12_1fb42e39:name;
+const unique T.__unnamed_12_2a1563c6:name;
+const unique T.__unnamed_12_31347272:name;
+const unique T.__unnamed_12_429aadc0:name;
+const unique T.__unnamed_12_4719de1a:name;
+const unique T.__unnamed_12_4be56faa:name;
+const unique T.__unnamed_12_5ce25b92:name;
+const unique T.__unnamed_12_7a698b72:name;
+const unique T.__unnamed_12_87c0de8d:name;
+const unique T.__unnamed_12_98bfc55a:name;
+const unique T.__unnamed_12_ab1bd9d7:name;
+const unique T.__unnamed_12_b0429be9:name;
+const unique T.__unnamed_12_b43e8de8:name;
+const unique T.__unnamed_12_bfdb39ee:name;
+const unique T.__unnamed_12_cd42b3c3:name;
+const unique T.__unnamed_12_e668effc:name;
+const unique T.__unnamed_12_e80d029e:name;
+const unique T.__unnamed_16_07c0bcc5:name;
+const unique T.__unnamed_16_29cb9f2f:name;
+const unique T.__unnamed_16_30f11dbf:name;
+const unique T.__unnamed_16_35034f68:name;
+const unique T.__unnamed_16_487a9498:name;
+const unique T.__unnamed_16_5f6a8844:name;
+const unique T.__unnamed_16_7177b9f3:name;
+const unique T.__unnamed_16_88e91ef6:name;
+const unique T.__unnamed_16_8c506c98:name;
+const unique T.__unnamed_16_9ac2e5f8:name;
+const unique T.__unnamed_16_b93842ad:name;
+const unique T.__unnamed_16_b9c62eab:name;
+const unique T.__unnamed_16_bb584060:name;
+const unique T.__unnamed_16_dba55c7c:name;
+const unique T.__unnamed_16_e70c268b:name;
+const unique T.__unnamed_16_e734d694:name;
+const unique T.__unnamed_16_eac6dbea:name;
+const unique T.__unnamed_16_f6cae4c2:name;
+const unique T.__unnamed_16_fe36e4f4:name;
+const unique T.__unnamed_1_29794256:name;
+const unique T.__unnamed_1_2dc63b48:name;
+const unique T.__unnamed_1_2ef8da39:name;
+const unique T.__unnamed_1_faa7dc71:name;
+const unique T.__unnamed_20_f4d2e6d8:name;
+const unique T.__unnamed_24_41cbc8c0:name;
+const unique T.__unnamed_24_5419c914:name;
+const unique T.__unnamed_24_67a5ff10:name;
+const unique T.__unnamed_24_72c3976e:name;
+const unique T.__unnamed_24_a26050bb:name;
+const unique T.__unnamed_24_b8f476db:name;
+const unique T.__unnamed_24_d09044b4:name;
+const unique T.__unnamed_2_46cc4597:name;
+const unique T.__unnamed_40_7218f704:name;
+const unique T.__unnamed_40_c55c9377:name;
+const unique T.__unnamed_44_5584090d:name;
+const unique T.__unnamed_48_cf99b13f:name;
+const unique T.__unnamed_4_069846fb:name;
+const unique T.__unnamed_4_224c32f4:name;
+const unique T.__unnamed_4_2de698da:name;
+const unique T.__unnamed_4_3a2fdc5e:name;
+const unique T.__unnamed_4_3a4c1a13:name;
+const unique T.__unnamed_4_43913aa5:name;
+const unique T.__unnamed_4_4e8dd2ba:name;
+const unique T.__unnamed_4_52603077:name;
+const unique T.__unnamed_4_52c594f7:name;
+const unique T.__unnamed_4_5ca00198:name;
+const unique T.__unnamed_4_6ac6463c:name;
+const unique T.__unnamed_4_6f9ac8e1:name;
+const unique T.__unnamed_4_7a02167b:name;
+const unique T.__unnamed_4_7d9d0c7e:name;
+const unique T.__unnamed_4_82f7a864:name;
+const unique T.__unnamed_4_9aec220b:name;
+const unique T.__unnamed_4_a97c65a1:name;
+const unique T.__unnamed_4_c3479730:name;
+const unique T.__unnamed_4_d99b6e2b:name;
+const unique T.__unnamed_4_f19b65c1:name;
+const unique T.__unnamed_4_fa10fc16:name;
+const unique T.__unnamed_8_01efa60d:name;
+const unique T.__unnamed_8_08d4cef8:name;
+const unique T.__unnamed_8_0a898c0c:name;
+const unique T.__unnamed_8_1330f93a:name;
+const unique T.__unnamed_8_181d0de9:name;
+const unique T.__unnamed_8_4812764d:name;
+const unique T.__unnamed_8_559a91e6:name;
+const unique T.__unnamed_8_5845b309:name;
+const unique T.__unnamed_8_58ee4a31:name;
+const unique T.__unnamed_8_61acf4ce:name;
+const unique T.__unnamed_8_6acfee04:name;
+const unique T.__unnamed_8_7f26a9dd:name;
+const unique T.__unnamed_8_87add0bd:name;
+const unique T.__unnamed_8_b2773e4c:name;
+const unique T.__unnamed_8_de890d4e:name;
+const unique T.__unnamed_8_ef9ba0d3:name;
+
+function AssocClassList__GLOBALS(int) returns (int);
+function AssocClassList__GLOBALSInv(int) returns (int);
+function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
+function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function Enabled__DEVICE_EXTENSION(int) returns (int);
+function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 284);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 284);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 284, 1) == Enabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 284)} MINUS_LEFT_PTR(x, 1, 284) == Enabled__DEVICE_EXTENSIONInv(x));
+function Enabled__PORT(int) returns (int);
+function Enabled__PORTInv(int) returns (int);
+function _S_Enabled__PORT([int]bool) returns ([int]bool);
+function _S_Enabled__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__PORTInv(Enabled__PORT(x))} Enabled__PORTInv(Enabled__PORT(x)) == x);
+axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORT(Enabled__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORT(S)[x]} _S_Enabled__PORT(S)[x] <==> S[Enabled__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORTInv(S)[x]} _S_Enabled__PORTInv(S)[x] <==> S[Enabled__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORT(S)} S[x] ==> _S_Enabled__PORT(S)[Enabled__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORTInv(S)} S[x] ==> _S_Enabled__PORTInv(S)[Enabled__PORTInv(x)]);
+
+axiom (forall x:int :: {Enabled__PORT(x)} Enabled__PORT(x) == x + 8);
+axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORTInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == Enabled__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == Enabled__PORTInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 280);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 280);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 280, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 280)} MINUS_LEFT_PTR(x, 1, 280) == File__DEVICE_EXTENSIONInv(x));
+function File__PORT(int) returns (int);
+function File__PORTInv(int) returns (int);
+function _S_File__PORT([int]bool) returns ([int]bool);
+function _S_File__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
+
+axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function Free__PORT(int) returns (int);
+function Free__PORTInv(int) returns (int);
+function _S_Free__PORT([int]bool) returns ([int]bool);
+function _S_Free__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
+
+axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 888);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 888);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 888, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 888)} MINUS_LEFT_PTR(x, 1, 888) == LegacyDeviceList__GLOBALSInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 272);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 272);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 272, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 272)} MINUS_LEFT_PTR(x, 1, 272) == Link__DEVICE_EXTENSIONInv(x));
+function NumAssocClass__GLOBALS(int) returns (int);
+function NumAssocClass__GLOBALSInv(int) returns (int);
+function _S_NumAssocClass__GLOBALS([int]bool) returns ([int]bool);
+function _S_NumAssocClass__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x))} NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x)) == x);
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALS(NumAssocClass__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALS(S)[x]} _S_NumAssocClass__GLOBALS(S)[x] <==> S[NumAssocClass__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALSInv(S)[x]} _S_NumAssocClass__GLOBALSInv(S)[x] <==> S[NumAssocClass__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALS(S)} S[x] ==> _S_NumAssocClass__GLOBALS(S)[NumAssocClass__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALSInv(S)} S[x] ==> _S_NumAssocClass__GLOBALSInv(S)[NumAssocClass__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {NumAssocClass__GLOBALS(x)} NumAssocClass__GLOBALS(x) == x + 12);
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALSInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == NumAssocClass__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == NumAssocClass__GLOBALSInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function Port__PORT(int) returns (int);
+function Port__PORTInv(int) returns (int);
+function _S_Port__PORT([int]bool) returns ([int]bool);
+function _S_Port__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
+
+axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
+function RegistryPath__GLOBALS(int) returns (int);
+function RegistryPath__GLOBALSInv(int) returns (int);
+function _S_RegistryPath__GLOBALS([int]bool) returns ([int]bool);
+function _S_RegistryPath__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x))} RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x)) == x);
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALS(RegistryPath__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALS(S)[x]} _S_RegistryPath__GLOBALS(S)[x] <==> S[RegistryPath__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALSInv(S)[x]} _S_RegistryPath__GLOBALSInv(S)[x] <==> S[RegistryPath__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALS(S)} S[x] ==> _S_RegistryPath__GLOBALS(S)[RegistryPath__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALSInv(S)} S[x] ==> _S_RegistryPath__GLOBALSInv(S)[RegistryPath__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {RegistryPath__GLOBALS(x)} RegistryPath__GLOBALS(x) == x + 360);
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALSInv(x) == x - 360);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 360, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 360, 1) == RegistryPath__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 360)} MINUS_LEFT_PTR(x, 1, 360) == RegistryPath__GLOBALSInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function StackSize__DEVICE_OBJECT(int) returns (int);
+function StackSize__DEVICE_OBJECTInv(int) returns (int);
+function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 196);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 196);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 196, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 196)} MINUS_LEFT_PTR(x, 1, 196) == UnitId__DEVICE_EXTENSIONInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoAllocateIrp($StackSize$1$20453.15$IoAllocateIrp$81:int, $ChargeQuota$2$20454.17$IoAllocateIrp$81:int) returns ($result.IoAllocateIrp$20452.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoFreeIrp($Irp$1$21417.14$IoFreeIrp$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KbdEnableDisablePort($EnableFlag$1$543.15$KbdEnableDisablePort$161:int, $Irp$2$544.15$KbdEnableDisablePort$161:int, $Port$3$545.25$KbdEnableDisablePort$161:int, $File$4$546.22$KbdEnableDisablePort$161:int) returns ($result.KbdEnableDisablePort$542.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardClassCleanupQueue($DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121:int, $DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121:int, $FileObject$3$1082.28$KeyboardClassCleanupQueue$121:int);
+
+//TAG: requires __resource("DEV_EXTN", DeviceExtension) == 1
+requires(Res_DEV_EXTN[$DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121] == 1);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires __resource("DEV_OBJ_INIT", DeviceObject) == 1
+requires(Res_DEV_OBJ_INIT[$DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121] == 1);
+//TAG: ensures __resource("DEV_EXTN", DeviceExtension) == 1
+ensures(Res_DEV_EXTN[$DeviceExtension$2$1081.28$KeyboardClassCleanupQueue$121] == 1);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+//TAG: ensures __resource("DEV_OBJ_INIT", DeviceObject) == 1
+ensures(Res_DEV_OBJ_INIT[$DeviceObject$1$1080.28$KeyboardClassCleanupQueue$121] == 1);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RemoveEntryList($Entry$1$6929.19$RemoveEntryList$41:int) returns ($result.RemoveEntryList$6928.0$1$:int);
+
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __setminus(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList)), __set(Entry)))
+ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41))) && Subset(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)))));
+//TAG: ensures Entry->Flink == __old(Entry->Flink)
+ensures(Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)] == old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeyboardClassUnload($DriverObject$1$2966.24$KeyboardClassUnload$41:int)
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for:
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for:
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for:
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for:
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $DriverObject$1$2966.24$KeyboardClassUnload$4 : int;
+var $IoAllocateIrp.arg.1$9$ : int;
+var $KbdDebugPrint.arg.2$1$ : int;
+var $KbdDebugPrint.arg.2$19$ : int;
+var $RtlAssert.arg.1$14$ : int;
+var $RtlAssert.arg.1$16$ : int;
+var $RtlAssert.arg.1$18$ : int;
+var $RtlAssert.arg.1$3$ : int;
+var $RtlAssert.arg.1$5$ : int;
+var $RtlAssert.arg.1$7$ : int;
+var $RtlAssert.arg.2$13$ : int;
+var $RtlAssert.arg.2$15$ : int;
+var $RtlAssert.arg.2$17$ : int;
+var $RtlAssert.arg.2$2$ : int;
+var $RtlAssert.arg.2$4$ : int;
+var $RtlAssert.arg.2$6$ : int;
+var $data$3$2989.22$KeyboardClassUnload$4 : int;
+var $enabled$6$3006.16$KeyboardClassUnload$4 : int;
+var $entry$2$2988.16$KeyboardClassUnload$4 : int;
+var $file$7$3007.21$KeyboardClassUnload$4 : int;
+var $i$8$3075.14$KeyboardClassUnload$4 : int;
+var $irp$5$2991.9$KeyboardClassUnload$4 : int;
+var $port$4$2990.10$KeyboardClassUnload$4 : int;
+var $result.IoAllocateIrp$3031.31$8$ : int;
+var $result.KbdEnableDisablePort$3033.37$10$ : int;
+var $result.ObfDereferenceObject$3044.12$11$ : int;
+var $result.RemoveEntryList$3055.24$12$ : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_15_alloc:[int]name;
+var LOOP_15_Mem:[name][int]int;
+var LOOP_15_Res_DEVICE_STACK:[int]int;
+var LOOP_15_Res_DEV_EXTN:[int]int;
+var LOOP_15_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_15_Res_SPIN_LOCK:[int]int;
+var LOOP_108_alloc:[int]name;
+var LOOP_108_Mem:[name][int]int;
+var LOOP_108_Res_DEVICE_STACK:[int]int;
+var LOOP_108_Res_DEV_EXTN:[int]int;
+var LOOP_108_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_108_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$2966.24$KeyboardClassUnload$41] != UNALLOCATED);
+call $file$7$3007.21$KeyboardClassUnload$4 := __HAVOC_malloc(4);
+$DriverObject$1$2966.24$KeyboardClassUnload$4 := $DriverObject$1$2966.24$KeyboardClassUnload$41;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3088)
+label_1:
+call __HAVOC_free($file$7$3007.21$KeyboardClassUnload$4);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A11CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A11CHAR][m] == old(Mem[T.A11CHAR])[m]);
+assume (forall m:int :: {Mem[T.A19CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A19CHAR][m] == old(Mem[T.A19CHAR])[m]);
+assume (forall m:int :: {Mem[T.A36CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A36CHAR][m] == old(Mem[T.A36CHAR])[m]);
+assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
+assume (forall m:int :: {Mem[T.A39CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A39CHAR][m] == old(Mem[T.A39CHAR])[m]);
+assume (forall m:int :: {Mem[T.A43CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A43CHAR][m] == old(Mem[T.A43CHAR])[m]);
+assume (forall m:int :: {Mem[T.A74CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A74CHAR][m] == old(Mem[T.A74CHAR])[m]);
+assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][m] == old(Mem[T.CurrentStackLocation___unnamed_4_f19b65c1])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Enabled__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__PORT][m] == old(Mem[T.Enabled__PORT])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.NumAssocClass__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumAssocClass__GLOBALS][m] == old(Mem[T.NumAssocClass__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_IRP][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IRP][m] == old(Mem[T.P_IRP])[m]);
+assume (forall m:int :: {Mem[T.P_KEYBOARD_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_KEYBOARD_INPUT_DATA][m] == old(Mem[T.P_KEYBOARD_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_PORT][m] == old(Mem[T.P_PORT])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
+assume (forall m:int :: {Mem[T.RegistryPath__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RegistryPath__GLOBALS][m] == old(Mem[T.RegistryPath__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3088)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2988)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2989)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2990)
+label_5:
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2991)
+label_6:
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2995)
+label_7:
+call __PREfastPagedCode ();
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2997)
+label_10:
+// skip KbdDebugPrint
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(2997)
+label_13:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$1$ := havoc_stringTemp ;
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3002)
+label_14:
+$entry$2$2988.16$KeyboardClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))] ;
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3003)
+label_15:
+// loop entry initialization...
+LOOP_15_alloc := alloc;
+LOOP_15_Mem := Mem;
+LOOP_15_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_15_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_15_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_15_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_15_head;
+
+
+label_15_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __setin(entry, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[$entry$2$2988.16$KeyboardClassUnload$4]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_15_alloc[Base(f)] == UNALLOCATED || LOOP_15_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_15_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_15_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_15_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_15_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_15_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_15_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_15_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_15_true , label_15_false ;
+
+
+label_15_true :
+assume ($entry$2$2988.16$KeyboardClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
+goto label_16;
+
+
+label_15_false :
+assume !($entry$2$2988.16$KeyboardClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
+goto label_85;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3006)
+label_16:
+goto label_17;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3006)
+label_17:
+$enabled$6$3006.16$KeyboardClassUnload$4 := 0 ;
+goto label_18;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3007)
+label_18:
+goto label_19;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3007)
+label_19:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := 0];
+goto label_20;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3009)
+label_20:
+$data$3$2989.22$KeyboardClassUnload$4 := MINUS_LEFT_PTR($entry$2$2988.16$KeyboardClassUnload$4, 1, 272) ;
+goto label_21;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
+label_21:
+goto label_21_true , label_21_false ;
+
+
+label_21_true :
+assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
+goto label_25;
+
+
+label_21_false :
+assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
+label_22:
+// skip RtlAssert
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
+label_25:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$2$ := havoc_stringTemp ;
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3010)
+label_26:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$3$ := havoc_stringTemp ;
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3012)
+label_27:
+goto label_27_true , label_27_false ;
+
+
+label_27_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_28;
+
+
+label_27_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_40;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3013)
+label_28:
+$port$4$2990.10$KeyboardClassUnload$4 := PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]) ;
+goto label_29;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
+label_29:
+goto label_29_true , label_29_false ;
+
+
+label_29_true :
+assume (Mem[T.Port__PORT][Port__PORT($port$4$2990.10$KeyboardClassUnload$4)] == $data$3$2989.22$KeyboardClassUnload$4);
+goto label_35;
+
+
+label_29_false :
+assume !(Mem[T.Port__PORT][Port__PORT($port$4$2990.10$KeyboardClassUnload$4)] == $data$3$2989.22$KeyboardClassUnload$4);
+goto label_33;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
+label_30:
+// skip RtlAssert
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
+label_33:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$4$ := havoc_stringTemp ;
+goto label_34;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3014)
+label_34:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$5$ := havoc_stringTemp ;
+goto label_30;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3016)
+label_35:
+$enabled$6$3006.16$KeyboardClassUnload$4 := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2990.10$KeyboardClassUnload$4)] ;
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3017)
+label_36:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := Mem[T.File__PORT][File__PORT($port$4$2990.10$KeyboardClassUnload$4)]];
+goto label_37;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3019)
+label_37:
+Mem[T.Enabled__PORT] := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2990.10$KeyboardClassUnload$4) := 0];
+goto label_38;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3020)
+label_38:
+Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT($port$4$2990.10$KeyboardClassUnload$4) := 0];
+goto label_39;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3021)
+label_39:
+Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT($port$4$2990.10$KeyboardClassUnload$4) := 1];
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3024)
+label_40:
+$enabled$6$3006.16$KeyboardClassUnload$4 := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] ;
+goto label_41;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3025)
+label_41:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
+goto label_42;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
+label_42:
+goto label_42_true , label_42_false ;
+
+
+label_42_true :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
+goto label_48;
+
+
+label_42_false :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
+label_43:
+// skip RtlAssert
+goto label_48;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
+label_46:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$6$ := havoc_stringTemp ;
+goto label_47;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3026)
+label_47:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$7$ := havoc_stringTemp ;
+goto label_43;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3027)
+label_48:
+Mem[T.Enabled__DEVICE_EXTENSION] := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3030)
+label_49:
+goto label_49_true , label_49_false ;
+
+
+label_49_true :
+assume ($enabled$6$3006.16$KeyboardClassUnload$4 != 0);
+goto label_53;
+
+
+label_49_false :
+assume ($enabled$6$3006.16$KeyboardClassUnload$4 == 0);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
+label_50:
+call $result.IoAllocateIrp$3031.31$8$ := IoAllocateIrp ($IoAllocateIrp.arg.1$9$, 0);
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
+label_53:
+$IoAllocateIrp.arg.1$9$ := PLUS(Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)])], 1, 1) ;
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3031)
+label_54:
+$irp$5$2991.9$KeyboardClassUnload$4 := $result.IoAllocateIrp$3031.31$8$ ;
+goto label_55;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3032)
+label_55:
+goto label_55_true , label_55_false ;
+
+
+label_55_true :
+assume ($irp$5$2991.9$KeyboardClassUnload$4 != 0);
+goto label_56;
+
+
+label_55_false :
+assume ($irp$5$2991.9$KeyboardClassUnload$4 == 0);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3033)
+label_56:
+call $result.KbdEnableDisablePort$3033.37$10$ := KbdEnableDisablePort (0, $irp$5$2991.9$KeyboardClassUnload$4, $data$3$2989.22$KeyboardClassUnload$4, $file$7$3007.21$KeyboardClassUnload$4);
+goto label_59;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3034)
+label_59:
+call IoFreeIrp ($irp$5$2991.9$KeyboardClassUnload$4);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3043)
+label_62:
+goto label_62_true , label_62_false ;
+
+
+label_62_true :
+assume (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4] != 0);
+goto label_63;
+
+
+label_62_false :
+assume (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4] == 0);
+goto label_66;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3044)
+label_63:
+call $result.ObfDereferenceObject$3044.12$11$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$7$3007.21$KeyboardClassUnload$4]);
+goto label_66;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3051)
+label_66:
+goto label_66_true , label_66_false ;
+
+
+label_66_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_70;
+
+
+label_66_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_67;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3052)
+label_67:
+call KeyboardClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], $data$3$2989.22$KeyboardClassUnload$4, 0);
+goto label_70;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3055)
+label_70:
+call $result.RemoveEntryList$3055.24$12$ := RemoveEntryList (Link__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4));
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3056)
+label_73:
+$entry$2$2988.16$KeyboardClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($entry$2$2988.16$KeyboardClassUnload$4)] ;
+goto label_74;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_74:
+goto label_74_true , label_74_false ;
+
+
+label_74_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
+goto label_75;
+
+
+label_74_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_75:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], 0);
+goto label_78;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_78:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
+goto label_79;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_79:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
+goto label_80;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_80:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_81:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]);
+goto label_84;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3058)
+label_84:
+$data$3$2989.22$KeyboardClassUnload$4 := 0 ;
+goto label_15_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3064)
+label_85:
+goto label_85_true , label_85_false ;
+
+
+label_85_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_86;
+
+
+label_85_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3065)
+label_86:
+$data$3$2989.22$KeyboardClassUnload$4 := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] ;
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3066)
+label_87:
+Mem[T.GrandMaster__GLOBALS] := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals) := 0];
+goto label_88;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3068)
+label_88:
+call KeyboardClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], $data$3$2989.22$KeyboardClassUnload$4, 0);
+goto label_91;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_91:
+goto label_91_true , label_91_false ;
+
+
+label_91_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] != 0);
+goto label_92;
+
+
+label_91_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)] == 0);
+goto label_98;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_92:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)], 0);
+goto label_95;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_95:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := 0];
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_96:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_97:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]];
+goto label_98;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_98:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2989.22$KeyboardClassUnload$4)]);
+goto label_101;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3069)
+label_101:
+$data$3$2989.22$KeyboardClassUnload$4 := 0 ;
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3072)
+label_102:
+call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(RegistryPath__GLOBALS(Globals))], 0);
+goto label_105;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3073)
+label_105:
+goto label_105_true , label_105_false ;
+
+
+label_105_true :
+assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] != 0);
+goto label_106;
+
+
+label_105_false :
+assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] == 0);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3075)
+label_106:
+goto label_107;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
+label_107:
+$i$8$3075.14$KeyboardClassUnload$4 := 0 ;
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
+label_108:
+// loop entry initialization...
+LOOP_108_alloc := alloc;
+LOOP_108_Mem := Mem;
+LOOP_108_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_108_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_108_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_108_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_108_head;
+
+
+label_108_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), 1)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> (true))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires __preserves_resource("DEV_OBJ_INIT")
+assert(Res_DEV_OBJ_INIT == LOOP_108_Res_DEV_OBJ_INIT);
+//TAG: requires __preserves_resource("DEV_EXTN")
+assert(Res_DEV_EXTN == LOOP_108_Res_DEV_EXTN);
+//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+assert(Mem[T.Flink__LIST_ENTRY] == LOOP_108_Mem[T.Flink__LIST_ENTRY]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_108_alloc[Base(f)] == UNALLOCATED || LOOP_108_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_108_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_108_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_108_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_108_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_108_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_f19b65c1] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m] == LOOP_108_Mem[T.CurrentStackLocation___unnamed_4_f19b65c1][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_108_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_108_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_108_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_108_true , label_108_false ;
+
+
+label_108_true :
+assume ($i$8$3075.14$KeyboardClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
+goto label_109;
+
+
+label_108_false :
+assume !($i$8$3075.14$KeyboardClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
+goto label_128;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
+label_109:
+goto label_109_true , label_109_false ;
+
+
+label_109_true :
+assume (Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 1);
+goto label_115;
+
+
+label_109_false :
+assume !(Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 1);
+goto label_113;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
+label_110:
+// skip RtlAssert
+goto label_115;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
+label_113:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$13$ := havoc_stringTemp ;
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3078)
+label_114:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$14$ := havoc_stringTemp ;
+goto label_110;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
+label_115:
+goto label_115_true , label_115_false ;
+
+
+label_115_true :
+assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] != 0);
+goto label_119;
+
+
+label_115_false :
+assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 0);
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
+label_116:
+// skip RtlAssert
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
+label_119:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$15$ := havoc_stringTemp ;
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3079)
+label_120:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$16$ := havoc_stringTemp ;
+goto label_116;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
+label_121:
+goto label_121_true , label_121_false ;
+
+
+label_121_true :
+assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] != 0);
+goto label_125;
+
+
+label_121_false :
+assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$3075.14$KeyboardClassUnload$4))] == 0);
+goto label_127;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
+label_122:
+// skip RtlAssert
+goto label_127;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
+label_125:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$17$ := havoc_stringTemp ;
+goto label_126;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3080)
+label_126:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$18$ := havoc_stringTemp ;
+goto label_122;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3077)
+label_127:
+$i$8$3075.14$KeyboardClassUnload$4 := PLUS($i$8$3075.14$KeyboardClassUnload$4, 1, 1) ;
+goto label_108_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3084)
+label_128:
+call ExFreePoolWithTag (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 0);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3087)
+label_131:
+// skip KbdDebugPrint
+goto label_1;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\kbdclass_fbl_fbs_dev2_ntfs\kbdclass.c(3087)
+label_134:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$KbdDebugPrint.arg.2$19$ := havoc_stringTemp ;
+goto label_131;
+
+}
+
diff --git a/Test/havoc0/MouCreateClassObject.bpl b/Test/havoc0/MouCreateClassObject.bpl
index 98a0fda6..ed8e60b2 100644
--- a/Test/havoc0/MouCreateClassObject.bpl
+++ b/Test/havoc0/MouCreateClassObject.bpl
@@ -1,4920 +1,4920 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.MouseAttributes__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
-const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
-const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
-const unique T.UnitId__MOUSE_INPUT_DATA:name;
-const unique T.Flags__MOUSE_INPUT_DATA:name;
-const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
-const unique T.RawButtons__MOUSE_INPUT_DATA:name;
-const unique T.LastX__MOUSE_INPUT_DATA:name;
-const unique T.LastY__MOUSE_INPUT_DATA:name;
-const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Start___unnamed_12_06b9ee6e:name;
-const unique T.Length48___unnamed_12_06b9ee6e:name;
-const unique T.Start___unnamed_12_0882bd02:name;
-const unique T.Length64___unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
-const unique T.Raw___unnamed_12_2e80217b:name;
-const unique T.Translated___unnamed_12_2e80217b:name;
-const unique T.Data___unnamed_12_5cc7ace2:name;
-const unique T.Channel___unnamed_12_6374506e:name;
-const unique T.Port___unnamed_12_6374506e:name;
-const unique T.Reserved1___unnamed_12_6374506e:name;
-const unique T.Priority___unnamed_12_68a4278e:name;
-const unique T.Reserved1___unnamed_12_68a4278e:name;
-const unique T.Reserved2___unnamed_12_68a4278e:name;
-const unique T.Generic___unnamed_12_79ed2653:name;
-const unique T.Port___unnamed_12_79ed2653:name;
-const unique T.Interrupt___unnamed_12_79ed2653:name;
-const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
-const unique T.Memory___unnamed_12_79ed2653:name;
-const unique T.Dma___unnamed_12_79ed2653:name;
-const unique T.DevicePrivate___unnamed_12_79ed2653:name;
-const unique T.BusNumber___unnamed_12_79ed2653:name;
-const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
-const unique T.Memory40___unnamed_12_79ed2653:name;
-const unique T.Memory48___unnamed_12_79ed2653:name;
-const unique T.Memory64___unnamed_12_79ed2653:name;
-const unique T.Start___unnamed_12_7da594c0:name;
-const unique T.Length40___unnamed_12_7da594c0:name;
-const unique T.Start___unnamed_12_9873e05d:name;
-const unique T.Length___unnamed_12_9873e05d:name;
-const unique T.DataSize___unnamed_12_9cc8cebc:name;
-const unique T.Reserved1___unnamed_12_9cc8cebc:name;
-const unique T.Reserved2___unnamed_12_9cc8cebc:name;
-const unique T.Start___unnamed_12_b98da82e:name;
-const unique T.Length___unnamed_12_b98da82e:name;
-const unique T.Level___unnamed_12_c2880e88:name;
-const unique T.Vector___unnamed_12_c2880e88:name;
-const unique T.Affinity___unnamed_12_c2880e88:name;
-const unique T.Start___unnamed_12_c49ab31a:name;
-const unique T.Length___unnamed_12_c49ab31a:name;
-const unique T.ListEntry___unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
-const unique T.Data___unnamed_12_ced61554:name;
-const unique T.Reserved___unnamed_12_d9c44df5:name;
-const unique T.MessageCount___unnamed_12_d9c44df5:name;
-const unique T.Vector___unnamed_12_d9c44df5:name;
-const unique T.Affinity___unnamed_12_d9c44df5:name;
-const unique T.Start___unnamed_12_db3dcbfc:name;
-const unique T.Length___unnamed_12_db3dcbfc:name;
-const unique T.Reserved___unnamed_12_db3dcbfc:name;
-const unique T.Level___unnamed_12_fb26b3fc:name;
-const unique T.Vector___unnamed_12_fb26b3fc:name;
-const unique T.Affinity___unnamed_12_fb26b3fc:name;
-const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
-const unique T.InputBufferLength___unnamed_16_22e4d054:name;
-const unique T.IoControlCode___unnamed_16_22e4d054:name;
-const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
-const unique T.Create___unnamed_16_39b626ad:name;
-const unique T.Read___unnamed_16_39b626ad:name;
-const unique T.Write___unnamed_16_39b626ad:name;
-const unique T.QueryDirectory___unnamed_16_39b626ad:name;
-const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
-const unique T.QueryFile___unnamed_16_39b626ad:name;
-const unique T.SetFile___unnamed_16_39b626ad:name;
-const unique T.QueryEa___unnamed_16_39b626ad:name;
-const unique T.SetEa___unnamed_16_39b626ad:name;
-const unique T.QueryVolume___unnamed_16_39b626ad:name;
-const unique T.SetVolume___unnamed_16_39b626ad:name;
-const unique T.FileSystemControl___unnamed_16_39b626ad:name;
-const unique T.LockControl___unnamed_16_39b626ad:name;
-const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
-const unique T.QuerySecurity___unnamed_16_39b626ad:name;
-const unique T.SetSecurity___unnamed_16_39b626ad:name;
-const unique T.MountVolume___unnamed_16_39b626ad:name;
-const unique T.VerifyVolume___unnamed_16_39b626ad:name;
-const unique T.Scsi___unnamed_16_39b626ad:name;
-const unique T.QueryQuota___unnamed_16_39b626ad:name;
-const unique T.SetQuota___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
-const unique T.QueryInterface___unnamed_16_39b626ad:name;
-const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
-const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
-const unique T.SetLock___unnamed_16_39b626ad:name;
-const unique T.QueryId___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
-const unique T.UsageNotification___unnamed_16_39b626ad:name;
-const unique T.WaitWake___unnamed_16_39b626ad:name;
-const unique T.PowerSequence___unnamed_16_39b626ad:name;
-const unique T.Power___unnamed_16_39b626ad:name;
-const unique T.StartDevice___unnamed_16_39b626ad:name;
-const unique T.WMI___unnamed_16_39b626ad:name;
-const unique T.Others___unnamed_16_39b626ad:name;
-const unique T.WhichSpace___unnamed_16_56c011d7:name;
-const unique T.Buffer___unnamed_16_56c011d7:name;
-const unique T.Offset___unnamed_16_56c011d7:name;
-const unique T.Length___unnamed_16_56c011d7:name;
-const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
-const unique T.Length___unnamed_16_6be9abe0:name;
-const unique T.FileName___unnamed_16_6be9abe0:name;
-const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
-const unique T.FileIndex___unnamed_16_6be9abe0:name;
-const unique T.InterfaceType___unnamed_16_78879a38:name;
-const unique T.Size___unnamed_16_78879a38:name;
-const unique T.Version___unnamed_16_78879a38:name;
-const unique T.Interface___unnamed_16_78879a38:name;
-const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
-const unique T.Length___unnamed_16_804a2f24:name;
-const unique T.StartSid___unnamed_16_804a2f24:name;
-const unique T.SidList___unnamed_16_804a2f24:name;
-const unique T.SidListLength___unnamed_16_804a2f24:name;
-const unique T.Argument1___unnamed_16_8586693f:name;
-const unique T.Argument2___unnamed_16_8586693f:name;
-const unique T.Argument3___unnamed_16_8586693f:name;
-const unique T.Argument4___unnamed_16_8586693f:name;
-const unique T.Length___unnamed_16_8831e65f:name;
-const unique T.Key___unnamed_16_8831e65f:name;
-const unique T.ByteOffset___unnamed_16_8831e65f:name;
-const unique T.SecurityContext___unnamed_16_8c2d663a:name;
-const unique T.Options___unnamed_16_8c2d663a:name;
-const unique T.FileAttributes___unnamed_16_8c2d663a:name;
-const unique T.ShareAccess___unnamed_16_8c2d663a:name;
-const unique T.EaLength___unnamed_16_8c2d663a:name;
-const unique T.Length___unnamed_16_913b9a7a:name;
-const unique T.Key___unnamed_16_913b9a7a:name;
-const unique T.ByteOffset___unnamed_16_913b9a7a:name;
-const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
-const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
-const unique T.Length___unnamed_16_a2fab4da:name;
-const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
-const unique T.FileObject___unnamed_16_a2fab4da:name;
-const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
-const unique T.DriverContext___unnamed_16_ae643f17:name;
-const unique T.Length___unnamed_16_c1b29316:name;
-const unique T.Key___unnamed_16_c1b29316:name;
-const unique T.ByteOffset___unnamed_16_c1b29316:name;
-const unique T.ProviderId___unnamed_16_cbd53ed4:name;
-const unique T.DataPath___unnamed_16_cbd53ed4:name;
-const unique T.BufferSize___unnamed_16_cbd53ed4:name;
-const unique T.Buffer___unnamed_16_cbd53ed4:name;
-const unique T.Length___unnamed_16_db70db6e:name;
-const unique T.MinBusNumber___unnamed_16_db70db6e:name;
-const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
-const unique T.Reserved___unnamed_16_db70db6e:name;
-const unique T.Length___unnamed_16_ef4b6307:name;
-const unique T.EaList___unnamed_16_ef4b6307:name;
-const unique T.EaListLength___unnamed_16_ef4b6307:name;
-const unique T.EaIndex___unnamed_16_ef4b6307:name;
-const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
-const unique T.Type___unnamed_16_fdda1f62:name;
-const unique T.State___unnamed_16_fdda1f62:name;
-const unique T.ShutdownType___unnamed_16_fdda1f62:name;
-const unique T.Lock___unnamed_1_1394de4b:name;
-const unique T.Abandoned___unnamed_1_2bb39c56:name;
-const unique T.Absolute___unnamed_1_2bb39c56:name;
-const unique T.NpxIrql___unnamed_1_2bb39c56:name;
-const unique T.Signalling___unnamed_1_2bb39c56:name;
-const unique T.Inserted___unnamed_1_9fa0583a:name;
-const unique T.DebugActive___unnamed_1_9fa0583a:name;
-const unique T.DpcActive___unnamed_1_9fa0583a:name;
-const unique T.Size___unnamed_1_e30779f5:name;
-const unique T.Hand___unnamed_1_e30779f5:name;
-const unique T.MinimumVector___unnamed_20_83d468e4:name;
-const unique T.MaximumVector___unnamed_20_83d468e4:name;
-const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
-const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
-const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
-const unique T.Length40___unnamed_24_035931da:name;
-const unique T.Alignment40___unnamed_24_035931da:name;
-const unique T.MinimumAddress___unnamed_24_035931da:name;
-const unique T.MaximumAddress___unnamed_24_035931da:name;
-const unique T.Length___unnamed_24_38e128db:name;
-const unique T.Alignment___unnamed_24_38e128db:name;
-const unique T.MinimumAddress___unnamed_24_38e128db:name;
-const unique T.MaximumAddress___unnamed_24_38e128db:name;
-const unique T.Length___unnamed_24_9500ea34:name;
-const unique T.Alignment___unnamed_24_9500ea34:name;
-const unique T.MinimumAddress___unnamed_24_9500ea34:name;
-const unique T.MaximumAddress___unnamed_24_9500ea34:name;
-const unique T.Length___unnamed_24_9734802c:name;
-const unique T.Alignment___unnamed_24_9734802c:name;
-const unique T.MinimumAddress___unnamed_24_9734802c:name;
-const unique T.MaximumAddress___unnamed_24_9734802c:name;
-const unique T.Length64___unnamed_24_af62813f:name;
-const unique T.Alignment64___unnamed_24_af62813f:name;
-const unique T.MinimumAddress___unnamed_24_af62813f:name;
-const unique T.MaximumAddress___unnamed_24_af62813f:name;
-const unique T.Length48___unnamed_24_c0555099:name;
-const unique T.Alignment48___unnamed_24_c0555099:name;
-const unique T.MinimumAddress___unnamed_24_c0555099:name;
-const unique T.MaximumAddress___unnamed_24_c0555099:name;
-const unique T.Port___unnamed_24_d7c4ec3a:name;
-const unique T.Memory___unnamed_24_d7c4ec3a:name;
-const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
-const unique T.Dma___unnamed_24_d7c4ec3a:name;
-const unique T.Generic___unnamed_24_d7c4ec3a:name;
-const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
-const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
-const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
-const unique T.Memory40___unnamed_24_d7c4ec3a:name;
-const unique T.Memory48___unnamed_24_d7c4ec3a:name;
-const unique T.Memory64___unnamed_24_d7c4ec3a:name;
-const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
-const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
-const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
-const unique T.Thread___unnamed_40_a0414182:name;
-const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
-const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
-const unique T.OriginalFileObject___unnamed_40_a0414182:name;
-const unique T.ListEntry___unnamed_40_d90496f4:name;
-const unique T.Wcb___unnamed_40_d90496f4:name;
-const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.Overlay___unnamed_48_c1da9fa5:name;
-const unique T.Apc___unnamed_48_c1da9fa5:name;
-const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
-const unique T.PowerSequence___unnamed_4_0510b147:name;
-const unique T.Length___unnamed_4_0a569078:name;
-const unique T.Status___unnamed_4_16aff58e:name;
-const unique T.Pointer___unnamed_4_16aff58e:name;
-const unique T.IdType___unnamed_4_40bf8e34:name;
-const unique T.Address___unnamed_4_46b62f69:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
-const unique T.Capabilities___unnamed_4_73d46255:name;
-const unique T.Srb___unnamed_4_765e3037:name;
-const unique T.Type___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
-const unique T.PowerState___unnamed_4_8dd73d30:name;
-const unique T.Type___unnamed_4_957e0d74:name;
-const unique T.Buttons___unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
-const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
-const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
-const unique T.PacketType___unnamed_4_a7aa989c:name;
-const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
-const unique T.ClusterCount___unnamed_4_a7d0864c:name;
-const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
-const unique T.Length___unnamed_4_aa20b426:name;
-const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
-const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
-const unique T.Reserved1___unnamed_4_b016b1e1:name;
-const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
-const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
-const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
-const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
-const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
-const unique T.Reserved2___unnamed_4_b016b1e1:name;
-const unique T.SystemContext___unnamed_4_b060dea6:name;
-const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
-const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
-const unique T.ButtonFlags___unnamed_4_b5247f10:name;
-const unique T.ButtonData___unnamed_4_b5247f10:name;
-const unique T.OwnerCount___unnamed_4_c1e23b02:name;
-const unique T.TableSize___unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
-const unique T.Lock___unnamed_4_c9b2e921:name;
-const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
-const unique T.IrpCount___unnamed_4_fa7b96a7:name;
-const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
-const unique T.Vpb___unnamed_8_09ad2712:name;
-const unique T.DeviceObject___unnamed_8_09ad2712:name;
-const unique T.Length___unnamed_8_21ac1dba:name;
-const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
-const unique T.Length___unnamed_8_27d3ab76:name;
-const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
-const unique T.Vpb___unnamed_8_4289df81:name;
-const unique T.DeviceObject___unnamed_8_4289df81:name;
-const unique T.Length___unnamed_8_47b72724:name;
-const unique T.FileInformationClass___unnamed_8_47b72724:name;
-const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
-const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
-const unique T.UserApcContext___unnamed_8_4f695993:name;
-const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
-const unique T.SecurityInformation___unnamed_8_606438c5:name;
-const unique T.Length___unnamed_8_606438c5:name;
-const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
-const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
-const unique T.Length___unnamed_8_805045cb:name;
-const unique T.FsInformationClass___unnamed_8_805045cb:name;
-const unique T.LowPart___unnamed_8_8684a3e7:name;
-const unique T.HighPart___unnamed_8_8684a3e7:name;
-const unique T.SecurityInformation___unnamed_8_8cc410da:name;
-const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
-const unique T.InPath___unnamed_8_a47253e0:name;
-const unique T.Reserved___unnamed_8_a47253e0:name;
-const unique T.Type___unnamed_8_a47253e0:name;
-const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
-const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
-const unique T.LowPart___unnamed_8_c9ca8234:name;
-const unique T.HighPart___unnamed_8_c9ca8234:name;
-
-// Type declarations
-
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A2UINT2:name;
-const unique T.A32UINT2:name;
-const unique T.A37CHAR:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A40CHAR:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A65CHAR:name;
-const unique T.A75CHAR:name;
-const unique T.A76CHAR:name;
-const unique T.A7UINT2:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A80CHAR:name;
-const unique T.A8UCHAR:name;
-const unique T.A9UINT2:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA2UINT2:name;
-const unique T.PA37CHAR:name;
-const unique T.PA40CHAR:name;
-const unique T.PA4UINT4:name;
-const unique T.PA65CHAR:name;
-const unique T.PA75CHAR:name;
-const unique T.PA76CHAR:name;
-const unique T.PA7UINT2:name;
-const unique T.PA80CHAR:name;
-const unique T.PA9UINT2:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPPUINT2:name;
-const unique T.PPP_DEVICE_OBJECT:name;
-const unique T.PPUINT2:name;
-const unique T.PPUINT4:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FAST_MUTEX:name;
-const unique T.PP_IO_REMOVE_LOCK:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FAST_MUTEX:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_MOUSE_INPUT_DATA:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_POOL_TYPE:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._MOUSE_ATTRIBUTES:name;
-const unique T._MOUSE_INPUT_DATA:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._POOL_TYPE:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_06b9ee6e:name;
-const unique T.__unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_264d0dab:name;
-const unique T.__unnamed_12_2e80217b:name;
-const unique T.__unnamed_12_5cc7ace2:name;
-const unique T.__unnamed_12_6374506e:name;
-const unique T.__unnamed_12_68a4278e:name;
-const unique T.__unnamed_12_79ed2653:name;
-const unique T.__unnamed_12_7da594c0:name;
-const unique T.__unnamed_12_9873e05d:name;
-const unique T.__unnamed_12_9cc8cebc:name;
-const unique T.__unnamed_12_b98da82e:name;
-const unique T.__unnamed_12_c2880e88:name;
-const unique T.__unnamed_12_c49ab31a:name;
-const unique T.__unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_12_ced61554:name;
-const unique T.__unnamed_12_d9c44df5:name;
-const unique T.__unnamed_12_db3dcbfc:name;
-const unique T.__unnamed_12_fb26b3fc:name;
-const unique T.__unnamed_16_22e4d054:name;
-const unique T.__unnamed_16_39b626ad:name;
-const unique T.__unnamed_16_56c011d7:name;
-const unique T.__unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_6be9abe0:name;
-const unique T.__unnamed_16_78879a38:name;
-const unique T.__unnamed_16_804a2f24:name;
-const unique T.__unnamed_16_8586693f:name;
-const unique T.__unnamed_16_8831e65f:name;
-const unique T.__unnamed_16_8c2d663a:name;
-const unique T.__unnamed_16_913b9a7a:name;
-const unique T.__unnamed_16_94d1d1c7:name;
-const unique T.__unnamed_16_a2fab4da:name;
-const unique T.__unnamed_16_ae643f17:name;
-const unique T.__unnamed_16_c1b29316:name;
-const unique T.__unnamed_16_cbd53ed4:name;
-const unique T.__unnamed_16_db70db6e:name;
-const unique T.__unnamed_16_ef4b6307:name;
-const unique T.__unnamed_16_fdda1f62:name;
-const unique T.__unnamed_1_1394de4b:name;
-const unique T.__unnamed_1_2bb39c56:name;
-const unique T.__unnamed_1_9fa0583a:name;
-const unique T.__unnamed_1_e30779f5:name;
-const unique T.__unnamed_20_83d468e4:name;
-const unique T.__unnamed_24_035931da:name;
-const unique T.__unnamed_24_38e128db:name;
-const unique T.__unnamed_24_9500ea34:name;
-const unique T.__unnamed_24_9734802c:name;
-const unique T.__unnamed_24_af62813f:name;
-const unique T.__unnamed_24_c0555099:name;
-const unique T.__unnamed_24_d7c4ec3a:name;
-const unique T.__unnamed_2_196a7f56:name;
-const unique T.__unnamed_40_a0414182:name;
-const unique T.__unnamed_40_d90496f4:name;
-const unique T.__unnamed_44_a7026dca:name;
-const unique T.__unnamed_48_c1da9fa5:name;
-const unique T.__unnamed_4_0510b147:name;
-const unique T.__unnamed_4_0a569078:name;
-const unique T.__unnamed_4_16aff58e:name;
-const unique T.__unnamed_4_40bf8e34:name;
-const unique T.__unnamed_4_46b62f69:name;
-const unique T.__unnamed_4_73d46255:name;
-const unique T.__unnamed_4_765e3037:name;
-const unique T.__unnamed_4_846adf3f:name;
-const unique T.__unnamed_4_8dd73d30:name;
-const unique T.__unnamed_4_957e0d74:name;
-const unique T.__unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_a58d40c8:name;
-const unique T.__unnamed_4_a7aa989c:name;
-const unique T.__unnamed_4_a7d0864c:name;
-const unique T.__unnamed_4_aa20b426:name;
-const unique T.__unnamed_4_ab87ddfd:name;
-const unique T.__unnamed_4_b016b1e1:name;
-const unique T.__unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b4f5a780:name;
-const unique T.__unnamed_4_b5247f10:name;
-const unique T.__unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_c9b2e921:name;
-const unique T.__unnamed_4_fa7b96a7:name;
-const unique T.__unnamed_8_09ad2712:name;
-const unique T.__unnamed_8_21ac1dba:name;
-const unique T.__unnamed_8_27d3ab76:name;
-const unique T.__unnamed_8_4289df81:name;
-const unique T.__unnamed_8_47b72724:name;
-const unique T.__unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_8_4f695993:name;
-const unique T.__unnamed_8_5cfb6ca4:name;
-const unique T.__unnamed_8_606438c5:name;
-const unique T.__unnamed_8_6ad774c0:name;
-const unique T.__unnamed_8_805045cb:name;
-const unique T.__unnamed_8_8684a3e7:name;
-const unique T.__unnamed_8_8cc410da:name;
-const unique T.__unnamed_8_a47253e0:name;
-const unique T.__unnamed_8_bbd07f6c:name;
-const unique T.__unnamed_8_c9ca8234:name;
-
-function Abandoned___unnamed_1_2bb39c56(int) returns (int);
-function Abandoned___unnamed_1_2bb39c56Inv(int) returns (int);
-function _S_Abandoned___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
-function _S_Abandoned___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(Abandoned___unnamed_1_2bb39c56(x))} Abandoned___unnamed_1_2bb39c56Inv(Abandoned___unnamed_1_2bb39c56(x)) == x);
-axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(x)} Abandoned___unnamed_1_2bb39c56(Abandoned___unnamed_1_2bb39c56Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_2bb39c56(S)[x]} _S_Abandoned___unnamed_1_2bb39c56(S)[x] <==> S[Abandoned___unnamed_1_2bb39c56Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_2bb39c56Inv(S)[x]} _S_Abandoned___unnamed_1_2bb39c56Inv(S)[x] <==> S[Abandoned___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_2bb39c56(S)} S[x] ==> _S_Abandoned___unnamed_1_2bb39c56(S)[Abandoned___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Abandoned___unnamed_1_2bb39c56Inv(S)[Abandoned___unnamed_1_2bb39c56Inv(x)]);
-
-axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56(x)} Abandoned___unnamed_1_2bb39c56(x) == x + 0);
-axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(x)} Abandoned___unnamed_1_2bb39c56Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Abandoned___unnamed_1_2bb39c56Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Abandoned___unnamed_1_2bb39c56Inv(x));
-function Absolute___unnamed_1_2bb39c56(int) returns (int);
-function Absolute___unnamed_1_2bb39c56Inv(int) returns (int);
-function _S_Absolute___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
-function _S_Absolute___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(Absolute___unnamed_1_2bb39c56(x))} Absolute___unnamed_1_2bb39c56Inv(Absolute___unnamed_1_2bb39c56(x)) == x);
-axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(x)} Absolute___unnamed_1_2bb39c56(Absolute___unnamed_1_2bb39c56Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_2bb39c56(S)[x]} _S_Absolute___unnamed_1_2bb39c56(S)[x] <==> S[Absolute___unnamed_1_2bb39c56Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_2bb39c56Inv(S)[x]} _S_Absolute___unnamed_1_2bb39c56Inv(S)[x] <==> S[Absolute___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_2bb39c56(S)} S[x] ==> _S_Absolute___unnamed_1_2bb39c56(S)[Absolute___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Absolute___unnamed_1_2bb39c56Inv(S)[Absolute___unnamed_1_2bb39c56Inv(x)]);
-
-axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56(x)} Absolute___unnamed_1_2bb39c56(x) == x + 0);
-axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(x)} Absolute___unnamed_1_2bb39c56Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Absolute___unnamed_1_2bb39c56Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Absolute___unnamed_1_2bb39c56Inv(x));
-function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x))} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 16);
-axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function BaseClassName__GLOBALS(int) returns (int);
-function BaseClassName__GLOBALSInv(int) returns (int);
-function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
-function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 344);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 344);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1) == BaseClassName__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 344)} MINUS_LEFT_PTR(x, 1, 344) == BaseClassName__GLOBALSInv(x));
-function Blink__LIST_ENTRY(int) returns (int);
-function Blink__LIST_ENTRYInv(int) returns (int);
-function _S_Blink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Blink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x))} Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRY(Blink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRY(S)[x]} _S_Blink__LIST_ENTRY(S)[x] <==> S[Blink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRYInv(S)[x]} _S_Blink__LIST_ENTRYInv(S)[x] <==> S[Blink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRY(S)} S[x] ==> _S_Blink__LIST_ENTRY(S)[Blink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRYInv(S)} S[x] ==> _S_Blink__LIST_ENTRYInv(S)[Blink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
-axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRYInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Blink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Blink__LIST_ENTRYInv(x));
-function Blocks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x))} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 56);
-axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 56);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function Common__IO_REMOVE_LOCK(int) returns (int);
-function Common__IO_REMOVE_LOCKInv(int) returns (int);
-function _S_Common__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
-function _S_Common__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x))} Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x)) == x);
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCK(Common__IO_REMOVE_LOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCK(S)[x]} _S_Common__IO_REMOVE_LOCK(S)[x] <==> S[Common__IO_REMOVE_LOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCKInv(S)[x]} _S_Common__IO_REMOVE_LOCKInv(S)[x] <==> S[Common__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCK(S)} S[x] ==> _S_Common__IO_REMOVE_LOCK(S)[Common__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Common__IO_REMOVE_LOCKInv(S)[Common__IO_REMOVE_LOCKInv(x)]);
-
-axiom (forall x:int :: {Common__IO_REMOVE_LOCK(x)} Common__IO_REMOVE_LOCK(x) == x + 0);
-axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Common__IO_REMOVE_LOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Common__IO_REMOVE_LOCKInv(x));
-function ConnectOneClassToOnePort__GLOBALS(int) returns (int);
-function ConnectOneClassToOnePort__GLOBALSInv(int) returns (int);
-function _S_ConnectOneClassToOnePort__GLOBALS([int]bool) returns ([int]bool);
-function _S_ConnectOneClassToOnePort__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x))} ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x)) == x);
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALS(ConnectOneClassToOnePort__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALS(S)[x]} _S_ConnectOneClassToOnePort__GLOBALS(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALSInv(S)[x]} _S_ConnectOneClassToOnePort__GLOBALSInv(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALS(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALS(S)[ConnectOneClassToOnePort__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALSInv(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALSInv(S)[ConnectOneClassToOnePort__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALS(x)} ConnectOneClassToOnePort__GLOBALS(x) == x + 56);
-axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALSInv(x) == x - 56);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == ConnectOneClassToOnePort__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == ConnectOneClassToOnePort__GLOBALSInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function Dbg__IO_REMOVE_LOCK(int) returns (int);
-function Dbg__IO_REMOVE_LOCKInv(int) returns (int);
-function _S_Dbg__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
-function _S_Dbg__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x))} Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x)) == x);
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCK(Dbg__IO_REMOVE_LOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCK(S)[x]} _S_Dbg__IO_REMOVE_LOCK(S)[x] <==> S[Dbg__IO_REMOVE_LOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCKInv(S)[x]} _S_Dbg__IO_REMOVE_LOCKInv(S)[x] <==> S[Dbg__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCK(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCK(S)[Dbg__IO_REMOVE_LOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCKInv(S)[Dbg__IO_REMOVE_LOCKInv(x)]);
-
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCK(x)} Dbg__IO_REMOVE_LOCK(x) == x + 24);
-axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCKInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Dbg__IO_REMOVE_LOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Dbg__IO_REMOVE_LOCKInv(x));
-function DebugActive___unnamed_1_9fa0583a(int) returns (int);
-function DebugActive___unnamed_1_9fa0583aInv(int) returns (int);
-function _S_DebugActive___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
-function _S_DebugActive___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(DebugActive___unnamed_1_9fa0583a(x))} DebugActive___unnamed_1_9fa0583aInv(DebugActive___unnamed_1_9fa0583a(x)) == x);
-axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(x)} DebugActive___unnamed_1_9fa0583a(DebugActive___unnamed_1_9fa0583aInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_9fa0583a(S)[x]} _S_DebugActive___unnamed_1_9fa0583a(S)[x] <==> S[DebugActive___unnamed_1_9fa0583aInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_9fa0583aInv(S)[x]} _S_DebugActive___unnamed_1_9fa0583aInv(S)[x] <==> S[DebugActive___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_9fa0583a(S)} S[x] ==> _S_DebugActive___unnamed_1_9fa0583a(S)[DebugActive___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_DebugActive___unnamed_1_9fa0583aInv(S)[DebugActive___unnamed_1_9fa0583aInv(x)]);
-
-axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583a(x)} DebugActive___unnamed_1_9fa0583a(x) == x + 0);
-axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(x)} DebugActive___unnamed_1_9fa0583aInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DebugActive___unnamed_1_9fa0583aInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DebugActive___unnamed_1_9fa0583aInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function DeviceState__DEVICE_EXTENSION(int) returns (int);
-function DeviceState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x))} DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSION(DeviceState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSION(S)[x]} _S_DeviceState__DEVICE_EXTENSION(S)[x] <==> S[DeviceState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_DeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[DeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSION(S)[DeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSIONInv(S)[DeviceState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSION(x)} DeviceState__DEVICE_EXTENSION(x) == x + 168);
-axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSIONInv(x) == x - 168);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1) == DeviceState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 168)} MINUS_LEFT_PTR(x, 1, 168) == DeviceState__DEVICE_EXTENSIONInv(x));
-function DpcActive___unnamed_1_9fa0583a(int) returns (int);
-function DpcActive___unnamed_1_9fa0583aInv(int) returns (int);
-function _S_DpcActive___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
-function _S_DpcActive___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(DpcActive___unnamed_1_9fa0583a(x))} DpcActive___unnamed_1_9fa0583aInv(DpcActive___unnamed_1_9fa0583a(x)) == x);
-axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(x)} DpcActive___unnamed_1_9fa0583a(DpcActive___unnamed_1_9fa0583aInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_9fa0583a(S)[x]} _S_DpcActive___unnamed_1_9fa0583a(S)[x] <==> S[DpcActive___unnamed_1_9fa0583aInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_9fa0583aInv(S)[x]} _S_DpcActive___unnamed_1_9fa0583aInv(S)[x] <==> S[DpcActive___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_9fa0583a(S)} S[x] ==> _S_DpcActive___unnamed_1_9fa0583a(S)[DpcActive___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_DpcActive___unnamed_1_9fa0583aInv(S)[DpcActive___unnamed_1_9fa0583aInv(x)]);
-
-axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583a(x)} DpcActive___unnamed_1_9fa0583a(x) == x + 0);
-axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(x)} DpcActive___unnamed_1_9fa0583aInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DpcActive___unnamed_1_9fa0583aInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DpcActive___unnamed_1_9fa0583aInv(x));
-function Enabled__DEVICE_EXTENSION(int) returns (int);
-function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 264);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 264);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == Enabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == Enabled__DEVICE_EXTENSIONInv(x));
-function ExecuteWmiMethod__WMILIB_CONTEXT(int) returns (int);
-function ExecuteWmiMethod__WMILIB_CONTEXTInv(int) returns (int);
-function _S_ExecuteWmiMethod__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_ExecuteWmiMethod__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x))} ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXT(ExecuteWmiMethod__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXT(x)} ExecuteWmiMethod__WMILIB_CONTEXT(x) == x + 24);
-axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXTInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
-function ExtraWaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
-function ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_ExtraWaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x))} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSION(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(x) == x + 244);
-axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 244);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 244, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 244, 1) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 244)} MINUS_LEFT_PTR(x, 1, 244) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
-function Flags__DEVICE_OBJECT(int) returns (int);
-function Flags__DEVICE_OBJECTInv(int) returns (int);
-function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function GuidCount__WMILIB_CONTEXT(int) returns (int);
-function GuidCount__WMILIB_CONTEXTInv(int) returns (int);
-function _S_GuidCount__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_GuidCount__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x))} GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXT(GuidCount__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXT(S)[x]} _S_GuidCount__WMILIB_CONTEXT(S)[x] <==> S[GuidCount__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXTInv(S)[x]} _S_GuidCount__WMILIB_CONTEXTInv(S)[x] <==> S[GuidCount__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXT(S)[GuidCount__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXTInv(S)[GuidCount__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXT(x)} GuidCount__WMILIB_CONTEXT(x) == x + 0);
-axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == GuidCount__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == GuidCount__WMILIB_CONTEXTInv(x));
-function GuidList__WMILIB_CONTEXT(int) returns (int);
-function GuidList__WMILIB_CONTEXTInv(int) returns (int);
-function _S_GuidList__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_GuidList__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x))} GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXT(GuidList__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXT(S)[x]} _S_GuidList__WMILIB_CONTEXT(S)[x] <==> S[GuidList__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXTInv(S)[x]} _S_GuidList__WMILIB_CONTEXTInv(S)[x] <==> S[GuidList__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXT(S)[GuidList__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXTInv(S)[GuidList__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXT(x)} GuidList__WMILIB_CONTEXT(x) == x + 4);
-axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GuidList__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GuidList__WMILIB_CONTEXTInv(x));
-function Hand___unnamed_1_e30779f5(int) returns (int);
-function Hand___unnamed_1_e30779f5Inv(int) returns (int);
-function _S_Hand___unnamed_1_e30779f5([int]bool) returns ([int]bool);
-function _S_Hand___unnamed_1_e30779f5Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(Hand___unnamed_1_e30779f5(x))} Hand___unnamed_1_e30779f5Inv(Hand___unnamed_1_e30779f5(x)) == x);
-axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(x)} Hand___unnamed_1_e30779f5(Hand___unnamed_1_e30779f5Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_e30779f5(S)[x]} _S_Hand___unnamed_1_e30779f5(S)[x] <==> S[Hand___unnamed_1_e30779f5Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_e30779f5Inv(S)[x]} _S_Hand___unnamed_1_e30779f5Inv(S)[x] <==> S[Hand___unnamed_1_e30779f5(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_e30779f5(S)} S[x] ==> _S_Hand___unnamed_1_e30779f5(S)[Hand___unnamed_1_e30779f5(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_e30779f5Inv(S)} S[x] ==> _S_Hand___unnamed_1_e30779f5Inv(S)[Hand___unnamed_1_e30779f5Inv(x)]);
-
-axiom (forall x:int :: {Hand___unnamed_1_e30779f5(x)} Hand___unnamed_1_e30779f5(x) == x + 0);
-axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(x)} Hand___unnamed_1_e30779f5Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Hand___unnamed_1_e30779f5Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Hand___unnamed_1_e30779f5Inv(x));
-function Header__KEVENT(int) returns (int);
-function Header__KEVENTInv(int) returns (int);
-function _S_Header__KEVENT([int]bool) returns ([int]bool);
-function _S_Header__KEVENTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Header__KEVENTInv(Header__KEVENT(x))} Header__KEVENTInv(Header__KEVENT(x)) == x);
-axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENT(Header__KEVENTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENT(S)[x]} _S_Header__KEVENT(S)[x] <==> S[Header__KEVENTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENTInv(S)[x]} _S_Header__KEVENTInv(S)[x] <==> S[Header__KEVENT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENT(S)} S[x] ==> _S_Header__KEVENT(S)[Header__KEVENT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENTInv(S)} S[x] ==> _S_Header__KEVENTInv(S)[Header__KEVENTInv(x)]);
-
-axiom (forall x:int :: {Header__KEVENT(x)} Header__KEVENT(x) == x + 0);
-axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Header__KEVENTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Header__KEVENTInv(x));
-function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x))} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 4);
-axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function InputCount__DEVICE_EXTENSION(int) returns (int);
-function InputCount__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x))} InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSION(InputCount__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSION(S)[x]} _S_InputCount__DEVICE_EXTENSION(S)[x] <==> S[InputCount__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSIONInv(S)[x]} _S_InputCount__DEVICE_EXTENSIONInv(S)[x] <==> S[InputCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSION(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSION(S)[InputCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSIONInv(S)[InputCount__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSION(x)} InputCount__DEVICE_EXTENSION(x) == x + 116);
-axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSIONInv(x) == x - 116);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1) == InputCount__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 116)} MINUS_LEFT_PTR(x, 1, 116) == InputCount__DEVICE_EXTENSIONInv(x));
-function InputDataQueueLength__MOUSE_ATTRIBUTES(int) returns (int);
-function InputDataQueueLength__MOUSE_ATTRIBUTESInv(int) returns (int);
-function _S_InputDataQueueLength__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(InputDataQueueLength__MOUSE_ATTRIBUTES(x))} InputDataQueueLength__MOUSE_ATTRIBUTESInv(InputDataQueueLength__MOUSE_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)} InputDataQueueLength__MOUSE_ATTRIBUTES(InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[x]} _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[x] <==> S[InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[x]} _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[x] <==> S[InputDataQueueLength__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[InputDataQueueLength__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTES(x)} InputDataQueueLength__MOUSE_ATTRIBUTES(x) == x + 8);
-axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)} InputDataQueueLength__MOUSE_ATTRIBUTESInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == InputDataQueueLength__MOUSE_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == InputDataQueueLength__MOUSE_ATTRIBUTESInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function Inserted___unnamed_1_9fa0583a(int) returns (int);
-function Inserted___unnamed_1_9fa0583aInv(int) returns (int);
-function _S_Inserted___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
-function _S_Inserted___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(Inserted___unnamed_1_9fa0583a(x))} Inserted___unnamed_1_9fa0583aInv(Inserted___unnamed_1_9fa0583a(x)) == x);
-axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(x)} Inserted___unnamed_1_9fa0583a(Inserted___unnamed_1_9fa0583aInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_9fa0583a(S)[x]} _S_Inserted___unnamed_1_9fa0583a(S)[x] <==> S[Inserted___unnamed_1_9fa0583aInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_9fa0583aInv(S)[x]} _S_Inserted___unnamed_1_9fa0583aInv(S)[x] <==> S[Inserted___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_9fa0583a(S)} S[x] ==> _S_Inserted___unnamed_1_9fa0583a(S)[Inserted___unnamed_1_9fa0583a(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_Inserted___unnamed_1_9fa0583aInv(S)[Inserted___unnamed_1_9fa0583aInv(x)]);
-
-axiom (forall x:int :: {Inserted___unnamed_1_9fa0583a(x)} Inserted___unnamed_1_9fa0583a(x) == x + 0);
-axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(x)} Inserted___unnamed_1_9fa0583aInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Inserted___unnamed_1_9fa0583aInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Inserted___unnamed_1_9fa0583aInv(x));
-function IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x))} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 4);
-axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
-function Length__UNICODE_STRING(int) returns (int);
-function Length__UNICODE_STRINGInv(int) returns (int);
-function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
-function LockList__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x))} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 20);
-axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Lock___unnamed_4_c9b2e921(int) returns (int);
-function Lock___unnamed_4_c9b2e921Inv(int) returns (int);
-function _S_Lock___unnamed_4_c9b2e921([int]bool) returns ([int]bool);
-function _S_Lock___unnamed_4_c9b2e921Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(Lock___unnamed_4_c9b2e921(x))} Lock___unnamed_4_c9b2e921Inv(Lock___unnamed_4_c9b2e921(x)) == x);
-axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(x)} Lock___unnamed_4_c9b2e921(Lock___unnamed_4_c9b2e921Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_c9b2e921(S)[x]} _S_Lock___unnamed_4_c9b2e921(S)[x] <==> S[Lock___unnamed_4_c9b2e921Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_c9b2e921Inv(S)[x]} _S_Lock___unnamed_4_c9b2e921Inv(S)[x] <==> S[Lock___unnamed_4_c9b2e921(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_c9b2e921(S)} S[x] ==> _S_Lock___unnamed_4_c9b2e921(S)[Lock___unnamed_4_c9b2e921(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_c9b2e921Inv(S)} S[x] ==> _S_Lock___unnamed_4_c9b2e921Inv(S)[Lock___unnamed_4_c9b2e921Inv(x)]);
-
-axiom (forall x:int :: {Lock___unnamed_4_c9b2e921(x)} Lock___unnamed_4_c9b2e921(x) == x + 0);
-axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(x)} Lock___unnamed_4_c9b2e921Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Lock___unnamed_4_c9b2e921Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Lock___unnamed_4_c9b2e921Inv(x));
-function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x))} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 32);
-axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 32);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 32)} MINUS_LEFT_PTR(x, 1, 32) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x))} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 8);
-axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function MaximumLength__UNICODE_STRING(int) returns (int);
-function MaximumLength__UNICODE_STRINGInv(int) returns (int);
-function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
-function MinDeviceWakeState__DEVICE_EXTENSION(int) returns (int);
-function MinDeviceWakeState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_MinDeviceWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_MinDeviceWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x))} MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSION(MinDeviceWakeState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSION(x)} MinDeviceWakeState__DEVICE_EXTENSION(x) == x + 232);
-axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSIONInv(x) == x - 232);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 232)} MINUS_LEFT_PTR(x, 1, 232) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
-function MinSystemWakeState__DEVICE_EXTENSION(int) returns (int);
-function MinSystemWakeState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_MinSystemWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_MinSystemWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x))} MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSION(MinSystemWakeState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSION(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSION(S)[MinSystemWakeState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSION(x)} MinSystemWakeState__DEVICE_EXTENSION(x) == x + 236);
-axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSIONInv(x) == x - 236);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 236, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 236, 1) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 236)} MINUS_LEFT_PTR(x, 1, 236) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
-function MouseAttributes__DEVICE_EXTENSION(int) returns (int);
-function MouseAttributes__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_MouseAttributes__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_MouseAttributes__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(MouseAttributes__DEVICE_EXTENSION(x))} MouseAttributes__DEVICE_EXTENSIONInv(MouseAttributes__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(x)} MouseAttributes__DEVICE_EXTENSION(MouseAttributes__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MouseAttributes__DEVICE_EXTENSION(S)[x]} _S_MouseAttributes__DEVICE_EXTENSION(S)[x] <==> S[MouseAttributes__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MouseAttributes__DEVICE_EXTENSIONInv(S)[x]} _S_MouseAttributes__DEVICE_EXTENSIONInv(S)[x] <==> S[MouseAttributes__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseAttributes__DEVICE_EXTENSION(S)} S[x] ==> _S_MouseAttributes__DEVICE_EXTENSION(S)[MouseAttributes__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseAttributes__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MouseAttributes__DEVICE_EXTENSIONInv(S)[MouseAttributes__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSION(x)} MouseAttributes__DEVICE_EXTENSION(x) == x + 140);
-axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(x)} MouseAttributes__DEVICE_EXTENSIONInv(x) == x - 140);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1) == MouseAttributes__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 140)} MINUS_LEFT_PTR(x, 1, 140) == MouseAttributes__DEVICE_EXTENSIONInv(x));
-function MouseIdentifier__MOUSE_ATTRIBUTES(int) returns (int);
-function MouseIdentifier__MOUSE_ATTRIBUTESInv(int) returns (int);
-function _S_MouseIdentifier__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_MouseIdentifier__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(MouseIdentifier__MOUSE_ATTRIBUTES(x))} MouseIdentifier__MOUSE_ATTRIBUTESInv(MouseIdentifier__MOUSE_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(x)} MouseIdentifier__MOUSE_ATTRIBUTES(MouseIdentifier__MOUSE_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[x]} _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[x] <==> S[MouseIdentifier__MOUSE_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[x]} _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[x] <==> S[MouseIdentifier__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[MouseIdentifier__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[MouseIdentifier__MOUSE_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTES(x)} MouseIdentifier__MOUSE_ATTRIBUTES(x) == x + 0);
-axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(x)} MouseIdentifier__MOUSE_ATTRIBUTESInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == MouseIdentifier__MOUSE_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == MouseIdentifier__MOUSE_ATTRIBUTESInv(x));
-function Mutex__GLOBALS(int) returns (int);
-function Mutex__GLOBALSInv(int) returns (int);
-function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
-function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
-function NpxIrql___unnamed_1_2bb39c56(int) returns (int);
-function NpxIrql___unnamed_1_2bb39c56Inv(int) returns (int);
-function _S_NpxIrql___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
-function _S_NpxIrql___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(NpxIrql___unnamed_1_2bb39c56(x))} NpxIrql___unnamed_1_2bb39c56Inv(NpxIrql___unnamed_1_2bb39c56(x)) == x);
-axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(x)} NpxIrql___unnamed_1_2bb39c56(NpxIrql___unnamed_1_2bb39c56Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_2bb39c56(S)[x]} _S_NpxIrql___unnamed_1_2bb39c56(S)[x] <==> S[NpxIrql___unnamed_1_2bb39c56Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_2bb39c56Inv(S)[x]} _S_NpxIrql___unnamed_1_2bb39c56Inv(S)[x] <==> S[NpxIrql___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_2bb39c56(S)} S[x] ==> _S_NpxIrql___unnamed_1_2bb39c56(S)[NpxIrql___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_NpxIrql___unnamed_1_2bb39c56Inv(S)[NpxIrql___unnamed_1_2bb39c56Inv(x)]);
-
-axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56(x)} NpxIrql___unnamed_1_2bb39c56(x) == x + 0);
-axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(x)} NpxIrql___unnamed_1_2bb39c56Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == NpxIrql___unnamed_1_2bb39c56Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == NpxIrql___unnamed_1_2bb39c56Inv(x));
-function NumberOfButtons__MOUSE_ATTRIBUTES(int) returns (int);
-function NumberOfButtons__MOUSE_ATTRIBUTESInv(int) returns (int);
-function _S_NumberOfButtons__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_NumberOfButtons__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(NumberOfButtons__MOUSE_ATTRIBUTES(x))} NumberOfButtons__MOUSE_ATTRIBUTESInv(NumberOfButtons__MOUSE_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(x)} NumberOfButtons__MOUSE_ATTRIBUTES(NumberOfButtons__MOUSE_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[x]} _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[x] <==> S[NumberOfButtons__MOUSE_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[x]} _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[x] <==> S[NumberOfButtons__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[NumberOfButtons__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[NumberOfButtons__MOUSE_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTES(x)} NumberOfButtons__MOUSE_ATTRIBUTES(x) == x + 2);
-axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(x)} NumberOfButtons__MOUSE_ATTRIBUTESInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == NumberOfButtons__MOUSE_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == NumberOfButtons__MOUSE_ATTRIBUTESInv(x));
-function OkayToLogOverflow__DEVICE_EXTENSION(int) returns (int);
-function OkayToLogOverflow__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_OkayToLogOverflow__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_OkayToLogOverflow__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x))} OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSION(OkayToLogOverflow__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSION(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSION(x)} OkayToLogOverflow__DEVICE_EXTENSION(x) == x + 106);
-axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSIONInv(x) == x - 106);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 106)} MINUS_LEFT_PTR(x, 1, 106) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
-function PDO__DEVICE_EXTENSION(int) returns (int);
-function PDO__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PDO__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PDO__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x))} PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSION(PDO__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSION(S)[x]} _S_PDO__DEVICE_EXTENSION(S)[x] <==> S[PDO__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSIONInv(S)[x]} _S_PDO__DEVICE_EXTENSIONInv(S)[x] <==> S[PDO__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSION(S)} S[x] ==> _S_PDO__DEVICE_EXTENSION(S)[PDO__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PDO__DEVICE_EXTENSIONInv(S)[PDO__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PDO__DEVICE_EXTENSION(x)} PDO__DEVICE_EXTENSION(x) == x + 12);
-axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSIONInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == PDO__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == PDO__DEVICE_EXTENSIONInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function QueryWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
-function QueryWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
-function _S_QueryWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_QueryWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x))} QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXT(QueryWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXT(x)} QueryWmiDataBlock__WMILIB_CONTEXT(x) == x + 12);
-axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
-function QueryWmiRegInfo__WMILIB_CONTEXT(int) returns (int);
-function QueryWmiRegInfo__WMILIB_CONTEXTInv(int) returns (int);
-function _S_QueryWmiRegInfo__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_QueryWmiRegInfo__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x))} QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXT(QueryWmiRegInfo__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXT(x)} QueryWmiRegInfo__WMILIB_CONTEXT(x) == x + 8);
-axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXTInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
-function ReadQueue__DEVICE_EXTENSION(int) returns (int);
-function ReadQueue__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_ReadQueue__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_ReadQueue__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x))} ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSION(ReadQueue__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSION(S)[x]} _S_ReadQueue__DEVICE_EXTENSION(S)[x] <==> S[ReadQueue__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSIONInv(S)[x]} _S_ReadQueue__DEVICE_EXTENSIONInv(S)[x] <==> S[ReadQueue__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSION(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSION(S)[ReadQueue__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSIONInv(S)[ReadQueue__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSION(x)} ReadQueue__DEVICE_EXTENSION(x) == x + 156);
-axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSIONInv(x) == x - 156);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 156, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 156, 1) == ReadQueue__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 156)} MINUS_LEFT_PTR(x, 1, 156) == ReadQueue__DEVICE_EXTENSIONInv(x));
-function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x))} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 8);
-axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function RemoveLock__DEVICE_EXTENSION(int) returns (int);
-function RemoveLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_RemoveLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_RemoveLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x))} RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSION(RemoveLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSION(S)[x]} _S_RemoveLock__DEVICE_EXTENSION(S)[x] <==> S[RemoveLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSIONInv(S)[x]} _S_RemoveLock__DEVICE_EXTENSIONInv(S)[x] <==> S[RemoveLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSION(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSION(S)[RemoveLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSIONInv(S)[RemoveLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSION(x)} RemoveLock__DEVICE_EXTENSION(x) == x + 16);
-axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSIONInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == RemoveLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == RemoveLock__DEVICE_EXTENSIONInv(x));
-function Removed__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 0);
-axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 36);
-axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 36);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 36)} MINUS_LEFT_PTR(x, 1, 36) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 52);
-axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 52);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 52)} MINUS_LEFT_PTR(x, 1, 52) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
-function Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
-function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
-function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 1);
-axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 1);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
-function SampleRate__MOUSE_ATTRIBUTES(int) returns (int);
-function SampleRate__MOUSE_ATTRIBUTESInv(int) returns (int);
-function _S_SampleRate__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
-function _S_SampleRate__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(SampleRate__MOUSE_ATTRIBUTES(x))} SampleRate__MOUSE_ATTRIBUTESInv(SampleRate__MOUSE_ATTRIBUTES(x)) == x);
-axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(x)} SampleRate__MOUSE_ATTRIBUTES(SampleRate__MOUSE_ATTRIBUTESInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SampleRate__MOUSE_ATTRIBUTES(S)[x]} _S_SampleRate__MOUSE_ATTRIBUTES(S)[x] <==> S[SampleRate__MOUSE_ATTRIBUTESInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SampleRate__MOUSE_ATTRIBUTESInv(S)[x]} _S_SampleRate__MOUSE_ATTRIBUTESInv(S)[x] <==> S[SampleRate__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SampleRate__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_SampleRate__MOUSE_ATTRIBUTES(S)[SampleRate__MOUSE_ATTRIBUTES(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SampleRate__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_SampleRate__MOUSE_ATTRIBUTESInv(S)[SampleRate__MOUSE_ATTRIBUTESInv(x)]);
-
-axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTES(x)} SampleRate__MOUSE_ATTRIBUTES(x) == x + 4);
-axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(x)} SampleRate__MOUSE_ATTRIBUTESInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SampleRate__MOUSE_ATTRIBUTESInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SampleRate__MOUSE_ATTRIBUTESInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function SequenceNumber__DEVICE_EXTENSION(int) returns (int);
-function SequenceNumber__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SequenceNumber__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SequenceNumber__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x))} SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSION(SequenceNumber__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSION(S)[x]} _S_SequenceNumber__DEVICE_EXTENSION(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x]} _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSION(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSION(S)[SequenceNumber__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSION(x)} SequenceNumber__DEVICE_EXTENSION(x) == x + 164);
-axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSIONInv(x) == x - 164);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 164, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 164, 1) == SequenceNumber__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 164)} MINUS_LEFT_PTR(x, 1, 164) == SequenceNumber__DEVICE_EXTENSIONInv(x));
-function SetWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
-function SetWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
-function _S_SetWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_SetWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x))} SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXT(SetWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXT(x)} SetWmiDataBlock__WMILIB_CONTEXT(x) == x + 16);
-axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 16);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
-function SetWmiDataItem__WMILIB_CONTEXT(int) returns (int);
-function SetWmiDataItem__WMILIB_CONTEXTInv(int) returns (int);
-function _S_SetWmiDataItem__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_SetWmiDataItem__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x))} SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXT(SetWmiDataItem__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXT(S)[SetWmiDataItem__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXT(x)} SetWmiDataItem__WMILIB_CONTEXT(x) == x + 20);
-axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXTInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
-function SignalState__DISPATCHER_HEADER(int) returns (int);
-function SignalState__DISPATCHER_HEADERInv(int) returns (int);
-function _S_SignalState__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S_SignalState__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x))} SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADER(SignalState__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADER(S)[x]} _S_SignalState__DISPATCHER_HEADER(S)[x] <==> S[SignalState__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADERInv(S)[x]} _S_SignalState__DISPATCHER_HEADERInv(S)[x] <==> S[SignalState__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADER(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADER(S)[SignalState__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADERInv(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADERInv(S)[SignalState__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADER(x)} SignalState__DISPATCHER_HEADER(x) == x + 4);
-axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADERInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SignalState__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SignalState__DISPATCHER_HEADERInv(x));
-function Signalling___unnamed_1_2bb39c56(int) returns (int);
-function Signalling___unnamed_1_2bb39c56Inv(int) returns (int);
-function _S_Signalling___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
-function _S_Signalling___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(Signalling___unnamed_1_2bb39c56(x))} Signalling___unnamed_1_2bb39c56Inv(Signalling___unnamed_1_2bb39c56(x)) == x);
-axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(x)} Signalling___unnamed_1_2bb39c56(Signalling___unnamed_1_2bb39c56Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_2bb39c56(S)[x]} _S_Signalling___unnamed_1_2bb39c56(S)[x] <==> S[Signalling___unnamed_1_2bb39c56Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_2bb39c56Inv(S)[x]} _S_Signalling___unnamed_1_2bb39c56Inv(S)[x] <==> S[Signalling___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_2bb39c56(S)} S[x] ==> _S_Signalling___unnamed_1_2bb39c56(S)[Signalling___unnamed_1_2bb39c56(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Signalling___unnamed_1_2bb39c56Inv(S)[Signalling___unnamed_1_2bb39c56Inv(x)]);
-
-axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56(x)} Signalling___unnamed_1_2bb39c56(x) == x + 0);
-axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(x)} Signalling___unnamed_1_2bb39c56Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signalling___unnamed_1_2bb39c56Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signalling___unnamed_1_2bb39c56Inv(x));
-function Signature__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x))} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 0);
-axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Size___unnamed_1_e30779f5(int) returns (int);
-function Size___unnamed_1_e30779f5Inv(int) returns (int);
-function _S_Size___unnamed_1_e30779f5([int]bool) returns ([int]bool);
-function _S_Size___unnamed_1_e30779f5Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(Size___unnamed_1_e30779f5(x))} Size___unnamed_1_e30779f5Inv(Size___unnamed_1_e30779f5(x)) == x);
-axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(x)} Size___unnamed_1_e30779f5(Size___unnamed_1_e30779f5Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_e30779f5(S)[x]} _S_Size___unnamed_1_e30779f5(S)[x] <==> S[Size___unnamed_1_e30779f5Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_e30779f5Inv(S)[x]} _S_Size___unnamed_1_e30779f5Inv(S)[x] <==> S[Size___unnamed_1_e30779f5(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_e30779f5(S)} S[x] ==> _S_Size___unnamed_1_e30779f5(S)[Size___unnamed_1_e30779f5(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_e30779f5Inv(S)} S[x] ==> _S_Size___unnamed_1_e30779f5Inv(S)[Size___unnamed_1_e30779f5Inv(x)]);
-
-axiom (forall x:int :: {Size___unnamed_1_e30779f5(x)} Size___unnamed_1_e30779f5(x) == x + 0);
-axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(x)} Size___unnamed_1_e30779f5Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Size___unnamed_1_e30779f5Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Size___unnamed_1_e30779f5Inv(x));
-function SpinLock__DEVICE_EXTENSION(int) returns (int);
-function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
-function Spin__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
-function Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
-function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
-function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x))} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
-
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 28);
-axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function SurpriseRemoved__DEVICE_EXTENSION(int) returns (int);
-function SurpriseRemoved__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SurpriseRemoved__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SurpriseRemoved__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x))} SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSION(SurpriseRemoved__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSION(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSION(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSION(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSION(S)[SurpriseRemoved__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSION(x)} SurpriseRemoved__DEVICE_EXTENSION(x) == x + 266);
-axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSIONInv(x) == x - 266);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 266, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 266, 1) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 266)} MINUS_LEFT_PTR(x, 1, 266) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
-function SymbolicLinkName__DEVICE_EXTENSION(int) returns (int);
-function SymbolicLinkName__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SymbolicLinkName__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SymbolicLinkName__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x))} SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSION(SymbolicLinkName__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSION(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSION(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSION(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSION(S)[SymbolicLinkName__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSION(x)} SymbolicLinkName__DEVICE_EXTENSION(x) == x + 120);
-axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSIONInv(x) == x - 120);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 120)} MINUS_LEFT_PTR(x, 1, 120) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
-function SystemState__DEVICE_EXTENSION(int) returns (int);
-function SystemState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SystemState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SystemState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x))} SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSION(SystemState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSION(S)[x]} _S_SystemState__DEVICE_EXTENSION(S)[x] <==> S[SystemState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSION(S)[SystemState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSIONInv(S)[SystemState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSION(x)} SystemState__DEVICE_EXTENSION(x) == x + 172);
-axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSIONInv(x) == x - 172);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1) == SystemState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 172)} MINUS_LEFT_PTR(x, 1, 172) == SystemState__DEVICE_EXTENSIONInv(x));
-function SystemToDeviceState__DEVICE_EXTENSION(int) returns (int);
-function SystemToDeviceState__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SystemToDeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SystemToDeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x))} SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSION(SystemToDeviceState__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSION(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSION(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSION(S)[SystemToDeviceState__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSION(x)} SystemToDeviceState__DEVICE_EXTENSION(x) == x + 212);
-axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSIONInv(x) == x - 212);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 212, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 212, 1) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 212)} MINUS_LEFT_PTR(x, 1, 212) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
-function TargetNotifyHandle__DEVICE_EXTENSION(int) returns (int);
-function TargetNotifyHandle__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TargetNotifyHandle__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TargetNotifyHandle__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x))} TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSION(TargetNotifyHandle__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSION(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSION(x)} TargetNotifyHandle__DEVICE_EXTENSION(x) == x + 248);
-axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSIONInv(x) == x - 248);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 248, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 248, 1) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 248)} MINUS_LEFT_PTR(x, 1, 248) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function TrueClassDevice__DEVICE_EXTENSION(int) returns (int);
-function TrueClassDevice__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TrueClassDevice__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TrueClassDevice__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x))} TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSION(TrueClassDevice__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSION(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSION(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSION(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSION(S)[TrueClassDevice__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSION(x)} TrueClassDevice__DEVICE_EXTENSION(x) == x + 4);
-axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSIONInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
-function TrustedSubsystemCount__DEVICE_EXTENSION(int) returns (int);
-function TrustedSubsystemCount__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TrustedSubsystemCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x))} TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSION(TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSION(x)} TrustedSubsystemCount__DEVICE_EXTENSION(x) == x + 112);
-axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSIONInv(x) == x - 112);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 112)} MINUS_LEFT_PTR(x, 1, 112) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
-function Type___unnamed_4_846adf3f(int) returns (int);
-function Type___unnamed_4_846adf3fInv(int) returns (int);
-function _S_Type___unnamed_4_846adf3f([int]bool) returns ([int]bool);
-function _S_Type___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(Type___unnamed_4_846adf3f(x))} Type___unnamed_4_846adf3fInv(Type___unnamed_4_846adf3f(x)) == x);
-axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(x)} Type___unnamed_4_846adf3f(Type___unnamed_4_846adf3fInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_846adf3f(S)[x]} _S_Type___unnamed_4_846adf3f(S)[x] <==> S[Type___unnamed_4_846adf3fInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_846adf3fInv(S)[x]} _S_Type___unnamed_4_846adf3fInv(S)[x] <==> S[Type___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_846adf3f(S)} S[x] ==> _S_Type___unnamed_4_846adf3f(S)[Type___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_846adf3fInv(S)} S[x] ==> _S_Type___unnamed_4_846adf3fInv(S)[Type___unnamed_4_846adf3fInv(x)]);
-
-axiom (forall x:int :: {Type___unnamed_4_846adf3f(x)} Type___unnamed_4_846adf3f(x) == x + 0);
-axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(x)} Type___unnamed_4_846adf3fInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type___unnamed_4_846adf3fInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type___unnamed_4_846adf3fInv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
-function WaitListHead__DISPATCHER_HEADER(int) returns (int);
-function WaitListHead__DISPATCHER_HEADERInv(int) returns (int);
-function _S_WaitListHead__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S_WaitListHead__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x))} WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADER(WaitListHead__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADER(S)[x]} _S_WaitListHead__DISPATCHER_HEADER(S)[x] <==> S[WaitListHead__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADERInv(S)[x]} _S_WaitListHead__DISPATCHER_HEADERInv(S)[x] <==> S[WaitListHead__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADER(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADER(S)[WaitListHead__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADERInv(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADERInv(S)[WaitListHead__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADER(x)} WaitListHead__DISPATCHER_HEADER(x) == x + 8);
-axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADERInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == WaitListHead__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == WaitListHead__DISPATCHER_HEADERInv(x));
-function WaitWakeEnabled__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeEnabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeEnabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeEnabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x))} WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSION(WaitWakeEnabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSION(x)} WaitWakeEnabled__DEVICE_EXTENSION(x) == x + 265);
-axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSIONInv(x) == x - 265);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 265, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 265, 1) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 265)} MINUS_LEFT_PTR(x, 1, 265) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
-function WaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x))} WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSION(WaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSION(S)[WaitWakeIrp__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSION(x)} WaitWakeIrp__DEVICE_EXTENSION(x) == x + 240);
-axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 240);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 240, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 240, 1) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 240)} MINUS_LEFT_PTR(x, 1, 240) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
-function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-function WmiFunctionControl__WMILIB_CONTEXT(int) returns (int);
-function WmiFunctionControl__WMILIB_CONTEXTInv(int) returns (int);
-function _S_WmiFunctionControl__WMILIB_CONTEXT([int]bool) returns ([int]bool);
-function _S_WmiFunctionControl__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x))} WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x)) == x);
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXT(WmiFunctionControl__WMILIB_CONTEXTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXT(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXT(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXT(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXT(S)[WmiFunctionControl__WMILIB_CONTEXT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
-
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXT(x)} WmiFunctionControl__WMILIB_CONTEXT(x) == x + 28);
-axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
-function WmiLibInfo__DEVICE_EXTENSION(int) returns (int);
-function WmiLibInfo__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WmiLibInfo__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WmiLibInfo__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x))} WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSION(WmiLibInfo__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSION(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSION(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSION(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSION(S)[WmiLibInfo__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSION(x)} WmiLibInfo__DEVICE_EXTENSION(x) == x + 180);
-axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSIONInv(x) == x - 180);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 180, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 180, 1) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 180)} MINUS_LEFT_PTR(x, 1, 180) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
-function __unnamed_1_2bb39c56___unnamed_4_846adf3f(int) returns (int);
-function __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(int) returns (int);
-function _S___unnamed_1_2bb39c56___unnamed_4_846adf3f([int]bool) returns ([int]bool);
-function _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(__unnamed_1_2bb39c56___unnamed_4_846adf3f(x))} __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)) == x);
-axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3f(__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3f(x) == x + 1);
-axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x) == x - 1);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x));
-function __unnamed_1_9fa0583a___unnamed_4_846adf3f(int) returns (int);
-function __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(int) returns (int);
-function _S___unnamed_1_9fa0583a___unnamed_4_846adf3f([int]bool) returns ([int]bool);
-function _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(__unnamed_1_9fa0583a___unnamed_4_846adf3f(x))} __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)) == x);
-axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3f(__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3f(x) == x + 3);
-axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x) == x - 3);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1) == __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 3)} MINUS_LEFT_PTR(x, 1, 3) == __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x));
-function __unnamed_1_e30779f5___unnamed_4_846adf3f(int) returns (int);
-function __unnamed_1_e30779f5___unnamed_4_846adf3fInv(int) returns (int);
-function _S___unnamed_1_e30779f5___unnamed_4_846adf3f([int]bool) returns ([int]bool);
-function _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(__unnamed_1_e30779f5___unnamed_4_846adf3f(x))} __unnamed_1_e30779f5___unnamed_4_846adf3fInv(__unnamed_1_e30779f5___unnamed_4_846adf3f(x)) == x);
-axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)} __unnamed_1_e30779f5___unnamed_4_846adf3f(__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_e30779f5___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[__unnamed_1_e30779f5___unnamed_4_846adf3f(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)]);
-
-axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3f(x)} __unnamed_1_e30779f5___unnamed_4_846adf3f(x) == x + 2);
-axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)} __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x));
-function __unnamed_4_846adf3f___unnamed_4_c9b2e921(int) returns (int);
-function __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(int) returns (int);
-function _S___unnamed_4_846adf3f___unnamed_4_c9b2e921([int]bool) returns ([int]bool);
-function _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(__unnamed_4_846adf3f___unnamed_4_c9b2e921(x))} __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)) == x);
-axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921(__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[x]} _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[x] <==> S[__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[x]} _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[x] <==> S[__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)} S[x] ==> _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)} S[x] ==> _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)]);
-
-axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x));
-function __unnamed_4_c9b2e921__DISPATCHER_HEADER(int) returns (int);
-function __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(int) returns (int);
-function _S___unnamed_4_c9b2e921__DISPATCHER_HEADER([int]bool) returns ([int]bool);
-function _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(__unnamed_4_c9b2e921__DISPATCHER_HEADER(x))} __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)) == x);
-axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADER(__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[x]} _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[x] <==> S[__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[x]} _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[x] <==> S[__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)} S[x] ==> _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)} S[x] ==> _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)]);
-
-axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADER(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_4294967273:int;
-
-
-
-procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure InitializeListHead_IRP($ListHead$1$12.44$InitializeListHead_IRP$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoCreateDevice($DriverObject$1$21226.25$IoCreateDevice$281:int, $DeviceExtensionSize$2$21227.16$IoCreateDevice$281:int, $DeviceName$3$21228.29$IoCreateDevice$281:int, $DeviceType$4$21229.22$IoCreateDevice$281:int, $DeviceCharacteristics$5$21230.16$IoCreateDevice$281:int, $Exclusive$6$21231.18$IoCreateDevice$281:int, $DeviceObject$7$21237.20$IoCreateDevice$281:int) returns ($result.IoCreateDevice$21225.0$1$:int);
-
-//TAG: ensures (LONG)__return >= 0 ==> *DeviceObject != (void *)0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*DeviceObject)->DeviceExtension != (void *)0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*DeviceObject)->DeviceExtension) == 1
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 1
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *DeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *DeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension), 1)
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *DeviceObject) == __old_resource("DEV_OBJ_INIT", *DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))
-ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-//TAG: ensures (LONG)__return >= 0 ==> !(__resource("DEV_OBJ_INIT", ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))->Self) == 1)
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (!(Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])])]] == 1)));
-//TAG: ensures !((LONG)__return >= 0) ==> *DeviceObject == __old(*DeviceObject)
-ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == old(Mem)[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> __return == 0
-ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ($result.IoCreateDevice$21225.0$1$ == 0));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$7$21237.20$IoCreateDevice$281))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $DeviceObject$7$21237.20$IoCreateDevice$281) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoInitializeRemoveLockEx($Lock$1$22135.25$IoInitializeRemoveLockEx$201:int, $AllocateTag$2$22136.16$IoInitializeRemoveLockEx$201:int, $MaxLockedMinutes$3$22137.16$IoInitializeRemoveLockEx$201:int, $HighWatermark$4$22138.16$IoInitializeRemoveLockEx$201:int, $RemlockSize$5$22139.16$IoInitializeRemoveLockEx$201:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure KeInitializeSpinLock($SpinLock$1$13860.22$KeInitializeSpinLock$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures 1 ==> __resource("SPIN_LOCK", SpinLock) == 0
-ensures((true) ==> (Res_SPIN_LOCK[$SpinLock$1$13860.22$KeInitializeSpinLock$41] == 0));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty, SpinLock
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($SpinLock$1$13860.22$KeInitializeSpinLock$41))) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || ($SpinLock$1$13860.22$KeInitializeSpinLock$41 == r) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouInitializeDataQueue($Context$1$518.13$MouInitializeDataQueue$41:int);
-
-//TAG: requires __resource("DEV_EXTN", Context) == 1
-requires(Res_DEV_EXTN[$Context$1$518.13$MouInitializeDataQueue$41] == 1);
-//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)Context)->SpinLock) == 0
-requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($Context$1$518.13$MouInitializeDataQueue$41)] == 0);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __resource("DEV_EXTN", Context) == 1
-ensures(Res_DEV_EXTN[$Context$1$518.13$MouInitializeDataQueue$41] == 1);
-//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)Context)->SpinLock) == 0
-ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($Context$1$518.13$MouInitializeDataQueue$41)] == 0);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseClassLogError($Object$1$542.10$MouseClassLogError$281:int, $ErrorCode$2$543.10$MouseClassLogError$281:int, $UniqueErrorValue$3$544.10$MouseClassLogError$281:int, $FinalStatus$4$545.13$MouseClassLogError$281:int, $DumpCount$5$546.10$MouseClassLogError$281:int, $DumpData$6$547.11$MouseClassLogError$281:int, $MajorFunction$7$548.10$MouseClassLogError$281:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlFreeUnicodeString($UnicodeString$1$7452.28$RtlFreeUnicodeString$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouCreateClassObject($DriverObject$1$3165.28$MouCreateClassObject$201:int, $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201:int, $ClassDeviceObject$3$3167.28$MouCreateClassObject$201:int, $FullDeviceName$4$3168.35$MouCreateClassObject$201:int, $Legacy$5$3169.28$MouCreateClassObject$201:int) returns ($result.MouCreateClassObject$3164.0$1$:int)
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
-ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __setin(_H_z, __set((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))) || __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])[_H_z]) || ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures !((LONG)__return >= 0) ==> __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))->SpinLock) == 0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])] == 0));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: &TmpDeviceExtension->WaitWakeSpinLock, &((struct _DEVICE_EXTENSION *)TmpDeviceExtension)->SpinLock, __set_true
-ensures (Subset(Empty(), Union(Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), Singleton(SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SetTrue()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3167.28$MouCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3167.28$MouCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $ClassDeviceObject$3$3167.28$MouCreateClassObject$20 : int;
-var $DriverObject$1$3165.28$MouCreateClassObject$20 : int;
-var $ExAllocatePoolWithTag.arg.2$4$ : int;
-var $FullDeviceName$4$3168.35$MouCreateClassObject$20 : int;
-var $Legacy$5$3169.28$MouCreateClassObject$20 : int;
-var $MouDebugPrint.arg.2$15$ : int;
-var $MouDebugPrint.arg.2$18$ : int;
-var $MouDebugPrint.arg.2$2$ : int;
-var $MouDebugPrint.arg.2$20$ : int;
-var $MouDebugPrint.arg.2$22$ : int;
-var $MouDebugPrint.arg.2$5$ : int;
-var $RtlAppendUnicodeToString.arg.2$12$ : int;
-var $RtlAppendUnicodeToString.arg.2$14$ : int;
-var $RtlAppendUnicodeToString.arg.2$9$ : int;
-var $TmpDeviceExtension$2$3166.28$MouCreateClassObject$20 : int;
-var $deviceExtension$8$3199.24$MouCreateClassObject$20 : int;
-var $dumpCount$11$3202.24$MouCreateClassObject$20 : int;
-var $dumpData$12$3203.24$MouCreateClassObject$20 : int;
-var $errorCode$9$3200.24$MouCreateClassObject$20 : int;
-var $fullClassName$10$3201.24$MouCreateClassObject$20 : int;
-var $i$13$3204.24$MouCreateClassObject$20 : int;
-var $memset.arg.3$7$ : int;
-var $nameIndex$14$3205.24$MouCreateClassObject$20 : int;
-var $result.ExAllocatePoolWithTag$3252.0$3$ : int;
-var $result.ExAllocatePoolWithTag$3370.0$19$ : int;
-var $result.IoCreateDevice$3296.35$16$ : int;
-var $result.IoCreateDevice$3310.31$17$ : int;
-var $result.RtlAppendUnicodeToString$3271.32$8$ : int;
-var $result.RtlAppendUnicodeToString$3272.32$10$ : int;
-var $result.RtlAppendUnicodeToString$3275.36$11$ : int;
-var $result.RtlAppendUnicodeToString$3278.32$13$ : int;
-var $result.memset$3270.8$6$ : int;
-var $result.question.21$ : int;
-var $status$6$3197.24$MouCreateClassObject$20 : int;
-var $uniqueErrorValue$7$3198.24$MouCreateClassObject$20 : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_78_alloc:[int]name;
-var LOOP_78_Mem:[name][int]int;
-var LOOP_78_Res_DEVICE_STACK:[int]int;
-var LOOP_78_Res_DEV_EXTN:[int]int;
-var LOOP_78_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_78_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$3165.28$MouCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$TmpDeviceExtension$2$3166.28$MouCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != UNALLOCATED);
-assume (alloc[$FullDeviceName$4$3168.35$MouCreateClassObject$201] != UNALLOCATED);
-call $dumpData$12$3203.24$MouCreateClassObject$20 := __HAVOC_malloc(16);
-call $fullClassName$10$3201.24$MouCreateClassObject$20 := __HAVOC_malloc(8);
-$DriverObject$1$3165.28$MouCreateClassObject$20 := $DriverObject$1$3165.28$MouCreateClassObject$201;
-$TmpDeviceExtension$2$3166.28$MouCreateClassObject$20 := $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201;
-$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := $ClassDeviceObject$3$3167.28$MouCreateClassObject$201;
-$FullDeviceName$4$3168.35$MouCreateClassObject$20 := $FullDeviceName$4$3168.35$MouCreateClassObject$201;
-$Legacy$5$3169.28$MouCreateClassObject$20 := $Legacy$5$3169.28$MouCreateClassObject$201;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3440)
-label_1:
-call __HAVOC_free($dumpData$12$3203.24$MouCreateClassObject$20);
-call __HAVOC_free($fullClassName$10$3201.24$MouCreateClassObject$20);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
-assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
-assume (forall m:int :: {Mem[T.A40CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A40CHAR][m] == old(Mem[T.A40CHAR])[m]);
-assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
-assume (forall m:int :: {Mem[T.A65CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A65CHAR][m] == old(Mem[T.A65CHAR])[m]);
-assume (forall m:int :: {Mem[T.A75CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A75CHAR][m] == old(Mem[T.A75CHAR])[m]);
-assume (forall m:int :: {Mem[T.A76CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A76CHAR][m] == old(Mem[T.A76CHAR])[m]);
-assume (forall m:int :: {Mem[T.A7UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A7UINT2][m] == old(Mem[T.A7UINT2])[m]);
-assume (forall m:int :: {Mem[T.A80CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A80CHAR][m] == old(Mem[T.A80CHAR])[m]);
-assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
-assume (forall m:int :: {Mem[T.Abandoned___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Abandoned___unnamed_1_2bb39c56][m] == old(Mem[T.Abandoned___unnamed_1_2bb39c56])[m]);
-assume (forall m:int :: {Mem[T.Absolute___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Absolute___unnamed_1_2bb39c56][m] == old(Mem[T.Absolute___unnamed_1_2bb39c56])[m]);
-assume (forall m:int :: {Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Blink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blink__LIST_ENTRY][m] == old(Mem[T.Blink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.ConnectOneClassToOnePort__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ConnectOneClassToOnePort__GLOBALS][m] == old(Mem[T.ConnectOneClassToOnePort__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DebugActive___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DebugActive___unnamed_1_9fa0583a][m] == old(Mem[T.DebugActive___unnamed_1_9fa0583a])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.DeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceState__DEVICE_EXTENSION][m] == old(Mem[T.DeviceState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DpcActive___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DpcActive___unnamed_1_9fa0583a][m] == old(Mem[T.DpcActive___unnamed_1_9fa0583a])[m]);
-assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m] == old(Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.GuidCount__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidCount__WMILIB_CONTEXT][m] == old(Mem[T.GuidCount__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.GuidList__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidList__WMILIB_CONTEXT][m] == old(Mem[T.GuidList__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.Hand___unnamed_1_e30779f5][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Hand___unnamed_1_e30779f5][m] == old(Mem[T.Hand___unnamed_1_e30779f5])[m]);
-assume (forall m:int :: {Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InputCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputCount__DEVICE_EXTENSION][m] == old(Mem[T.InputCount__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][m] == old(Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Inserted___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Inserted___unnamed_1_9fa0583a][m] == old(Mem[T.Inserted___unnamed_1_9fa0583a])[m]);
-assume (forall m:int :: {Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Lock___unnamed_4_c9b2e921][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Lock___unnamed_4_c9b2e921][m] == old(Mem[T.Lock___unnamed_4_c9b2e921])[m]);
-assume (forall m:int :: {Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinDeviceWakeState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinSystemWakeState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.MouseAttributes__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MouseAttributes__DEVICE_EXTENSION][m] == old(Mem[T.MouseAttributes__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES][m] == old(Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.NpxIrql___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NpxIrql___unnamed_1_2bb39c56][m] == old(Mem[T.NpxIrql___unnamed_1_2bb39c56])[m]);
-assume (forall m:int :: {Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES][m] == old(Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m] == old(Mem[T.OkayToLogOverflow__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PDO__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PDO__DEVICE_EXTENSION][m] == old(Mem[T.PDO__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
-assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
-assume (forall m:int :: {Mem[T.P_IO_REMOVE_LOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IO_REMOVE_LOCK][m] == old(Mem[T.P_IO_REMOVE_LOCK])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.ReadQueue__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ReadQueue__DEVICE_EXTENSION][m] == old(Mem[T.ReadQueue__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.RemoveLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RemoveLock__DEVICE_EXTENSION][m] == old(Mem[T.RemoveLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.SampleRate__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SampleRate__MOUSE_ATTRIBUTES][m] == old(Mem[T.SampleRate__MOUSE_ATTRIBUTES])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SequenceNumber__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SequenceNumber__DEVICE_EXTENSION][m] == old(Mem[T.SequenceNumber__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataBlock__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataItem__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T.SignalState__DISPATCHER_HEADER][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SignalState__DISPATCHER_HEADER][m] == old(Mem[T.SignalState__DISPATCHER_HEADER])[m]);
-assume (forall m:int :: {Mem[T.Signalling___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signalling___unnamed_1_2bb39c56][m] == old(Mem[T.Signalling___unnamed_1_2bb39c56])[m]);
-assume (forall m:int :: {Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Size___unnamed_1_e30779f5][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Size___unnamed_1_e30779f5][m] == old(Mem[T.Size___unnamed_1_e30779f5])[m]);
-assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m] == old(Mem[T.SurpriseRemoved__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SystemState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemState__DEVICE_EXTENSION][m] == old(Mem[T.SystemState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m] == old(Mem[T.SystemToDeviceState__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m] == old(Mem[T.TargetNotifyHandle__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TrueClassDevice__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrueClassDevice__DEVICE_EXTENSION][m] == old(Mem[T.TrueClassDevice__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m] == old(Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Type___unnamed_4_846adf3f][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type___unnamed_4_846adf3f][m] == old(Mem[T.Type___unnamed_4_846adf3f])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeEnabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeIrp__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m] == old(Mem[T.WmiFunctionControl__WMILIB_CONTEXT])[m]);
-assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3440)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3197)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3198)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3199)
-label_5:
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3199)
-label_6:
-$deviceExtension$8$3199.24$MouCreateClassObject$20 := 0 ;
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3200)
-label_7:
-goto label_8;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3200)
-label_8:
-$errorCode$9$3200.24$MouCreateClassObject$20 := 0 ;
-goto label_9;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3201)
-label_9:
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3201)
-label_10:
-// Skipping Structure assignment due to the flag SkipStructAssignments
-goto label_11;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3202)
-label_11:
-goto label_12;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3202)
-label_12:
-$dumpCount$11$3202.24$MouCreateClassObject$20 := 0 ;
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3203)
-label_13:
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3204)
-label_14:
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3205)
-label_15:
-goto label_16;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3207)
-label_16:
-call __PREfastPagedCode ();
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3209)
-label_19:
-// skip MouDebugPrint
-goto label_23;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3209)
-label_22:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$2$ := havoc_stringTemp ;
-goto label_19;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3215)
-label_23:
-call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3220)
-label_26:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := 0];
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3222)
-label_27:
-goto label_27_true , label_27_false ;
-
-
-label_27_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_89;
-
-
-label_27_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_28;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3226)
-label_28:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_31;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3232)
-label_31:
-goto label_31_true , label_31_false ;
-
-
-label_31_true :
-assume (BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_32;
-
-
-label_31_false :
-assume !(BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_37;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3233)
-label_32:
-$status$6$3197.24$MouCreateClassObject$20 := -1073741823 ;
-goto label_33;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3234)
-label_33:
-$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414143 ;
-goto label_34;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3235)
-label_34:
-$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3236)
-label_35:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3237)
-label_36:
-$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3244)
-label_37:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]), 1, 4)];
-goto label_38;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3248)
-label_38:
-goto label_38_true , label_38_false ;
-
-
-label_38_true :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
-goto label_39;
-
-
-label_38_false :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3248)
-label_39:
-goto label_39_true , label_39_false ;
-
-
-label_39_true :
-assume ($Legacy$5$3169.28$MouCreateClassObject$20 != 0);
-goto label_40;
-
-
-label_39_false :
-assume ($Legacy$5$3169.28$MouCreateClassObject$20 == 0);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3249)
-label_40:
-tempBoogie0 := PLUS(Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 1, 14) ;
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := tempBoogie0];
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
-label_41:
-call $result.ExAllocatePoolWithTag$3252.0$3$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$4$, 1131377997);
-goto label_45;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
-label_44:
-$ExAllocatePoolWithTag.arg.2$4$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] ;
-goto label_41;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
-label_45:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := $result.ExAllocatePoolWithTag$3252.0$3$];
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3255)
-label_46:
-goto label_46_true , label_46_false ;
-
-
-label_46_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] != 0);
-goto label_59;
-
-
-label_46_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] == 0);
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3257)
-label_47:
-// skip MouDebugPrint
-goto label_51;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3257)
-label_50:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$5$ := havoc_stringTemp ;
-goto label_47;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3262)
-label_51:
-$status$6$3197.24$MouCreateClassObject$20 := -1073741823 ;
-goto label_52;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3263)
-label_52:
-$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414143 ;
-goto label_53;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3264)
-label_53:
-$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3265)
-label_54:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
-goto label_55;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3266)
-label_55:
-$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3270)
-label_56:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$3270.8$6$;
-goto label_63;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3270)
-label_59:
-$memset.arg.3$7$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] ;
-goto label_56;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3271)
-label_60:
-call $result.RtlAppendUnicodeToString$3271.32$8$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$9$);
-goto label_64;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3271)
-label_63:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$9$ := havoc_stringTemp ;
-goto label_60;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3272)
-label_64:
-call $result.RtlAppendUnicodeToString$3272.32$10$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
-goto label_67;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3274)
-label_67:
-goto label_67_true , label_67_false ;
-
-
-label_67_true :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
-goto label_68;
-
-
-label_67_false :
-assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3274)
-label_68:
-goto label_68_true , label_68_false ;
-
-
-label_68_true :
-assume ($Legacy$5$3169.28$MouCreateClassObject$20 != 0);
-goto label_72;
-
-
-label_68_false :
-assume ($Legacy$5$3169.28$MouCreateClassObject$20 == 0);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3275)
-label_69:
-call $result.RtlAppendUnicodeToString$3275.36$11$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$12$);
-goto label_76;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3275)
-label_72:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$12$ := havoc_stringTemp ;
-goto label_69;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3278)
-label_73:
-call $result.RtlAppendUnicodeToString$3278.32$13$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$14$);
-goto label_77;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3278)
-label_76:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$14$ := havoc_stringTemp ;
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3284)
-label_77:
-$nameIndex$14$3205.24$MouCreateClassObject$20 := 0 ;
-goto label_78;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3288)
-label_78:
-// loop entry initialization...
-LOOP_78_alloc := alloc;
-LOOP_78_Mem := Mem;
-LOOP_78_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_78_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_78_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_78_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_78_head;
-
-
-label_78_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __preserves_resource("DEV_OBJ_INIT")
-assert(Res_DEV_OBJ_INIT == LOOP_78_Res_DEV_OBJ_INIT);
-//TAG: requires __preserves_resource("DEV_EXTN")
-assert(Res_DEV_EXTN == LOOP_78_Res_DEV_EXTN);
-//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-assert(Mem[T.Flink__LIST_ENTRY] == LOOP_78_Mem[T.Flink__LIST_ENTRY]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_78_alloc[Base(f)] == UNALLOCATED || LOOP_78_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_78_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_78_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_78_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_true, __set_empty
-assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (SetTrue()[r]) || (Empty()[r]) || LOOP_78_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_78_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_78_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_78_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true, __set_empty
-assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_78_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_78_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 2), 1, 1)) := PLUS(48, 1, $nameIndex$14$3205.24$MouCreateClassObject$20)];
-$nameIndex$14$3205.24$MouCreateClassObject$20 := PLUS($nameIndex$14$3205.24$MouCreateClassObject$20, 1, 1) ;
-goto label_82;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3290)
-label_79:
-// skip MouDebugPrint
-goto label_83;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3290)
-label_82:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$15$ := havoc_stringTemp ;
-goto label_79;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3296)
-label_83:
-call $result.IoCreateDevice$3296.35$16$ := IoCreateDevice ($DriverObject$1$3165.28$MouCreateClassObject$20, 272, $fullClassName$10$3201.24$MouCreateClassObject$20, 15, 0, 0, $ClassDeviceObject$3$3167.28$MouCreateClassObject$20);
-goto label_86;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3296)
-label_86:
-$status$6$3197.24$MouCreateClassObject$20 := $result.IoCreateDevice$3296.35$16$ ;
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3304)
-label_87:
-goto label_87_true , label_87_false ;
-
-
-label_87_true :
-assume (-1073741771 == $status$6$3197.24$MouCreateClassObject$20);
-goto label_78_head;
-
-
-label_87_false :
-assume !(-1073741771 == $status$6$3197.24$MouCreateClassObject$20);
-goto label_88;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3306)
-label_88:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3309)
-label_89:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_92;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3310)
-label_92:
-call $result.IoCreateDevice$3310.31$17$ := IoCreateDevice ($DriverObject$1$3165.28$MouCreateClassObject$20, 272, 0, 15, 0, 0, $ClassDeviceObject$3$3167.28$MouCreateClassObject$20);
-goto label_95;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3310)
-label_95:
-$status$6$3197.24$MouCreateClassObject$20 := $result.IoCreateDevice$3310.31$17$ ;
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3317)
-label_96:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := 0];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3320)
-label_97:
-goto label_97_true , label_97_false ;
-
-
-label_97_true :
-assume (0 <= $status$6$3197.24$MouCreateClassObject$20);
-goto label_98;
-
-
-label_97_false :
-assume !(0 <= $status$6$3197.24$MouCreateClassObject$20);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3320)
-label_98:
-goto label_98_true , label_98_false ;
-
-
-label_98_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
-goto label_107;
-
-
-label_98_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3321)
-label_99:
-// skip MouDebugPrint
-goto label_103;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3321)
-label_102:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$18$ := havoc_stringTemp ;
-goto label_99;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3327)
-label_103:
-$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414131 ;
-goto label_104;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3328)
-label_104:
-$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
-goto label_105;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3329)
-label_105:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
-goto label_106;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3330)
-label_106:
-$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3339)
-label_107:
-assume (forall r:int :: {BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4),r)} BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])],r)!= 0 || BIT_BAND(4,r)!= 0 <==> BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4),r)!= 0);
-tempBoogie0 := BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4) ;
-Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]) := tempBoogie0];
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3340)
-label_108:
-$deviceExtension$8$3199.24$MouCreateClassObject$20 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])] ;
-goto label_109;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3342)
-label_109:
-// Skipping Structure assignment due to the flag SkipStructAssignments
-goto label_110;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3344)
-label_110:
-Mem[T.Self__DEVICE_EXTENSION] := Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]];
-goto label_111;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3345)
-label_111:
-call IoInitializeRemoveLockEx (RemoveLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20), 1131377997, 0, 0, 88);
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3351)
-label_114:
-assume (Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)]);
-call KeInitializeSpinLock (SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20));
-Mem[T.SpinLock__DEVICE_EXTENSION] := Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)]];
-goto label_117;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3357)
-label_117:
-call InitializeListHead_IRP (ReadQueue__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20));
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3363)
-label_120:
-Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION] := Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][TrustedSubsystemCount__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := 0];
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3370)
-label_121:
-call $result.ExAllocatePoolWithTag$3370.0$19$ := ExAllocatePoolWithTag (0, Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][InputDataQueueLength__MOUSE_ATTRIBUTES(MouseAttributes__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20))], 1131377997);
-goto label_124;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3369)
-label_124:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := $result.ExAllocatePoolWithTag$3370.0$19$];
-goto label_125;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3375)
-label_125:
-goto label_125_true , label_125_false ;
-
-
-label_125_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] != 0);
-goto label_133;
-
-
-label_125_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == 0);
-goto label_129;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3381)
-label_126:
-// skip MouDebugPrint
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3381)
-label_129:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$20$ := havoc_stringTemp ;
-goto label_126;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3387)
-label_130:
-$status$6$3197.24$MouCreateClassObject$20 := -1073741670 ;
-goto label_131;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3393)
-label_131:
-$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414142 ;
-goto label_132;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3394)
-label_132:
-$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20020 ;
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3402)
-label_133:
-call MouInitializeDataQueue ($deviceExtension$8$3199.24$MouCreateClassObject$20);
-goto label_136;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3406)
-label_136:
-goto label_136_true , label_136_false ;
-
-
-label_136_true :
-assume ($status$6$3197.24$MouCreateClassObject$20 != 0);
-goto label_137;
-
-
-label_136_false :
-assume ($status$6$3197.24$MouCreateClassObject$20 == 0);
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3412)
-label_137:
-call RtlFreeUnicodeString ($fullClassName$10$3201.24$MouCreateClassObject$20);
-goto label_140;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3413)
-label_140:
-Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := 0];
-goto label_141;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3415)
-label_141:
-goto label_141_true , label_141_false ;
-
-
-label_141_true :
-assume ($errorCode$9$3200.24$MouCreateClassObject$20 != 0);
-goto label_145;
-
-
-label_141_false :
-assume ($errorCode$9$3200.24$MouCreateClassObject$20 == 0);
-goto label_148;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3416)
-label_142:
-call MouseClassLogError ($result.question.21$, $errorCode$9$3200.24$MouCreateClassObject$20, $uniqueErrorValue$7$3198.24$MouCreateClassObject$20, $status$6$3197.24$MouCreateClassObject$20, $dumpCount$11$3202.24$MouCreateClassObject$20, $dumpData$12$3203.24$MouCreateClassObject$20, 0);
-goto label_148;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
-label_145:
-goto label_145_true , label_145_false ;
-
-
-label_145_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
-goto label_147;
-
-
-label_145_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
-goto label_146;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
-label_146:
-$result.question.21$ := $DriverObject$1$3165.28$MouCreateClassObject$20 ;
-goto label_142;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
-label_147:
-$result.question.21$ := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] ;
-goto label_142;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3427)
-label_148:
-goto label_148_true , label_148_false ;
-
-
-label_148_true :
-assume ($deviceExtension$8$3199.24$MouCreateClassObject$20 != 0);
-goto label_149;
-
-
-label_148_false :
-assume ($deviceExtension$8$3199.24$MouCreateClassObject$20 == 0);
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3427)
-label_149:
-goto label_149_true , label_149_false ;
-
-
-label_149_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] != 0);
-goto label_150;
-
-
-label_149_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == 0);
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3428)
-label_150:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)], 0);
-goto label_153;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3429)
-label_153:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := 0];
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3431)
-label_154:
-goto label_154_true , label_154_false ;
-
-
-label_154_true :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
-goto label_155;
-
-
-label_154_false :
-assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3432)
-label_155:
-call IoDeleteDevice (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]);
-goto label_158;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3433)
-label_158:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := 0];
-goto label_162;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3437)
-label_159:
-// skip MouDebugPrint
-goto label_163;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3437)
-label_162:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$22$ := havoc_stringTemp ;
-goto label_159;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3439)
-label_163:
-$result.MouCreateClassObject$3164.0$1$ := $status$6$3197.24$MouCreateClassObject$20 ;
-goto label_1;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.MouseAttributes__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
+const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
+const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
+const unique T.UnitId__MOUSE_INPUT_DATA:name;
+const unique T.Flags__MOUSE_INPUT_DATA:name;
+const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
+const unique T.RawButtons__MOUSE_INPUT_DATA:name;
+const unique T.LastX__MOUSE_INPUT_DATA:name;
+const unique T.LastY__MOUSE_INPUT_DATA:name;
+const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Start___unnamed_12_06b9ee6e:name;
+const unique T.Length48___unnamed_12_06b9ee6e:name;
+const unique T.Start___unnamed_12_0882bd02:name;
+const unique T.Length64___unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
+const unique T.Raw___unnamed_12_2e80217b:name;
+const unique T.Translated___unnamed_12_2e80217b:name;
+const unique T.Data___unnamed_12_5cc7ace2:name;
+const unique T.Channel___unnamed_12_6374506e:name;
+const unique T.Port___unnamed_12_6374506e:name;
+const unique T.Reserved1___unnamed_12_6374506e:name;
+const unique T.Priority___unnamed_12_68a4278e:name;
+const unique T.Reserved1___unnamed_12_68a4278e:name;
+const unique T.Reserved2___unnamed_12_68a4278e:name;
+const unique T.Generic___unnamed_12_79ed2653:name;
+const unique T.Port___unnamed_12_79ed2653:name;
+const unique T.Interrupt___unnamed_12_79ed2653:name;
+const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
+const unique T.Memory___unnamed_12_79ed2653:name;
+const unique T.Dma___unnamed_12_79ed2653:name;
+const unique T.DevicePrivate___unnamed_12_79ed2653:name;
+const unique T.BusNumber___unnamed_12_79ed2653:name;
+const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
+const unique T.Memory40___unnamed_12_79ed2653:name;
+const unique T.Memory48___unnamed_12_79ed2653:name;
+const unique T.Memory64___unnamed_12_79ed2653:name;
+const unique T.Start___unnamed_12_7da594c0:name;
+const unique T.Length40___unnamed_12_7da594c0:name;
+const unique T.Start___unnamed_12_9873e05d:name;
+const unique T.Length___unnamed_12_9873e05d:name;
+const unique T.DataSize___unnamed_12_9cc8cebc:name;
+const unique T.Reserved1___unnamed_12_9cc8cebc:name;
+const unique T.Reserved2___unnamed_12_9cc8cebc:name;
+const unique T.Start___unnamed_12_b98da82e:name;
+const unique T.Length___unnamed_12_b98da82e:name;
+const unique T.Level___unnamed_12_c2880e88:name;
+const unique T.Vector___unnamed_12_c2880e88:name;
+const unique T.Affinity___unnamed_12_c2880e88:name;
+const unique T.Start___unnamed_12_c49ab31a:name;
+const unique T.Length___unnamed_12_c49ab31a:name;
+const unique T.ListEntry___unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
+const unique T.Data___unnamed_12_ced61554:name;
+const unique T.Reserved___unnamed_12_d9c44df5:name;
+const unique T.MessageCount___unnamed_12_d9c44df5:name;
+const unique T.Vector___unnamed_12_d9c44df5:name;
+const unique T.Affinity___unnamed_12_d9c44df5:name;
+const unique T.Start___unnamed_12_db3dcbfc:name;
+const unique T.Length___unnamed_12_db3dcbfc:name;
+const unique T.Reserved___unnamed_12_db3dcbfc:name;
+const unique T.Level___unnamed_12_fb26b3fc:name;
+const unique T.Vector___unnamed_12_fb26b3fc:name;
+const unique T.Affinity___unnamed_12_fb26b3fc:name;
+const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
+const unique T.InputBufferLength___unnamed_16_22e4d054:name;
+const unique T.IoControlCode___unnamed_16_22e4d054:name;
+const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
+const unique T.Create___unnamed_16_39b626ad:name;
+const unique T.Read___unnamed_16_39b626ad:name;
+const unique T.Write___unnamed_16_39b626ad:name;
+const unique T.QueryDirectory___unnamed_16_39b626ad:name;
+const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
+const unique T.QueryFile___unnamed_16_39b626ad:name;
+const unique T.SetFile___unnamed_16_39b626ad:name;
+const unique T.QueryEa___unnamed_16_39b626ad:name;
+const unique T.SetEa___unnamed_16_39b626ad:name;
+const unique T.QueryVolume___unnamed_16_39b626ad:name;
+const unique T.SetVolume___unnamed_16_39b626ad:name;
+const unique T.FileSystemControl___unnamed_16_39b626ad:name;
+const unique T.LockControl___unnamed_16_39b626ad:name;
+const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
+const unique T.QuerySecurity___unnamed_16_39b626ad:name;
+const unique T.SetSecurity___unnamed_16_39b626ad:name;
+const unique T.MountVolume___unnamed_16_39b626ad:name;
+const unique T.VerifyVolume___unnamed_16_39b626ad:name;
+const unique T.Scsi___unnamed_16_39b626ad:name;
+const unique T.QueryQuota___unnamed_16_39b626ad:name;
+const unique T.SetQuota___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
+const unique T.QueryInterface___unnamed_16_39b626ad:name;
+const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
+const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
+const unique T.SetLock___unnamed_16_39b626ad:name;
+const unique T.QueryId___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
+const unique T.UsageNotification___unnamed_16_39b626ad:name;
+const unique T.WaitWake___unnamed_16_39b626ad:name;
+const unique T.PowerSequence___unnamed_16_39b626ad:name;
+const unique T.Power___unnamed_16_39b626ad:name;
+const unique T.StartDevice___unnamed_16_39b626ad:name;
+const unique T.WMI___unnamed_16_39b626ad:name;
+const unique T.Others___unnamed_16_39b626ad:name;
+const unique T.WhichSpace___unnamed_16_56c011d7:name;
+const unique T.Buffer___unnamed_16_56c011d7:name;
+const unique T.Offset___unnamed_16_56c011d7:name;
+const unique T.Length___unnamed_16_56c011d7:name;
+const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
+const unique T.Length___unnamed_16_6be9abe0:name;
+const unique T.FileName___unnamed_16_6be9abe0:name;
+const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
+const unique T.FileIndex___unnamed_16_6be9abe0:name;
+const unique T.InterfaceType___unnamed_16_78879a38:name;
+const unique T.Size___unnamed_16_78879a38:name;
+const unique T.Version___unnamed_16_78879a38:name;
+const unique T.Interface___unnamed_16_78879a38:name;
+const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
+const unique T.Length___unnamed_16_804a2f24:name;
+const unique T.StartSid___unnamed_16_804a2f24:name;
+const unique T.SidList___unnamed_16_804a2f24:name;
+const unique T.SidListLength___unnamed_16_804a2f24:name;
+const unique T.Argument1___unnamed_16_8586693f:name;
+const unique T.Argument2___unnamed_16_8586693f:name;
+const unique T.Argument3___unnamed_16_8586693f:name;
+const unique T.Argument4___unnamed_16_8586693f:name;
+const unique T.Length___unnamed_16_8831e65f:name;
+const unique T.Key___unnamed_16_8831e65f:name;
+const unique T.ByteOffset___unnamed_16_8831e65f:name;
+const unique T.SecurityContext___unnamed_16_8c2d663a:name;
+const unique T.Options___unnamed_16_8c2d663a:name;
+const unique T.FileAttributes___unnamed_16_8c2d663a:name;
+const unique T.ShareAccess___unnamed_16_8c2d663a:name;
+const unique T.EaLength___unnamed_16_8c2d663a:name;
+const unique T.Length___unnamed_16_913b9a7a:name;
+const unique T.Key___unnamed_16_913b9a7a:name;
+const unique T.ByteOffset___unnamed_16_913b9a7a:name;
+const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
+const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
+const unique T.Length___unnamed_16_a2fab4da:name;
+const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
+const unique T.FileObject___unnamed_16_a2fab4da:name;
+const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
+const unique T.DriverContext___unnamed_16_ae643f17:name;
+const unique T.Length___unnamed_16_c1b29316:name;
+const unique T.Key___unnamed_16_c1b29316:name;
+const unique T.ByteOffset___unnamed_16_c1b29316:name;
+const unique T.ProviderId___unnamed_16_cbd53ed4:name;
+const unique T.DataPath___unnamed_16_cbd53ed4:name;
+const unique T.BufferSize___unnamed_16_cbd53ed4:name;
+const unique T.Buffer___unnamed_16_cbd53ed4:name;
+const unique T.Length___unnamed_16_db70db6e:name;
+const unique T.MinBusNumber___unnamed_16_db70db6e:name;
+const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
+const unique T.Reserved___unnamed_16_db70db6e:name;
+const unique T.Length___unnamed_16_ef4b6307:name;
+const unique T.EaList___unnamed_16_ef4b6307:name;
+const unique T.EaListLength___unnamed_16_ef4b6307:name;
+const unique T.EaIndex___unnamed_16_ef4b6307:name;
+const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
+const unique T.Type___unnamed_16_fdda1f62:name;
+const unique T.State___unnamed_16_fdda1f62:name;
+const unique T.ShutdownType___unnamed_16_fdda1f62:name;
+const unique T.Lock___unnamed_1_1394de4b:name;
+const unique T.Abandoned___unnamed_1_2bb39c56:name;
+const unique T.Absolute___unnamed_1_2bb39c56:name;
+const unique T.NpxIrql___unnamed_1_2bb39c56:name;
+const unique T.Signalling___unnamed_1_2bb39c56:name;
+const unique T.Inserted___unnamed_1_9fa0583a:name;
+const unique T.DebugActive___unnamed_1_9fa0583a:name;
+const unique T.DpcActive___unnamed_1_9fa0583a:name;
+const unique T.Size___unnamed_1_e30779f5:name;
+const unique T.Hand___unnamed_1_e30779f5:name;
+const unique T.MinimumVector___unnamed_20_83d468e4:name;
+const unique T.MaximumVector___unnamed_20_83d468e4:name;
+const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
+const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
+const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
+const unique T.Length40___unnamed_24_035931da:name;
+const unique T.Alignment40___unnamed_24_035931da:name;
+const unique T.MinimumAddress___unnamed_24_035931da:name;
+const unique T.MaximumAddress___unnamed_24_035931da:name;
+const unique T.Length___unnamed_24_38e128db:name;
+const unique T.Alignment___unnamed_24_38e128db:name;
+const unique T.MinimumAddress___unnamed_24_38e128db:name;
+const unique T.MaximumAddress___unnamed_24_38e128db:name;
+const unique T.Length___unnamed_24_9500ea34:name;
+const unique T.Alignment___unnamed_24_9500ea34:name;
+const unique T.MinimumAddress___unnamed_24_9500ea34:name;
+const unique T.MaximumAddress___unnamed_24_9500ea34:name;
+const unique T.Length___unnamed_24_9734802c:name;
+const unique T.Alignment___unnamed_24_9734802c:name;
+const unique T.MinimumAddress___unnamed_24_9734802c:name;
+const unique T.MaximumAddress___unnamed_24_9734802c:name;
+const unique T.Length64___unnamed_24_af62813f:name;
+const unique T.Alignment64___unnamed_24_af62813f:name;
+const unique T.MinimumAddress___unnamed_24_af62813f:name;
+const unique T.MaximumAddress___unnamed_24_af62813f:name;
+const unique T.Length48___unnamed_24_c0555099:name;
+const unique T.Alignment48___unnamed_24_c0555099:name;
+const unique T.MinimumAddress___unnamed_24_c0555099:name;
+const unique T.MaximumAddress___unnamed_24_c0555099:name;
+const unique T.Port___unnamed_24_d7c4ec3a:name;
+const unique T.Memory___unnamed_24_d7c4ec3a:name;
+const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
+const unique T.Dma___unnamed_24_d7c4ec3a:name;
+const unique T.Generic___unnamed_24_d7c4ec3a:name;
+const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
+const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
+const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
+const unique T.Memory40___unnamed_24_d7c4ec3a:name;
+const unique T.Memory48___unnamed_24_d7c4ec3a:name;
+const unique T.Memory64___unnamed_24_d7c4ec3a:name;
+const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
+const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
+const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
+const unique T.Thread___unnamed_40_a0414182:name;
+const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
+const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
+const unique T.OriginalFileObject___unnamed_40_a0414182:name;
+const unique T.ListEntry___unnamed_40_d90496f4:name;
+const unique T.Wcb___unnamed_40_d90496f4:name;
+const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.Overlay___unnamed_48_c1da9fa5:name;
+const unique T.Apc___unnamed_48_c1da9fa5:name;
+const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
+const unique T.PowerSequence___unnamed_4_0510b147:name;
+const unique T.Length___unnamed_4_0a569078:name;
+const unique T.Status___unnamed_4_16aff58e:name;
+const unique T.Pointer___unnamed_4_16aff58e:name;
+const unique T.IdType___unnamed_4_40bf8e34:name;
+const unique T.Address___unnamed_4_46b62f69:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
+const unique T.Capabilities___unnamed_4_73d46255:name;
+const unique T.Srb___unnamed_4_765e3037:name;
+const unique T.Type___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
+const unique T.PowerState___unnamed_4_8dd73d30:name;
+const unique T.Type___unnamed_4_957e0d74:name;
+const unique T.Buttons___unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
+const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
+const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
+const unique T.PacketType___unnamed_4_a7aa989c:name;
+const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
+const unique T.ClusterCount___unnamed_4_a7d0864c:name;
+const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
+const unique T.Length___unnamed_4_aa20b426:name;
+const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
+const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
+const unique T.Reserved1___unnamed_4_b016b1e1:name;
+const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
+const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
+const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
+const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
+const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
+const unique T.Reserved2___unnamed_4_b016b1e1:name;
+const unique T.SystemContext___unnamed_4_b060dea6:name;
+const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
+const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
+const unique T.ButtonFlags___unnamed_4_b5247f10:name;
+const unique T.ButtonData___unnamed_4_b5247f10:name;
+const unique T.OwnerCount___unnamed_4_c1e23b02:name;
+const unique T.TableSize___unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
+const unique T.Lock___unnamed_4_c9b2e921:name;
+const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
+const unique T.IrpCount___unnamed_4_fa7b96a7:name;
+const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
+const unique T.Vpb___unnamed_8_09ad2712:name;
+const unique T.DeviceObject___unnamed_8_09ad2712:name;
+const unique T.Length___unnamed_8_21ac1dba:name;
+const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
+const unique T.Length___unnamed_8_27d3ab76:name;
+const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
+const unique T.Vpb___unnamed_8_4289df81:name;
+const unique T.DeviceObject___unnamed_8_4289df81:name;
+const unique T.Length___unnamed_8_47b72724:name;
+const unique T.FileInformationClass___unnamed_8_47b72724:name;
+const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
+const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
+const unique T.UserApcContext___unnamed_8_4f695993:name;
+const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
+const unique T.SecurityInformation___unnamed_8_606438c5:name;
+const unique T.Length___unnamed_8_606438c5:name;
+const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
+const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
+const unique T.Length___unnamed_8_805045cb:name;
+const unique T.FsInformationClass___unnamed_8_805045cb:name;
+const unique T.LowPart___unnamed_8_8684a3e7:name;
+const unique T.HighPart___unnamed_8_8684a3e7:name;
+const unique T.SecurityInformation___unnamed_8_8cc410da:name;
+const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
+const unique T.InPath___unnamed_8_a47253e0:name;
+const unique T.Reserved___unnamed_8_a47253e0:name;
+const unique T.Type___unnamed_8_a47253e0:name;
+const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
+const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
+const unique T.LowPart___unnamed_8_c9ca8234:name;
+const unique T.HighPart___unnamed_8_c9ca8234:name;
+
+// Type declarations
+
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A2UINT2:name;
+const unique T.A32UINT2:name;
+const unique T.A37CHAR:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A40CHAR:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A65CHAR:name;
+const unique T.A75CHAR:name;
+const unique T.A76CHAR:name;
+const unique T.A7UINT2:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A80CHAR:name;
+const unique T.A8UCHAR:name;
+const unique T.A9UINT2:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA2UINT2:name;
+const unique T.PA37CHAR:name;
+const unique T.PA40CHAR:name;
+const unique T.PA4UINT4:name;
+const unique T.PA65CHAR:name;
+const unique T.PA75CHAR:name;
+const unique T.PA76CHAR:name;
+const unique T.PA7UINT2:name;
+const unique T.PA80CHAR:name;
+const unique T.PA9UINT2:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPPUINT2:name;
+const unique T.PPP_DEVICE_OBJECT:name;
+const unique T.PPUINT2:name;
+const unique T.PPUINT4:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FAST_MUTEX:name;
+const unique T.PP_IO_REMOVE_LOCK:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FAST_MUTEX:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_MOUSE_INPUT_DATA:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_POOL_TYPE:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._MOUSE_ATTRIBUTES:name;
+const unique T._MOUSE_INPUT_DATA:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._POOL_TYPE:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_06b9ee6e:name;
+const unique T.__unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_264d0dab:name;
+const unique T.__unnamed_12_2e80217b:name;
+const unique T.__unnamed_12_5cc7ace2:name;
+const unique T.__unnamed_12_6374506e:name;
+const unique T.__unnamed_12_68a4278e:name;
+const unique T.__unnamed_12_79ed2653:name;
+const unique T.__unnamed_12_7da594c0:name;
+const unique T.__unnamed_12_9873e05d:name;
+const unique T.__unnamed_12_9cc8cebc:name;
+const unique T.__unnamed_12_b98da82e:name;
+const unique T.__unnamed_12_c2880e88:name;
+const unique T.__unnamed_12_c49ab31a:name;
+const unique T.__unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_12_ced61554:name;
+const unique T.__unnamed_12_d9c44df5:name;
+const unique T.__unnamed_12_db3dcbfc:name;
+const unique T.__unnamed_12_fb26b3fc:name;
+const unique T.__unnamed_16_22e4d054:name;
+const unique T.__unnamed_16_39b626ad:name;
+const unique T.__unnamed_16_56c011d7:name;
+const unique T.__unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_6be9abe0:name;
+const unique T.__unnamed_16_78879a38:name;
+const unique T.__unnamed_16_804a2f24:name;
+const unique T.__unnamed_16_8586693f:name;
+const unique T.__unnamed_16_8831e65f:name;
+const unique T.__unnamed_16_8c2d663a:name;
+const unique T.__unnamed_16_913b9a7a:name;
+const unique T.__unnamed_16_94d1d1c7:name;
+const unique T.__unnamed_16_a2fab4da:name;
+const unique T.__unnamed_16_ae643f17:name;
+const unique T.__unnamed_16_c1b29316:name;
+const unique T.__unnamed_16_cbd53ed4:name;
+const unique T.__unnamed_16_db70db6e:name;
+const unique T.__unnamed_16_ef4b6307:name;
+const unique T.__unnamed_16_fdda1f62:name;
+const unique T.__unnamed_1_1394de4b:name;
+const unique T.__unnamed_1_2bb39c56:name;
+const unique T.__unnamed_1_9fa0583a:name;
+const unique T.__unnamed_1_e30779f5:name;
+const unique T.__unnamed_20_83d468e4:name;
+const unique T.__unnamed_24_035931da:name;
+const unique T.__unnamed_24_38e128db:name;
+const unique T.__unnamed_24_9500ea34:name;
+const unique T.__unnamed_24_9734802c:name;
+const unique T.__unnamed_24_af62813f:name;
+const unique T.__unnamed_24_c0555099:name;
+const unique T.__unnamed_24_d7c4ec3a:name;
+const unique T.__unnamed_2_196a7f56:name;
+const unique T.__unnamed_40_a0414182:name;
+const unique T.__unnamed_40_d90496f4:name;
+const unique T.__unnamed_44_a7026dca:name;
+const unique T.__unnamed_48_c1da9fa5:name;
+const unique T.__unnamed_4_0510b147:name;
+const unique T.__unnamed_4_0a569078:name;
+const unique T.__unnamed_4_16aff58e:name;
+const unique T.__unnamed_4_40bf8e34:name;
+const unique T.__unnamed_4_46b62f69:name;
+const unique T.__unnamed_4_73d46255:name;
+const unique T.__unnamed_4_765e3037:name;
+const unique T.__unnamed_4_846adf3f:name;
+const unique T.__unnamed_4_8dd73d30:name;
+const unique T.__unnamed_4_957e0d74:name;
+const unique T.__unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_a58d40c8:name;
+const unique T.__unnamed_4_a7aa989c:name;
+const unique T.__unnamed_4_a7d0864c:name;
+const unique T.__unnamed_4_aa20b426:name;
+const unique T.__unnamed_4_ab87ddfd:name;
+const unique T.__unnamed_4_b016b1e1:name;
+const unique T.__unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b4f5a780:name;
+const unique T.__unnamed_4_b5247f10:name;
+const unique T.__unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_c9b2e921:name;
+const unique T.__unnamed_4_fa7b96a7:name;
+const unique T.__unnamed_8_09ad2712:name;
+const unique T.__unnamed_8_21ac1dba:name;
+const unique T.__unnamed_8_27d3ab76:name;
+const unique T.__unnamed_8_4289df81:name;
+const unique T.__unnamed_8_47b72724:name;
+const unique T.__unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_8_4f695993:name;
+const unique T.__unnamed_8_5cfb6ca4:name;
+const unique T.__unnamed_8_606438c5:name;
+const unique T.__unnamed_8_6ad774c0:name;
+const unique T.__unnamed_8_805045cb:name;
+const unique T.__unnamed_8_8684a3e7:name;
+const unique T.__unnamed_8_8cc410da:name;
+const unique T.__unnamed_8_a47253e0:name;
+const unique T.__unnamed_8_bbd07f6c:name;
+const unique T.__unnamed_8_c9ca8234:name;
+
+function Abandoned___unnamed_1_2bb39c56(int) returns (int);
+function Abandoned___unnamed_1_2bb39c56Inv(int) returns (int);
+function _S_Abandoned___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
+function _S_Abandoned___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(Abandoned___unnamed_1_2bb39c56(x))} Abandoned___unnamed_1_2bb39c56Inv(Abandoned___unnamed_1_2bb39c56(x)) == x);
+axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(x)} Abandoned___unnamed_1_2bb39c56(Abandoned___unnamed_1_2bb39c56Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_2bb39c56(S)[x]} _S_Abandoned___unnamed_1_2bb39c56(S)[x] <==> S[Abandoned___unnamed_1_2bb39c56Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Abandoned___unnamed_1_2bb39c56Inv(S)[x]} _S_Abandoned___unnamed_1_2bb39c56Inv(S)[x] <==> S[Abandoned___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_2bb39c56(S)} S[x] ==> _S_Abandoned___unnamed_1_2bb39c56(S)[Abandoned___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Abandoned___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Abandoned___unnamed_1_2bb39c56Inv(S)[Abandoned___unnamed_1_2bb39c56Inv(x)]);
+
+axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56(x)} Abandoned___unnamed_1_2bb39c56(x) == x + 0);
+axiom (forall x:int :: {Abandoned___unnamed_1_2bb39c56Inv(x)} Abandoned___unnamed_1_2bb39c56Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Abandoned___unnamed_1_2bb39c56Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Abandoned___unnamed_1_2bb39c56Inv(x));
+function Absolute___unnamed_1_2bb39c56(int) returns (int);
+function Absolute___unnamed_1_2bb39c56Inv(int) returns (int);
+function _S_Absolute___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
+function _S_Absolute___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(Absolute___unnamed_1_2bb39c56(x))} Absolute___unnamed_1_2bb39c56Inv(Absolute___unnamed_1_2bb39c56(x)) == x);
+axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(x)} Absolute___unnamed_1_2bb39c56(Absolute___unnamed_1_2bb39c56Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_2bb39c56(S)[x]} _S_Absolute___unnamed_1_2bb39c56(S)[x] <==> S[Absolute___unnamed_1_2bb39c56Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Absolute___unnamed_1_2bb39c56Inv(S)[x]} _S_Absolute___unnamed_1_2bb39c56Inv(S)[x] <==> S[Absolute___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_2bb39c56(S)} S[x] ==> _S_Absolute___unnamed_1_2bb39c56(S)[Absolute___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Absolute___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Absolute___unnamed_1_2bb39c56Inv(S)[Absolute___unnamed_1_2bb39c56Inv(x)]);
+
+axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56(x)} Absolute___unnamed_1_2bb39c56(x) == x + 0);
+axiom (forall x:int :: {Absolute___unnamed_1_2bb39c56Inv(x)} Absolute___unnamed_1_2bb39c56Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Absolute___unnamed_1_2bb39c56Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Absolute___unnamed_1_2bb39c56Inv(x));
+function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x))} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 16);
+axiom (forall x:int :: {AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == AllocateTag__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function BaseClassName__GLOBALS(int) returns (int);
+function BaseClassName__GLOBALSInv(int) returns (int);
+function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
+function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 344);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 344);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1) == BaseClassName__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 344)} MINUS_LEFT_PTR(x, 1, 344) == BaseClassName__GLOBALSInv(x));
+function Blink__LIST_ENTRY(int) returns (int);
+function Blink__LIST_ENTRYInv(int) returns (int);
+function _S_Blink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Blink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x))} Blink__LIST_ENTRYInv(Blink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRY(Blink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRY(S)[x]} _S_Blink__LIST_ENTRY(S)[x] <==> S[Blink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Blink__LIST_ENTRYInv(S)[x]} _S_Blink__LIST_ENTRYInv(S)[x] <==> S[Blink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRY(S)} S[x] ==> _S_Blink__LIST_ENTRY(S)[Blink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blink__LIST_ENTRYInv(S)} S[x] ==> _S_Blink__LIST_ENTRYInv(S)[Blink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
+axiom (forall x:int :: {Blink__LIST_ENTRYInv(x)} Blink__LIST_ENTRYInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Blink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Blink__LIST_ENTRYInv(x));
+function Blocks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x))} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCK(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 56);
+axiom (forall x:int :: {Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 56);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == Blocks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function Common__IO_REMOVE_LOCK(int) returns (int);
+function Common__IO_REMOVE_LOCKInv(int) returns (int);
+function _S_Common__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
+function _S_Common__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x))} Common__IO_REMOVE_LOCKInv(Common__IO_REMOVE_LOCK(x)) == x);
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCK(Common__IO_REMOVE_LOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCK(S)[x]} _S_Common__IO_REMOVE_LOCK(S)[x] <==> S[Common__IO_REMOVE_LOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Common__IO_REMOVE_LOCKInv(S)[x]} _S_Common__IO_REMOVE_LOCKInv(S)[x] <==> S[Common__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCK(S)} S[x] ==> _S_Common__IO_REMOVE_LOCK(S)[Common__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Common__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Common__IO_REMOVE_LOCKInv(S)[Common__IO_REMOVE_LOCKInv(x)]);
+
+axiom (forall x:int :: {Common__IO_REMOVE_LOCK(x)} Common__IO_REMOVE_LOCK(x) == x + 0);
+axiom (forall x:int :: {Common__IO_REMOVE_LOCKInv(x)} Common__IO_REMOVE_LOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Common__IO_REMOVE_LOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Common__IO_REMOVE_LOCKInv(x));
+function ConnectOneClassToOnePort__GLOBALS(int) returns (int);
+function ConnectOneClassToOnePort__GLOBALSInv(int) returns (int);
+function _S_ConnectOneClassToOnePort__GLOBALS([int]bool) returns ([int]bool);
+function _S_ConnectOneClassToOnePort__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x))} ConnectOneClassToOnePort__GLOBALSInv(ConnectOneClassToOnePort__GLOBALS(x)) == x);
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALS(ConnectOneClassToOnePort__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALS(S)[x]} _S_ConnectOneClassToOnePort__GLOBALS(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ConnectOneClassToOnePort__GLOBALSInv(S)[x]} _S_ConnectOneClassToOnePort__GLOBALSInv(S)[x] <==> S[ConnectOneClassToOnePort__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALS(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALS(S)[ConnectOneClassToOnePort__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ConnectOneClassToOnePort__GLOBALSInv(S)} S[x] ==> _S_ConnectOneClassToOnePort__GLOBALSInv(S)[ConnectOneClassToOnePort__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALS(x)} ConnectOneClassToOnePort__GLOBALS(x) == x + 56);
+axiom (forall x:int :: {ConnectOneClassToOnePort__GLOBALSInv(x)} ConnectOneClassToOnePort__GLOBALSInv(x) == x - 56);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 56, 1) == ConnectOneClassToOnePort__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 56)} MINUS_LEFT_PTR(x, 1, 56) == ConnectOneClassToOnePort__GLOBALSInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function Dbg__IO_REMOVE_LOCK(int) returns (int);
+function Dbg__IO_REMOVE_LOCKInv(int) returns (int);
+function _S_Dbg__IO_REMOVE_LOCK([int]bool) returns ([int]bool);
+function _S_Dbg__IO_REMOVE_LOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x))} Dbg__IO_REMOVE_LOCKInv(Dbg__IO_REMOVE_LOCK(x)) == x);
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCK(Dbg__IO_REMOVE_LOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCK(S)[x]} _S_Dbg__IO_REMOVE_LOCK(S)[x] <==> S[Dbg__IO_REMOVE_LOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Dbg__IO_REMOVE_LOCKInv(S)[x]} _S_Dbg__IO_REMOVE_LOCKInv(S)[x] <==> S[Dbg__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCK(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCK(S)[Dbg__IO_REMOVE_LOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Dbg__IO_REMOVE_LOCKInv(S)} S[x] ==> _S_Dbg__IO_REMOVE_LOCKInv(S)[Dbg__IO_REMOVE_LOCKInv(x)]);
+
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCK(x)} Dbg__IO_REMOVE_LOCK(x) == x + 24);
+axiom (forall x:int :: {Dbg__IO_REMOVE_LOCKInv(x)} Dbg__IO_REMOVE_LOCKInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Dbg__IO_REMOVE_LOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Dbg__IO_REMOVE_LOCKInv(x));
+function DebugActive___unnamed_1_9fa0583a(int) returns (int);
+function DebugActive___unnamed_1_9fa0583aInv(int) returns (int);
+function _S_DebugActive___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
+function _S_DebugActive___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(DebugActive___unnamed_1_9fa0583a(x))} DebugActive___unnamed_1_9fa0583aInv(DebugActive___unnamed_1_9fa0583a(x)) == x);
+axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(x)} DebugActive___unnamed_1_9fa0583a(DebugActive___unnamed_1_9fa0583aInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_9fa0583a(S)[x]} _S_DebugActive___unnamed_1_9fa0583a(S)[x] <==> S[DebugActive___unnamed_1_9fa0583aInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DebugActive___unnamed_1_9fa0583aInv(S)[x]} _S_DebugActive___unnamed_1_9fa0583aInv(S)[x] <==> S[DebugActive___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_9fa0583a(S)} S[x] ==> _S_DebugActive___unnamed_1_9fa0583a(S)[DebugActive___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DebugActive___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_DebugActive___unnamed_1_9fa0583aInv(S)[DebugActive___unnamed_1_9fa0583aInv(x)]);
+
+axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583a(x)} DebugActive___unnamed_1_9fa0583a(x) == x + 0);
+axiom (forall x:int :: {DebugActive___unnamed_1_9fa0583aInv(x)} DebugActive___unnamed_1_9fa0583aInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DebugActive___unnamed_1_9fa0583aInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DebugActive___unnamed_1_9fa0583aInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function DeviceState__DEVICE_EXTENSION(int) returns (int);
+function DeviceState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x))} DeviceState__DEVICE_EXTENSIONInv(DeviceState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSION(DeviceState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSION(S)[x]} _S_DeviceState__DEVICE_EXTENSION(S)[x] <==> S[DeviceState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_DeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[DeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSION(S)[DeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DeviceState__DEVICE_EXTENSIONInv(S)[DeviceState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSION(x)} DeviceState__DEVICE_EXTENSION(x) == x + 168);
+axiom (forall x:int :: {DeviceState__DEVICE_EXTENSIONInv(x)} DeviceState__DEVICE_EXTENSIONInv(x) == x - 168);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 168, 1) == DeviceState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 168)} MINUS_LEFT_PTR(x, 1, 168) == DeviceState__DEVICE_EXTENSIONInv(x));
+function DpcActive___unnamed_1_9fa0583a(int) returns (int);
+function DpcActive___unnamed_1_9fa0583aInv(int) returns (int);
+function _S_DpcActive___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
+function _S_DpcActive___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(DpcActive___unnamed_1_9fa0583a(x))} DpcActive___unnamed_1_9fa0583aInv(DpcActive___unnamed_1_9fa0583a(x)) == x);
+axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(x)} DpcActive___unnamed_1_9fa0583a(DpcActive___unnamed_1_9fa0583aInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_9fa0583a(S)[x]} _S_DpcActive___unnamed_1_9fa0583a(S)[x] <==> S[DpcActive___unnamed_1_9fa0583aInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DpcActive___unnamed_1_9fa0583aInv(S)[x]} _S_DpcActive___unnamed_1_9fa0583aInv(S)[x] <==> S[DpcActive___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_9fa0583a(S)} S[x] ==> _S_DpcActive___unnamed_1_9fa0583a(S)[DpcActive___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DpcActive___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_DpcActive___unnamed_1_9fa0583aInv(S)[DpcActive___unnamed_1_9fa0583aInv(x)]);
+
+axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583a(x)} DpcActive___unnamed_1_9fa0583a(x) == x + 0);
+axiom (forall x:int :: {DpcActive___unnamed_1_9fa0583aInv(x)} DpcActive___unnamed_1_9fa0583aInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == DpcActive___unnamed_1_9fa0583aInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == DpcActive___unnamed_1_9fa0583aInv(x));
+function Enabled__DEVICE_EXTENSION(int) returns (int);
+function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 264);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 264);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == Enabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == Enabled__DEVICE_EXTENSIONInv(x));
+function ExecuteWmiMethod__WMILIB_CONTEXT(int) returns (int);
+function ExecuteWmiMethod__WMILIB_CONTEXTInv(int) returns (int);
+function _S_ExecuteWmiMethod__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_ExecuteWmiMethod__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x))} ExecuteWmiMethod__WMILIB_CONTEXTInv(ExecuteWmiMethod__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXT(ExecuteWmiMethod__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x]} _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[x] <==> S[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXT(S)[ExecuteWmiMethod__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)} S[x] ==> _S_ExecuteWmiMethod__WMILIB_CONTEXTInv(S)[ExecuteWmiMethod__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXT(x)} ExecuteWmiMethod__WMILIB_CONTEXT(x) == x + 24);
+axiom (forall x:int :: {ExecuteWmiMethod__WMILIB_CONTEXTInv(x)} ExecuteWmiMethod__WMILIB_CONTEXTInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == ExecuteWmiMethod__WMILIB_CONTEXTInv(x));
+function ExtraWaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
+function ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_ExtraWaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x))} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(ExtraWaitWakeIrp__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSION(S)[ExtraWaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(S)[ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSION(x)} ExtraWaitWakeIrp__DEVICE_EXTENSION(x) == x + 244);
+axiom (forall x:int :: {ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x)} ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 244);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 244, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 244, 1) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 244)} MINUS_LEFT_PTR(x, 1, 244) == ExtraWaitWakeIrp__DEVICE_EXTENSIONInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
+function Flags__DEVICE_OBJECT(int) returns (int);
+function Flags__DEVICE_OBJECTInv(int) returns (int);
+function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function GuidCount__WMILIB_CONTEXT(int) returns (int);
+function GuidCount__WMILIB_CONTEXTInv(int) returns (int);
+function _S_GuidCount__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_GuidCount__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x))} GuidCount__WMILIB_CONTEXTInv(GuidCount__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXT(GuidCount__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXT(S)[x]} _S_GuidCount__WMILIB_CONTEXT(S)[x] <==> S[GuidCount__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GuidCount__WMILIB_CONTEXTInv(S)[x]} _S_GuidCount__WMILIB_CONTEXTInv(S)[x] <==> S[GuidCount__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXT(S)[GuidCount__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidCount__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidCount__WMILIB_CONTEXTInv(S)[GuidCount__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXT(x)} GuidCount__WMILIB_CONTEXT(x) == x + 0);
+axiom (forall x:int :: {GuidCount__WMILIB_CONTEXTInv(x)} GuidCount__WMILIB_CONTEXTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == GuidCount__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == GuidCount__WMILIB_CONTEXTInv(x));
+function GuidList__WMILIB_CONTEXT(int) returns (int);
+function GuidList__WMILIB_CONTEXTInv(int) returns (int);
+function _S_GuidList__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_GuidList__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x))} GuidList__WMILIB_CONTEXTInv(GuidList__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXT(GuidList__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXT(S)[x]} _S_GuidList__WMILIB_CONTEXT(S)[x] <==> S[GuidList__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GuidList__WMILIB_CONTEXTInv(S)[x]} _S_GuidList__WMILIB_CONTEXTInv(S)[x] <==> S[GuidList__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXT(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXT(S)[GuidList__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GuidList__WMILIB_CONTEXTInv(S)} S[x] ==> _S_GuidList__WMILIB_CONTEXTInv(S)[GuidList__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXT(x)} GuidList__WMILIB_CONTEXT(x) == x + 4);
+axiom (forall x:int :: {GuidList__WMILIB_CONTEXTInv(x)} GuidList__WMILIB_CONTEXTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GuidList__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GuidList__WMILIB_CONTEXTInv(x));
+function Hand___unnamed_1_e30779f5(int) returns (int);
+function Hand___unnamed_1_e30779f5Inv(int) returns (int);
+function _S_Hand___unnamed_1_e30779f5([int]bool) returns ([int]bool);
+function _S_Hand___unnamed_1_e30779f5Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(Hand___unnamed_1_e30779f5(x))} Hand___unnamed_1_e30779f5Inv(Hand___unnamed_1_e30779f5(x)) == x);
+axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(x)} Hand___unnamed_1_e30779f5(Hand___unnamed_1_e30779f5Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_e30779f5(S)[x]} _S_Hand___unnamed_1_e30779f5(S)[x] <==> S[Hand___unnamed_1_e30779f5Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Hand___unnamed_1_e30779f5Inv(S)[x]} _S_Hand___unnamed_1_e30779f5Inv(S)[x] <==> S[Hand___unnamed_1_e30779f5(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_e30779f5(S)} S[x] ==> _S_Hand___unnamed_1_e30779f5(S)[Hand___unnamed_1_e30779f5(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Hand___unnamed_1_e30779f5Inv(S)} S[x] ==> _S_Hand___unnamed_1_e30779f5Inv(S)[Hand___unnamed_1_e30779f5Inv(x)]);
+
+axiom (forall x:int :: {Hand___unnamed_1_e30779f5(x)} Hand___unnamed_1_e30779f5(x) == x + 0);
+axiom (forall x:int :: {Hand___unnamed_1_e30779f5Inv(x)} Hand___unnamed_1_e30779f5Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Hand___unnamed_1_e30779f5Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Hand___unnamed_1_e30779f5Inv(x));
+function Header__KEVENT(int) returns (int);
+function Header__KEVENTInv(int) returns (int);
+function _S_Header__KEVENT([int]bool) returns ([int]bool);
+function _S_Header__KEVENTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Header__KEVENTInv(Header__KEVENT(x))} Header__KEVENTInv(Header__KEVENT(x)) == x);
+axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENT(Header__KEVENTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENT(S)[x]} _S_Header__KEVENT(S)[x] <==> S[Header__KEVENTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Header__KEVENTInv(S)[x]} _S_Header__KEVENTInv(S)[x] <==> S[Header__KEVENT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENT(S)} S[x] ==> _S_Header__KEVENT(S)[Header__KEVENT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Header__KEVENTInv(S)} S[x] ==> _S_Header__KEVENTInv(S)[Header__KEVENTInv(x)]);
+
+axiom (forall x:int :: {Header__KEVENT(x)} Header__KEVENT(x) == x + 0);
+axiom (forall x:int :: {Header__KEVENTInv(x)} Header__KEVENTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Header__KEVENTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Header__KEVENTInv(x));
+function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x))} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 4);
+axiom (forall x:int :: {HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == HighWatermark__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function InputCount__DEVICE_EXTENSION(int) returns (int);
+function InputCount__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x))} InputCount__DEVICE_EXTENSIONInv(InputCount__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSION(InputCount__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSION(S)[x]} _S_InputCount__DEVICE_EXTENSION(S)[x] <==> S[InputCount__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputCount__DEVICE_EXTENSIONInv(S)[x]} _S_InputCount__DEVICE_EXTENSIONInv(S)[x] <==> S[InputCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSION(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSION(S)[InputCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputCount__DEVICE_EXTENSIONInv(S)[InputCount__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSION(x)} InputCount__DEVICE_EXTENSION(x) == x + 116);
+axiom (forall x:int :: {InputCount__DEVICE_EXTENSIONInv(x)} InputCount__DEVICE_EXTENSIONInv(x) == x - 116);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 116, 1) == InputCount__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 116)} MINUS_LEFT_PTR(x, 1, 116) == InputCount__DEVICE_EXTENSIONInv(x));
+function InputDataQueueLength__MOUSE_ATTRIBUTES(int) returns (int);
+function InputDataQueueLength__MOUSE_ATTRIBUTESInv(int) returns (int);
+function _S_InputDataQueueLength__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(InputDataQueueLength__MOUSE_ATTRIBUTES(x))} InputDataQueueLength__MOUSE_ATTRIBUTESInv(InputDataQueueLength__MOUSE_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)} InputDataQueueLength__MOUSE_ATTRIBUTES(InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[x]} _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[x] <==> S[InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[x]} _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[x] <==> S[InputDataQueueLength__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_InputDataQueueLength__MOUSE_ATTRIBUTES(S)[InputDataQueueLength__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_InputDataQueueLength__MOUSE_ATTRIBUTESInv(S)[InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTES(x)} InputDataQueueLength__MOUSE_ATTRIBUTES(x) == x + 8);
+axiom (forall x:int :: {InputDataQueueLength__MOUSE_ATTRIBUTESInv(x)} InputDataQueueLength__MOUSE_ATTRIBUTESInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == InputDataQueueLength__MOUSE_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == InputDataQueueLength__MOUSE_ATTRIBUTESInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function Inserted___unnamed_1_9fa0583a(int) returns (int);
+function Inserted___unnamed_1_9fa0583aInv(int) returns (int);
+function _S_Inserted___unnamed_1_9fa0583a([int]bool) returns ([int]bool);
+function _S_Inserted___unnamed_1_9fa0583aInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(Inserted___unnamed_1_9fa0583a(x))} Inserted___unnamed_1_9fa0583aInv(Inserted___unnamed_1_9fa0583a(x)) == x);
+axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(x)} Inserted___unnamed_1_9fa0583a(Inserted___unnamed_1_9fa0583aInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_9fa0583a(S)[x]} _S_Inserted___unnamed_1_9fa0583a(S)[x] <==> S[Inserted___unnamed_1_9fa0583aInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Inserted___unnamed_1_9fa0583aInv(S)[x]} _S_Inserted___unnamed_1_9fa0583aInv(S)[x] <==> S[Inserted___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_9fa0583a(S)} S[x] ==> _S_Inserted___unnamed_1_9fa0583a(S)[Inserted___unnamed_1_9fa0583a(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Inserted___unnamed_1_9fa0583aInv(S)} S[x] ==> _S_Inserted___unnamed_1_9fa0583aInv(S)[Inserted___unnamed_1_9fa0583aInv(x)]);
+
+axiom (forall x:int :: {Inserted___unnamed_1_9fa0583a(x)} Inserted___unnamed_1_9fa0583a(x) == x + 0);
+axiom (forall x:int :: {Inserted___unnamed_1_9fa0583aInv(x)} Inserted___unnamed_1_9fa0583aInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Inserted___unnamed_1_9fa0583aInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Inserted___unnamed_1_9fa0583aInv(x));
+function IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x))} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 4);
+axiom (forall x:int :: {IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == IoCount__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
+function Length__UNICODE_STRING(int) returns (int);
+function Length__UNICODE_STRINGInv(int) returns (int);
+function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
+function LockList__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x))} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCK(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCK(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 20);
+axiom (forall x:int :: {LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == LockList__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Lock___unnamed_4_c9b2e921(int) returns (int);
+function Lock___unnamed_4_c9b2e921Inv(int) returns (int);
+function _S_Lock___unnamed_4_c9b2e921([int]bool) returns ([int]bool);
+function _S_Lock___unnamed_4_c9b2e921Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(Lock___unnamed_4_c9b2e921(x))} Lock___unnamed_4_c9b2e921Inv(Lock___unnamed_4_c9b2e921(x)) == x);
+axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(x)} Lock___unnamed_4_c9b2e921(Lock___unnamed_4_c9b2e921Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_c9b2e921(S)[x]} _S_Lock___unnamed_4_c9b2e921(S)[x] <==> S[Lock___unnamed_4_c9b2e921Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Lock___unnamed_4_c9b2e921Inv(S)[x]} _S_Lock___unnamed_4_c9b2e921Inv(S)[x] <==> S[Lock___unnamed_4_c9b2e921(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_c9b2e921(S)} S[x] ==> _S_Lock___unnamed_4_c9b2e921(S)[Lock___unnamed_4_c9b2e921(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Lock___unnamed_4_c9b2e921Inv(S)} S[x] ==> _S_Lock___unnamed_4_c9b2e921Inv(S)[Lock___unnamed_4_c9b2e921Inv(x)]);
+
+axiom (forall x:int :: {Lock___unnamed_4_c9b2e921(x)} Lock___unnamed_4_c9b2e921(x) == x + 0);
+axiom (forall x:int :: {Lock___unnamed_4_c9b2e921Inv(x)} Lock___unnamed_4_c9b2e921Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Lock___unnamed_4_c9b2e921Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Lock___unnamed_4_c9b2e921Inv(x));
+function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x))} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 32);
+axiom (forall x:int :: {LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 32);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 32, 1) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 32)} MINUS_LEFT_PTR(x, 1, 32) == LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x))} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 8);
+axiom (forall x:int :: {MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function MaximumLength__UNICODE_STRING(int) returns (int);
+function MaximumLength__UNICODE_STRINGInv(int) returns (int);
+function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
+function MinDeviceWakeState__DEVICE_EXTENSION(int) returns (int);
+function MinDeviceWakeState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_MinDeviceWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_MinDeviceWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x))} MinDeviceWakeState__DEVICE_EXTENSIONInv(MinDeviceWakeState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSION(MinDeviceWakeState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSION(S)[MinDeviceWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinDeviceWakeState__DEVICE_EXTENSIONInv(S)[MinDeviceWakeState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSION(x)} MinDeviceWakeState__DEVICE_EXTENSION(x) == x + 232);
+axiom (forall x:int :: {MinDeviceWakeState__DEVICE_EXTENSIONInv(x)} MinDeviceWakeState__DEVICE_EXTENSIONInv(x) == x - 232);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 232, 1) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 232)} MINUS_LEFT_PTR(x, 1, 232) == MinDeviceWakeState__DEVICE_EXTENSIONInv(x));
+function MinSystemWakeState__DEVICE_EXTENSION(int) returns (int);
+function MinSystemWakeState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_MinSystemWakeState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_MinSystemWakeState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x))} MinSystemWakeState__DEVICE_EXTENSIONInv(MinSystemWakeState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSION(MinSystemWakeState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSION(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSION(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x]} _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[x] <==> S[MinSystemWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSION(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSION(S)[MinSystemWakeState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MinSystemWakeState__DEVICE_EXTENSIONInv(S)[MinSystemWakeState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSION(x)} MinSystemWakeState__DEVICE_EXTENSION(x) == x + 236);
+axiom (forall x:int :: {MinSystemWakeState__DEVICE_EXTENSIONInv(x)} MinSystemWakeState__DEVICE_EXTENSIONInv(x) == x - 236);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 236, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 236, 1) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 236)} MINUS_LEFT_PTR(x, 1, 236) == MinSystemWakeState__DEVICE_EXTENSIONInv(x));
+function MouseAttributes__DEVICE_EXTENSION(int) returns (int);
+function MouseAttributes__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_MouseAttributes__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_MouseAttributes__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(MouseAttributes__DEVICE_EXTENSION(x))} MouseAttributes__DEVICE_EXTENSIONInv(MouseAttributes__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(x)} MouseAttributes__DEVICE_EXTENSION(MouseAttributes__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MouseAttributes__DEVICE_EXTENSION(S)[x]} _S_MouseAttributes__DEVICE_EXTENSION(S)[x] <==> S[MouseAttributes__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MouseAttributes__DEVICE_EXTENSIONInv(S)[x]} _S_MouseAttributes__DEVICE_EXTENSIONInv(S)[x] <==> S[MouseAttributes__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseAttributes__DEVICE_EXTENSION(S)} S[x] ==> _S_MouseAttributes__DEVICE_EXTENSION(S)[MouseAttributes__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseAttributes__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_MouseAttributes__DEVICE_EXTENSIONInv(S)[MouseAttributes__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSION(x)} MouseAttributes__DEVICE_EXTENSION(x) == x + 140);
+axiom (forall x:int :: {MouseAttributes__DEVICE_EXTENSIONInv(x)} MouseAttributes__DEVICE_EXTENSIONInv(x) == x - 140);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 140, 1) == MouseAttributes__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 140)} MINUS_LEFT_PTR(x, 1, 140) == MouseAttributes__DEVICE_EXTENSIONInv(x));
+function MouseIdentifier__MOUSE_ATTRIBUTES(int) returns (int);
+function MouseIdentifier__MOUSE_ATTRIBUTESInv(int) returns (int);
+function _S_MouseIdentifier__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_MouseIdentifier__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(MouseIdentifier__MOUSE_ATTRIBUTES(x))} MouseIdentifier__MOUSE_ATTRIBUTESInv(MouseIdentifier__MOUSE_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(x)} MouseIdentifier__MOUSE_ATTRIBUTES(MouseIdentifier__MOUSE_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[x]} _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[x] <==> S[MouseIdentifier__MOUSE_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[x]} _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[x] <==> S[MouseIdentifier__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_MouseIdentifier__MOUSE_ATTRIBUTES(S)[MouseIdentifier__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_MouseIdentifier__MOUSE_ATTRIBUTESInv(S)[MouseIdentifier__MOUSE_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTES(x)} MouseIdentifier__MOUSE_ATTRIBUTES(x) == x + 0);
+axiom (forall x:int :: {MouseIdentifier__MOUSE_ATTRIBUTESInv(x)} MouseIdentifier__MOUSE_ATTRIBUTESInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == MouseIdentifier__MOUSE_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == MouseIdentifier__MOUSE_ATTRIBUTESInv(x));
+function Mutex__GLOBALS(int) returns (int);
+function Mutex__GLOBALSInv(int) returns (int);
+function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
+function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
+function NpxIrql___unnamed_1_2bb39c56(int) returns (int);
+function NpxIrql___unnamed_1_2bb39c56Inv(int) returns (int);
+function _S_NpxIrql___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
+function _S_NpxIrql___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(NpxIrql___unnamed_1_2bb39c56(x))} NpxIrql___unnamed_1_2bb39c56Inv(NpxIrql___unnamed_1_2bb39c56(x)) == x);
+axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(x)} NpxIrql___unnamed_1_2bb39c56(NpxIrql___unnamed_1_2bb39c56Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_2bb39c56(S)[x]} _S_NpxIrql___unnamed_1_2bb39c56(S)[x] <==> S[NpxIrql___unnamed_1_2bb39c56Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NpxIrql___unnamed_1_2bb39c56Inv(S)[x]} _S_NpxIrql___unnamed_1_2bb39c56Inv(S)[x] <==> S[NpxIrql___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_2bb39c56(S)} S[x] ==> _S_NpxIrql___unnamed_1_2bb39c56(S)[NpxIrql___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NpxIrql___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_NpxIrql___unnamed_1_2bb39c56Inv(S)[NpxIrql___unnamed_1_2bb39c56Inv(x)]);
+
+axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56(x)} NpxIrql___unnamed_1_2bb39c56(x) == x + 0);
+axiom (forall x:int :: {NpxIrql___unnamed_1_2bb39c56Inv(x)} NpxIrql___unnamed_1_2bb39c56Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == NpxIrql___unnamed_1_2bb39c56Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == NpxIrql___unnamed_1_2bb39c56Inv(x));
+function NumberOfButtons__MOUSE_ATTRIBUTES(int) returns (int);
+function NumberOfButtons__MOUSE_ATTRIBUTESInv(int) returns (int);
+function _S_NumberOfButtons__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_NumberOfButtons__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(NumberOfButtons__MOUSE_ATTRIBUTES(x))} NumberOfButtons__MOUSE_ATTRIBUTESInv(NumberOfButtons__MOUSE_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(x)} NumberOfButtons__MOUSE_ATTRIBUTES(NumberOfButtons__MOUSE_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[x]} _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[x] <==> S[NumberOfButtons__MOUSE_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[x]} _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[x] <==> S[NumberOfButtons__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_NumberOfButtons__MOUSE_ATTRIBUTES(S)[NumberOfButtons__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_NumberOfButtons__MOUSE_ATTRIBUTESInv(S)[NumberOfButtons__MOUSE_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTES(x)} NumberOfButtons__MOUSE_ATTRIBUTES(x) == x + 2);
+axiom (forall x:int :: {NumberOfButtons__MOUSE_ATTRIBUTESInv(x)} NumberOfButtons__MOUSE_ATTRIBUTESInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == NumberOfButtons__MOUSE_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == NumberOfButtons__MOUSE_ATTRIBUTESInv(x));
+function OkayToLogOverflow__DEVICE_EXTENSION(int) returns (int);
+function OkayToLogOverflow__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_OkayToLogOverflow__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_OkayToLogOverflow__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x))} OkayToLogOverflow__DEVICE_EXTENSIONInv(OkayToLogOverflow__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSION(OkayToLogOverflow__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x]} _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[x] <==> S[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSION(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSION(S)[OkayToLogOverflow__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_OkayToLogOverflow__DEVICE_EXTENSIONInv(S)[OkayToLogOverflow__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSION(x)} OkayToLogOverflow__DEVICE_EXTENSION(x) == x + 106);
+axiom (forall x:int :: {OkayToLogOverflow__DEVICE_EXTENSIONInv(x)} OkayToLogOverflow__DEVICE_EXTENSIONInv(x) == x - 106);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 106, 1) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 106)} MINUS_LEFT_PTR(x, 1, 106) == OkayToLogOverflow__DEVICE_EXTENSIONInv(x));
+function PDO__DEVICE_EXTENSION(int) returns (int);
+function PDO__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PDO__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PDO__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x))} PDO__DEVICE_EXTENSIONInv(PDO__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSION(PDO__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSION(S)[x]} _S_PDO__DEVICE_EXTENSION(S)[x] <==> S[PDO__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PDO__DEVICE_EXTENSIONInv(S)[x]} _S_PDO__DEVICE_EXTENSIONInv(S)[x] <==> S[PDO__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSION(S)} S[x] ==> _S_PDO__DEVICE_EXTENSION(S)[PDO__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PDO__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PDO__DEVICE_EXTENSIONInv(S)[PDO__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PDO__DEVICE_EXTENSION(x)} PDO__DEVICE_EXTENSION(x) == x + 12);
+axiom (forall x:int :: {PDO__DEVICE_EXTENSIONInv(x)} PDO__DEVICE_EXTENSIONInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == PDO__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == PDO__DEVICE_EXTENSIONInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function QueryWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
+function QueryWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
+function _S_QueryWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_QueryWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x))} QueryWmiDataBlock__WMILIB_CONTEXTInv(QueryWmiDataBlock__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXT(QueryWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXT(S)[QueryWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiDataBlock__WMILIB_CONTEXTInv(S)[QueryWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXT(x)} QueryWmiDataBlock__WMILIB_CONTEXT(x) == x + 12);
+axiom (forall x:int :: {QueryWmiDataBlock__WMILIB_CONTEXTInv(x)} QueryWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == QueryWmiDataBlock__WMILIB_CONTEXTInv(x));
+function QueryWmiRegInfo__WMILIB_CONTEXT(int) returns (int);
+function QueryWmiRegInfo__WMILIB_CONTEXTInv(int) returns (int);
+function _S_QueryWmiRegInfo__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_QueryWmiRegInfo__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x))} QueryWmiRegInfo__WMILIB_CONTEXTInv(QueryWmiRegInfo__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXT(QueryWmiRegInfo__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x]} _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[x] <==> S[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXT(S)[QueryWmiRegInfo__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)} S[x] ==> _S_QueryWmiRegInfo__WMILIB_CONTEXTInv(S)[QueryWmiRegInfo__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXT(x)} QueryWmiRegInfo__WMILIB_CONTEXT(x) == x + 8);
+axiom (forall x:int :: {QueryWmiRegInfo__WMILIB_CONTEXTInv(x)} QueryWmiRegInfo__WMILIB_CONTEXTInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == QueryWmiRegInfo__WMILIB_CONTEXTInv(x));
+function ReadQueue__DEVICE_EXTENSION(int) returns (int);
+function ReadQueue__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_ReadQueue__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_ReadQueue__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x))} ReadQueue__DEVICE_EXTENSIONInv(ReadQueue__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSION(ReadQueue__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSION(S)[x]} _S_ReadQueue__DEVICE_EXTENSION(S)[x] <==> S[ReadQueue__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_ReadQueue__DEVICE_EXTENSIONInv(S)[x]} _S_ReadQueue__DEVICE_EXTENSIONInv(S)[x] <==> S[ReadQueue__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSION(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSION(S)[ReadQueue__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_ReadQueue__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_ReadQueue__DEVICE_EXTENSIONInv(S)[ReadQueue__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSION(x)} ReadQueue__DEVICE_EXTENSION(x) == x + 156);
+axiom (forall x:int :: {ReadQueue__DEVICE_EXTENSIONInv(x)} ReadQueue__DEVICE_EXTENSIONInv(x) == x - 156);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 156, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 156, 1) == ReadQueue__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 156)} MINUS_LEFT_PTR(x, 1, 156) == ReadQueue__DEVICE_EXTENSIONInv(x));
+function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x))} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 8);
+axiom (forall x:int :: {RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function RemoveLock__DEVICE_EXTENSION(int) returns (int);
+function RemoveLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_RemoveLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_RemoveLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x))} RemoveLock__DEVICE_EXTENSIONInv(RemoveLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSION(RemoveLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSION(S)[x]} _S_RemoveLock__DEVICE_EXTENSION(S)[x] <==> S[RemoveLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RemoveLock__DEVICE_EXTENSIONInv(S)[x]} _S_RemoveLock__DEVICE_EXTENSIONInv(S)[x] <==> S[RemoveLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSION(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSION(S)[RemoveLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RemoveLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_RemoveLock__DEVICE_EXTENSIONInv(S)[RemoveLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSION(x)} RemoveLock__DEVICE_EXTENSION(x) == x + 16);
+axiom (forall x:int :: {RemoveLock__DEVICE_EXTENSIONInv(x)} RemoveLock__DEVICE_EXTENSIONInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == RemoveLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == RemoveLock__DEVICE_EXTENSIONInv(x));
+function Removed__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 0);
+axiom (forall x:int :: {Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Removed__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 36);
+axiom (forall x:int :: {Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 36);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 36, 1) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 36)} MINUS_LEFT_PTR(x, 1, 36) == Reserved1__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x))} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 52);
+axiom (forall x:int :: {Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 52);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 52, 1) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 52)} MINUS_LEFT_PTR(x, 1, 52) == Reserved2__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(int) returns (int);
+function Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(int) returns (int);
+function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK([int]bool) returns ([int]bool);
+function _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x))} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)) == x);
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x]} _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[x] <==> S[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)} S[x] ==> _S_Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(S)[Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCK(x) == x + 1);
+axiom (forall x:int :: {Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x)} Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x) == x - 1);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == Reserved__IO_REMOVE_LOCK_COMMON_BLOCKInv(x));
+function SampleRate__MOUSE_ATTRIBUTES(int) returns (int);
+function SampleRate__MOUSE_ATTRIBUTESInv(int) returns (int);
+function _S_SampleRate__MOUSE_ATTRIBUTES([int]bool) returns ([int]bool);
+function _S_SampleRate__MOUSE_ATTRIBUTESInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(SampleRate__MOUSE_ATTRIBUTES(x))} SampleRate__MOUSE_ATTRIBUTESInv(SampleRate__MOUSE_ATTRIBUTES(x)) == x);
+axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(x)} SampleRate__MOUSE_ATTRIBUTES(SampleRate__MOUSE_ATTRIBUTESInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SampleRate__MOUSE_ATTRIBUTES(S)[x]} _S_SampleRate__MOUSE_ATTRIBUTES(S)[x] <==> S[SampleRate__MOUSE_ATTRIBUTESInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SampleRate__MOUSE_ATTRIBUTESInv(S)[x]} _S_SampleRate__MOUSE_ATTRIBUTESInv(S)[x] <==> S[SampleRate__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SampleRate__MOUSE_ATTRIBUTES(S)} S[x] ==> _S_SampleRate__MOUSE_ATTRIBUTES(S)[SampleRate__MOUSE_ATTRIBUTES(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SampleRate__MOUSE_ATTRIBUTESInv(S)} S[x] ==> _S_SampleRate__MOUSE_ATTRIBUTESInv(S)[SampleRate__MOUSE_ATTRIBUTESInv(x)]);
+
+axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTES(x)} SampleRate__MOUSE_ATTRIBUTES(x) == x + 4);
+axiom (forall x:int :: {SampleRate__MOUSE_ATTRIBUTESInv(x)} SampleRate__MOUSE_ATTRIBUTESInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SampleRate__MOUSE_ATTRIBUTESInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SampleRate__MOUSE_ATTRIBUTESInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function SequenceNumber__DEVICE_EXTENSION(int) returns (int);
+function SequenceNumber__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SequenceNumber__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SequenceNumber__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x))} SequenceNumber__DEVICE_EXTENSIONInv(SequenceNumber__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSION(SequenceNumber__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSION(S)[x]} _S_SequenceNumber__DEVICE_EXTENSION(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x]} _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[x] <==> S[SequenceNumber__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSION(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSION(S)[SequenceNumber__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SequenceNumber__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SequenceNumber__DEVICE_EXTENSIONInv(S)[SequenceNumber__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSION(x)} SequenceNumber__DEVICE_EXTENSION(x) == x + 164);
+axiom (forall x:int :: {SequenceNumber__DEVICE_EXTENSIONInv(x)} SequenceNumber__DEVICE_EXTENSIONInv(x) == x - 164);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 164, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 164, 1) == SequenceNumber__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 164)} MINUS_LEFT_PTR(x, 1, 164) == SequenceNumber__DEVICE_EXTENSIONInv(x));
+function SetWmiDataBlock__WMILIB_CONTEXT(int) returns (int);
+function SetWmiDataBlock__WMILIB_CONTEXTInv(int) returns (int);
+function _S_SetWmiDataBlock__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_SetWmiDataBlock__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x))} SetWmiDataBlock__WMILIB_CONTEXTInv(SetWmiDataBlock__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXT(SetWmiDataBlock__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXT(S)[SetWmiDataBlock__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataBlock__WMILIB_CONTEXTInv(S)[SetWmiDataBlock__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXT(x)} SetWmiDataBlock__WMILIB_CONTEXT(x) == x + 16);
+axiom (forall x:int :: {SetWmiDataBlock__WMILIB_CONTEXTInv(x)} SetWmiDataBlock__WMILIB_CONTEXTInv(x) == x - 16);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 16, 1) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 16)} MINUS_LEFT_PTR(x, 1, 16) == SetWmiDataBlock__WMILIB_CONTEXTInv(x));
+function SetWmiDataItem__WMILIB_CONTEXT(int) returns (int);
+function SetWmiDataItem__WMILIB_CONTEXTInv(int) returns (int);
+function _S_SetWmiDataItem__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_SetWmiDataItem__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x))} SetWmiDataItem__WMILIB_CONTEXTInv(SetWmiDataItem__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXT(SetWmiDataItem__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXT(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXT(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x]} _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[x] <==> S[SetWmiDataItem__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXT(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXT(S)[SetWmiDataItem__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)} S[x] ==> _S_SetWmiDataItem__WMILIB_CONTEXTInv(S)[SetWmiDataItem__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXT(x)} SetWmiDataItem__WMILIB_CONTEXT(x) == x + 20);
+axiom (forall x:int :: {SetWmiDataItem__WMILIB_CONTEXTInv(x)} SetWmiDataItem__WMILIB_CONTEXTInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == SetWmiDataItem__WMILIB_CONTEXTInv(x));
+function SignalState__DISPATCHER_HEADER(int) returns (int);
+function SignalState__DISPATCHER_HEADERInv(int) returns (int);
+function _S_SignalState__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S_SignalState__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x))} SignalState__DISPATCHER_HEADERInv(SignalState__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADER(SignalState__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADER(S)[x]} _S_SignalState__DISPATCHER_HEADER(S)[x] <==> S[SignalState__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SignalState__DISPATCHER_HEADERInv(S)[x]} _S_SignalState__DISPATCHER_HEADERInv(S)[x] <==> S[SignalState__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADER(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADER(S)[SignalState__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SignalState__DISPATCHER_HEADERInv(S)} S[x] ==> _S_SignalState__DISPATCHER_HEADERInv(S)[SignalState__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADER(x)} SignalState__DISPATCHER_HEADER(x) == x + 4);
+axiom (forall x:int :: {SignalState__DISPATCHER_HEADERInv(x)} SignalState__DISPATCHER_HEADERInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == SignalState__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == SignalState__DISPATCHER_HEADERInv(x));
+function Signalling___unnamed_1_2bb39c56(int) returns (int);
+function Signalling___unnamed_1_2bb39c56Inv(int) returns (int);
+function _S_Signalling___unnamed_1_2bb39c56([int]bool) returns ([int]bool);
+function _S_Signalling___unnamed_1_2bb39c56Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(Signalling___unnamed_1_2bb39c56(x))} Signalling___unnamed_1_2bb39c56Inv(Signalling___unnamed_1_2bb39c56(x)) == x);
+axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(x)} Signalling___unnamed_1_2bb39c56(Signalling___unnamed_1_2bb39c56Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_2bb39c56(S)[x]} _S_Signalling___unnamed_1_2bb39c56(S)[x] <==> S[Signalling___unnamed_1_2bb39c56Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Signalling___unnamed_1_2bb39c56Inv(S)[x]} _S_Signalling___unnamed_1_2bb39c56Inv(S)[x] <==> S[Signalling___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_2bb39c56(S)} S[x] ==> _S_Signalling___unnamed_1_2bb39c56(S)[Signalling___unnamed_1_2bb39c56(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signalling___unnamed_1_2bb39c56Inv(S)} S[x] ==> _S_Signalling___unnamed_1_2bb39c56Inv(S)[Signalling___unnamed_1_2bb39c56Inv(x)]);
+
+axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56(x)} Signalling___unnamed_1_2bb39c56(x) == x + 0);
+axiom (forall x:int :: {Signalling___unnamed_1_2bb39c56Inv(x)} Signalling___unnamed_1_2bb39c56Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signalling___unnamed_1_2bb39c56Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signalling___unnamed_1_2bb39c56Inv(x));
+function Signature__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x))} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCK(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCK(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 0);
+axiom (forall x:int :: {Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Signature__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Size___unnamed_1_e30779f5(int) returns (int);
+function Size___unnamed_1_e30779f5Inv(int) returns (int);
+function _S_Size___unnamed_1_e30779f5([int]bool) returns ([int]bool);
+function _S_Size___unnamed_1_e30779f5Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(Size___unnamed_1_e30779f5(x))} Size___unnamed_1_e30779f5Inv(Size___unnamed_1_e30779f5(x)) == x);
+axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(x)} Size___unnamed_1_e30779f5(Size___unnamed_1_e30779f5Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_e30779f5(S)[x]} _S_Size___unnamed_1_e30779f5(S)[x] <==> S[Size___unnamed_1_e30779f5Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Size___unnamed_1_e30779f5Inv(S)[x]} _S_Size___unnamed_1_e30779f5Inv(S)[x] <==> S[Size___unnamed_1_e30779f5(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_e30779f5(S)} S[x] ==> _S_Size___unnamed_1_e30779f5(S)[Size___unnamed_1_e30779f5(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Size___unnamed_1_e30779f5Inv(S)} S[x] ==> _S_Size___unnamed_1_e30779f5Inv(S)[Size___unnamed_1_e30779f5Inv(x)]);
+
+axiom (forall x:int :: {Size___unnamed_1_e30779f5(x)} Size___unnamed_1_e30779f5(x) == x + 0);
+axiom (forall x:int :: {Size___unnamed_1_e30779f5Inv(x)} Size___unnamed_1_e30779f5Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Size___unnamed_1_e30779f5Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Size___unnamed_1_e30779f5Inv(x));
+function SpinLock__DEVICE_EXTENSION(int) returns (int);
+function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
+function Spin__IO_REMOVE_LOCK_DBG_BLOCK(int) returns (int);
+function Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(int) returns (int);
+function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK([int]bool) returns ([int]bool);
+function _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x))} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)) == x);
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x]} _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[x] <==> S[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCK(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)} S[x] ==> _S_Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(S)[Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)]);
+
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCK(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCK(x) == x + 28);
+axiom (forall x:int :: {Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x)} Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Spin__IO_REMOVE_LOCK_DBG_BLOCKInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function SurpriseRemoved__DEVICE_EXTENSION(int) returns (int);
+function SurpriseRemoved__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SurpriseRemoved__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SurpriseRemoved__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x))} SurpriseRemoved__DEVICE_EXTENSIONInv(SurpriseRemoved__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSION(SurpriseRemoved__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSION(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSION(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x]} _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[x] <==> S[SurpriseRemoved__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSION(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSION(S)[SurpriseRemoved__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SurpriseRemoved__DEVICE_EXTENSIONInv(S)[SurpriseRemoved__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSION(x)} SurpriseRemoved__DEVICE_EXTENSION(x) == x + 266);
+axiom (forall x:int :: {SurpriseRemoved__DEVICE_EXTENSIONInv(x)} SurpriseRemoved__DEVICE_EXTENSIONInv(x) == x - 266);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 266, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 266, 1) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 266)} MINUS_LEFT_PTR(x, 1, 266) == SurpriseRemoved__DEVICE_EXTENSIONInv(x));
+function SymbolicLinkName__DEVICE_EXTENSION(int) returns (int);
+function SymbolicLinkName__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SymbolicLinkName__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SymbolicLinkName__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x))} SymbolicLinkName__DEVICE_EXTENSIONInv(SymbolicLinkName__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSION(SymbolicLinkName__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSION(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSION(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x]} _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[x] <==> S[SymbolicLinkName__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSION(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSION(S)[SymbolicLinkName__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SymbolicLinkName__DEVICE_EXTENSIONInv(S)[SymbolicLinkName__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSION(x)} SymbolicLinkName__DEVICE_EXTENSION(x) == x + 120);
+axiom (forall x:int :: {SymbolicLinkName__DEVICE_EXTENSIONInv(x)} SymbolicLinkName__DEVICE_EXTENSIONInv(x) == x - 120);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 120, 1) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 120)} MINUS_LEFT_PTR(x, 1, 120) == SymbolicLinkName__DEVICE_EXTENSIONInv(x));
+function SystemState__DEVICE_EXTENSION(int) returns (int);
+function SystemState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SystemState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SystemState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x))} SystemState__DEVICE_EXTENSIONInv(SystemState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSION(SystemState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSION(S)[x]} _S_SystemState__DEVICE_EXTENSION(S)[x] <==> S[SystemState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SystemState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSION(S)[SystemState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemState__DEVICE_EXTENSIONInv(S)[SystemState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSION(x)} SystemState__DEVICE_EXTENSION(x) == x + 172);
+axiom (forall x:int :: {SystemState__DEVICE_EXTENSIONInv(x)} SystemState__DEVICE_EXTENSIONInv(x) == x - 172);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 172, 1) == SystemState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 172)} MINUS_LEFT_PTR(x, 1, 172) == SystemState__DEVICE_EXTENSIONInv(x));
+function SystemToDeviceState__DEVICE_EXTENSION(int) returns (int);
+function SystemToDeviceState__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SystemToDeviceState__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SystemToDeviceState__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x))} SystemToDeviceState__DEVICE_EXTENSIONInv(SystemToDeviceState__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSION(SystemToDeviceState__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSION(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSION(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x]} _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[x] <==> S[SystemToDeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSION(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSION(S)[SystemToDeviceState__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SystemToDeviceState__DEVICE_EXTENSIONInv(S)[SystemToDeviceState__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSION(x)} SystemToDeviceState__DEVICE_EXTENSION(x) == x + 212);
+axiom (forall x:int :: {SystemToDeviceState__DEVICE_EXTENSIONInv(x)} SystemToDeviceState__DEVICE_EXTENSIONInv(x) == x - 212);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 212, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 212, 1) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 212)} MINUS_LEFT_PTR(x, 1, 212) == SystemToDeviceState__DEVICE_EXTENSIONInv(x));
+function TargetNotifyHandle__DEVICE_EXTENSION(int) returns (int);
+function TargetNotifyHandle__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TargetNotifyHandle__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TargetNotifyHandle__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x))} TargetNotifyHandle__DEVICE_EXTENSIONInv(TargetNotifyHandle__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSION(TargetNotifyHandle__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x]} _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[x] <==> S[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSION(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSION(S)[TargetNotifyHandle__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TargetNotifyHandle__DEVICE_EXTENSIONInv(S)[TargetNotifyHandle__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSION(x)} TargetNotifyHandle__DEVICE_EXTENSION(x) == x + 248);
+axiom (forall x:int :: {TargetNotifyHandle__DEVICE_EXTENSIONInv(x)} TargetNotifyHandle__DEVICE_EXTENSIONInv(x) == x - 248);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 248, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 248, 1) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 248)} MINUS_LEFT_PTR(x, 1, 248) == TargetNotifyHandle__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function TrueClassDevice__DEVICE_EXTENSION(int) returns (int);
+function TrueClassDevice__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TrueClassDevice__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TrueClassDevice__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x))} TrueClassDevice__DEVICE_EXTENSIONInv(TrueClassDevice__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSION(TrueClassDevice__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSION(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSION(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x]} _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[x] <==> S[TrueClassDevice__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSION(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSION(S)[TrueClassDevice__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrueClassDevice__DEVICE_EXTENSIONInv(S)[TrueClassDevice__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSION(x)} TrueClassDevice__DEVICE_EXTENSION(x) == x + 4);
+axiom (forall x:int :: {TrueClassDevice__DEVICE_EXTENSIONInv(x)} TrueClassDevice__DEVICE_EXTENSIONInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == TrueClassDevice__DEVICE_EXTENSIONInv(x));
+function TrustedSubsystemCount__DEVICE_EXTENSION(int) returns (int);
+function TrustedSubsystemCount__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TrustedSubsystemCount__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x))} TrustedSubsystemCount__DEVICE_EXTENSIONInv(TrustedSubsystemCount__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSION(TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x]} _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[x] <==> S[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSION(S)[TrustedSubsystemCount__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TrustedSubsystemCount__DEVICE_EXTENSIONInv(S)[TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSION(x)} TrustedSubsystemCount__DEVICE_EXTENSION(x) == x + 112);
+axiom (forall x:int :: {TrustedSubsystemCount__DEVICE_EXTENSIONInv(x)} TrustedSubsystemCount__DEVICE_EXTENSIONInv(x) == x - 112);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 112, 1) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 112)} MINUS_LEFT_PTR(x, 1, 112) == TrustedSubsystemCount__DEVICE_EXTENSIONInv(x));
+function Type___unnamed_4_846adf3f(int) returns (int);
+function Type___unnamed_4_846adf3fInv(int) returns (int);
+function _S_Type___unnamed_4_846adf3f([int]bool) returns ([int]bool);
+function _S_Type___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(Type___unnamed_4_846adf3f(x))} Type___unnamed_4_846adf3fInv(Type___unnamed_4_846adf3f(x)) == x);
+axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(x)} Type___unnamed_4_846adf3f(Type___unnamed_4_846adf3fInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_846adf3f(S)[x]} _S_Type___unnamed_4_846adf3f(S)[x] <==> S[Type___unnamed_4_846adf3fInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Type___unnamed_4_846adf3fInv(S)[x]} _S_Type___unnamed_4_846adf3fInv(S)[x] <==> S[Type___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_846adf3f(S)} S[x] ==> _S_Type___unnamed_4_846adf3f(S)[Type___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Type___unnamed_4_846adf3fInv(S)} S[x] ==> _S_Type___unnamed_4_846adf3fInv(S)[Type___unnamed_4_846adf3fInv(x)]);
+
+axiom (forall x:int :: {Type___unnamed_4_846adf3f(x)} Type___unnamed_4_846adf3f(x) == x + 0);
+axiom (forall x:int :: {Type___unnamed_4_846adf3fInv(x)} Type___unnamed_4_846adf3fInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Type___unnamed_4_846adf3fInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Type___unnamed_4_846adf3fInv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
+function WaitListHead__DISPATCHER_HEADER(int) returns (int);
+function WaitListHead__DISPATCHER_HEADERInv(int) returns (int);
+function _S_WaitListHead__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S_WaitListHead__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x))} WaitListHead__DISPATCHER_HEADERInv(WaitListHead__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADER(WaitListHead__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADER(S)[x]} _S_WaitListHead__DISPATCHER_HEADER(S)[x] <==> S[WaitListHead__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitListHead__DISPATCHER_HEADERInv(S)[x]} _S_WaitListHead__DISPATCHER_HEADERInv(S)[x] <==> S[WaitListHead__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADER(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADER(S)[WaitListHead__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitListHead__DISPATCHER_HEADERInv(S)} S[x] ==> _S_WaitListHead__DISPATCHER_HEADERInv(S)[WaitListHead__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADER(x)} WaitListHead__DISPATCHER_HEADER(x) == x + 8);
+axiom (forall x:int :: {WaitListHead__DISPATCHER_HEADERInv(x)} WaitListHead__DISPATCHER_HEADERInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == WaitListHead__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == WaitListHead__DISPATCHER_HEADERInv(x));
+function WaitWakeEnabled__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeEnabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeEnabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeEnabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x))} WaitWakeEnabled__DEVICE_EXTENSIONInv(WaitWakeEnabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSION(WaitWakeEnabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSION(S)[WaitWakeEnabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeEnabled__DEVICE_EXTENSIONInv(S)[WaitWakeEnabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSION(x)} WaitWakeEnabled__DEVICE_EXTENSION(x) == x + 265);
+axiom (forall x:int :: {WaitWakeEnabled__DEVICE_EXTENSIONInv(x)} WaitWakeEnabled__DEVICE_EXTENSIONInv(x) == x - 265);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 265, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 265, 1) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 265)} MINUS_LEFT_PTR(x, 1, 265) == WaitWakeEnabled__DEVICE_EXTENSIONInv(x));
+function WaitWakeIrp__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeIrp__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeIrp__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeIrp__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x))} WaitWakeIrp__DEVICE_EXTENSIONInv(WaitWakeIrp__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSION(WaitWakeIrp__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSION(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSION(S)[WaitWakeIrp__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeIrp__DEVICE_EXTENSIONInv(S)[WaitWakeIrp__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSION(x)} WaitWakeIrp__DEVICE_EXTENSION(x) == x + 240);
+axiom (forall x:int :: {WaitWakeIrp__DEVICE_EXTENSIONInv(x)} WaitWakeIrp__DEVICE_EXTENSIONInv(x) == x - 240);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 240, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 240, 1) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 240)} MINUS_LEFT_PTR(x, 1, 240) == WaitWakeIrp__DEVICE_EXTENSIONInv(x));
+function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+function WmiFunctionControl__WMILIB_CONTEXT(int) returns (int);
+function WmiFunctionControl__WMILIB_CONTEXTInv(int) returns (int);
+function _S_WmiFunctionControl__WMILIB_CONTEXT([int]bool) returns ([int]bool);
+function _S_WmiFunctionControl__WMILIB_CONTEXTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x))} WmiFunctionControl__WMILIB_CONTEXTInv(WmiFunctionControl__WMILIB_CONTEXT(x)) == x);
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXT(WmiFunctionControl__WMILIB_CONTEXTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXT(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXT(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x]} _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[x] <==> S[WmiFunctionControl__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXT(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXT(S)[WmiFunctionControl__WMILIB_CONTEXT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)} S[x] ==> _S_WmiFunctionControl__WMILIB_CONTEXTInv(S)[WmiFunctionControl__WMILIB_CONTEXTInv(x)]);
+
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXT(x)} WmiFunctionControl__WMILIB_CONTEXT(x) == x + 28);
+axiom (forall x:int :: {WmiFunctionControl__WMILIB_CONTEXTInv(x)} WmiFunctionControl__WMILIB_CONTEXTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == WmiFunctionControl__WMILIB_CONTEXTInv(x));
+function WmiLibInfo__DEVICE_EXTENSION(int) returns (int);
+function WmiLibInfo__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WmiLibInfo__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WmiLibInfo__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x))} WmiLibInfo__DEVICE_EXTENSIONInv(WmiLibInfo__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSION(WmiLibInfo__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSION(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSION(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x]} _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[x] <==> S[WmiLibInfo__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSION(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSION(S)[WmiLibInfo__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WmiLibInfo__DEVICE_EXTENSIONInv(S)[WmiLibInfo__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSION(x)} WmiLibInfo__DEVICE_EXTENSION(x) == x + 180);
+axiom (forall x:int :: {WmiLibInfo__DEVICE_EXTENSIONInv(x)} WmiLibInfo__DEVICE_EXTENSIONInv(x) == x - 180);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 180, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 180, 1) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 180)} MINUS_LEFT_PTR(x, 1, 180) == WmiLibInfo__DEVICE_EXTENSIONInv(x));
+function __unnamed_1_2bb39c56___unnamed_4_846adf3f(int) returns (int);
+function __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(int) returns (int);
+function _S___unnamed_1_2bb39c56___unnamed_4_846adf3f([int]bool) returns ([int]bool);
+function _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(__unnamed_1_2bb39c56___unnamed_4_846adf3f(x))} __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)) == x);
+axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3f(__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_2bb39c56___unnamed_4_846adf3f(S)[__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_2bb39c56___unnamed_4_846adf3fInv(S)[__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3f(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3f(x) == x + 1);
+axiom (forall x:int :: {__unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x)} __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x) == x - 1);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 1, 1) == __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 1)} MINUS_LEFT_PTR(x, 1, 1) == __unnamed_1_2bb39c56___unnamed_4_846adf3fInv(x));
+function __unnamed_1_9fa0583a___unnamed_4_846adf3f(int) returns (int);
+function __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(int) returns (int);
+function _S___unnamed_1_9fa0583a___unnamed_4_846adf3f([int]bool) returns ([int]bool);
+function _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(__unnamed_1_9fa0583a___unnamed_4_846adf3f(x))} __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)) == x);
+axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3f(__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_9fa0583a___unnamed_4_846adf3f(S)[__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_9fa0583a___unnamed_4_846adf3fInv(S)[__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3f(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3f(x) == x + 3);
+axiom (forall x:int :: {__unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x)} __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x) == x - 3);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 3, 1) == __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 3)} MINUS_LEFT_PTR(x, 1, 3) == __unnamed_1_9fa0583a___unnamed_4_846adf3fInv(x));
+function __unnamed_1_e30779f5___unnamed_4_846adf3f(int) returns (int);
+function __unnamed_1_e30779f5___unnamed_4_846adf3fInv(int) returns (int);
+function _S___unnamed_1_e30779f5___unnamed_4_846adf3f([int]bool) returns ([int]bool);
+function _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(__unnamed_1_e30779f5___unnamed_4_846adf3f(x))} __unnamed_1_e30779f5___unnamed_4_846adf3fInv(__unnamed_1_e30779f5___unnamed_4_846adf3f(x)) == x);
+axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)} __unnamed_1_e30779f5___unnamed_4_846adf3f(__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[x]} _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[x] <==> S[__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[x]} _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[x] <==> S[__unnamed_1_e30779f5___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)} S[x] ==> _S___unnamed_1_e30779f5___unnamed_4_846adf3f(S)[__unnamed_1_e30779f5___unnamed_4_846adf3f(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)} S[x] ==> _S___unnamed_1_e30779f5___unnamed_4_846adf3fInv(S)[__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)]);
+
+axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3f(x)} __unnamed_1_e30779f5___unnamed_4_846adf3f(x) == x + 2);
+axiom (forall x:int :: {__unnamed_1_e30779f5___unnamed_4_846adf3fInv(x)} __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == __unnamed_1_e30779f5___unnamed_4_846adf3fInv(x));
+function __unnamed_4_846adf3f___unnamed_4_c9b2e921(int) returns (int);
+function __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(int) returns (int);
+function _S___unnamed_4_846adf3f___unnamed_4_c9b2e921([int]bool) returns ([int]bool);
+function _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(__unnamed_4_846adf3f___unnamed_4_c9b2e921(x))} __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)) == x);
+axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921(__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[x]} _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[x] <==> S[__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[x]} _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[x] <==> S[__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)} S[x] ==> _S___unnamed_4_846adf3f___unnamed_4_c9b2e921(S)[__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)} S[x] ==> _S___unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(S)[__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)]);
+
+axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x)} __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_846adf3f___unnamed_4_c9b2e921Inv(x));
+function __unnamed_4_c9b2e921__DISPATCHER_HEADER(int) returns (int);
+function __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(int) returns (int);
+function _S___unnamed_4_c9b2e921__DISPATCHER_HEADER([int]bool) returns ([int]bool);
+function _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(__unnamed_4_c9b2e921__DISPATCHER_HEADER(x))} __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)) == x);
+axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADER(__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[x]} _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[x] <==> S[__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[x]} _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[x] <==> S[__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)} S[x] ==> _S___unnamed_4_c9b2e921__DISPATCHER_HEADER(S)[__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)} S[x] ==> _S___unnamed_4_c9b2e921__DISPATCHER_HEADERInv(S)[__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)]);
+
+axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADER(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADER(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x)} __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == __unnamed_4_c9b2e921__DISPATCHER_HEADERInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_4294967273:int;
+
+
+
+procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure InitializeListHead_IRP($ListHead$1$12.44$InitializeListHead_IRP$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoCreateDevice($DriverObject$1$21226.25$IoCreateDevice$281:int, $DeviceExtensionSize$2$21227.16$IoCreateDevice$281:int, $DeviceName$3$21228.29$IoCreateDevice$281:int, $DeviceType$4$21229.22$IoCreateDevice$281:int, $DeviceCharacteristics$5$21230.16$IoCreateDevice$281:int, $Exclusive$6$21231.18$IoCreateDevice$281:int, $DeviceObject$7$21237.20$IoCreateDevice$281:int) returns ($result.IoCreateDevice$21225.0$1$:int);
+
+//TAG: ensures (LONG)__return >= 0 ==> *DeviceObject != (void *)0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*DeviceObject)->DeviceExtension != (void *)0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*DeviceObject)->DeviceExtension) == 1
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 1
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *DeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == 0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *DeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension), 1)
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *DeviceObject) == __old_resource("DEV_OBJ_INIT", *DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))
+ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+//TAG: ensures (LONG)__return >= 0 ==> !(__resource("DEV_OBJ_INIT", ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension))->Self) == 1)
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> (!(Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])])]] == 1)));
+//TAG: ensures !((LONG)__return >= 0) ==> *DeviceObject == __old(*DeviceObject)
+ensures((!($result.IoCreateDevice$21225.0$1$ >= 0)) ==> (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == old(Mem)[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> __return == 0
+ensures(($result.IoCreateDevice$21225.0$1$ >= 0) ==> ($result.IoCreateDevice$21225.0$1$ == 0));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*DeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$DeviceObject$7$21237.20$IoCreateDevice$281] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$7$21237.20$IoCreateDevice$281))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $DeviceObject$7$21237.20$IoCreateDevice$281) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoInitializeRemoveLockEx($Lock$1$22135.25$IoInitializeRemoveLockEx$201:int, $AllocateTag$2$22136.16$IoInitializeRemoveLockEx$201:int, $MaxLockedMinutes$3$22137.16$IoInitializeRemoveLockEx$201:int, $HighWatermark$4$22138.16$IoInitializeRemoveLockEx$201:int, $RemlockSize$5$22139.16$IoInitializeRemoveLockEx$201:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure KeInitializeSpinLock($SpinLock$1$13860.22$KeInitializeSpinLock$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures 1 ==> __resource("SPIN_LOCK", SpinLock) == 0
+ensures((true) ==> (Res_SPIN_LOCK[$SpinLock$1$13860.22$KeInitializeSpinLock$41] == 0));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty, SpinLock
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($SpinLock$1$13860.22$KeInitializeSpinLock$41))) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || ($SpinLock$1$13860.22$KeInitializeSpinLock$41 == r) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouInitializeDataQueue($Context$1$518.13$MouInitializeDataQueue$41:int);
+
+//TAG: requires __resource("DEV_EXTN", Context) == 1
+requires(Res_DEV_EXTN[$Context$1$518.13$MouInitializeDataQueue$41] == 1);
+//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)Context)->SpinLock) == 0
+requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($Context$1$518.13$MouInitializeDataQueue$41)] == 0);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __resource("DEV_EXTN", Context) == 1
+ensures(Res_DEV_EXTN[$Context$1$518.13$MouInitializeDataQueue$41] == 1);
+//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)Context)->SpinLock) == 0
+ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($Context$1$518.13$MouInitializeDataQueue$41)] == 0);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseClassLogError($Object$1$542.10$MouseClassLogError$281:int, $ErrorCode$2$543.10$MouseClassLogError$281:int, $UniqueErrorValue$3$544.10$MouseClassLogError$281:int, $FinalStatus$4$545.13$MouseClassLogError$281:int, $DumpCount$5$546.10$MouseClassLogError$281:int, $DumpData$6$547.11$MouseClassLogError$281:int, $MajorFunction$7$548.10$MouseClassLogError$281:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlFreeUnicodeString($UnicodeString$1$7452.28$RtlFreeUnicodeString$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouCreateClassObject($DriverObject$1$3165.28$MouCreateClassObject$201:int, $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201:int, $ClassDeviceObject$3$3167.28$MouCreateClassObject$201:int, $FullDeviceName$4$3168.35$MouCreateClassObject$201:int, $Legacy$5$3169.28$MouCreateClassObject$201:int) returns ($result.MouCreateClassObject$3164.0$1$:int)
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
+ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __setin(_H_z, __set((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))) || __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])[_H_z]) || ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures !((LONG)__return >= 0) ==> __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))->SpinLock) == 0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])] == 0));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: &TmpDeviceExtension->WaitWakeSpinLock, &((struct _DEVICE_EXTENSION *)TmpDeviceExtension)->SpinLock, __set_true
+ensures (Subset(Empty(), Union(Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), Singleton(SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SetTrue()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3167.28$MouCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3167.28$MouCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $ClassDeviceObject$3$3167.28$MouCreateClassObject$20 : int;
+var $DriverObject$1$3165.28$MouCreateClassObject$20 : int;
+var $ExAllocatePoolWithTag.arg.2$4$ : int;
+var $FullDeviceName$4$3168.35$MouCreateClassObject$20 : int;
+var $Legacy$5$3169.28$MouCreateClassObject$20 : int;
+var $MouDebugPrint.arg.2$15$ : int;
+var $MouDebugPrint.arg.2$18$ : int;
+var $MouDebugPrint.arg.2$2$ : int;
+var $MouDebugPrint.arg.2$20$ : int;
+var $MouDebugPrint.arg.2$22$ : int;
+var $MouDebugPrint.arg.2$5$ : int;
+var $RtlAppendUnicodeToString.arg.2$12$ : int;
+var $RtlAppendUnicodeToString.arg.2$14$ : int;
+var $RtlAppendUnicodeToString.arg.2$9$ : int;
+var $TmpDeviceExtension$2$3166.28$MouCreateClassObject$20 : int;
+var $deviceExtension$8$3199.24$MouCreateClassObject$20 : int;
+var $dumpCount$11$3202.24$MouCreateClassObject$20 : int;
+var $dumpData$12$3203.24$MouCreateClassObject$20 : int;
+var $errorCode$9$3200.24$MouCreateClassObject$20 : int;
+var $fullClassName$10$3201.24$MouCreateClassObject$20 : int;
+var $i$13$3204.24$MouCreateClassObject$20 : int;
+var $memset.arg.3$7$ : int;
+var $nameIndex$14$3205.24$MouCreateClassObject$20 : int;
+var $result.ExAllocatePoolWithTag$3252.0$3$ : int;
+var $result.ExAllocatePoolWithTag$3370.0$19$ : int;
+var $result.IoCreateDevice$3296.35$16$ : int;
+var $result.IoCreateDevice$3310.31$17$ : int;
+var $result.RtlAppendUnicodeToString$3271.32$8$ : int;
+var $result.RtlAppendUnicodeToString$3272.32$10$ : int;
+var $result.RtlAppendUnicodeToString$3275.36$11$ : int;
+var $result.RtlAppendUnicodeToString$3278.32$13$ : int;
+var $result.memset$3270.8$6$ : int;
+var $result.question.21$ : int;
+var $status$6$3197.24$MouCreateClassObject$20 : int;
+var $uniqueErrorValue$7$3198.24$MouCreateClassObject$20 : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_78_alloc:[int]name;
+var LOOP_78_Mem:[name][int]int;
+var LOOP_78_Res_DEVICE_STACK:[int]int;
+var LOOP_78_Res_DEV_EXTN:[int]int;
+var LOOP_78_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_78_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$3165.28$MouCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$TmpDeviceExtension$2$3166.28$MouCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != UNALLOCATED);
+assume (alloc[$FullDeviceName$4$3168.35$MouCreateClassObject$201] != UNALLOCATED);
+call $dumpData$12$3203.24$MouCreateClassObject$20 := __HAVOC_malloc(16);
+call $fullClassName$10$3201.24$MouCreateClassObject$20 := __HAVOC_malloc(8);
+$DriverObject$1$3165.28$MouCreateClassObject$20 := $DriverObject$1$3165.28$MouCreateClassObject$201;
+$TmpDeviceExtension$2$3166.28$MouCreateClassObject$20 := $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201;
+$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := $ClassDeviceObject$3$3167.28$MouCreateClassObject$201;
+$FullDeviceName$4$3168.35$MouCreateClassObject$20 := $FullDeviceName$4$3168.35$MouCreateClassObject$201;
+$Legacy$5$3169.28$MouCreateClassObject$20 := $Legacy$5$3169.28$MouCreateClassObject$201;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3440)
+label_1:
+call __HAVOC_free($dumpData$12$3203.24$MouCreateClassObject$20);
+call __HAVOC_free($fullClassName$10$3201.24$MouCreateClassObject$20);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
+assume (forall m:int :: {Mem[T.A37CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A37CHAR][m] == old(Mem[T.A37CHAR])[m]);
+assume (forall m:int :: {Mem[T.A40CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A40CHAR][m] == old(Mem[T.A40CHAR])[m]);
+assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
+assume (forall m:int :: {Mem[T.A65CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A65CHAR][m] == old(Mem[T.A65CHAR])[m]);
+assume (forall m:int :: {Mem[T.A75CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A75CHAR][m] == old(Mem[T.A75CHAR])[m]);
+assume (forall m:int :: {Mem[T.A76CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A76CHAR][m] == old(Mem[T.A76CHAR])[m]);
+assume (forall m:int :: {Mem[T.A7UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A7UINT2][m] == old(Mem[T.A7UINT2])[m]);
+assume (forall m:int :: {Mem[T.A80CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A80CHAR][m] == old(Mem[T.A80CHAR])[m]);
+assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
+assume (forall m:int :: {Mem[T.Abandoned___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Abandoned___unnamed_1_2bb39c56][m] == old(Mem[T.Abandoned___unnamed_1_2bb39c56])[m]);
+assume (forall m:int :: {Mem[T.Absolute___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Absolute___unnamed_1_2bb39c56][m] == old(Mem[T.Absolute___unnamed_1_2bb39c56])[m]);
+assume (forall m:int :: {Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Blink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blink__LIST_ENTRY][m] == old(Mem[T.Blink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.ConnectOneClassToOnePort__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ConnectOneClassToOnePort__GLOBALS][m] == old(Mem[T.ConnectOneClassToOnePort__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DebugActive___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DebugActive___unnamed_1_9fa0583a][m] == old(Mem[T.DebugActive___unnamed_1_9fa0583a])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.DeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceState__DEVICE_EXTENSION][m] == old(Mem[T.DeviceState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DpcActive___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DpcActive___unnamed_1_9fa0583a][m] == old(Mem[T.DpcActive___unnamed_1_9fa0583a])[m]);
+assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT][m] == old(Mem[T.ExecuteWmiMethod__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.ExtraWaitWakeIrp__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.GuidCount__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidCount__WMILIB_CONTEXT][m] == old(Mem[T.GuidCount__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.GuidList__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GuidList__WMILIB_CONTEXT][m] == old(Mem[T.GuidList__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.Hand___unnamed_1_e30779f5][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Hand___unnamed_1_e30779f5][m] == old(Mem[T.Hand___unnamed_1_e30779f5])[m]);
+assume (forall m:int :: {Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InputCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputCount__DEVICE_EXTENSION][m] == old(Mem[T.InputCount__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][m] == old(Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Inserted___unnamed_1_9fa0583a][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Inserted___unnamed_1_9fa0583a][m] == old(Mem[T.Inserted___unnamed_1_9fa0583a])[m]);
+assume (forall m:int :: {Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Lock___unnamed_4_c9b2e921][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Lock___unnamed_4_c9b2e921][m] == old(Mem[T.Lock___unnamed_4_c9b2e921])[m]);
+assume (forall m:int :: {Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinDeviceWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinDeviceWakeState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinSystemWakeState__DEVICE_EXTENSION][m] == old(Mem[T.MinSystemWakeState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.MouseAttributes__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MouseAttributes__DEVICE_EXTENSION][m] == old(Mem[T.MouseAttributes__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES][m] == old(Mem[T.MouseIdentifier__MOUSE_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.NpxIrql___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NpxIrql___unnamed_1_2bb39c56][m] == old(Mem[T.NpxIrql___unnamed_1_2bb39c56])[m]);
+assume (forall m:int :: {Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES][m] == old(Mem[T.NumberOfButtons__MOUSE_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.OkayToLogOverflow__DEVICE_EXTENSION][m] == old(Mem[T.OkayToLogOverflow__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PDO__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PDO__DEVICE_EXTENSION][m] == old(Mem[T.PDO__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
+assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
+assume (forall m:int :: {Mem[T.P_IO_REMOVE_LOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IO_REMOVE_LOCK][m] == old(Mem[T.P_IO_REMOVE_LOCK])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiDataBlock__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT][m] == old(Mem[T.QueryWmiRegInfo__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.ReadQueue__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.ReadQueue__DEVICE_EXTENSION][m] == old(Mem[T.ReadQueue__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.RemoveLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RemoveLock__DEVICE_EXTENSION][m] == old(Mem[T.RemoveLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK][m] == old(Mem[T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.SampleRate__MOUSE_ATTRIBUTES][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SampleRate__MOUSE_ATTRIBUTES][m] == old(Mem[T.SampleRate__MOUSE_ATTRIBUTES])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SequenceNumber__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SequenceNumber__DEVICE_EXTENSION][m] == old(Mem[T.SequenceNumber__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataBlock__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataBlock__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SetWmiDataItem__WMILIB_CONTEXT][m] == old(Mem[T.SetWmiDataItem__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T.SignalState__DISPATCHER_HEADER][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SignalState__DISPATCHER_HEADER][m] == old(Mem[T.SignalState__DISPATCHER_HEADER])[m]);
+assume (forall m:int :: {Mem[T.Signalling___unnamed_1_2bb39c56][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signalling___unnamed_1_2bb39c56][m] == old(Mem[T.Signalling___unnamed_1_2bb39c56])[m]);
+assume (forall m:int :: {Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Signature__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Size___unnamed_1_e30779f5][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Size___unnamed_1_e30779f5][m] == old(Mem[T.Size___unnamed_1_e30779f5])[m]);
+assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK][m] == old(Mem[T.Spin__IO_REMOVE_LOCK_DBG_BLOCK])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SurpriseRemoved__DEVICE_EXTENSION][m] == old(Mem[T.SurpriseRemoved__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SystemState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemState__DEVICE_EXTENSION][m] == old(Mem[T.SystemState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SystemToDeviceState__DEVICE_EXTENSION][m] == old(Mem[T.SystemToDeviceState__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TargetNotifyHandle__DEVICE_EXTENSION][m] == old(Mem[T.TargetNotifyHandle__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TrueClassDevice__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrueClassDevice__DEVICE_EXTENSION][m] == old(Mem[T.TrueClassDevice__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][m] == old(Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Type___unnamed_4_846adf3f][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Type___unnamed_4_846adf3f][m] == old(Mem[T.Type___unnamed_4_846adf3f])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeEnabled__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeEnabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeIrp__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeIrp__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WmiFunctionControl__WMILIB_CONTEXT][m] == old(Mem[T.WmiFunctionControl__WMILIB_CONTEXT])[m]);
+assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3440)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3197)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3198)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3199)
+label_5:
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3199)
+label_6:
+$deviceExtension$8$3199.24$MouCreateClassObject$20 := 0 ;
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3200)
+label_7:
+goto label_8;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3200)
+label_8:
+$errorCode$9$3200.24$MouCreateClassObject$20 := 0 ;
+goto label_9;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3201)
+label_9:
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3201)
+label_10:
+// Skipping Structure assignment due to the flag SkipStructAssignments
+goto label_11;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3202)
+label_11:
+goto label_12;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3202)
+label_12:
+$dumpCount$11$3202.24$MouCreateClassObject$20 := 0 ;
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3203)
+label_13:
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3204)
+label_14:
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3205)
+label_15:
+goto label_16;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3207)
+label_16:
+call __PREfastPagedCode ();
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3209)
+label_19:
+// skip MouDebugPrint
+goto label_23;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3209)
+label_22:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$2$ := havoc_stringTemp ;
+goto label_19;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3215)
+label_23:
+call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3220)
+label_26:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := 0];
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3222)
+label_27:
+goto label_27_true , label_27_false ;
+
+
+label_27_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_89;
+
+
+label_27_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_28;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3226)
+label_28:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_31;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3232)
+label_31:
+goto label_31_true , label_31_false ;
+
+
+label_31_true :
+assume (BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_32;
+
+
+label_31_false :
+assume !(BOOGIE_LARGE_INT_4294967273 < Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_37;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3233)
+label_32:
+$status$6$3197.24$MouCreateClassObject$20 := -1073741823 ;
+goto label_33;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3234)
+label_33:
+$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414143 ;
+goto label_34;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3235)
+label_34:
+$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3236)
+label_35:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3237)
+label_36:
+$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3244)
+label_37:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]), 1, 4)];
+goto label_38;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3248)
+label_38:
+goto label_38_true , label_38_false ;
+
+
+label_38_true :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
+goto label_39;
+
+
+label_38_false :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3248)
+label_39:
+goto label_39_true , label_39_false ;
+
+
+label_39_true :
+assume ($Legacy$5$3169.28$MouCreateClassObject$20 != 0);
+goto label_40;
+
+
+label_39_false :
+assume ($Legacy$5$3169.28$MouCreateClassObject$20 == 0);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3249)
+label_40:
+tempBoogie0 := PLUS(Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 1, 14) ;
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := tempBoogie0];
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
+label_41:
+call $result.ExAllocatePoolWithTag$3252.0$3$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$4$, 1131377997);
+goto label_45;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
+label_44:
+$ExAllocatePoolWithTag.arg.2$4$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] ;
+goto label_41;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3252)
+label_45:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20) := $result.ExAllocatePoolWithTag$3252.0$3$];
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3255)
+label_46:
+goto label_46_true , label_46_false ;
+
+
+label_46_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] != 0);
+goto label_59;
+
+
+label_46_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] == 0);
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3257)
+label_47:
+// skip MouDebugPrint
+goto label_51;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3257)
+label_50:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$5$ := havoc_stringTemp ;
+goto label_47;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3262)
+label_51:
+$status$6$3197.24$MouCreateClassObject$20 := -1073741823 ;
+goto label_52;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3263)
+label_52:
+$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414143 ;
+goto label_53;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3264)
+label_53:
+$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3265)
+label_54:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
+goto label_55;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3266)
+label_55:
+$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3270)
+label_56:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$3270.8$6$;
+goto label_63;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3270)
+label_59:
+$memset.arg.3$7$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)] ;
+goto label_56;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3271)
+label_60:
+call $result.RtlAppendUnicodeToString$3271.32$8$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$9$);
+goto label_64;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3271)
+label_63:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$9$ := havoc_stringTemp ;
+goto label_60;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3272)
+label_64:
+call $result.RtlAppendUnicodeToString$3272.32$10$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(BaseClassName__GLOBALS(Globals))]);
+goto label_67;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3274)
+label_67:
+goto label_67_true , label_67_false ;
+
+
+label_67_true :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] != 0);
+goto label_68;
+
+
+label_67_false :
+assume (Mem[T.ConnectOneClassToOnePort__GLOBALS][ConnectOneClassToOnePort__GLOBALS(Globals)] == 0);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3274)
+label_68:
+goto label_68_true , label_68_false ;
+
+
+label_68_true :
+assume ($Legacy$5$3169.28$MouCreateClassObject$20 != 0);
+goto label_72;
+
+
+label_68_false :
+assume ($Legacy$5$3169.28$MouCreateClassObject$20 == 0);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3275)
+label_69:
+call $result.RtlAppendUnicodeToString$3275.36$11$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$12$);
+goto label_76;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3275)
+label_72:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$12$ := havoc_stringTemp ;
+goto label_69;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3278)
+label_73:
+call $result.RtlAppendUnicodeToString$3278.32$13$ := RtlAppendUnicodeToString ($fullClassName$10$3201.24$MouCreateClassObject$20, $RtlAppendUnicodeToString.arg.2$14$);
+goto label_77;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3278)
+label_76:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$14$ := havoc_stringTemp ;
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3284)
+label_77:
+$nameIndex$14$3205.24$MouCreateClassObject$20 := 0 ;
+goto label_78;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3288)
+label_78:
+// loop entry initialization...
+LOOP_78_alloc := alloc;
+LOOP_78_Mem := Mem;
+LOOP_78_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_78_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_78_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_78_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_78_head;
+
+
+label_78_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __preserves_resource("DEV_OBJ_INIT")
+assert(Res_DEV_OBJ_INIT == LOOP_78_Res_DEV_OBJ_INIT);
+//TAG: requires __preserves_resource("DEV_EXTN")
+assert(Res_DEV_EXTN == LOOP_78_Res_DEV_EXTN);
+//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+assert(Mem[T.Flink__LIST_ENTRY] == LOOP_78_Mem[T.Flink__LIST_ENTRY]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_78_alloc[Base(f)] == UNALLOCATED || LOOP_78_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_78_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_78_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_78_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_true, __set_empty
+assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (SetTrue()[r]) || (Empty()[r]) || LOOP_78_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_78_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_78_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_78_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true, __set_empty
+assert (Subset(Empty(), Union(Union(Empty(), SetTrue()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_78_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_78_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_78_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)], 2), 1, 1)) := PLUS(48, 1, $nameIndex$14$3205.24$MouCreateClassObject$20)];
+$nameIndex$14$3205.24$MouCreateClassObject$20 := PLUS($nameIndex$14$3205.24$MouCreateClassObject$20, 1, 1) ;
+goto label_82;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3290)
+label_79:
+// skip MouDebugPrint
+goto label_83;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3290)
+label_82:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$15$ := havoc_stringTemp ;
+goto label_79;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3296)
+label_83:
+call $result.IoCreateDevice$3296.35$16$ := IoCreateDevice ($DriverObject$1$3165.28$MouCreateClassObject$20, 272, $fullClassName$10$3201.24$MouCreateClassObject$20, 15, 0, 0, $ClassDeviceObject$3$3167.28$MouCreateClassObject$20);
+goto label_86;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3296)
+label_86:
+$status$6$3197.24$MouCreateClassObject$20 := $result.IoCreateDevice$3296.35$16$ ;
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3304)
+label_87:
+goto label_87_true , label_87_false ;
+
+
+label_87_true :
+assume (-1073741771 == $status$6$3197.24$MouCreateClassObject$20);
+goto label_78_head;
+
+
+label_87_false :
+assume !(-1073741771 == $status$6$3197.24$MouCreateClassObject$20);
+goto label_88;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3306)
+label_88:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3309)
+label_89:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_92;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3310)
+label_92:
+call $result.IoCreateDevice$3310.31$17$ := IoCreateDevice ($DriverObject$1$3165.28$MouCreateClassObject$20, 272, 0, 15, 0, 0, $ClassDeviceObject$3$3167.28$MouCreateClassObject$20);
+goto label_95;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3310)
+label_95:
+$status$6$3197.24$MouCreateClassObject$20 := $result.IoCreateDevice$3310.31$17$ ;
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3317)
+label_96:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := 0];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3320)
+label_97:
+goto label_97_true , label_97_false ;
+
+
+label_97_true :
+assume (0 <= $status$6$3197.24$MouCreateClassObject$20);
+goto label_98;
+
+
+label_97_false :
+assume !(0 <= $status$6$3197.24$MouCreateClassObject$20);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3320)
+label_98:
+goto label_98_true , label_98_false ;
+
+
+label_98_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
+goto label_107;
+
+
+label_98_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3321)
+label_99:
+// skip MouDebugPrint
+goto label_103;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3321)
+label_102:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$18$ := havoc_stringTemp ;
+goto label_99;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3327)
+label_103:
+$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414131 ;
+goto label_104;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3328)
+label_104:
+$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20006 ;
+goto label_105;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3329)
+label_105:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$12$3203.24$MouCreateClassObject$20, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullClassName$10$3201.24$MouCreateClassObject$20)]];
+goto label_106;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3330)
+label_106:
+$dumpCount$11$3202.24$MouCreateClassObject$20 := 1 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3339)
+label_107:
+assume (forall r:int :: {BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4),r)} BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])],r)!= 0 || BIT_BAND(4,r)!= 0 <==> BIT_BAND(BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4),r)!= 0);
+tempBoogie0 := BIT_BOR(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])], 4) ;
+Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]) := tempBoogie0];
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3340)
+label_108:
+$deviceExtension$8$3199.24$MouCreateClassObject$20 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20])] ;
+goto label_109;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3342)
+label_109:
+// Skipping Structure assignment due to the flag SkipStructAssignments
+goto label_110;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3344)
+label_110:
+Mem[T.Self__DEVICE_EXTENSION] := Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]];
+goto label_111;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3345)
+label_111:
+call IoInitializeRemoveLockEx (RemoveLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20), 1131377997, 0, 0, 88);
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3351)
+label_114:
+assume (Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)]);
+call KeInitializeSpinLock (SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20));
+Mem[T.SpinLock__DEVICE_EXTENSION] := Mem[T.SpinLock__DEVICE_EXTENSION][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := Mem[T.UINT4][SpinLock__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)]];
+goto label_117;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3357)
+label_117:
+call InitializeListHead_IRP (ReadQueue__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20));
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3363)
+label_120:
+Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION] := Mem[T.TrustedSubsystemCount__DEVICE_EXTENSION][TrustedSubsystemCount__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := 0];
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3370)
+label_121:
+call $result.ExAllocatePoolWithTag$3370.0$19$ := ExAllocatePoolWithTag (0, Mem[T.InputDataQueueLength__MOUSE_ATTRIBUTES][InputDataQueueLength__MOUSE_ATTRIBUTES(MouseAttributes__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20))], 1131377997);
+goto label_124;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3369)
+label_124:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := $result.ExAllocatePoolWithTag$3370.0$19$];
+goto label_125;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3375)
+label_125:
+goto label_125_true , label_125_false ;
+
+
+label_125_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] != 0);
+goto label_133;
+
+
+label_125_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == 0);
+goto label_129;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3381)
+label_126:
+// skip MouDebugPrint
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3381)
+label_129:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$20$ := havoc_stringTemp ;
+goto label_126;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3387)
+label_130:
+$status$6$3197.24$MouCreateClassObject$20 := -1073741670 ;
+goto label_131;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3393)
+label_131:
+$errorCode$9$3200.24$MouCreateClassObject$20 := -1073414142 ;
+goto label_132;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3394)
+label_132:
+$uniqueErrorValue$7$3198.24$MouCreateClassObject$20 := 20020 ;
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3402)
+label_133:
+call MouInitializeDataQueue ($deviceExtension$8$3199.24$MouCreateClassObject$20);
+goto label_136;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3406)
+label_136:
+goto label_136_true , label_136_false ;
+
+
+label_136_true :
+assume ($status$6$3197.24$MouCreateClassObject$20 != 0);
+goto label_137;
+
+
+label_136_false :
+assume ($status$6$3197.24$MouCreateClassObject$20 == 0);
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3412)
+label_137:
+call RtlFreeUnicodeString ($fullClassName$10$3201.24$MouCreateClassObject$20);
+goto label_140;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3413)
+label_140:
+Mem[T.PUINT2] := Mem[T.PUINT2][$FullDeviceName$4$3168.35$MouCreateClassObject$20 := 0];
+goto label_141;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3415)
+label_141:
+goto label_141_true , label_141_false ;
+
+
+label_141_true :
+assume ($errorCode$9$3200.24$MouCreateClassObject$20 != 0);
+goto label_145;
+
+
+label_141_false :
+assume ($errorCode$9$3200.24$MouCreateClassObject$20 == 0);
+goto label_148;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3416)
+label_142:
+call MouseClassLogError ($result.question.21$, $errorCode$9$3200.24$MouCreateClassObject$20, $uniqueErrorValue$7$3198.24$MouCreateClassObject$20, $status$6$3197.24$MouCreateClassObject$20, $dumpCount$11$3202.24$MouCreateClassObject$20, $dumpData$12$3203.24$MouCreateClassObject$20, 0);
+goto label_148;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
+label_145:
+goto label_145_true , label_145_false ;
+
+
+label_145_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
+goto label_147;
+
+
+label_145_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
+goto label_146;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
+label_146:
+$result.question.21$ := $DriverObject$1$3165.28$MouCreateClassObject$20 ;
+goto label_142;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3417)
+label_147:
+$result.question.21$ := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] ;
+goto label_142;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3427)
+label_148:
+goto label_148_true , label_148_false ;
+
+
+label_148_true :
+assume ($deviceExtension$8$3199.24$MouCreateClassObject$20 != 0);
+goto label_149;
+
+
+label_148_false :
+assume ($deviceExtension$8$3199.24$MouCreateClassObject$20 == 0);
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3427)
+label_149:
+goto label_149_true , label_149_false ;
+
+
+label_149_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] != 0);
+goto label_150;
+
+
+label_149_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)] == 0);
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3428)
+label_150:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20)], 0);
+goto label_153;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3429)
+label_153:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$8$3199.24$MouCreateClassObject$20) := 0];
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3431)
+label_154:
+goto label_154_true , label_154_false ;
+
+
+label_154_true :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] != 0);
+goto label_155;
+
+
+label_154_false :
+assume (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20] == 0);
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3432)
+label_155:
+call IoDeleteDevice (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20]);
+goto label_158;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3433)
+label_158:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$20 := 0];
+goto label_162;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3437)
+label_159:
+// skip MouDebugPrint
+goto label_163;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3437)
+label_162:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$22$ := havoc_stringTemp ;
+goto label_159;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(3439)
+label_163:
+$result.MouCreateClassObject$3164.0$1$ := $status$6$3197.24$MouCreateClassObject$20 ;
+goto label_1;
+
+}
+
diff --git a/Test/havoc0/MouseClassFindMorePorts.bpl b/Test/havoc0/MouseClassFindMorePorts.bpl
index f9e4a18c..15ca82cc 100644
--- a/Test/havoc0/MouseClassFindMorePorts.bpl
+++ b/Test/havoc0/MouseClassFindMorePorts.bpl
@@ -1,3839 +1,3839 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.MouseAttributes__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
-const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
-const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
-const unique T.UnitId__MOUSE_INPUT_DATA:name;
-const unique T.Flags__MOUSE_INPUT_DATA:name;
-const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
-const unique T.RawButtons__MOUSE_INPUT_DATA:name;
-const unique T.LastX__MOUSE_INPUT_DATA:name;
-const unique T.LastY__MOUSE_INPUT_DATA:name;
-const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Start___unnamed_12_06b9ee6e:name;
-const unique T.Length48___unnamed_12_06b9ee6e:name;
-const unique T.Start___unnamed_12_0882bd02:name;
-const unique T.Length64___unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
-const unique T.Raw___unnamed_12_2e80217b:name;
-const unique T.Translated___unnamed_12_2e80217b:name;
-const unique T.Data___unnamed_12_5cc7ace2:name;
-const unique T.Channel___unnamed_12_6374506e:name;
-const unique T.Port___unnamed_12_6374506e:name;
-const unique T.Reserved1___unnamed_12_6374506e:name;
-const unique T.Priority___unnamed_12_68a4278e:name;
-const unique T.Reserved1___unnamed_12_68a4278e:name;
-const unique T.Reserved2___unnamed_12_68a4278e:name;
-const unique T.Generic___unnamed_12_79ed2653:name;
-const unique T.Port___unnamed_12_79ed2653:name;
-const unique T.Interrupt___unnamed_12_79ed2653:name;
-const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
-const unique T.Memory___unnamed_12_79ed2653:name;
-const unique T.Dma___unnamed_12_79ed2653:name;
-const unique T.DevicePrivate___unnamed_12_79ed2653:name;
-const unique T.BusNumber___unnamed_12_79ed2653:name;
-const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
-const unique T.Memory40___unnamed_12_79ed2653:name;
-const unique T.Memory48___unnamed_12_79ed2653:name;
-const unique T.Memory64___unnamed_12_79ed2653:name;
-const unique T.Start___unnamed_12_7da594c0:name;
-const unique T.Length40___unnamed_12_7da594c0:name;
-const unique T.Start___unnamed_12_9873e05d:name;
-const unique T.Length___unnamed_12_9873e05d:name;
-const unique T.DataSize___unnamed_12_9cc8cebc:name;
-const unique T.Reserved1___unnamed_12_9cc8cebc:name;
-const unique T.Reserved2___unnamed_12_9cc8cebc:name;
-const unique T.Start___unnamed_12_b98da82e:name;
-const unique T.Length___unnamed_12_b98da82e:name;
-const unique T.Level___unnamed_12_c2880e88:name;
-const unique T.Vector___unnamed_12_c2880e88:name;
-const unique T.Affinity___unnamed_12_c2880e88:name;
-const unique T.Start___unnamed_12_c49ab31a:name;
-const unique T.Length___unnamed_12_c49ab31a:name;
-const unique T.ListEntry___unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
-const unique T.Data___unnamed_12_ced61554:name;
-const unique T.Reserved___unnamed_12_d9c44df5:name;
-const unique T.MessageCount___unnamed_12_d9c44df5:name;
-const unique T.Vector___unnamed_12_d9c44df5:name;
-const unique T.Affinity___unnamed_12_d9c44df5:name;
-const unique T.Start___unnamed_12_db3dcbfc:name;
-const unique T.Length___unnamed_12_db3dcbfc:name;
-const unique T.Reserved___unnamed_12_db3dcbfc:name;
-const unique T.Level___unnamed_12_fb26b3fc:name;
-const unique T.Vector___unnamed_12_fb26b3fc:name;
-const unique T.Affinity___unnamed_12_fb26b3fc:name;
-const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
-const unique T.InputBufferLength___unnamed_16_22e4d054:name;
-const unique T.IoControlCode___unnamed_16_22e4d054:name;
-const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
-const unique T.Create___unnamed_16_39b626ad:name;
-const unique T.Read___unnamed_16_39b626ad:name;
-const unique T.Write___unnamed_16_39b626ad:name;
-const unique T.QueryDirectory___unnamed_16_39b626ad:name;
-const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
-const unique T.QueryFile___unnamed_16_39b626ad:name;
-const unique T.SetFile___unnamed_16_39b626ad:name;
-const unique T.QueryEa___unnamed_16_39b626ad:name;
-const unique T.SetEa___unnamed_16_39b626ad:name;
-const unique T.QueryVolume___unnamed_16_39b626ad:name;
-const unique T.SetVolume___unnamed_16_39b626ad:name;
-const unique T.FileSystemControl___unnamed_16_39b626ad:name;
-const unique T.LockControl___unnamed_16_39b626ad:name;
-const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
-const unique T.QuerySecurity___unnamed_16_39b626ad:name;
-const unique T.SetSecurity___unnamed_16_39b626ad:name;
-const unique T.MountVolume___unnamed_16_39b626ad:name;
-const unique T.VerifyVolume___unnamed_16_39b626ad:name;
-const unique T.Scsi___unnamed_16_39b626ad:name;
-const unique T.QueryQuota___unnamed_16_39b626ad:name;
-const unique T.SetQuota___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
-const unique T.QueryInterface___unnamed_16_39b626ad:name;
-const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
-const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
-const unique T.SetLock___unnamed_16_39b626ad:name;
-const unique T.QueryId___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
-const unique T.UsageNotification___unnamed_16_39b626ad:name;
-const unique T.WaitWake___unnamed_16_39b626ad:name;
-const unique T.PowerSequence___unnamed_16_39b626ad:name;
-const unique T.Power___unnamed_16_39b626ad:name;
-const unique T.StartDevice___unnamed_16_39b626ad:name;
-const unique T.WMI___unnamed_16_39b626ad:name;
-const unique T.Others___unnamed_16_39b626ad:name;
-const unique T.WhichSpace___unnamed_16_56c011d7:name;
-const unique T.Buffer___unnamed_16_56c011d7:name;
-const unique T.Offset___unnamed_16_56c011d7:name;
-const unique T.Length___unnamed_16_56c011d7:name;
-const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
-const unique T.Length___unnamed_16_6be9abe0:name;
-const unique T.FileName___unnamed_16_6be9abe0:name;
-const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
-const unique T.FileIndex___unnamed_16_6be9abe0:name;
-const unique T.InterfaceType___unnamed_16_78879a38:name;
-const unique T.Size___unnamed_16_78879a38:name;
-const unique T.Version___unnamed_16_78879a38:name;
-const unique T.Interface___unnamed_16_78879a38:name;
-const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
-const unique T.Length___unnamed_16_804a2f24:name;
-const unique T.StartSid___unnamed_16_804a2f24:name;
-const unique T.SidList___unnamed_16_804a2f24:name;
-const unique T.SidListLength___unnamed_16_804a2f24:name;
-const unique T.Argument1___unnamed_16_8586693f:name;
-const unique T.Argument2___unnamed_16_8586693f:name;
-const unique T.Argument3___unnamed_16_8586693f:name;
-const unique T.Argument4___unnamed_16_8586693f:name;
-const unique T.Length___unnamed_16_8831e65f:name;
-const unique T.Key___unnamed_16_8831e65f:name;
-const unique T.ByteOffset___unnamed_16_8831e65f:name;
-const unique T.SecurityContext___unnamed_16_8c2d663a:name;
-const unique T.Options___unnamed_16_8c2d663a:name;
-const unique T.FileAttributes___unnamed_16_8c2d663a:name;
-const unique T.ShareAccess___unnamed_16_8c2d663a:name;
-const unique T.EaLength___unnamed_16_8c2d663a:name;
-const unique T.Length___unnamed_16_913b9a7a:name;
-const unique T.Key___unnamed_16_913b9a7a:name;
-const unique T.ByteOffset___unnamed_16_913b9a7a:name;
-const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
-const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
-const unique T.Length___unnamed_16_a2fab4da:name;
-const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
-const unique T.FileObject___unnamed_16_a2fab4da:name;
-const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
-const unique T.DriverContext___unnamed_16_ae643f17:name;
-const unique T.Length___unnamed_16_c1b29316:name;
-const unique T.Key___unnamed_16_c1b29316:name;
-const unique T.ByteOffset___unnamed_16_c1b29316:name;
-const unique T.ProviderId___unnamed_16_cbd53ed4:name;
-const unique T.DataPath___unnamed_16_cbd53ed4:name;
-const unique T.BufferSize___unnamed_16_cbd53ed4:name;
-const unique T.Buffer___unnamed_16_cbd53ed4:name;
-const unique T.Length___unnamed_16_db70db6e:name;
-const unique T.MinBusNumber___unnamed_16_db70db6e:name;
-const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
-const unique T.Reserved___unnamed_16_db70db6e:name;
-const unique T.Length___unnamed_16_ef4b6307:name;
-const unique T.EaList___unnamed_16_ef4b6307:name;
-const unique T.EaListLength___unnamed_16_ef4b6307:name;
-const unique T.EaIndex___unnamed_16_ef4b6307:name;
-const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
-const unique T.Type___unnamed_16_fdda1f62:name;
-const unique T.State___unnamed_16_fdda1f62:name;
-const unique T.ShutdownType___unnamed_16_fdda1f62:name;
-const unique T.Lock___unnamed_1_1394de4b:name;
-const unique T.Abandoned___unnamed_1_2bb39c56:name;
-const unique T.Absolute___unnamed_1_2bb39c56:name;
-const unique T.NpxIrql___unnamed_1_2bb39c56:name;
-const unique T.Signalling___unnamed_1_2bb39c56:name;
-const unique T.Inserted___unnamed_1_9fa0583a:name;
-const unique T.DebugActive___unnamed_1_9fa0583a:name;
-const unique T.DpcActive___unnamed_1_9fa0583a:name;
-const unique T.Size___unnamed_1_e30779f5:name;
-const unique T.Hand___unnamed_1_e30779f5:name;
-const unique T.MinimumVector___unnamed_20_83d468e4:name;
-const unique T.MaximumVector___unnamed_20_83d468e4:name;
-const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
-const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
-const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
-const unique T.Length40___unnamed_24_035931da:name;
-const unique T.Alignment40___unnamed_24_035931da:name;
-const unique T.MinimumAddress___unnamed_24_035931da:name;
-const unique T.MaximumAddress___unnamed_24_035931da:name;
-const unique T.Length___unnamed_24_38e128db:name;
-const unique T.Alignment___unnamed_24_38e128db:name;
-const unique T.MinimumAddress___unnamed_24_38e128db:name;
-const unique T.MaximumAddress___unnamed_24_38e128db:name;
-const unique T.Length___unnamed_24_9500ea34:name;
-const unique T.Alignment___unnamed_24_9500ea34:name;
-const unique T.MinimumAddress___unnamed_24_9500ea34:name;
-const unique T.MaximumAddress___unnamed_24_9500ea34:name;
-const unique T.Length___unnamed_24_9734802c:name;
-const unique T.Alignment___unnamed_24_9734802c:name;
-const unique T.MinimumAddress___unnamed_24_9734802c:name;
-const unique T.MaximumAddress___unnamed_24_9734802c:name;
-const unique T.Length64___unnamed_24_af62813f:name;
-const unique T.Alignment64___unnamed_24_af62813f:name;
-const unique T.MinimumAddress___unnamed_24_af62813f:name;
-const unique T.MaximumAddress___unnamed_24_af62813f:name;
-const unique T.Length48___unnamed_24_c0555099:name;
-const unique T.Alignment48___unnamed_24_c0555099:name;
-const unique T.MinimumAddress___unnamed_24_c0555099:name;
-const unique T.MaximumAddress___unnamed_24_c0555099:name;
-const unique T.Port___unnamed_24_d7c4ec3a:name;
-const unique T.Memory___unnamed_24_d7c4ec3a:name;
-const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
-const unique T.Dma___unnamed_24_d7c4ec3a:name;
-const unique T.Generic___unnamed_24_d7c4ec3a:name;
-const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
-const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
-const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
-const unique T.Memory40___unnamed_24_d7c4ec3a:name;
-const unique T.Memory48___unnamed_24_d7c4ec3a:name;
-const unique T.Memory64___unnamed_24_d7c4ec3a:name;
-const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
-const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
-const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
-const unique T.Thread___unnamed_40_a0414182:name;
-const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
-const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
-const unique T.OriginalFileObject___unnamed_40_a0414182:name;
-const unique T.ListEntry___unnamed_40_d90496f4:name;
-const unique T.Wcb___unnamed_40_d90496f4:name;
-const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.Overlay___unnamed_48_c1da9fa5:name;
-const unique T.Apc___unnamed_48_c1da9fa5:name;
-const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
-const unique T.PowerSequence___unnamed_4_0510b147:name;
-const unique T.Length___unnamed_4_0a569078:name;
-const unique T.Status___unnamed_4_16aff58e:name;
-const unique T.Pointer___unnamed_4_16aff58e:name;
-const unique T.IdType___unnamed_4_40bf8e34:name;
-const unique T.Address___unnamed_4_46b62f69:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
-const unique T.Capabilities___unnamed_4_73d46255:name;
-const unique T.Srb___unnamed_4_765e3037:name;
-const unique T.Type___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
-const unique T.PowerState___unnamed_4_8dd73d30:name;
-const unique T.Type___unnamed_4_957e0d74:name;
-const unique T.Buttons___unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
-const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
-const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
-const unique T.PacketType___unnamed_4_a7aa989c:name;
-const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
-const unique T.ClusterCount___unnamed_4_a7d0864c:name;
-const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
-const unique T.Length___unnamed_4_aa20b426:name;
-const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
-const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
-const unique T.Reserved1___unnamed_4_b016b1e1:name;
-const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
-const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
-const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
-const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
-const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
-const unique T.Reserved2___unnamed_4_b016b1e1:name;
-const unique T.SystemContext___unnamed_4_b060dea6:name;
-const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
-const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
-const unique T.ButtonFlags___unnamed_4_b5247f10:name;
-const unique T.ButtonData___unnamed_4_b5247f10:name;
-const unique T.OwnerCount___unnamed_4_c1e23b02:name;
-const unique T.TableSize___unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
-const unique T.Lock___unnamed_4_c9b2e921:name;
-const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
-const unique T.IrpCount___unnamed_4_fa7b96a7:name;
-const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
-const unique T.Vpb___unnamed_8_09ad2712:name;
-const unique T.DeviceObject___unnamed_8_09ad2712:name;
-const unique T.Length___unnamed_8_21ac1dba:name;
-const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
-const unique T.Length___unnamed_8_27d3ab76:name;
-const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
-const unique T.Vpb___unnamed_8_4289df81:name;
-const unique T.DeviceObject___unnamed_8_4289df81:name;
-const unique T.Length___unnamed_8_47b72724:name;
-const unique T.FileInformationClass___unnamed_8_47b72724:name;
-const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
-const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
-const unique T.UserApcContext___unnamed_8_4f695993:name;
-const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
-const unique T.SecurityInformation___unnamed_8_606438c5:name;
-const unique T.Length___unnamed_8_606438c5:name;
-const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
-const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
-const unique T.Length___unnamed_8_805045cb:name;
-const unique T.FsInformationClass___unnamed_8_805045cb:name;
-const unique T.LowPart___unnamed_8_8684a3e7:name;
-const unique T.HighPart___unnamed_8_8684a3e7:name;
-const unique T.SecurityInformation___unnamed_8_8cc410da:name;
-const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
-const unique T.InPath___unnamed_8_a47253e0:name;
-const unique T.Reserved___unnamed_8_a47253e0:name;
-const unique T.Type___unnamed_8_a47253e0:name;
-const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
-const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
-const unique T.LowPart___unnamed_8_c9ca8234:name;
-const unique T.HighPart___unnamed_8_c9ca8234:name;
-
-// Type declarations
-
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A2UINT2:name;
-const unique T.A32UINT2:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5UINT2:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A85CHAR:name;
-const unique T.A8UCHAR:name;
-const unique T.A9UINT2:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA256UINT2:name;
-const unique T.PA2UINT2:name;
-const unique T.PA4UINT4:name;
-const unique T.PA5UINT2:name;
-const unique T.PA85CHAR:name;
-const unique T.PA9UINT2:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPPUINT2:name;
-const unique T.PPP_DEVICE_OBJECT:name;
-const unique T.PPP_FILE_OBJECT:name;
-const unique T.PPUINT2:name;
-const unique T.PPUINT4:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FAST_MUTEX:name;
-const unique T.PP_FILE_OBJECT:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_PORT:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FAST_MUTEX:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_MOUSE_INPUT_DATA:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_POOL_TYPE:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._MOUSE_ATTRIBUTES:name;
-const unique T._MOUSE_INPUT_DATA:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._POOL_TYPE:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_06b9ee6e:name;
-const unique T.__unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_264d0dab:name;
-const unique T.__unnamed_12_2e80217b:name;
-const unique T.__unnamed_12_5cc7ace2:name;
-const unique T.__unnamed_12_6374506e:name;
-const unique T.__unnamed_12_68a4278e:name;
-const unique T.__unnamed_12_79ed2653:name;
-const unique T.__unnamed_12_7da594c0:name;
-const unique T.__unnamed_12_9873e05d:name;
-const unique T.__unnamed_12_9cc8cebc:name;
-const unique T.__unnamed_12_b98da82e:name;
-const unique T.__unnamed_12_c2880e88:name;
-const unique T.__unnamed_12_c49ab31a:name;
-const unique T.__unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_12_ced61554:name;
-const unique T.__unnamed_12_d9c44df5:name;
-const unique T.__unnamed_12_db3dcbfc:name;
-const unique T.__unnamed_12_fb26b3fc:name;
-const unique T.__unnamed_16_22e4d054:name;
-const unique T.__unnamed_16_39b626ad:name;
-const unique T.__unnamed_16_56c011d7:name;
-const unique T.__unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_6be9abe0:name;
-const unique T.__unnamed_16_78879a38:name;
-const unique T.__unnamed_16_804a2f24:name;
-const unique T.__unnamed_16_8586693f:name;
-const unique T.__unnamed_16_8831e65f:name;
-const unique T.__unnamed_16_8c2d663a:name;
-const unique T.__unnamed_16_913b9a7a:name;
-const unique T.__unnamed_16_94d1d1c7:name;
-const unique T.__unnamed_16_a2fab4da:name;
-const unique T.__unnamed_16_ae643f17:name;
-const unique T.__unnamed_16_c1b29316:name;
-const unique T.__unnamed_16_cbd53ed4:name;
-const unique T.__unnamed_16_db70db6e:name;
-const unique T.__unnamed_16_ef4b6307:name;
-const unique T.__unnamed_16_fdda1f62:name;
-const unique T.__unnamed_1_1394de4b:name;
-const unique T.__unnamed_1_2bb39c56:name;
-const unique T.__unnamed_1_9fa0583a:name;
-const unique T.__unnamed_1_e30779f5:name;
-const unique T.__unnamed_20_83d468e4:name;
-const unique T.__unnamed_24_035931da:name;
-const unique T.__unnamed_24_38e128db:name;
-const unique T.__unnamed_24_9500ea34:name;
-const unique T.__unnamed_24_9734802c:name;
-const unique T.__unnamed_24_af62813f:name;
-const unique T.__unnamed_24_c0555099:name;
-const unique T.__unnamed_24_d7c4ec3a:name;
-const unique T.__unnamed_2_196a7f56:name;
-const unique T.__unnamed_40_a0414182:name;
-const unique T.__unnamed_40_d90496f4:name;
-const unique T.__unnamed_44_a7026dca:name;
-const unique T.__unnamed_48_c1da9fa5:name;
-const unique T.__unnamed_4_0510b147:name;
-const unique T.__unnamed_4_0a569078:name;
-const unique T.__unnamed_4_16aff58e:name;
-const unique T.__unnamed_4_40bf8e34:name;
-const unique T.__unnamed_4_46b62f69:name;
-const unique T.__unnamed_4_73d46255:name;
-const unique T.__unnamed_4_765e3037:name;
-const unique T.__unnamed_4_846adf3f:name;
-const unique T.__unnamed_4_8dd73d30:name;
-const unique T.__unnamed_4_957e0d74:name;
-const unique T.__unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_a58d40c8:name;
-const unique T.__unnamed_4_a7aa989c:name;
-const unique T.__unnamed_4_a7d0864c:name;
-const unique T.__unnamed_4_aa20b426:name;
-const unique T.__unnamed_4_ab87ddfd:name;
-const unique T.__unnamed_4_b016b1e1:name;
-const unique T.__unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b4f5a780:name;
-const unique T.__unnamed_4_b5247f10:name;
-const unique T.__unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_c9b2e921:name;
-const unique T.__unnamed_4_fa7b96a7:name;
-const unique T.__unnamed_8_09ad2712:name;
-const unique T.__unnamed_8_21ac1dba:name;
-const unique T.__unnamed_8_27d3ab76:name;
-const unique T.__unnamed_8_4289df81:name;
-const unique T.__unnamed_8_47b72724:name;
-const unique T.__unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_8_4f695993:name;
-const unique T.__unnamed_8_5cfb6ca4:name;
-const unique T.__unnamed_8_606438c5:name;
-const unique T.__unnamed_8_6ad774c0:name;
-const unique T.__unnamed_8_805045cb:name;
-const unique T.__unnamed_8_8684a3e7:name;
-const unique T.__unnamed_8_8cc410da:name;
-const unique T.__unnamed_8_a47253e0:name;
-const unique T.__unnamed_8_bbd07f6c:name;
-const unique T.__unnamed_8_c9ca8234:name;
-
-function AssocClassList__GLOBALS(int) returns (int);
-function AssocClassList__GLOBALSInv(int) returns (int);
-function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
-function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
-function BaseClassName__GLOBALS(int) returns (int);
-function BaseClassName__GLOBALSInv(int) returns (int);
-function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
-function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 344);
-axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 344);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1) == BaseClassName__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 344)} MINUS_LEFT_PTR(x, 1, 344) == BaseClassName__GLOBALSInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
-function File__PORT(int) returns (int);
-function File__PORTInv(int) returns (int);
-function _S_File__PORT([int]bool) returns ([int]bool);
-function _S_File__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
-
-axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
-function Flags__DEVICE_OBJECT(int) returns (int);
-function Flags__DEVICE_OBJECTInv(int) returns (int);
-function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
-axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function Free__PORT(int) returns (int);
-function Free__PORTInv(int) returns (int);
-function _S_Free__PORT([int]bool) returns ([int]bool);
-function _S_Free__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
-
-axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function InitExtension__GLOBALS(int) returns (int);
-function InitExtension__GLOBALSInv(int) returns (int);
-function _S_InitExtension__GLOBALS([int]bool) returns ([int]bool);
-function _S_InitExtension__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InitExtension__GLOBALSInv(InitExtension__GLOBALS(x))} InitExtension__GLOBALSInv(InitExtension__GLOBALS(x)) == x);
-axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALS(InitExtension__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALS(S)[x]} _S_InitExtension__GLOBALS(S)[x] <==> S[InitExtension__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALSInv(S)[x]} _S_InitExtension__GLOBALSInv(S)[x] <==> S[InitExtension__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALS(S)} S[x] ==> _S_InitExtension__GLOBALS(S)[InitExtension__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALSInv(S)} S[x] ==> _S_InitExtension__GLOBALSInv(S)[InitExtension__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {InitExtension__GLOBALS(x)} InitExtension__GLOBALS(x) == x + 64);
-axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALSInv(x) == x - 64);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1) == InitExtension__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 64)} MINUS_LEFT_PTR(x, 1, 64) == InitExtension__GLOBALSInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
-function Length__UNICODE_STRING(int) returns (int);
-function Length__UNICODE_STRINGInv(int) returns (int);
-function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
-axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
-function MaximumLength__UNICODE_STRING(int) returns (int);
-function MaximumLength__UNICODE_STRINGInv(int) returns (int);
-function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
-axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
-function Mutex__GLOBALS(int) returns (int);
-function Mutex__GLOBALSInv(int) returns (int);
-function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
-function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
-axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
-function NumberLegacyPorts__GLOBALS(int) returns (int);
-function NumberLegacyPorts__GLOBALSInv(int) returns (int);
-function _S_NumberLegacyPorts__GLOBALS([int]bool) returns ([int]bool);
-function _S_NumberLegacyPorts__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x))} NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x)) == x);
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALS(NumberLegacyPorts__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALS(S)[x]} _S_NumberLegacyPorts__GLOBALS(S)[x] <==> S[NumberLegacyPorts__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALSInv(S)[x]} _S_NumberLegacyPorts__GLOBALSInv(S)[x] <==> S[NumberLegacyPorts__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALS(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALS(S)[NumberLegacyPorts__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALSInv(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALSInv(S)[NumberLegacyPorts__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALS(x)} NumberLegacyPorts__GLOBALS(x) == x + 20);
-axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALSInv(x) == x - 20);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == NumberLegacyPorts__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == NumberLegacyPorts__GLOBALSInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function Port__PORT(int) returns (int);
-function Port__PORTInv(int) returns (int);
-function _S_Port__PORT([int]bool) returns ([int]bool);
-function _S_Port__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
-
-axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
-function PortsServiced__GLOBALS(int) returns (int);
-function PortsServiced__GLOBALSInv(int) returns (int);
-function _S_PortsServiced__GLOBALS([int]bool) returns ([int]bool);
-function _S_PortsServiced__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x))} PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x)) == x);
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALS(PortsServiced__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALS(S)[x]} _S_PortsServiced__GLOBALS(S)[x] <==> S[PortsServiced__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALSInv(S)[x]} _S_PortsServiced__GLOBALSInv(S)[x] <==> S[PortsServiced__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALS(S)} S[x] ==> _S_PortsServiced__GLOBALS(S)[PortsServiced__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALSInv(S)} S[x] ==> _S_PortsServiced__GLOBALSInv(S)[PortsServiced__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {PortsServiced__GLOBALS(x)} PortsServiced__GLOBALS(x) == x + 60);
-axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALSInv(x) == x - 60);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 60, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 60, 1) == PortsServiced__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 60)} MINUS_LEFT_PTR(x, 1, 60) == PortsServiced__GLOBALSInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function SpinLock__DEVICE_EXTENSION(int) returns (int);
-function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
-function StackSize__DEVICE_OBJECT(int) returns (int);
-function StackSize__DEVICE_OBJECTInv(int) returns (int);
-function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
-function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_3221553153:int;
-
-
-
-procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure InsertTailList($ListHead$1$6980.24$InsertTailList$81:int, $Entry$2$6981.41$InsertTailList$81:int);
-
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead), __setunion(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead)), __set(Entry)))
-ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81))) && Subset(Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoGetDeviceObjectPointer($ObjectName$1$21492.26$IoGetDeviceObjectPointer$161:int, $DesiredAccess$2$21493.22$IoGetDeviceObjectPointer$161:int, $FileObject$3$21494.24$IoGetDeviceObjectPointer$161:int, $DeviceObject$4$21495.26$IoGetDeviceObjectPointer$161:int) returns ($result.IoGetDeviceObjectPointer$21491.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouCreateClassObject($DriverObject$1$3165.28$MouCreateClassObject$201:int, $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201:int, $ClassDeviceObject$3$3167.28$MouCreateClassObject$201:int, $FullDeviceName$4$3168.35$MouCreateClassObject$201:int, $Legacy$5$3169.28$MouCreateClassObject$201:int) returns ($result.MouCreateClassObject$3164.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] != 0));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1)));
-//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 0)));
-//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] := 1])));
-//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
-ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]])));
-//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __setin(_H_z, __set((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))) || __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])[_H_z]) || ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures !((LONG)__return >= 0) ==> __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures (LONG)__return >= 0 ==> __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))->SpinLock) == 0
-ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])] == 0));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: &TmpDeviceExtension->WaitWakeSpinLock, &((struct _DEVICE_EXTENSION *)TmpDeviceExtension)->SpinLock, __set_true
-ensures (Subset(Empty(), Union(Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), Singleton(SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SetTrue()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3167.28$MouCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3167.28$MouCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouDeterminePortsServiced($BasePortName$1$3491.23$MouDeterminePortsServiced$81:int, $NumberPortsServiced$2$3492.18$MouDeterminePortsServiced$81:int) returns ($result.MouDeterminePortsServiced$3490.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseAddDeviceEx($ClassData$1$792.28$MouseAddDeviceEx$121:int, $FullClassName$2$793.29$MouseAddDeviceEx$121:int, $File$3$794.28$MouseAddDeviceEx$121:int) returns ($result.MouseAddDeviceEx$791.0$1$:int);
-
-//TAG: requires __resource("DEV_EXTN", ClassData) == 1
-requires(Res_DEV_EXTN[$ClassData$1$792.28$MouseAddDeviceEx$121] == 1);
-//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->SpinLock) == 0
-requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __resource("DEV_EXTN", ClassData) == 1
-ensures(Res_DEV_EXTN[$ClassData$1$792.28$MouseAddDeviceEx$121] == 1);
-//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->WaitWakeSpinLock) == 0
-ensures(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
-//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->SpinLock) == 0
-ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: &ClassData->WaitWakeSpinLock, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121))), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121) == r) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseClassLogError($Object$1$4550.10$MouseClassLogError$281:int, $ErrorCode$2$4551.10$MouseClassLogError$281:int, $UniqueErrorValue$3$4552.10$MouseClassLogError$281:int, $FinalStatus$4$4553.13$MouseClassLogError$281:int, $DumpCount$5$4554.10$MouseClassLogError$281:int, $DumpData$6$4555.11$MouseClassLogError$281:int, $MajorFunction$7$4556.10$MouseClassLogError$281:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlCopyUnicodeString($DestinationString$1$7401.28$RtlCopyUnicodeString$81:int, $SourceString$2$7402.30$RtlCopyUnicodeString$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RtlInitUnicodeString($DestinationString$1$7281.26$RtlInitUnicodeString$81:int, $SourceString$2$7282.37$RtlInitUnicodeString$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseClassFindMorePorts($DriverObject$1$4588.20$MouseClassFindMorePorts$121:int, $Context$2$4589.20$MouseClassFindMorePorts$121:int, $Count$3$4590.20$MouseClassFindMorePorts$121:int)
-
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for:
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for:
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for:
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for:
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $Context$2$4589.20$MouseClassFindMorePorts$12 : int;
-var $Count$3$4590.20$MouseClassFindMorePorts$12 : int;
-var $DriverObject$1$4588.20$MouseClassFindMorePorts$12 : int;
-var $ExAllocatePoolWithTag.arg.2$5$ : int;
-var $MouDebugPrint.arg.2$6$ : int;
-var $RtlAppendUnicodeToString.arg.2$10$ : int;
-var $RtlAppendUnicodeToString.arg.2$13$ : int;
-var $RtlAppendUnicodeToString.arg.2$3$ : int;
-var $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12 : int;
-var $basePortName$11$4620.28$MouseClassFindMorePorts$12 : int;
-var $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 : int;
-var $deviceExtension$5$4614.28$MouseClassFindMorePorts$12 : int;
-var $dumpData$7$4616.28$MouseClassFindMorePorts$12 : int;
-var $file$15$4624.28$MouseClassFindMorePorts$12 : int;
-var $fullClassName$14$4623.28$MouseClassFindMorePorts$12 : int;
-var $fullPortName$12$4621.28$MouseClassFindMorePorts$12 : int;
-var $i$8$4617.28$MouseClassFindMorePorts$12 : int;
-var $memset.arg.3$8$ : int;
-var $numPorts$9$4618.28$MouseClassFindMorePorts$12 : int;
-var $port$16$4759.22$MouseClassFindMorePorts$12 : int;
-var $result.ExAllocatePoolWithTag$4653.0$4$ : int;
-var $result.IoGetDeviceObjectPointer$4731.42$16$ : int;
-var $result.MouCreateClassObject$4708.37$15$ : int;
-var $result.MouDeterminePortsServiced$4680.29$14$ : int;
-var $result.MouseAddDeviceEx$4743.34$17$ : int;
-var $result.ObfDereferenceObject$4772.16$18$ : int;
-var $result.RtlAppendUnicodeToString$4642.28$2$ : int;
-var $result.RtlAppendUnicodeToString$4676.28$9$ : int;
-var $result.RtlAppendUnicodeToString$4677.28$11$ : int;
-var $result.RtlAppendUnicodeToString$4678.28$12$ : int;
-var $result.memset$4630.4$1$ : int;
-var $result.memset$4675.4$7$ : int;
-var $status$4$4613.28$MouseClassFindMorePorts$12 : int;
-var $successfulCreates$10$4619.28$MouseClassFindMorePorts$12 : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_74_alloc:[int]name;
-var LOOP_74_Mem:[name][int]int;
-var LOOP_74_Res_DEVICE_STACK:[int]int;
-var LOOP_74_Res_DEV_EXTN:[int]int;
-var LOOP_74_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_74_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$4588.20$MouseClassFindMorePorts$121] != UNALLOCATED);
-assume (alloc[$Context$2$4589.20$MouseClassFindMorePorts$121] != UNALLOCATED);
-call $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(512);
-call $basePortName$11$4620.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(8);
-call $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $dumpData$7$4616.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(16);
-call $file$15$4624.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $fullClassName$14$4623.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
-call $fullPortName$12$4621.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(8);
-call $numPorts$9$4618.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
-$DriverObject$1$4588.20$MouseClassFindMorePorts$12 := $DriverObject$1$4588.20$MouseClassFindMorePorts$121;
-$Context$2$4589.20$MouseClassFindMorePorts$12 := $Context$2$4589.20$MouseClassFindMorePorts$121;
-$Count$3$4590.20$MouseClassFindMorePorts$12 := $Count$3$4590.20$MouseClassFindMorePorts$121;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4799)
-label_1:
-call __HAVOC_free($basePortBuffer$13$4622.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($basePortName$11$4620.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($classDeviceObject$6$4615.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($dumpData$7$4616.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($file$15$4624.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($fullClassName$14$4623.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($fullPortName$12$4621.28$MouseClassFindMorePorts$12);
-call __HAVOC_free($numPorts$9$4618.28$MouseClassFindMorePorts$12);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A256UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A256UINT2][m] == old(Mem[T.A256UINT2])[m]);
-assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
-assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
-assume (forall m:int :: {Mem[T.A5UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A5UINT2][m] == old(Mem[T.A5UINT2])[m]);
-assume (forall m:int :: {Mem[T.A85CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A85CHAR][m] == old(Mem[T.A85CHAR])[m]);
-assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
-assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CHAR][m] == old(Mem[T.CHAR])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
-assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InitExtension__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InitExtension__GLOBALS][m] == old(Mem[T.InitExtension__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.NumberLegacyPorts__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberLegacyPorts__GLOBALS][m] == old(Mem[T.NumberLegacyPorts__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PPUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PPUINT2][m] == old(Mem[T.PPUINT2])[m]);
-assume (forall m:int :: {Mem[T.PP_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_DEVICE_OBJECT][m] == old(Mem[T.PP_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
-assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
-assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
-assume (forall m:int :: {Mem[T.PortsServiced__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PortsServiced__GLOBALS][m] == old(Mem[T.PortsServiced__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4799)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4613)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4614)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4614)
-label_5:
-$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4615)
-label_6:
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4615)
-label_7:
-Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 := 0];
-goto label_8;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4616)
-label_8:
-goto label_9;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4617)
-label_9:
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4618)
-label_10:
-goto label_11;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4619)
-label_11:
-goto label_12;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4620)
-label_12:
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4621)
-label_13:
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4622)
-label_14:
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4623)
-label_15:
-goto label_16;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4623)
-label_16:
-Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
-goto label_17;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4624)
-label_17:
-goto label_18;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4626)
-label_18:
-call __PREfastPagedCode ();
-goto label_21;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4628)
-label_21:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := 0];
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4630)
-label_22:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$4630.4$1$;
-goto label_25;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4631)
-label_25:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12];
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4632)
-label_26:
-Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := 0];
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4633)
-label_27:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := 512];
-goto label_28;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4640)
-label_28:
-call RtlCopyUnicodeString ($basePortName$11$4620.28$MouseClassFindMorePorts$12, BaseClassName__GLOBALS(Globals));
-goto label_31;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4641)
-label_31:
-tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT( Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)], 10, 1) ;
-Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := tempBoogie0];
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4642)
-label_32:
-call $result.RtlAppendUnicodeToString$4642.28$2$ := RtlAppendUnicodeToString ($basePortName$11$4620.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$3$);
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4642)
-label_35:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$3$ := havoc_stringTemp ;
-goto label_32;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4647)
-label_36:
-call RtlInitUnicodeString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, 0);
-goto label_39;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4649)
-label_39:
-Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)]), 1, 2)];
-goto label_43;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
-label_40:
-call $result.ExAllocatePoolWithTag$4653.0$4$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$5$, 1131377997);
-goto label_44;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
-label_43:
-$ExAllocatePoolWithTag.arg.2$5$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] ;
-goto label_40;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
-label_44:
-Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := $result.ExAllocatePoolWithTag$4653.0$4$];
-goto label_45;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4656)
-label_45:
-goto label_45_true , label_45_false ;
-
-
-label_45_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] != 0);
-goto label_57;
-
-
-label_45_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] == 0);
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4658)
-label_46:
-// skip MouDebugPrint
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4658)
-label_49:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$6$ := havoc_stringTemp ;
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4663)
-label_50:
-Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$7$4616.28$MouseClassFindMorePorts$12, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)]];
-goto label_51;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4664)
-label_51:
-call MouseClassLogError ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 20008, -1073741823, 1, $dumpData$7$4616.28$MouseClassFindMorePorts$12, 0);
-goto label_156;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4675)
-label_54:
-// ignoring intrinsic intrinsic.memset
-havoc $result.memset$4675.4$7$;
-goto label_61;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4675)
-label_57:
-$memset.arg.3$8$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] ;
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4676)
-label_58:
-call $result.RtlAppendUnicodeToString$4676.28$9$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$10$);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4676)
-label_61:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$10$ := havoc_stringTemp ;
-goto label_58;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4677)
-label_62:
-call $result.RtlAppendUnicodeToString$4677.28$11$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)]);
-goto label_68;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4678)
-label_65:
-call $result.RtlAppendUnicodeToString$4678.28$12$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$13$);
-goto label_69;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4678)
-label_68:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAppendUnicodeToString.arg.2$13$ := havoc_stringTemp ;
-goto label_65;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4680)
-label_69:
-call $result.MouDeterminePortsServiced$4680.29$14$ := MouDeterminePortsServiced ($basePortName$11$4620.28$MouseClassFindMorePorts$12, $numPorts$9$4618.28$MouseClassFindMorePorts$12);
-goto label_72;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4687)
-label_72:
-$i$8$4617.28$MouseClassFindMorePorts$12 := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)] ;
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4687)
-label_73:
-$successfulCreates$10$4619.28$MouseClassFindMorePorts$12 := 0 ;
-goto label_74;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4688)
-label_74:
-// loop entry initialization...
-LOOP_74_alloc := alloc;
-LOOP_74_Mem := Mem;
-LOOP_74_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_74_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_74_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_74_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_74_head;
-
-
-label_74_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-assume(forall f:int :: {alloc[Base(f)]} LOOP_74_alloc[Base(f)] == UNALLOCATED || LOOP_74_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_74_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (SetTrue()[r]) || LOOP_74_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_74_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_74_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_74_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_74_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (SetTrue()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_74_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_74_true , label_74_false ;
-
-
-label_74_true :
-assume ($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
-goto label_75;
-
-
-label_74_false :
-assume !($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
-goto label_155;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4688)
-label_75:
-goto label_75_true , label_75_false ;
-
-
-label_75_true :
-assume ($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4618.28$MouseClassFindMorePorts$12]);
-goto label_76;
-
-
-label_75_false :
-assume !($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4618.28$MouseClassFindMorePorts$12]);
-goto label_155;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4698)
-label_76:
-Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 2), 1, 1)) := PLUS(48, 1, $i$8$4617.28$MouseClassFindMorePorts$12)];
-goto label_77;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4700)
-label_77:
-goto label_77_true , label_77_false ;
-
-
-label_77_true :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
-goto label_78;
-
-
-label_77_false :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
-goto label_82;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4701)
-label_78:
-call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4702)
-label_81:
-Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
-goto label_82;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4708)
-label_82:
-call $result.MouCreateClassObject$4708.37$15$ := MouCreateClassObject ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, InitExtension__GLOBALS(Globals), $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12, $fullClassName$14$4623.28$MouseClassFindMorePorts$12, 1);
-goto label_85;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4708)
-label_85:
-$status$4$4613.28$MouseClassFindMorePorts$12 := $result.MouCreateClassObject$4708.37$15$ ;
-goto label_86;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4714)
-label_86:
-goto label_86_true , label_86_false ;
-
-
-label_86_true :
-assume (0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
-goto label_90;
-
-
-label_86_false :
-assume !(0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4715)
-label_87:
-call MouseClassLogError ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 20008, $status$4$4613.28$MouseClassFindMorePorts$12, 0, 0, 0);
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4725)
-label_90:
-$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])] ;
-goto label_91;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4726)
-label_91:
-Mem[T.PnP__DEVICE_EXTENSION] := Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
-goto label_92;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4731)
-label_92:
-assume (Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
-call $result.IoGetDeviceObjectPointer$4731.42$16$ := IoGetDeviceObjectPointer ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, 128, $file$15$4624.28$MouseClassFindMorePorts$12, TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12));
-Mem[T.TopPort__DEVICE_EXTENSION] := Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_95;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4731)
-label_95:
-$status$4$4613.28$MouseClassFindMorePorts$12 := $result.IoGetDeviceObjectPointer$4731.42$16$ ;
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4736)
-label_96:
-goto label_96_true , label_96_false ;
-
-
-label_96_true :
-assume ($status$4$4613.28$MouseClassFindMorePorts$12 != 0);
-goto label_97;
-
-
-label_96_false :
-assume ($status$4$4613.28$MouseClassFindMorePorts$12 == 0);
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_97:
-goto label_97_true , label_97_false ;
-
-
-label_97_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
-goto label_98;
-
-
-label_97_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
-goto label_104;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_98:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)], 0);
-goto label_101;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_101:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_102:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_103;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_103:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_104;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_104:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
-goto label_107;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
-label_107:
-$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4742)
-label_108:
-Mem[T.StackSize__DEVICE_OBJECT] := Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12]) := PLUS(1, 1, Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])])];
-goto label_109;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4743)
-label_109:
-call $result.MouseAddDeviceEx$4743.34$17$ := MouseAddDeviceEx ($deviceExtension$5$4614.28$MouseClassFindMorePorts$12, Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12]);
-goto label_112;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4743)
-label_112:
-$status$4$4613.28$MouseClassFindMorePorts$12 := $result.MouseAddDeviceEx$4743.34$17$ ;
-goto label_113;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4744)
-label_113:
-assume (forall r:int :: {BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),r)} (POW2(r) && POW2(128) && r != 128) ==> (BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])],r)!= 0 <==> BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),r)!= 0));
-assume (BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),128) == 0);
-tempBoogie0 := BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)) ;
-Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12]) := tempBoogie0];
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4746)
-label_114:
-goto label_114_true , label_114_false ;
-
-
-label_114_true :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
-goto label_115;
-
-
-label_114_false :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
-goto label_119;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4747)
-label_115:
-call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
-goto label_118;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4748)
-label_118:
-Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
-goto label_119;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4751)
-label_119:
-goto label_119_true , label_119_false ;
-
-
-label_119_true :
-assume (0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
-goto label_150;
-
-
-label_119_false :
-assume !(0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4752)
-label_120:
-goto label_120_true , label_120_false ;
-
-
-label_120_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_124;
-
-
-label_120_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4753)
-label_121:
-goto label_121_true , label_121_false ;
-
-
-label_121_true :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
-goto label_122;
-
-
-label_121_false :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
-goto label_135;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4754)
-label_122:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_123;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4755)
-label_123:
-Mem[T.File__DEVICE_EXTENSION] := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
-goto label_135;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4759)
-label_124:
-goto label_125;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4761)
-label_125:
-call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
-goto label_128;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4763)
-label_128:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12 := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]))]];
-goto label_129;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4764)
-label_129:
-Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 0];
-goto label_130;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4765)
-label_130:
-Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 1];
-goto label_131;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4766)
-label_131:
-Mem[T.Port__PORT] := Mem[T.Port__PORT][Port__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 0];
-goto label_132;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4768)
-label_132:
-call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
-goto label_135;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4771)
-label_135:
-goto label_135_true , label_135_false ;
-
-
-label_135_true :
-assume (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12] != 0);
-goto label_136;
-
-
-label_135_false :
-assume (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12] == 0);
-goto label_139;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4772)
-label_136:
-call $result.ObfDereferenceObject$4772.16$18$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12]);
-goto label_139;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_139:
-goto label_139_true , label_139_false ;
-
-
-label_139_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
-goto label_140;
-
-
-label_139_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
-goto label_146;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_140:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)], 0);
-goto label_143;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_143:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
-goto label_144;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_144:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_145;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_145:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
-goto label_146;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_146:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
-goto label_149;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
-label_149:
-$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4782)
-label_150:
-call InsertTailList (LegacyDeviceList__GLOBALS(Globals), Link__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12));
-goto label_153;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4783)
-label_153:
-$successfulCreates$10$4619.28$MouseClassFindMorePorts$12 := PLUS($successfulCreates$10$4619.28$MouseClassFindMorePorts$12, 1, 1) ;
-goto label_154;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4689)
-label_154:
-$i$8$4617.28$MouseClassFindMorePorts$12 := PLUS($i$8$4617.28$MouseClassFindMorePorts$12, 1, 1) ;
-goto label_74_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4786)
-label_155:
-tempBoogie0 := PLUS(Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)], 1, $successfulCreates$10$4619.28$MouseClassFindMorePorts$12) ;
-Mem[T.NumberLegacyPorts__GLOBALS] := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals) := tempBoogie0];
-goto label_156;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4792)
-label_156:
-goto label_156_true , label_156_false ;
-
-
-label_156_true :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] != 0);
-goto label_157;
-
-
-label_156_false :
-assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] == 0);
-goto label_160;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4793)
-label_157:
-call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 0);
-goto label_160;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4796)
-label_160:
-goto label_160_true , label_160_false ;
-
-
-label_160_true :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
-goto label_161;
-
-
-label_160_false :
-assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
-goto label_1;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4797)
-label_161:
-call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
-goto label_1;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.MouseAttributes__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
+const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
+const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
+const unique T.UnitId__MOUSE_INPUT_DATA:name;
+const unique T.Flags__MOUSE_INPUT_DATA:name;
+const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
+const unique T.RawButtons__MOUSE_INPUT_DATA:name;
+const unique T.LastX__MOUSE_INPUT_DATA:name;
+const unique T.LastY__MOUSE_INPUT_DATA:name;
+const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Start___unnamed_12_06b9ee6e:name;
+const unique T.Length48___unnamed_12_06b9ee6e:name;
+const unique T.Start___unnamed_12_0882bd02:name;
+const unique T.Length64___unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
+const unique T.Raw___unnamed_12_2e80217b:name;
+const unique T.Translated___unnamed_12_2e80217b:name;
+const unique T.Data___unnamed_12_5cc7ace2:name;
+const unique T.Channel___unnamed_12_6374506e:name;
+const unique T.Port___unnamed_12_6374506e:name;
+const unique T.Reserved1___unnamed_12_6374506e:name;
+const unique T.Priority___unnamed_12_68a4278e:name;
+const unique T.Reserved1___unnamed_12_68a4278e:name;
+const unique T.Reserved2___unnamed_12_68a4278e:name;
+const unique T.Generic___unnamed_12_79ed2653:name;
+const unique T.Port___unnamed_12_79ed2653:name;
+const unique T.Interrupt___unnamed_12_79ed2653:name;
+const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
+const unique T.Memory___unnamed_12_79ed2653:name;
+const unique T.Dma___unnamed_12_79ed2653:name;
+const unique T.DevicePrivate___unnamed_12_79ed2653:name;
+const unique T.BusNumber___unnamed_12_79ed2653:name;
+const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
+const unique T.Memory40___unnamed_12_79ed2653:name;
+const unique T.Memory48___unnamed_12_79ed2653:name;
+const unique T.Memory64___unnamed_12_79ed2653:name;
+const unique T.Start___unnamed_12_7da594c0:name;
+const unique T.Length40___unnamed_12_7da594c0:name;
+const unique T.Start___unnamed_12_9873e05d:name;
+const unique T.Length___unnamed_12_9873e05d:name;
+const unique T.DataSize___unnamed_12_9cc8cebc:name;
+const unique T.Reserved1___unnamed_12_9cc8cebc:name;
+const unique T.Reserved2___unnamed_12_9cc8cebc:name;
+const unique T.Start___unnamed_12_b98da82e:name;
+const unique T.Length___unnamed_12_b98da82e:name;
+const unique T.Level___unnamed_12_c2880e88:name;
+const unique T.Vector___unnamed_12_c2880e88:name;
+const unique T.Affinity___unnamed_12_c2880e88:name;
+const unique T.Start___unnamed_12_c49ab31a:name;
+const unique T.Length___unnamed_12_c49ab31a:name;
+const unique T.ListEntry___unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
+const unique T.Data___unnamed_12_ced61554:name;
+const unique T.Reserved___unnamed_12_d9c44df5:name;
+const unique T.MessageCount___unnamed_12_d9c44df5:name;
+const unique T.Vector___unnamed_12_d9c44df5:name;
+const unique T.Affinity___unnamed_12_d9c44df5:name;
+const unique T.Start___unnamed_12_db3dcbfc:name;
+const unique T.Length___unnamed_12_db3dcbfc:name;
+const unique T.Reserved___unnamed_12_db3dcbfc:name;
+const unique T.Level___unnamed_12_fb26b3fc:name;
+const unique T.Vector___unnamed_12_fb26b3fc:name;
+const unique T.Affinity___unnamed_12_fb26b3fc:name;
+const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
+const unique T.InputBufferLength___unnamed_16_22e4d054:name;
+const unique T.IoControlCode___unnamed_16_22e4d054:name;
+const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
+const unique T.Create___unnamed_16_39b626ad:name;
+const unique T.Read___unnamed_16_39b626ad:name;
+const unique T.Write___unnamed_16_39b626ad:name;
+const unique T.QueryDirectory___unnamed_16_39b626ad:name;
+const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
+const unique T.QueryFile___unnamed_16_39b626ad:name;
+const unique T.SetFile___unnamed_16_39b626ad:name;
+const unique T.QueryEa___unnamed_16_39b626ad:name;
+const unique T.SetEa___unnamed_16_39b626ad:name;
+const unique T.QueryVolume___unnamed_16_39b626ad:name;
+const unique T.SetVolume___unnamed_16_39b626ad:name;
+const unique T.FileSystemControl___unnamed_16_39b626ad:name;
+const unique T.LockControl___unnamed_16_39b626ad:name;
+const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
+const unique T.QuerySecurity___unnamed_16_39b626ad:name;
+const unique T.SetSecurity___unnamed_16_39b626ad:name;
+const unique T.MountVolume___unnamed_16_39b626ad:name;
+const unique T.VerifyVolume___unnamed_16_39b626ad:name;
+const unique T.Scsi___unnamed_16_39b626ad:name;
+const unique T.QueryQuota___unnamed_16_39b626ad:name;
+const unique T.SetQuota___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
+const unique T.QueryInterface___unnamed_16_39b626ad:name;
+const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
+const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
+const unique T.SetLock___unnamed_16_39b626ad:name;
+const unique T.QueryId___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
+const unique T.UsageNotification___unnamed_16_39b626ad:name;
+const unique T.WaitWake___unnamed_16_39b626ad:name;
+const unique T.PowerSequence___unnamed_16_39b626ad:name;
+const unique T.Power___unnamed_16_39b626ad:name;
+const unique T.StartDevice___unnamed_16_39b626ad:name;
+const unique T.WMI___unnamed_16_39b626ad:name;
+const unique T.Others___unnamed_16_39b626ad:name;
+const unique T.WhichSpace___unnamed_16_56c011d7:name;
+const unique T.Buffer___unnamed_16_56c011d7:name;
+const unique T.Offset___unnamed_16_56c011d7:name;
+const unique T.Length___unnamed_16_56c011d7:name;
+const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
+const unique T.Length___unnamed_16_6be9abe0:name;
+const unique T.FileName___unnamed_16_6be9abe0:name;
+const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
+const unique T.FileIndex___unnamed_16_6be9abe0:name;
+const unique T.InterfaceType___unnamed_16_78879a38:name;
+const unique T.Size___unnamed_16_78879a38:name;
+const unique T.Version___unnamed_16_78879a38:name;
+const unique T.Interface___unnamed_16_78879a38:name;
+const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
+const unique T.Length___unnamed_16_804a2f24:name;
+const unique T.StartSid___unnamed_16_804a2f24:name;
+const unique T.SidList___unnamed_16_804a2f24:name;
+const unique T.SidListLength___unnamed_16_804a2f24:name;
+const unique T.Argument1___unnamed_16_8586693f:name;
+const unique T.Argument2___unnamed_16_8586693f:name;
+const unique T.Argument3___unnamed_16_8586693f:name;
+const unique T.Argument4___unnamed_16_8586693f:name;
+const unique T.Length___unnamed_16_8831e65f:name;
+const unique T.Key___unnamed_16_8831e65f:name;
+const unique T.ByteOffset___unnamed_16_8831e65f:name;
+const unique T.SecurityContext___unnamed_16_8c2d663a:name;
+const unique T.Options___unnamed_16_8c2d663a:name;
+const unique T.FileAttributes___unnamed_16_8c2d663a:name;
+const unique T.ShareAccess___unnamed_16_8c2d663a:name;
+const unique T.EaLength___unnamed_16_8c2d663a:name;
+const unique T.Length___unnamed_16_913b9a7a:name;
+const unique T.Key___unnamed_16_913b9a7a:name;
+const unique T.ByteOffset___unnamed_16_913b9a7a:name;
+const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
+const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
+const unique T.Length___unnamed_16_a2fab4da:name;
+const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
+const unique T.FileObject___unnamed_16_a2fab4da:name;
+const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
+const unique T.DriverContext___unnamed_16_ae643f17:name;
+const unique T.Length___unnamed_16_c1b29316:name;
+const unique T.Key___unnamed_16_c1b29316:name;
+const unique T.ByteOffset___unnamed_16_c1b29316:name;
+const unique T.ProviderId___unnamed_16_cbd53ed4:name;
+const unique T.DataPath___unnamed_16_cbd53ed4:name;
+const unique T.BufferSize___unnamed_16_cbd53ed4:name;
+const unique T.Buffer___unnamed_16_cbd53ed4:name;
+const unique T.Length___unnamed_16_db70db6e:name;
+const unique T.MinBusNumber___unnamed_16_db70db6e:name;
+const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
+const unique T.Reserved___unnamed_16_db70db6e:name;
+const unique T.Length___unnamed_16_ef4b6307:name;
+const unique T.EaList___unnamed_16_ef4b6307:name;
+const unique T.EaListLength___unnamed_16_ef4b6307:name;
+const unique T.EaIndex___unnamed_16_ef4b6307:name;
+const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
+const unique T.Type___unnamed_16_fdda1f62:name;
+const unique T.State___unnamed_16_fdda1f62:name;
+const unique T.ShutdownType___unnamed_16_fdda1f62:name;
+const unique T.Lock___unnamed_1_1394de4b:name;
+const unique T.Abandoned___unnamed_1_2bb39c56:name;
+const unique T.Absolute___unnamed_1_2bb39c56:name;
+const unique T.NpxIrql___unnamed_1_2bb39c56:name;
+const unique T.Signalling___unnamed_1_2bb39c56:name;
+const unique T.Inserted___unnamed_1_9fa0583a:name;
+const unique T.DebugActive___unnamed_1_9fa0583a:name;
+const unique T.DpcActive___unnamed_1_9fa0583a:name;
+const unique T.Size___unnamed_1_e30779f5:name;
+const unique T.Hand___unnamed_1_e30779f5:name;
+const unique T.MinimumVector___unnamed_20_83d468e4:name;
+const unique T.MaximumVector___unnamed_20_83d468e4:name;
+const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
+const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
+const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
+const unique T.Length40___unnamed_24_035931da:name;
+const unique T.Alignment40___unnamed_24_035931da:name;
+const unique T.MinimumAddress___unnamed_24_035931da:name;
+const unique T.MaximumAddress___unnamed_24_035931da:name;
+const unique T.Length___unnamed_24_38e128db:name;
+const unique T.Alignment___unnamed_24_38e128db:name;
+const unique T.MinimumAddress___unnamed_24_38e128db:name;
+const unique T.MaximumAddress___unnamed_24_38e128db:name;
+const unique T.Length___unnamed_24_9500ea34:name;
+const unique T.Alignment___unnamed_24_9500ea34:name;
+const unique T.MinimumAddress___unnamed_24_9500ea34:name;
+const unique T.MaximumAddress___unnamed_24_9500ea34:name;
+const unique T.Length___unnamed_24_9734802c:name;
+const unique T.Alignment___unnamed_24_9734802c:name;
+const unique T.MinimumAddress___unnamed_24_9734802c:name;
+const unique T.MaximumAddress___unnamed_24_9734802c:name;
+const unique T.Length64___unnamed_24_af62813f:name;
+const unique T.Alignment64___unnamed_24_af62813f:name;
+const unique T.MinimumAddress___unnamed_24_af62813f:name;
+const unique T.MaximumAddress___unnamed_24_af62813f:name;
+const unique T.Length48___unnamed_24_c0555099:name;
+const unique T.Alignment48___unnamed_24_c0555099:name;
+const unique T.MinimumAddress___unnamed_24_c0555099:name;
+const unique T.MaximumAddress___unnamed_24_c0555099:name;
+const unique T.Port___unnamed_24_d7c4ec3a:name;
+const unique T.Memory___unnamed_24_d7c4ec3a:name;
+const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
+const unique T.Dma___unnamed_24_d7c4ec3a:name;
+const unique T.Generic___unnamed_24_d7c4ec3a:name;
+const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
+const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
+const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
+const unique T.Memory40___unnamed_24_d7c4ec3a:name;
+const unique T.Memory48___unnamed_24_d7c4ec3a:name;
+const unique T.Memory64___unnamed_24_d7c4ec3a:name;
+const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
+const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
+const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
+const unique T.Thread___unnamed_40_a0414182:name;
+const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
+const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
+const unique T.OriginalFileObject___unnamed_40_a0414182:name;
+const unique T.ListEntry___unnamed_40_d90496f4:name;
+const unique T.Wcb___unnamed_40_d90496f4:name;
+const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.Overlay___unnamed_48_c1da9fa5:name;
+const unique T.Apc___unnamed_48_c1da9fa5:name;
+const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
+const unique T.PowerSequence___unnamed_4_0510b147:name;
+const unique T.Length___unnamed_4_0a569078:name;
+const unique T.Status___unnamed_4_16aff58e:name;
+const unique T.Pointer___unnamed_4_16aff58e:name;
+const unique T.IdType___unnamed_4_40bf8e34:name;
+const unique T.Address___unnamed_4_46b62f69:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
+const unique T.Capabilities___unnamed_4_73d46255:name;
+const unique T.Srb___unnamed_4_765e3037:name;
+const unique T.Type___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
+const unique T.PowerState___unnamed_4_8dd73d30:name;
+const unique T.Type___unnamed_4_957e0d74:name;
+const unique T.Buttons___unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
+const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
+const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
+const unique T.PacketType___unnamed_4_a7aa989c:name;
+const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
+const unique T.ClusterCount___unnamed_4_a7d0864c:name;
+const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
+const unique T.Length___unnamed_4_aa20b426:name;
+const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
+const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
+const unique T.Reserved1___unnamed_4_b016b1e1:name;
+const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
+const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
+const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
+const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
+const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
+const unique T.Reserved2___unnamed_4_b016b1e1:name;
+const unique T.SystemContext___unnamed_4_b060dea6:name;
+const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
+const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
+const unique T.ButtonFlags___unnamed_4_b5247f10:name;
+const unique T.ButtonData___unnamed_4_b5247f10:name;
+const unique T.OwnerCount___unnamed_4_c1e23b02:name;
+const unique T.TableSize___unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
+const unique T.Lock___unnamed_4_c9b2e921:name;
+const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
+const unique T.IrpCount___unnamed_4_fa7b96a7:name;
+const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
+const unique T.Vpb___unnamed_8_09ad2712:name;
+const unique T.DeviceObject___unnamed_8_09ad2712:name;
+const unique T.Length___unnamed_8_21ac1dba:name;
+const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
+const unique T.Length___unnamed_8_27d3ab76:name;
+const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
+const unique T.Vpb___unnamed_8_4289df81:name;
+const unique T.DeviceObject___unnamed_8_4289df81:name;
+const unique T.Length___unnamed_8_47b72724:name;
+const unique T.FileInformationClass___unnamed_8_47b72724:name;
+const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
+const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
+const unique T.UserApcContext___unnamed_8_4f695993:name;
+const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
+const unique T.SecurityInformation___unnamed_8_606438c5:name;
+const unique T.Length___unnamed_8_606438c5:name;
+const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
+const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
+const unique T.Length___unnamed_8_805045cb:name;
+const unique T.FsInformationClass___unnamed_8_805045cb:name;
+const unique T.LowPart___unnamed_8_8684a3e7:name;
+const unique T.HighPart___unnamed_8_8684a3e7:name;
+const unique T.SecurityInformation___unnamed_8_8cc410da:name;
+const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
+const unique T.InPath___unnamed_8_a47253e0:name;
+const unique T.Reserved___unnamed_8_a47253e0:name;
+const unique T.Type___unnamed_8_a47253e0:name;
+const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
+const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
+const unique T.LowPart___unnamed_8_c9ca8234:name;
+const unique T.HighPart___unnamed_8_c9ca8234:name;
+
+// Type declarations
+
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A2UINT2:name;
+const unique T.A32UINT2:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5UINT2:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A85CHAR:name;
+const unique T.A8UCHAR:name;
+const unique T.A9UINT2:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA256UINT2:name;
+const unique T.PA2UINT2:name;
+const unique T.PA4UINT4:name;
+const unique T.PA5UINT2:name;
+const unique T.PA85CHAR:name;
+const unique T.PA9UINT2:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPPUINT2:name;
+const unique T.PPP_DEVICE_OBJECT:name;
+const unique T.PPP_FILE_OBJECT:name;
+const unique T.PPUINT2:name;
+const unique T.PPUINT4:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FAST_MUTEX:name;
+const unique T.PP_FILE_OBJECT:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_PORT:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FAST_MUTEX:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_MOUSE_INPUT_DATA:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_POOL_TYPE:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._MOUSE_ATTRIBUTES:name;
+const unique T._MOUSE_INPUT_DATA:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._POOL_TYPE:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_06b9ee6e:name;
+const unique T.__unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_264d0dab:name;
+const unique T.__unnamed_12_2e80217b:name;
+const unique T.__unnamed_12_5cc7ace2:name;
+const unique T.__unnamed_12_6374506e:name;
+const unique T.__unnamed_12_68a4278e:name;
+const unique T.__unnamed_12_79ed2653:name;
+const unique T.__unnamed_12_7da594c0:name;
+const unique T.__unnamed_12_9873e05d:name;
+const unique T.__unnamed_12_9cc8cebc:name;
+const unique T.__unnamed_12_b98da82e:name;
+const unique T.__unnamed_12_c2880e88:name;
+const unique T.__unnamed_12_c49ab31a:name;
+const unique T.__unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_12_ced61554:name;
+const unique T.__unnamed_12_d9c44df5:name;
+const unique T.__unnamed_12_db3dcbfc:name;
+const unique T.__unnamed_12_fb26b3fc:name;
+const unique T.__unnamed_16_22e4d054:name;
+const unique T.__unnamed_16_39b626ad:name;
+const unique T.__unnamed_16_56c011d7:name;
+const unique T.__unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_6be9abe0:name;
+const unique T.__unnamed_16_78879a38:name;
+const unique T.__unnamed_16_804a2f24:name;
+const unique T.__unnamed_16_8586693f:name;
+const unique T.__unnamed_16_8831e65f:name;
+const unique T.__unnamed_16_8c2d663a:name;
+const unique T.__unnamed_16_913b9a7a:name;
+const unique T.__unnamed_16_94d1d1c7:name;
+const unique T.__unnamed_16_a2fab4da:name;
+const unique T.__unnamed_16_ae643f17:name;
+const unique T.__unnamed_16_c1b29316:name;
+const unique T.__unnamed_16_cbd53ed4:name;
+const unique T.__unnamed_16_db70db6e:name;
+const unique T.__unnamed_16_ef4b6307:name;
+const unique T.__unnamed_16_fdda1f62:name;
+const unique T.__unnamed_1_1394de4b:name;
+const unique T.__unnamed_1_2bb39c56:name;
+const unique T.__unnamed_1_9fa0583a:name;
+const unique T.__unnamed_1_e30779f5:name;
+const unique T.__unnamed_20_83d468e4:name;
+const unique T.__unnamed_24_035931da:name;
+const unique T.__unnamed_24_38e128db:name;
+const unique T.__unnamed_24_9500ea34:name;
+const unique T.__unnamed_24_9734802c:name;
+const unique T.__unnamed_24_af62813f:name;
+const unique T.__unnamed_24_c0555099:name;
+const unique T.__unnamed_24_d7c4ec3a:name;
+const unique T.__unnamed_2_196a7f56:name;
+const unique T.__unnamed_40_a0414182:name;
+const unique T.__unnamed_40_d90496f4:name;
+const unique T.__unnamed_44_a7026dca:name;
+const unique T.__unnamed_48_c1da9fa5:name;
+const unique T.__unnamed_4_0510b147:name;
+const unique T.__unnamed_4_0a569078:name;
+const unique T.__unnamed_4_16aff58e:name;
+const unique T.__unnamed_4_40bf8e34:name;
+const unique T.__unnamed_4_46b62f69:name;
+const unique T.__unnamed_4_73d46255:name;
+const unique T.__unnamed_4_765e3037:name;
+const unique T.__unnamed_4_846adf3f:name;
+const unique T.__unnamed_4_8dd73d30:name;
+const unique T.__unnamed_4_957e0d74:name;
+const unique T.__unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_a58d40c8:name;
+const unique T.__unnamed_4_a7aa989c:name;
+const unique T.__unnamed_4_a7d0864c:name;
+const unique T.__unnamed_4_aa20b426:name;
+const unique T.__unnamed_4_ab87ddfd:name;
+const unique T.__unnamed_4_b016b1e1:name;
+const unique T.__unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b4f5a780:name;
+const unique T.__unnamed_4_b5247f10:name;
+const unique T.__unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_c9b2e921:name;
+const unique T.__unnamed_4_fa7b96a7:name;
+const unique T.__unnamed_8_09ad2712:name;
+const unique T.__unnamed_8_21ac1dba:name;
+const unique T.__unnamed_8_27d3ab76:name;
+const unique T.__unnamed_8_4289df81:name;
+const unique T.__unnamed_8_47b72724:name;
+const unique T.__unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_8_4f695993:name;
+const unique T.__unnamed_8_5cfb6ca4:name;
+const unique T.__unnamed_8_606438c5:name;
+const unique T.__unnamed_8_6ad774c0:name;
+const unique T.__unnamed_8_805045cb:name;
+const unique T.__unnamed_8_8684a3e7:name;
+const unique T.__unnamed_8_8cc410da:name;
+const unique T.__unnamed_8_a47253e0:name;
+const unique T.__unnamed_8_bbd07f6c:name;
+const unique T.__unnamed_8_c9ca8234:name;
+
+function AssocClassList__GLOBALS(int) returns (int);
+function AssocClassList__GLOBALSInv(int) returns (int);
+function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
+function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
+function BaseClassName__GLOBALS(int) returns (int);
+function BaseClassName__GLOBALSInv(int) returns (int);
+function _S_BaseClassName__GLOBALS([int]bool) returns ([int]bool);
+function _S_BaseClassName__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x))} BaseClassName__GLOBALSInv(BaseClassName__GLOBALS(x)) == x);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALS(BaseClassName__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALS(S)[x]} _S_BaseClassName__GLOBALS(S)[x] <==> S[BaseClassName__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_BaseClassName__GLOBALSInv(S)[x]} _S_BaseClassName__GLOBALSInv(S)[x] <==> S[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALS(S)} S[x] ==> _S_BaseClassName__GLOBALS(S)[BaseClassName__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_BaseClassName__GLOBALSInv(S)} S[x] ==> _S_BaseClassName__GLOBALSInv(S)[BaseClassName__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {BaseClassName__GLOBALS(x)} BaseClassName__GLOBALS(x) == x + 344);
+axiom (forall x:int :: {BaseClassName__GLOBALSInv(x)} BaseClassName__GLOBALSInv(x) == x - 344);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 344, 1) == BaseClassName__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 344)} MINUS_LEFT_PTR(x, 1, 344) == BaseClassName__GLOBALSInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
+function File__PORT(int) returns (int);
+function File__PORTInv(int) returns (int);
+function _S_File__PORT([int]bool) returns ([int]bool);
+function _S_File__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
+
+axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
+function Flags__DEVICE_OBJECT(int) returns (int);
+function Flags__DEVICE_OBJECTInv(int) returns (int);
+function _S_Flags__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_Flags__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x))} Flags__DEVICE_OBJECTInv(Flags__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECT(Flags__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECT(S)[x]} _S_Flags__DEVICE_OBJECT(S)[x] <==> S[Flags__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flags__DEVICE_OBJECTInv(S)[x]} _S_Flags__DEVICE_OBJECTInv(S)[x] <==> S[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECT(S)} S[x] ==> _S_Flags__DEVICE_OBJECT(S)[Flags__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flags__DEVICE_OBJECTInv(S)} S[x] ==> _S_Flags__DEVICE_OBJECTInv(S)[Flags__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {Flags__DEVICE_OBJECT(x)} Flags__DEVICE_OBJECT(x) == x + 28);
+axiom (forall x:int :: {Flags__DEVICE_OBJECTInv(x)} Flags__DEVICE_OBJECTInv(x) == x - 28);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 28, 1) == Flags__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 28)} MINUS_LEFT_PTR(x, 1, 28) == Flags__DEVICE_OBJECTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function Free__PORT(int) returns (int);
+function Free__PORTInv(int) returns (int);
+function _S_Free__PORT([int]bool) returns ([int]bool);
+function _S_Free__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
+
+axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function InitExtension__GLOBALS(int) returns (int);
+function InitExtension__GLOBALSInv(int) returns (int);
+function _S_InitExtension__GLOBALS([int]bool) returns ([int]bool);
+function _S_InitExtension__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InitExtension__GLOBALSInv(InitExtension__GLOBALS(x))} InitExtension__GLOBALSInv(InitExtension__GLOBALS(x)) == x);
+axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALS(InitExtension__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALS(S)[x]} _S_InitExtension__GLOBALS(S)[x] <==> S[InitExtension__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InitExtension__GLOBALSInv(S)[x]} _S_InitExtension__GLOBALSInv(S)[x] <==> S[InitExtension__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALS(S)} S[x] ==> _S_InitExtension__GLOBALS(S)[InitExtension__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InitExtension__GLOBALSInv(S)} S[x] ==> _S_InitExtension__GLOBALSInv(S)[InitExtension__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {InitExtension__GLOBALS(x)} InitExtension__GLOBALS(x) == x + 64);
+axiom (forall x:int :: {InitExtension__GLOBALSInv(x)} InitExtension__GLOBALSInv(x) == x - 64);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 64, 1) == InitExtension__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 64)} MINUS_LEFT_PTR(x, 1, 64) == InitExtension__GLOBALSInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
+function Length__UNICODE_STRING(int) returns (int);
+function Length__UNICODE_STRINGInv(int) returns (int);
+function _S_Length__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Length__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x))} Length__UNICODE_STRINGInv(Length__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRING(Length__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRING(S)[x]} _S_Length__UNICODE_STRING(S)[x] <==> S[Length__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Length__UNICODE_STRINGInv(S)[x]} _S_Length__UNICODE_STRINGInv(S)[x] <==> S[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRING(S)} S[x] ==> _S_Length__UNICODE_STRING(S)[Length__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Length__UNICODE_STRINGInv(S)} S[x] ==> _S_Length__UNICODE_STRINGInv(S)[Length__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Length__UNICODE_STRING(x)} Length__UNICODE_STRING(x) == x + 0);
+axiom (forall x:int :: {Length__UNICODE_STRINGInv(x)} Length__UNICODE_STRINGInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Length__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Length__UNICODE_STRINGInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
+function MaximumLength__UNICODE_STRING(int) returns (int);
+function MaximumLength__UNICODE_STRINGInv(int) returns (int);
+function _S_MaximumLength__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_MaximumLength__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x))} MaximumLength__UNICODE_STRINGInv(MaximumLength__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRING(MaximumLength__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRING(S)[x]} _S_MaximumLength__UNICODE_STRING(S)[x] <==> S[MaximumLength__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_MaximumLength__UNICODE_STRINGInv(S)[x]} _S_MaximumLength__UNICODE_STRINGInv(S)[x] <==> S[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRING(S)} S[x] ==> _S_MaximumLength__UNICODE_STRING(S)[MaximumLength__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_MaximumLength__UNICODE_STRINGInv(S)} S[x] ==> _S_MaximumLength__UNICODE_STRINGInv(S)[MaximumLength__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {MaximumLength__UNICODE_STRING(x)} MaximumLength__UNICODE_STRING(x) == x + 2);
+axiom (forall x:int :: {MaximumLength__UNICODE_STRINGInv(x)} MaximumLength__UNICODE_STRINGInv(x) == x - 2);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 2, 1) == MaximumLength__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 2)} MINUS_LEFT_PTR(x, 1, 2) == MaximumLength__UNICODE_STRINGInv(x));
+function Mutex__GLOBALS(int) returns (int);
+function Mutex__GLOBALSInv(int) returns (int);
+function _S_Mutex__GLOBALS([int]bool) returns ([int]bool);
+function _S_Mutex__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Mutex__GLOBALSInv(Mutex__GLOBALS(x))} Mutex__GLOBALSInv(Mutex__GLOBALS(x)) == x);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALS(Mutex__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALS(S)[x]} _S_Mutex__GLOBALS(S)[x] <==> S[Mutex__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Mutex__GLOBALSInv(S)[x]} _S_Mutex__GLOBALSInv(S)[x] <==> S[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALS(S)} S[x] ==> _S_Mutex__GLOBALS(S)[Mutex__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Mutex__GLOBALSInv(S)} S[x] ==> _S_Mutex__GLOBALSInv(S)[Mutex__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {Mutex__GLOBALS(x)} Mutex__GLOBALS(x) == x + 24);
+axiom (forall x:int :: {Mutex__GLOBALSInv(x)} Mutex__GLOBALSInv(x) == x - 24);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 24, 1) == Mutex__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 24)} MINUS_LEFT_PTR(x, 1, 24) == Mutex__GLOBALSInv(x));
+function NumberLegacyPorts__GLOBALS(int) returns (int);
+function NumberLegacyPorts__GLOBALSInv(int) returns (int);
+function _S_NumberLegacyPorts__GLOBALS([int]bool) returns ([int]bool);
+function _S_NumberLegacyPorts__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x))} NumberLegacyPorts__GLOBALSInv(NumberLegacyPorts__GLOBALS(x)) == x);
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALS(NumberLegacyPorts__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALS(S)[x]} _S_NumberLegacyPorts__GLOBALS(S)[x] <==> S[NumberLegacyPorts__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumberLegacyPorts__GLOBALSInv(S)[x]} _S_NumberLegacyPorts__GLOBALSInv(S)[x] <==> S[NumberLegacyPorts__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALS(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALS(S)[NumberLegacyPorts__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumberLegacyPorts__GLOBALSInv(S)} S[x] ==> _S_NumberLegacyPorts__GLOBALSInv(S)[NumberLegacyPorts__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALS(x)} NumberLegacyPorts__GLOBALS(x) == x + 20);
+axiom (forall x:int :: {NumberLegacyPorts__GLOBALSInv(x)} NumberLegacyPorts__GLOBALSInv(x) == x - 20);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 20, 1) == NumberLegacyPorts__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 20)} MINUS_LEFT_PTR(x, 1, 20) == NumberLegacyPorts__GLOBALSInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function Port__PORT(int) returns (int);
+function Port__PORTInv(int) returns (int);
+function _S_Port__PORT([int]bool) returns ([int]bool);
+function _S_Port__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
+
+axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
+function PortsServiced__GLOBALS(int) returns (int);
+function PortsServiced__GLOBALSInv(int) returns (int);
+function _S_PortsServiced__GLOBALS([int]bool) returns ([int]bool);
+function _S_PortsServiced__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x))} PortsServiced__GLOBALSInv(PortsServiced__GLOBALS(x)) == x);
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALS(PortsServiced__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALS(S)[x]} _S_PortsServiced__GLOBALS(S)[x] <==> S[PortsServiced__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PortsServiced__GLOBALSInv(S)[x]} _S_PortsServiced__GLOBALSInv(S)[x] <==> S[PortsServiced__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALS(S)} S[x] ==> _S_PortsServiced__GLOBALS(S)[PortsServiced__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PortsServiced__GLOBALSInv(S)} S[x] ==> _S_PortsServiced__GLOBALSInv(S)[PortsServiced__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {PortsServiced__GLOBALS(x)} PortsServiced__GLOBALS(x) == x + 60);
+axiom (forall x:int :: {PortsServiced__GLOBALSInv(x)} PortsServiced__GLOBALSInv(x) == x - 60);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 60, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 60, 1) == PortsServiced__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 60)} MINUS_LEFT_PTR(x, 1, 60) == PortsServiced__GLOBALSInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function SpinLock__DEVICE_EXTENSION(int) returns (int);
+function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
+function StackSize__DEVICE_OBJECT(int) returns (int);
+function StackSize__DEVICE_OBJECTInv(int) returns (int);
+function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
+function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_3221553153:int;
+
+
+
+procedure ExAcquireFastMutex($FastMutex$1$15000.16$ExAcquireFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExAllocatePoolWithTag($PoolType$1$14789.57$ExAllocatePoolWithTag$121:int, $NumberOfBytes$2$14790.16$ExAllocatePoolWithTag$121:int, $Tag$3$14791.15$ExAllocatePoolWithTag$121:int) returns ($result.ExAllocatePoolWithTag$14788.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ExReleaseFastMutex($FastMutex$1$15013.16$ExReleaseFastMutex$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure InsertTailList($ListHead$1$6980.24$InsertTailList$81:int, $Entry$2$6981.41$InsertTailList$81:int);
+
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead), __setunion(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), ListHead->Flink, ListHead)), __set(Entry)))
+ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81))) && Subset(Union(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81), Singleton($Entry$2$6981.41$InsertTailList$81)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($ListHead$1$6980.24$InsertTailList$81)], $ListHead$1$6980.24$InsertTailList$81))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoGetDeviceObjectPointer($ObjectName$1$21492.26$IoGetDeviceObjectPointer$161:int, $DesiredAccess$2$21493.22$IoGetDeviceObjectPointer$161:int, $FileObject$3$21494.24$IoGetDeviceObjectPointer$161:int, $DeviceObject$4$21495.26$IoGetDeviceObjectPointer$161:int) returns ($result.IoGetDeviceObjectPointer$21491.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouCreateClassObject($DriverObject$1$3165.28$MouCreateClassObject$201:int, $TmpDeviceExtension$2$3166.28$MouCreateClassObject$201:int, $ClassDeviceObject$3$3167.28$MouCreateClassObject$201:int, $FullDeviceName$4$3168.35$MouCreateClassObject$201:int, $Legacy$5$3169.28$MouCreateClassObject$201:int) returns ($result.MouCreateClassObject$3164.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures (LONG)__return >= 0 ==> *ClassDeviceObject != (void *)0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> (*ClassDeviceObject)->DeviceExtension != (void *)0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] != 0));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_EXTN", (*ClassDeviceObject)->DeviceExtension) == 1
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 1
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 1)));
+//TAG: ensures (LONG)__return >= 0 ==> __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) == 0 && __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == 0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == 0) && (old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == 0)));
+//TAG: ensures (LONG)__return >= 0 ==> __updates_resource("DEV_OBJ_INIT", *ClassDeviceObject, 1) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension), 1)
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] := 1]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] := 1])));
+//TAG: ensures !((LONG)__return >= 0) ==> __resource("DEV_OBJ_INIT", *ClassDeviceObject) == __old_resource("DEV_OBJ_INIT", *ClassDeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))
+ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]] == old(Res_DEV_OBJ_INIT)[Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]])));
+//TAG: ensures !((LONG)__return >= 0) ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __setin(_H_z, __set((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))) || __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])[_H_z]) || ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures !((LONG)__return >= 0) ==> __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((!($result.MouCreateClassObject$3164.0$1$ >= 0)) ==> ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures (LONG)__return >= 0 ==> __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension))->SpinLock) == 0
+ensures(($result.MouCreateClassObject$3164.0$1$ >= 0) ==> (Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])])] == 0));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(*ClassDeviceObject))->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201])] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, *ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201]))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Mem[T.P_DEVICE_OBJECT][$ClassDeviceObject$3$3167.28$MouCreateClassObject$201] == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: &TmpDeviceExtension->WaitWakeSpinLock, &((struct _DEVICE_EXTENSION *)TmpDeviceExtension)->SpinLock, __set_true
+ensures (Subset(Empty(), Union(Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), Singleton(SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201))), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SpinLock__DEVICE_EXTENSION($TmpDeviceExtension$2$3166.28$MouCreateClassObject$201) == r) || (SetTrue()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+ensures (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, ClassDeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($ClassDeviceObject$3$3167.28$MouCreateClassObject$201))) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (_m == $ClassDeviceObject$3$3167.28$MouCreateClassObject$201) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouDeterminePortsServiced($BasePortName$1$3491.23$MouDeterminePortsServiced$81:int, $NumberPortsServiced$2$3492.18$MouDeterminePortsServiced$81:int) returns ($result.MouDeterminePortsServiced$3490.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseAddDeviceEx($ClassData$1$792.28$MouseAddDeviceEx$121:int, $FullClassName$2$793.29$MouseAddDeviceEx$121:int, $File$3$794.28$MouseAddDeviceEx$121:int) returns ($result.MouseAddDeviceEx$791.0$1$:int);
+
+//TAG: requires __resource("DEV_EXTN", ClassData) == 1
+requires(Res_DEV_EXTN[$ClassData$1$792.28$MouseAddDeviceEx$121] == 1);
+//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->SpinLock) == 0
+requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __resource("DEV_EXTN", ClassData) == 1
+ensures(Res_DEV_EXTN[$ClassData$1$792.28$MouseAddDeviceEx$121] == 1);
+//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->WaitWakeSpinLock) == 0
+ensures(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
+//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)ClassData)->SpinLock) == 0
+ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121)] == 0);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: &ClassData->WaitWakeSpinLock, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Singleton(WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121))), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (WaitWakeSpinLock__DEVICE_EXTENSION($ClassData$1$792.28$MouseAddDeviceEx$121) == r) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseClassLogError($Object$1$4550.10$MouseClassLogError$281:int, $ErrorCode$2$4551.10$MouseClassLogError$281:int, $UniqueErrorValue$3$4552.10$MouseClassLogError$281:int, $FinalStatus$4$4553.13$MouseClassLogError$281:int, $DumpCount$5$4554.10$MouseClassLogError$281:int, $DumpData$6$4555.11$MouseClassLogError$281:int, $MajorFunction$7$4556.10$MouseClassLogError$281:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlAppendUnicodeToString($Destination$1$7421.28$RtlAppendUnicodeToString$81:int, $Source$2$7422.20$RtlAppendUnicodeToString$81:int) returns ($result.RtlAppendUnicodeToString$7420.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlCopyUnicodeString($DestinationString$1$7401.28$RtlCopyUnicodeString$81:int, $SourceString$2$7402.30$RtlCopyUnicodeString$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RtlInitUnicodeString($DestinationString$1$7281.26$RtlInitUnicodeString$81:int, $SourceString$2$7282.37$RtlInitUnicodeString$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseClassFindMorePorts($DriverObject$1$4588.20$MouseClassFindMorePorts$121:int, $Context$2$4589.20$MouseClassFindMorePorts$121:int, $Count$3$4590.20$MouseClassFindMorePorts$121:int)
+
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for:
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for:
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for:
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for:
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $Context$2$4589.20$MouseClassFindMorePorts$12 : int;
+var $Count$3$4590.20$MouseClassFindMorePorts$12 : int;
+var $DriverObject$1$4588.20$MouseClassFindMorePorts$12 : int;
+var $ExAllocatePoolWithTag.arg.2$5$ : int;
+var $MouDebugPrint.arg.2$6$ : int;
+var $RtlAppendUnicodeToString.arg.2$10$ : int;
+var $RtlAppendUnicodeToString.arg.2$13$ : int;
+var $RtlAppendUnicodeToString.arg.2$3$ : int;
+var $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12 : int;
+var $basePortName$11$4620.28$MouseClassFindMorePorts$12 : int;
+var $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 : int;
+var $deviceExtension$5$4614.28$MouseClassFindMorePorts$12 : int;
+var $dumpData$7$4616.28$MouseClassFindMorePorts$12 : int;
+var $file$15$4624.28$MouseClassFindMorePorts$12 : int;
+var $fullClassName$14$4623.28$MouseClassFindMorePorts$12 : int;
+var $fullPortName$12$4621.28$MouseClassFindMorePorts$12 : int;
+var $i$8$4617.28$MouseClassFindMorePorts$12 : int;
+var $memset.arg.3$8$ : int;
+var $numPorts$9$4618.28$MouseClassFindMorePorts$12 : int;
+var $port$16$4759.22$MouseClassFindMorePorts$12 : int;
+var $result.ExAllocatePoolWithTag$4653.0$4$ : int;
+var $result.IoGetDeviceObjectPointer$4731.42$16$ : int;
+var $result.MouCreateClassObject$4708.37$15$ : int;
+var $result.MouDeterminePortsServiced$4680.29$14$ : int;
+var $result.MouseAddDeviceEx$4743.34$17$ : int;
+var $result.ObfDereferenceObject$4772.16$18$ : int;
+var $result.RtlAppendUnicodeToString$4642.28$2$ : int;
+var $result.RtlAppendUnicodeToString$4676.28$9$ : int;
+var $result.RtlAppendUnicodeToString$4677.28$11$ : int;
+var $result.RtlAppendUnicodeToString$4678.28$12$ : int;
+var $result.memset$4630.4$1$ : int;
+var $result.memset$4675.4$7$ : int;
+var $status$4$4613.28$MouseClassFindMorePorts$12 : int;
+var $successfulCreates$10$4619.28$MouseClassFindMorePorts$12 : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_74_alloc:[int]name;
+var LOOP_74_Mem:[name][int]int;
+var LOOP_74_Res_DEVICE_STACK:[int]int;
+var LOOP_74_Res_DEV_EXTN:[int]int;
+var LOOP_74_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_74_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$4588.20$MouseClassFindMorePorts$121] != UNALLOCATED);
+assume (alloc[$Context$2$4589.20$MouseClassFindMorePorts$121] != UNALLOCATED);
+call $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(512);
+call $basePortName$11$4620.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(8);
+call $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $dumpData$7$4616.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(16);
+call $file$15$4624.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $fullClassName$14$4623.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
+call $fullPortName$12$4621.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(8);
+call $numPorts$9$4618.28$MouseClassFindMorePorts$12 := __HAVOC_malloc(4);
+$DriverObject$1$4588.20$MouseClassFindMorePorts$12 := $DriverObject$1$4588.20$MouseClassFindMorePorts$121;
+$Context$2$4589.20$MouseClassFindMorePorts$12 := $Context$2$4589.20$MouseClassFindMorePorts$121;
+$Count$3$4590.20$MouseClassFindMorePorts$12 := $Count$3$4590.20$MouseClassFindMorePorts$121;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4799)
+label_1:
+call __HAVOC_free($basePortBuffer$13$4622.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($basePortName$11$4620.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($classDeviceObject$6$4615.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($dumpData$7$4616.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($file$15$4624.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($fullClassName$14$4623.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($fullPortName$12$4621.28$MouseClassFindMorePorts$12);
+call __HAVOC_free($numPorts$9$4618.28$MouseClassFindMorePorts$12);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A256UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A256UINT2][m] == old(Mem[T.A256UINT2])[m]);
+assume (forall m:int :: {Mem[T.A2UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A2UINT2][m] == old(Mem[T.A2UINT2])[m]);
+assume (forall m:int :: {Mem[T.A4UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A4UINT4][m] == old(Mem[T.A4UINT4])[m]);
+assume (forall m:int :: {Mem[T.A5UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A5UINT2][m] == old(Mem[T.A5UINT2])[m]);
+assume (forall m:int :: {Mem[T.A85CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A85CHAR][m] == old(Mem[T.A85CHAR])[m]);
+assume (forall m:int :: {Mem[T.A9UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A9UINT2][m] == old(Mem[T.A9UINT2])[m]);
+assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.BaseClassName__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.BaseClassName__GLOBALS][m] == old(Mem[T.BaseClassName__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CHAR][m] == old(Mem[T.CHAR])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
+assume (forall m:int :: {Mem[T.Flags__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flags__DEVICE_OBJECT][m] == old(Mem[T.Flags__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InitExtension__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InitExtension__GLOBALS][m] == old(Mem[T.InitExtension__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Length__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Length__UNICODE_STRING][m] == old(Mem[T.Length__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MaximumLength__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MaximumLength__UNICODE_STRING][m] == old(Mem[T.MaximumLength__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.Mutex__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Mutex__GLOBALS][m] == old(Mem[T.Mutex__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.NumberLegacyPorts__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumberLegacyPorts__GLOBALS][m] == old(Mem[T.NumberLegacyPorts__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PPUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PPUINT2][m] == old(Mem[T.PPUINT2])[m]);
+assume (forall m:int :: {Mem[T.PP_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_DEVICE_OBJECT][m] == old(Mem[T.PP_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PUINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT2][m] == old(Mem[T.PUINT2])[m]);
+assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_DRIVER_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DRIVER_OBJECT][m] == old(Mem[T.P_DRIVER_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FAST_MUTEX][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FAST_MUTEX][m] == old(Mem[T.P_FAST_MUTEX])[m]);
+assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_UNICODE_STRING][m] == old(Mem[T.P_UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
+assume (forall m:int :: {Mem[T.PortsServiced__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PortsServiced__GLOBALS][m] == old(Mem[T.PortsServiced__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT2][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT2][m] == old(Mem[T.UINT2])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T._POOL_TYPE][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T._POOL_TYPE][m] == old(Mem[T._POOL_TYPE])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4799)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4613)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4614)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4614)
+label_5:
+$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4615)
+label_6:
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4615)
+label_7:
+Mem[T.P_DEVICE_OBJECT] := Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12 := 0];
+goto label_8;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4616)
+label_8:
+goto label_9;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4617)
+label_9:
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4618)
+label_10:
+goto label_11;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4619)
+label_11:
+goto label_12;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4620)
+label_12:
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4621)
+label_13:
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4622)
+label_14:
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4623)
+label_15:
+goto label_16;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4623)
+label_16:
+Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
+goto label_17;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4624)
+label_17:
+goto label_18;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4626)
+label_18:
+call __PREfastPagedCode ();
+goto label_21;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4628)
+label_21:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := 0];
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4630)
+label_22:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$4630.4$1$;
+goto label_25;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4631)
+label_25:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := $basePortBuffer$13$4622.28$MouseClassFindMorePorts$12];
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4632)
+label_26:
+Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := 0];
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4633)
+label_27:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := 512];
+goto label_28;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4640)
+label_28:
+call RtlCopyUnicodeString ($basePortName$11$4620.28$MouseClassFindMorePorts$12, BaseClassName__GLOBALS(Globals));
+goto label_31;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4641)
+label_31:
+tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT( Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)], 10, 1) ;
+Mem[T.Length__UNICODE_STRING] := Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12) := tempBoogie0];
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4642)
+label_32:
+call $result.RtlAppendUnicodeToString$4642.28$2$ := RtlAppendUnicodeToString ($basePortName$11$4620.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$3$);
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4642)
+label_35:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$3$ := havoc_stringTemp ;
+goto label_32;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4647)
+label_36:
+call RtlInitUnicodeString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, 0);
+goto label_39;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4649)
+label_39:
+Mem[T.MaximumLength__UNICODE_STRING] := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := PLUS(PLUS(18, 1, Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)]), 1, 2)];
+goto label_43;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
+label_40:
+call $result.ExAllocatePoolWithTag$4653.0$4$ := ExAllocatePoolWithTag (1, $ExAllocatePoolWithTag.arg.2$5$, 1131377997);
+goto label_44;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
+label_43:
+$ExAllocatePoolWithTag.arg.2$5$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] ;
+goto label_40;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4653)
+label_44:
+Mem[T.Buffer__UNICODE_STRING] := Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12) := $result.ExAllocatePoolWithTag$4653.0$4$];
+goto label_45;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4656)
+label_45:
+goto label_45_true , label_45_false ;
+
+
+label_45_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] != 0);
+goto label_57;
+
+
+label_45_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] == 0);
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4658)
+label_46:
+// skip MouDebugPrint
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4658)
+label_49:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$6$ := havoc_stringTemp ;
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4663)
+label_50:
+Mem[T.UINT4] := Mem[T.UINT4][PLUS($dumpData$7$4616.28$MouseClassFindMorePorts$12, 4, 0) := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)]];
+goto label_51;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4664)
+label_51:
+call MouseClassLogError ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 20008, -1073741823, 1, $dumpData$7$4616.28$MouseClassFindMorePorts$12, 0);
+goto label_156;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4675)
+label_54:
+// ignoring intrinsic intrinsic.memset
+havoc $result.memset$4675.4$7$;
+goto label_61;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4675)
+label_57:
+$memset.arg.3$8$ := Mem[T.MaximumLength__UNICODE_STRING][MaximumLength__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] ;
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4676)
+label_58:
+call $result.RtlAppendUnicodeToString$4676.28$9$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$10$);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4676)
+label_61:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$10$ := havoc_stringTemp ;
+goto label_58;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4677)
+label_62:
+call $result.RtlAppendUnicodeToString$4677.28$11$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($basePortName$11$4620.28$MouseClassFindMorePorts$12)]);
+goto label_68;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4678)
+label_65:
+call $result.RtlAppendUnicodeToString$4678.28$12$ := RtlAppendUnicodeToString ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, $RtlAppendUnicodeToString.arg.2$13$);
+goto label_69;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4678)
+label_68:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAppendUnicodeToString.arg.2$13$ := havoc_stringTemp ;
+goto label_65;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4680)
+label_69:
+call $result.MouDeterminePortsServiced$4680.29$14$ := MouDeterminePortsServiced ($basePortName$11$4620.28$MouseClassFindMorePorts$12, $numPorts$9$4618.28$MouseClassFindMorePorts$12);
+goto label_72;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4687)
+label_72:
+$i$8$4617.28$MouseClassFindMorePorts$12 := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)] ;
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4687)
+label_73:
+$successfulCreates$10$4619.28$MouseClassFindMorePorts$12 := 0 ;
+goto label_74;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4688)
+label_74:
+// loop entry initialization...
+LOOP_74_alloc := alloc;
+LOOP_74_Mem := Mem;
+LOOP_74_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_74_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_74_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_74_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_74_head;
+
+
+label_74_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+assume(forall f:int :: {alloc[Base(f)]} LOOP_74_alloc[Base(f)] == UNALLOCATED || LOOP_74_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_74_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_74_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_SPIN_LOCK[r]} (SetTrue()[r]) || LOOP_74_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_74_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_74_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_74_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (SetTrue()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_74_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_74_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (SetTrue()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_74_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_74_true , label_74_false ;
+
+
+label_74_true :
+assume ($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
+goto label_75;
+
+
+label_74_false :
+assume !($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.PortsServiced__GLOBALS][PortsServiced__GLOBALS(Globals)]);
+goto label_155;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4688)
+label_75:
+goto label_75_true , label_75_false ;
+
+
+label_75_true :
+assume ($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4618.28$MouseClassFindMorePorts$12]);
+goto label_76;
+
+
+label_75_false :
+assume !($i$8$4617.28$MouseClassFindMorePorts$12 < Mem[T.UINT4][$numPorts$9$4618.28$MouseClassFindMorePorts$12]);
+goto label_155;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4698)
+label_76:
+Mem[T.UINT2] := Mem[T.UINT2][PLUS(Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 2, MINUS_BOTH_PTR_OR_BOTH_INT( BINARY_BOTH_INT(Mem[T.Length__UNICODE_STRING][Length__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 2), 1, 1)) := PLUS(48, 1, $i$8$4617.28$MouseClassFindMorePorts$12)];
+goto label_77;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4700)
+label_77:
+goto label_77_true , label_77_false ;
+
+
+label_77_true :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
+goto label_78;
+
+
+label_77_false :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
+goto label_82;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4701)
+label_78:
+call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4702)
+label_81:
+Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
+goto label_82;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4708)
+label_82:
+call $result.MouCreateClassObject$4708.37$15$ := MouCreateClassObject ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, InitExtension__GLOBALS(Globals), $classDeviceObject$6$4615.28$MouseClassFindMorePorts$12, $fullClassName$14$4623.28$MouseClassFindMorePorts$12, 1);
+goto label_85;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4708)
+label_85:
+$status$4$4613.28$MouseClassFindMorePorts$12 := $result.MouCreateClassObject$4708.37$15$ ;
+goto label_86;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4714)
+label_86:
+goto label_86_true , label_86_false ;
+
+
+label_86_true :
+assume (0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
+goto label_90;
+
+
+label_86_false :
+assume !(0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4715)
+label_87:
+call MouseClassLogError ($DriverObject$1$4588.20$MouseClassFindMorePorts$12, BOOGIE_LARGE_INT_3221553153, 20008, $status$4$4613.28$MouseClassFindMorePorts$12, 0, 0, 0);
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4725)
+label_90:
+$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])] ;
+goto label_91;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4726)
+label_91:
+Mem[T.PnP__DEVICE_EXTENSION] := Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
+goto label_92;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4731)
+label_92:
+assume (Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
+call $result.IoGetDeviceObjectPointer$4731.42$16$ := IoGetDeviceObjectPointer ($fullPortName$12$4621.28$MouseClassFindMorePorts$12, 128, $file$15$4624.28$MouseClassFindMorePorts$12, TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12));
+Mem[T.TopPort__DEVICE_EXTENSION] := Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.P_DEVICE_OBJECT][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_95;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4731)
+label_95:
+$status$4$4613.28$MouseClassFindMorePorts$12 := $result.IoGetDeviceObjectPointer$4731.42$16$ ;
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4736)
+label_96:
+goto label_96_true , label_96_false ;
+
+
+label_96_true :
+assume ($status$4$4613.28$MouseClassFindMorePorts$12 != 0);
+goto label_97;
+
+
+label_96_false :
+assume ($status$4$4613.28$MouseClassFindMorePorts$12 == 0);
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_97:
+goto label_97_true , label_97_false ;
+
+
+label_97_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
+goto label_98;
+
+
+label_97_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
+goto label_104;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_98:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)], 0);
+goto label_101;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_101:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_102:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_103;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_103:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_104;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_104:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
+goto label_107;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4738)
+label_107:
+$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4742)
+label_108:
+Mem[T.StackSize__DEVICE_OBJECT] := Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12]) := PLUS(1, 1, Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])])];
+goto label_109;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4743)
+label_109:
+call $result.MouseAddDeviceEx$4743.34$17$ := MouseAddDeviceEx ($deviceExtension$5$4614.28$MouseClassFindMorePorts$12, Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12]);
+goto label_112;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4743)
+label_112:
+$status$4$4613.28$MouseClassFindMorePorts$12 := $result.MouseAddDeviceEx$4743.34$17$ ;
+goto label_113;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4744)
+label_113:
+assume (forall r:int :: {BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),r)} (POW2(r) && POW2(128) && r != 128) ==> (BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])],r)!= 0 <==> BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),r)!= 0));
+assume (BIT_BAND(BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)),128) == 0);
+tempBoogie0 := BIT_BAND(Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12])], BIT_BNOT(128)) ;
+Mem[T.Flags__DEVICE_OBJECT] := Mem[T.Flags__DEVICE_OBJECT][Flags__DEVICE_OBJECT(Mem[T.P_DEVICE_OBJECT][$classDeviceObject$6$4615.28$MouseClassFindMorePorts$12]) := tempBoogie0];
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4746)
+label_114:
+goto label_114_true , label_114_false ;
+
+
+label_114_true :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
+goto label_115;
+
+
+label_114_false :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
+goto label_119;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4747)
+label_115:
+call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
+goto label_118;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4748)
+label_118:
+Mem[T.PUINT2] := Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12 := 0];
+goto label_119;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4751)
+label_119:
+goto label_119_true , label_119_false ;
+
+
+label_119_true :
+assume (0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
+goto label_150;
+
+
+label_119_false :
+assume !(0 <= $status$4$4613.28$MouseClassFindMorePorts$12);
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4752)
+label_120:
+goto label_120_true , label_120_false ;
+
+
+label_120_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_124;
+
+
+label_120_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4753)
+label_121:
+goto label_121_true , label_121_false ;
+
+
+label_121_true :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
+goto label_122;
+
+
+label_121_false :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
+goto label_135;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4754)
+label_122:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_123;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4755)
+label_123:
+Mem[T.File__DEVICE_EXTENSION] := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
+goto label_135;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4759)
+label_124:
+goto label_125;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4761)
+label_125:
+call ExAcquireFastMutex (Mutex__GLOBALS(Globals));
+goto label_128;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4763)
+label_128:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12 := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]))]];
+goto label_129;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4764)
+label_129:
+Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 0];
+goto label_130;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4765)
+label_130:
+Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 1];
+goto label_131;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4766)
+label_131:
+Mem[T.Port__PORT] := Mem[T.Port__PORT][Port__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)])) := 0];
+goto label_132;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4768)
+label_132:
+call ExReleaseFastMutex (Mutex__GLOBALS(Globals));
+goto label_135;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4771)
+label_135:
+goto label_135_true , label_135_false ;
+
+
+label_135_true :
+assume (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12] != 0);
+goto label_136;
+
+
+label_135_false :
+assume (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12] == 0);
+goto label_139;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4772)
+label_136:
+call $result.ObfDereferenceObject$4772.16$18$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$15$4624.28$MouseClassFindMorePorts$12]);
+goto label_139;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_139:
+goto label_139_true , label_139_false ;
+
+
+label_139_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] != 0);
+goto label_140;
+
+
+label_139_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)] == 0);
+goto label_146;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_140:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)], 0);
+goto label_143;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_143:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := 0];
+goto label_144;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_144:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_145;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_145:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]];
+goto label_146;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_146:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12)]);
+goto label_149;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4775)
+label_149:
+$deviceExtension$5$4614.28$MouseClassFindMorePorts$12 := 0 ;
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4782)
+label_150:
+call InsertTailList (LegacyDeviceList__GLOBALS(Globals), Link__DEVICE_EXTENSION($deviceExtension$5$4614.28$MouseClassFindMorePorts$12));
+goto label_153;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4783)
+label_153:
+$successfulCreates$10$4619.28$MouseClassFindMorePorts$12 := PLUS($successfulCreates$10$4619.28$MouseClassFindMorePorts$12, 1, 1) ;
+goto label_154;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4689)
+label_154:
+$i$8$4617.28$MouseClassFindMorePorts$12 := PLUS($i$8$4617.28$MouseClassFindMorePorts$12, 1, 1) ;
+goto label_74_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4786)
+label_155:
+tempBoogie0 := PLUS(Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals)], 1, $successfulCreates$10$4619.28$MouseClassFindMorePorts$12) ;
+Mem[T.NumberLegacyPorts__GLOBALS] := Mem[T.NumberLegacyPorts__GLOBALS][NumberLegacyPorts__GLOBALS(Globals) := tempBoogie0];
+goto label_156;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4792)
+label_156:
+goto label_156_true , label_156_false ;
+
+
+label_156_true :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] != 0);
+goto label_157;
+
+
+label_156_false :
+assume (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)] == 0);
+goto label_160;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4793)
+label_157:
+call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING($fullPortName$12$4621.28$MouseClassFindMorePorts$12)], 0);
+goto label_160;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4796)
+label_160:
+goto label_160_true , label_160_false ;
+
+
+label_160_true :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] != 0);
+goto label_161;
+
+
+label_160_false :
+assume (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12] == 0);
+goto label_1;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(4797)
+label_161:
+call ExFreePoolWithTag (Mem[T.PUINT2][$fullClassName$14$4623.28$MouseClassFindMorePorts$12], 0);
+goto label_1;
+
+}
+
diff --git a/Test/havoc0/MouseClassUnload.bpl b/Test/havoc0/MouseClassUnload.bpl
index 97f92fe5..fae1e9b1 100644
--- a/Test/havoc0/MouseClassUnload.bpl
+++ b/Test/havoc0/MouseClassUnload.bpl
@@ -1,3371 +1,3371 @@
-// RUN: %boogie -monomorphize "%s" > "%t"
-// RUN: %diff success.expect "%t"
-type byte, name;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function SetTrue() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int :: SetTrue()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name, m:[name][int]int) returns (bool);
-function Values(t:name, m:[name][int]int) returns ([int]bool);
-function T.Ptr(t:name) returns (name);
-
-axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
-
-axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
-axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
-
-axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
- (HasType(v, t, m1) <==> HasType(v, t, m2)));
-
-// Field declarations
-
-const unique T.Guid_WMIGUIDREGINFO:name;
-const unique T.InstanceCount_WMIGUIDREGINFO:name;
-const unique T.Flags_WMIGUIDREGINFO:name;
-const unique T.OperationID__ACCESS_STATE:name;
-const unique T.SecurityEvaluated__ACCESS_STATE:name;
-const unique T.GenerateAudit__ACCESS_STATE:name;
-const unique T.GenerateOnClose__ACCESS_STATE:name;
-const unique T.PrivilegesAllocated__ACCESS_STATE:name;
-const unique T.Flags__ACCESS_STATE:name;
-const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
-const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
-const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
-const unique T.SubjectSecurityContext__ACCESS_STATE:name;
-const unique T.SecurityDescriptor__ACCESS_STATE:name;
-const unique T.AuxData__ACCESS_STATE:name;
-const unique T.Privileges__ACCESS_STATE:name;
-const unique T.AuditPrivileges__ACCESS_STATE:name;
-const unique T.ObjectName__ACCESS_STATE:name;
-const unique T.ObjectTypeName__ACCESS_STATE:name;
-const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
-const unique T.Count__CM_RESOURCE_LIST:name;
-const unique T.List__CM_RESOURCE_LIST:name;
-const unique T.Size__DEVICE_CAPABILITIES:name;
-const unique T.Version__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
-const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
-const unique T.LockSupported__DEVICE_CAPABILITIES:name;
-const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.Removable__DEVICE_CAPABILITIES:name;
-const unique T.DockDevice__DEVICE_CAPABILITIES:name;
-const unique T.UniqueID__DEVICE_CAPABILITIES:name;
-const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
-const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
-const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
-const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
-const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
-const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
-const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
-const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
-const unique T.Reserved__DEVICE_CAPABILITIES:name;
-const unique T.Address__DEVICE_CAPABILITIES:name;
-const unique T.UINumber__DEVICE_CAPABILITIES:name;
-const unique T.DeviceState__DEVICE_CAPABILITIES:name;
-const unique T.SystemWake__DEVICE_CAPABILITIES:name;
-const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
-const unique T.D1Latency__DEVICE_CAPABILITIES:name;
-const unique T.D2Latency__DEVICE_CAPABILITIES:name;
-const unique T.D3Latency__DEVICE_CAPABILITIES:name;
-const unique T.Self__DEVICE_EXTENSION:name;
-const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
-const unique T.TopPort__DEVICE_EXTENSION:name;
-const unique T.PDO__DEVICE_EXTENSION:name;
-const unique T.RemoveLock__DEVICE_EXTENSION:name;
-const unique T.PnP__DEVICE_EXTENSION:name;
-const unique T.Started__DEVICE_EXTENSION:name;
-const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
-const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
-const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
-const unique T.InputCount__DEVICE_EXTENSION:name;
-const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
-const unique T.InputData__DEVICE_EXTENSION:name;
-const unique T.DataIn__DEVICE_EXTENSION:name;
-const unique T.DataOut__DEVICE_EXTENSION:name;
-const unique T.MouseAttributes__DEVICE_EXTENSION:name;
-const unique T.SpinLock__DEVICE_EXTENSION:name;
-const unique T.ReadQueue__DEVICE_EXTENSION:name;
-const unique T.SequenceNumber__DEVICE_EXTENSION:name;
-const unique T.DeviceState__DEVICE_EXTENSION:name;
-const unique T.SystemState__DEVICE_EXTENSION:name;
-const unique T.UnitId__DEVICE_EXTENSION:name;
-const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
-const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
-const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
-const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
-const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
-const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
-const unique T.Link__DEVICE_EXTENSION:name;
-const unique T.File__DEVICE_EXTENSION:name;
-const unique T.Enabled__DEVICE_EXTENSION:name;
-const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
-const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
-const unique T.Type__DEVICE_OBJECT:name;
-const unique T.Size__DEVICE_OBJECT:name;
-const unique T.ReferenceCount__DEVICE_OBJECT:name;
-const unique T.DriverObject__DEVICE_OBJECT:name;
-const unique T.NextDevice__DEVICE_OBJECT:name;
-const unique T.AttachedDevice__DEVICE_OBJECT:name;
-const unique T.CurrentIrp__DEVICE_OBJECT:name;
-const unique T.Timer__DEVICE_OBJECT:name;
-const unique T.Flags__DEVICE_OBJECT:name;
-const unique T.Characteristics__DEVICE_OBJECT:name;
-const unique T.Vpb__DEVICE_OBJECT:name;
-const unique T.DeviceExtension__DEVICE_OBJECT:name;
-const unique T.DeviceType__DEVICE_OBJECT:name;
-const unique T.StackSize__DEVICE_OBJECT:name;
-const unique T.Queue__DEVICE_OBJECT:name;
-const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
-const unique T.DeviceQueue__DEVICE_OBJECT:name;
-const unique T.Dpc__DEVICE_OBJECT:name;
-const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
-const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
-const unique T.DeviceLock__DEVICE_OBJECT:name;
-const unique T.SectorSize__DEVICE_OBJECT:name;
-const unique T.Spare1__DEVICE_OBJECT:name;
-const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
-const unique T.Reserved__DEVICE_OBJECT:name;
-const unique T.Type__DEVOBJ_EXTENSION:name;
-const unique T.Size__DEVOBJ_EXTENSION:name;
-const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
-const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
-const unique T.SignalState__DISPATCHER_HEADER:name;
-const unique T.WaitListHead__DISPATCHER_HEADER:name;
-const unique T.DriverObject__DRIVER_EXTENSION:name;
-const unique T.AddDevice__DRIVER_EXTENSION:name;
-const unique T.Count__DRIVER_EXTENSION:name;
-const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
-const unique T.Type__DRIVER_OBJECT:name;
-const unique T.Size__DRIVER_OBJECT:name;
-const unique T.DeviceObject__DRIVER_OBJECT:name;
-const unique T.Flags__DRIVER_OBJECT:name;
-const unique T.DriverStart__DRIVER_OBJECT:name;
-const unique T.DriverSize__DRIVER_OBJECT:name;
-const unique T.DriverSection__DRIVER_OBJECT:name;
-const unique T.DriverExtension__DRIVER_OBJECT:name;
-const unique T.DriverName__DRIVER_OBJECT:name;
-const unique T.HardwareDatabase__DRIVER_OBJECT:name;
-const unique T.FastIoDispatch__DRIVER_OBJECT:name;
-const unique T.DriverInit__DRIVER_OBJECT:name;
-const unique T.DriverStartIo__DRIVER_OBJECT:name;
-const unique T.DriverUnload__DRIVER_OBJECT:name;
-const unique T.MajorFunction__DRIVER_OBJECT:name;
-const unique T.SystemResourcesList__ERESOURCE:name;
-const unique T.OwnerTable__ERESOURCE:name;
-const unique T.ActiveCount__ERESOURCE:name;
-const unique T.Flag__ERESOURCE:name;
-const unique T.SharedWaiters__ERESOURCE:name;
-const unique T.ExclusiveWaiters__ERESOURCE:name;
-const unique T.OwnerEntry__ERESOURCE:name;
-const unique T.ActiveEntries__ERESOURCE:name;
-const unique T.ContentionCount__ERESOURCE:name;
-const unique T.NumberOfSharedWaiters__ERESOURCE:name;
-const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
-const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
-const unique T.SpinLock__ERESOURCE:name;
-const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
-const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
-const unique T.FastIoRead__FAST_IO_DISPATCH:name;
-const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
-const unique T.FastIoLock__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
-const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
-const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
-const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
-const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
-const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlRead__FAST_IO_DISPATCH:name;
-const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
-const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
-const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
-const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
-const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
-const unique T.Count__FAST_MUTEX:name;
-const unique T.Owner__FAST_MUTEX:name;
-const unique T.Contention__FAST_MUTEX:name;
-const unique T.Gate__FAST_MUTEX:name;
-const unique T.OldIrql__FAST_MUTEX:name;
-const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
-const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
-const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
-const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
-const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.Type__FILE_OBJECT:name;
-const unique T.Size__FILE_OBJECT:name;
-const unique T.DeviceObject__FILE_OBJECT:name;
-const unique T.Vpb__FILE_OBJECT:name;
-const unique T.FsContext__FILE_OBJECT:name;
-const unique T.FsContext2__FILE_OBJECT:name;
-const unique T.SectionObjectPointer__FILE_OBJECT:name;
-const unique T.PrivateCacheMap__FILE_OBJECT:name;
-const unique T.FinalStatus__FILE_OBJECT:name;
-const unique T.RelatedFileObject__FILE_OBJECT:name;
-const unique T.LockOperation__FILE_OBJECT:name;
-const unique T.DeletePending__FILE_OBJECT:name;
-const unique T.ReadAccess__FILE_OBJECT:name;
-const unique T.WriteAccess__FILE_OBJECT:name;
-const unique T.DeleteAccess__FILE_OBJECT:name;
-const unique T.SharedRead__FILE_OBJECT:name;
-const unique T.SharedWrite__FILE_OBJECT:name;
-const unique T.SharedDelete__FILE_OBJECT:name;
-const unique T.Flags__FILE_OBJECT:name;
-const unique T.FileName__FILE_OBJECT:name;
-const unique T.CurrentByteOffset__FILE_OBJECT:name;
-const unique T.Waiters__FILE_OBJECT:name;
-const unique T.Busy__FILE_OBJECT:name;
-const unique T.LastLock__FILE_OBJECT:name;
-const unique T.Lock__FILE_OBJECT:name;
-const unique T.Event__FILE_OBJECT:name;
-const unique T.CompletionContext__FILE_OBJECT:name;
-const unique T.IrpListLock__FILE_OBJECT:name;
-const unique T.IrpList__FILE_OBJECT:name;
-const unique T.FileObjectExtension__FILE_OBJECT:name;
-const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
-const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
-const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
-const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
-const unique T.Directory__FILE_STANDARD_INFORMATION:name;
-const unique T.Debug__GLOBALS:name;
-const unique T.GrandMaster__GLOBALS:name;
-const unique T.AssocClassList__GLOBALS:name;
-const unique T.NumAssocClass__GLOBALS:name;
-const unique T.Opens__GLOBALS:name;
-const unique T.NumberLegacyPorts__GLOBALS:name;
-const unique T.Mutex__GLOBALS:name;
-const unique T.ConnectOneClassToOnePort__GLOBALS:name;
-const unique T.PortsServiced__GLOBALS:name;
-const unique T.InitExtension__GLOBALS:name;
-const unique T.RegistryPath__GLOBALS:name;
-const unique T.BaseClassName__GLOBALS:name;
-const unique T.BaseClassBuffer__GLOBALS:name;
-const unique T.LegacyDeviceList__GLOBALS:name;
-const unique T.Data1__GUID:name;
-const unique T.Data2__GUID:name;
-const unique T.Data3__GUID:name;
-const unique T.Data4__GUID:name;
-const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
-const unique T.Control__INITIAL_PRIVILEGE_SET:name;
-const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
-const unique T.Size__INTERFACE:name;
-const unique T.Version__INTERFACE:name;
-const unique T.Context__INTERFACE:name;
-const unique T.InterfaceReference__INTERFACE:name;
-const unique T.InterfaceDereference__INTERFACE:name;
-const unique T.Port__IO_COMPLETION_CONTEXT:name;
-const unique T.Key__IO_COMPLETION_CONTEXT:name;
-const unique T.Common__IO_REMOVE_LOCK:name;
-const unique T.Dbg__IO_REMOVE_LOCK:name;
-const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
-const unique T.Version__IO_RESOURCE_LIST:name;
-const unique T.Revision__IO_RESOURCE_LIST:name;
-const unique T.Count__IO_RESOURCE_LIST:name;
-const unique T.Descriptors__IO_RESOURCE_LIST:name;
-const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
-const unique T.AccessState__IO_SECURITY_CONTEXT:name;
-const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
-const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
-const unique T.MajorFunction__IO_STACK_LOCATION:name;
-const unique T.MinorFunction__IO_STACK_LOCATION:name;
-const unique T.Flags__IO_STACK_LOCATION:name;
-const unique T.Control__IO_STACK_LOCATION:name;
-const unique T.Parameters__IO_STACK_LOCATION:name;
-const unique T.DeviceObject__IO_STACK_LOCATION:name;
-const unique T.FileObject__IO_STACK_LOCATION:name;
-const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
-const unique T.Context__IO_STACK_LOCATION:name;
-const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
-const unique T.Information__IO_STATUS_BLOCK:name;
-const unique T.Type__IRP:name;
-const unique T.Size__IRP:name;
-const unique T.MdlAddress__IRP:name;
-const unique T.Flags__IRP:name;
-const unique T.AssociatedIrp__IRP:name;
-const unique T.ThreadListEntry__IRP:name;
-const unique T.IoStatus__IRP:name;
-const unique T.RequestorMode__IRP:name;
-const unique T.PendingReturned__IRP:name;
-const unique T.StackCount__IRP:name;
-const unique T.CurrentLocation__IRP:name;
-const unique T.Cancel__IRP:name;
-const unique T.CancelIrql__IRP:name;
-const unique T.ApcEnvironment__IRP:name;
-const unique T.AllocationFlags__IRP:name;
-const unique T.UserIosb__IRP:name;
-const unique T.UserEvent__IRP:name;
-const unique T.Overlay__IRP:name;
-const unique T.CancelRoutine__IRP:name;
-const unique T.UserBuffer__IRP:name;
-const unique T.Tail__IRP:name;
-const unique T.Type__KAPC:name;
-const unique T.SpareByte0__KAPC:name;
-const unique T.Size__KAPC:name;
-const unique T.SpareByte1__KAPC:name;
-const unique T.SpareLong0__KAPC:name;
-const unique T.Thread__KAPC:name;
-const unique T.ApcListEntry__KAPC:name;
-const unique T.KernelRoutine__KAPC:name;
-const unique T.RundownRoutine__KAPC:name;
-const unique T.NormalRoutine__KAPC:name;
-const unique T.NormalContext__KAPC:name;
-const unique T.SystemArgument1__KAPC:name;
-const unique T.SystemArgument2__KAPC:name;
-const unique T.ApcStateIndex__KAPC:name;
-const unique T.ApcMode__KAPC:name;
-const unique T.Inserted__KAPC:name;
-const unique T.Type__KDEVICE_QUEUE:name;
-const unique T.Size__KDEVICE_QUEUE:name;
-const unique T.DeviceListHead__KDEVICE_QUEUE:name;
-const unique T.Lock__KDEVICE_QUEUE:name;
-const unique T.Busy__KDEVICE_QUEUE:name;
-const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
-const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
-const unique T.Type__KDPC:name;
-const unique T.Importance__KDPC:name;
-const unique T.Number__KDPC:name;
-const unique T.DpcListEntry__KDPC:name;
-const unique T.DeferredRoutine__KDPC:name;
-const unique T.DeferredContext__KDPC:name;
-const unique T.SystemArgument1__KDPC:name;
-const unique T.SystemArgument2__KDPC:name;
-const unique T.DpcData__KDPC:name;
-const unique T.Header__KEVENT:name;
-const unique T.Header__KSEMAPHORE:name;
-const unique T.Limit__KSEMAPHORE:name;
-const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
-const unique T.u__LARGE_INTEGER:name;
-const unique T.QuadPart__LARGE_INTEGER:name;
-const unique T.Flink__LIST_ENTRY:name;
-const unique T.Blink__LIST_ENTRY:name;
-const unique T.LowPart__LUID:name;
-const unique T.HighPart__LUID:name;
-const unique T.Luid__LUID_AND_ATTRIBUTES:name;
-const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
-const unique T.Next__MDL:name;
-const unique T.Size__MDL:name;
-const unique T.MdlFlags__MDL:name;
-const unique T.Process__MDL:name;
-const unique T.MappedSystemVa__MDL:name;
-const unique T.StartVa__MDL:name;
-const unique T.ByteCount__MDL:name;
-const unique T.ByteOffset__MDL:name;
-const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
-const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
-const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
-const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
-const unique T.UnitId__MOUSE_INPUT_DATA:name;
-const unique T.Flags__MOUSE_INPUT_DATA:name;
-const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
-const unique T.RawButtons__MOUSE_INPUT_DATA:name;
-const unique T.LastX__MOUSE_INPUT_DATA:name;
-const unique T.LastY__MOUSE_INPUT_DATA:name;
-const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
-const unique T.OwnerThread__OWNER_ENTRY:name;
-const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
-const unique T.File__PORT:name;
-const unique T.Port__PORT:name;
-const unique T.Enabled__PORT:name;
-const unique T.Reserved__PORT:name;
-const unique T.Free__PORT:name;
-const unique T.SequenceD1__POWER_SEQUENCE:name;
-const unique T.SequenceD2__POWER_SEQUENCE:name;
-const unique T.SequenceD3__POWER_SEQUENCE:name;
-const unique T.SystemState__POWER_STATE:name;
-const unique T.DeviceState__POWER_STATE:name;
-const unique T.PrivilegeCount__PRIVILEGE_SET:name;
-const unique T.Control__PRIVILEGE_SET:name;
-const unique T.Privilege__PRIVILEGE_SET:name;
-const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
-const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
-const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
-const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T.Length__UNICODE_STRING:name;
-const unique T.MaximumLength__UNICODE_STRING:name;
-const unique T.Buffer__UNICODE_STRING:name;
-const unique T.Type__VPB:name;
-const unique T.Size__VPB:name;
-const unique T.Flags__VPB:name;
-const unique T.VolumeLabelLength__VPB:name;
-const unique T.DeviceObject__VPB:name;
-const unique T.RealDevice__VPB:name;
-const unique T.SerialNumber__VPB:name;
-const unique T.ReferenceCount__VPB:name;
-const unique T.VolumeLabel__VPB:name;
-const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
-const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
-const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
-const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
-const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
-const unique T.GuidCount__WMILIB_CONTEXT:name;
-const unique T.GuidList__WMILIB_CONTEXT:name;
-const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
-const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
-const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
-const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
-const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
-const unique T.Start___unnamed_12_06b9ee6e:name;
-const unique T.Length48___unnamed_12_06b9ee6e:name;
-const unique T.Start___unnamed_12_0882bd02:name;
-const unique T.Length64___unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
-const unique T.Raw___unnamed_12_2e80217b:name;
-const unique T.Translated___unnamed_12_2e80217b:name;
-const unique T.Data___unnamed_12_5cc7ace2:name;
-const unique T.Channel___unnamed_12_6374506e:name;
-const unique T.Port___unnamed_12_6374506e:name;
-const unique T.Reserved1___unnamed_12_6374506e:name;
-const unique T.Priority___unnamed_12_68a4278e:name;
-const unique T.Reserved1___unnamed_12_68a4278e:name;
-const unique T.Reserved2___unnamed_12_68a4278e:name;
-const unique T.Generic___unnamed_12_79ed2653:name;
-const unique T.Port___unnamed_12_79ed2653:name;
-const unique T.Interrupt___unnamed_12_79ed2653:name;
-const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
-const unique T.Memory___unnamed_12_79ed2653:name;
-const unique T.Dma___unnamed_12_79ed2653:name;
-const unique T.DevicePrivate___unnamed_12_79ed2653:name;
-const unique T.BusNumber___unnamed_12_79ed2653:name;
-const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
-const unique T.Memory40___unnamed_12_79ed2653:name;
-const unique T.Memory48___unnamed_12_79ed2653:name;
-const unique T.Memory64___unnamed_12_79ed2653:name;
-const unique T.Start___unnamed_12_7da594c0:name;
-const unique T.Length40___unnamed_12_7da594c0:name;
-const unique T.Start___unnamed_12_9873e05d:name;
-const unique T.Length___unnamed_12_9873e05d:name;
-const unique T.DataSize___unnamed_12_9cc8cebc:name;
-const unique T.Reserved1___unnamed_12_9cc8cebc:name;
-const unique T.Reserved2___unnamed_12_9cc8cebc:name;
-const unique T.Start___unnamed_12_b98da82e:name;
-const unique T.Length___unnamed_12_b98da82e:name;
-const unique T.Level___unnamed_12_c2880e88:name;
-const unique T.Vector___unnamed_12_c2880e88:name;
-const unique T.Affinity___unnamed_12_c2880e88:name;
-const unique T.Start___unnamed_12_c49ab31a:name;
-const unique T.Length___unnamed_12_c49ab31a:name;
-const unique T.ListEntry___unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
-const unique T.Data___unnamed_12_ced61554:name;
-const unique T.Reserved___unnamed_12_d9c44df5:name;
-const unique T.MessageCount___unnamed_12_d9c44df5:name;
-const unique T.Vector___unnamed_12_d9c44df5:name;
-const unique T.Affinity___unnamed_12_d9c44df5:name;
-const unique T.Start___unnamed_12_db3dcbfc:name;
-const unique T.Length___unnamed_12_db3dcbfc:name;
-const unique T.Reserved___unnamed_12_db3dcbfc:name;
-const unique T.Level___unnamed_12_fb26b3fc:name;
-const unique T.Vector___unnamed_12_fb26b3fc:name;
-const unique T.Affinity___unnamed_12_fb26b3fc:name;
-const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
-const unique T.InputBufferLength___unnamed_16_22e4d054:name;
-const unique T.IoControlCode___unnamed_16_22e4d054:name;
-const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
-const unique T.Create___unnamed_16_39b626ad:name;
-const unique T.Read___unnamed_16_39b626ad:name;
-const unique T.Write___unnamed_16_39b626ad:name;
-const unique T.QueryDirectory___unnamed_16_39b626ad:name;
-const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
-const unique T.QueryFile___unnamed_16_39b626ad:name;
-const unique T.SetFile___unnamed_16_39b626ad:name;
-const unique T.QueryEa___unnamed_16_39b626ad:name;
-const unique T.SetEa___unnamed_16_39b626ad:name;
-const unique T.QueryVolume___unnamed_16_39b626ad:name;
-const unique T.SetVolume___unnamed_16_39b626ad:name;
-const unique T.FileSystemControl___unnamed_16_39b626ad:name;
-const unique T.LockControl___unnamed_16_39b626ad:name;
-const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
-const unique T.QuerySecurity___unnamed_16_39b626ad:name;
-const unique T.SetSecurity___unnamed_16_39b626ad:name;
-const unique T.MountVolume___unnamed_16_39b626ad:name;
-const unique T.VerifyVolume___unnamed_16_39b626ad:name;
-const unique T.Scsi___unnamed_16_39b626ad:name;
-const unique T.QueryQuota___unnamed_16_39b626ad:name;
-const unique T.SetQuota___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
-const unique T.QueryInterface___unnamed_16_39b626ad:name;
-const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
-const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
-const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
-const unique T.SetLock___unnamed_16_39b626ad:name;
-const unique T.QueryId___unnamed_16_39b626ad:name;
-const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
-const unique T.UsageNotification___unnamed_16_39b626ad:name;
-const unique T.WaitWake___unnamed_16_39b626ad:name;
-const unique T.PowerSequence___unnamed_16_39b626ad:name;
-const unique T.Power___unnamed_16_39b626ad:name;
-const unique T.StartDevice___unnamed_16_39b626ad:name;
-const unique T.WMI___unnamed_16_39b626ad:name;
-const unique T.Others___unnamed_16_39b626ad:name;
-const unique T.WhichSpace___unnamed_16_56c011d7:name;
-const unique T.Buffer___unnamed_16_56c011d7:name;
-const unique T.Offset___unnamed_16_56c011d7:name;
-const unique T.Length___unnamed_16_56c011d7:name;
-const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
-const unique T.Length___unnamed_16_6be9abe0:name;
-const unique T.FileName___unnamed_16_6be9abe0:name;
-const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
-const unique T.FileIndex___unnamed_16_6be9abe0:name;
-const unique T.InterfaceType___unnamed_16_78879a38:name;
-const unique T.Size___unnamed_16_78879a38:name;
-const unique T.Version___unnamed_16_78879a38:name;
-const unique T.Interface___unnamed_16_78879a38:name;
-const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
-const unique T.Length___unnamed_16_804a2f24:name;
-const unique T.StartSid___unnamed_16_804a2f24:name;
-const unique T.SidList___unnamed_16_804a2f24:name;
-const unique T.SidListLength___unnamed_16_804a2f24:name;
-const unique T.Argument1___unnamed_16_8586693f:name;
-const unique T.Argument2___unnamed_16_8586693f:name;
-const unique T.Argument3___unnamed_16_8586693f:name;
-const unique T.Argument4___unnamed_16_8586693f:name;
-const unique T.Length___unnamed_16_8831e65f:name;
-const unique T.Key___unnamed_16_8831e65f:name;
-const unique T.ByteOffset___unnamed_16_8831e65f:name;
-const unique T.SecurityContext___unnamed_16_8c2d663a:name;
-const unique T.Options___unnamed_16_8c2d663a:name;
-const unique T.FileAttributes___unnamed_16_8c2d663a:name;
-const unique T.ShareAccess___unnamed_16_8c2d663a:name;
-const unique T.EaLength___unnamed_16_8c2d663a:name;
-const unique T.Length___unnamed_16_913b9a7a:name;
-const unique T.Key___unnamed_16_913b9a7a:name;
-const unique T.ByteOffset___unnamed_16_913b9a7a:name;
-const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
-const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
-const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
-const unique T.Length___unnamed_16_a2fab4da:name;
-const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
-const unique T.FileObject___unnamed_16_a2fab4da:name;
-const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
-const unique T.DriverContext___unnamed_16_ae643f17:name;
-const unique T.Length___unnamed_16_c1b29316:name;
-const unique T.Key___unnamed_16_c1b29316:name;
-const unique T.ByteOffset___unnamed_16_c1b29316:name;
-const unique T.ProviderId___unnamed_16_cbd53ed4:name;
-const unique T.DataPath___unnamed_16_cbd53ed4:name;
-const unique T.BufferSize___unnamed_16_cbd53ed4:name;
-const unique T.Buffer___unnamed_16_cbd53ed4:name;
-const unique T.Length___unnamed_16_db70db6e:name;
-const unique T.MinBusNumber___unnamed_16_db70db6e:name;
-const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
-const unique T.Reserved___unnamed_16_db70db6e:name;
-const unique T.Length___unnamed_16_ef4b6307:name;
-const unique T.EaList___unnamed_16_ef4b6307:name;
-const unique T.EaListLength___unnamed_16_ef4b6307:name;
-const unique T.EaIndex___unnamed_16_ef4b6307:name;
-const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
-const unique T.Type___unnamed_16_fdda1f62:name;
-const unique T.State___unnamed_16_fdda1f62:name;
-const unique T.ShutdownType___unnamed_16_fdda1f62:name;
-const unique T.Lock___unnamed_1_1394de4b:name;
-const unique T.Abandoned___unnamed_1_2bb39c56:name;
-const unique T.Absolute___unnamed_1_2bb39c56:name;
-const unique T.NpxIrql___unnamed_1_2bb39c56:name;
-const unique T.Signalling___unnamed_1_2bb39c56:name;
-const unique T.Inserted___unnamed_1_9fa0583a:name;
-const unique T.DebugActive___unnamed_1_9fa0583a:name;
-const unique T.DpcActive___unnamed_1_9fa0583a:name;
-const unique T.Size___unnamed_1_e30779f5:name;
-const unique T.Hand___unnamed_1_e30779f5:name;
-const unique T.MinimumVector___unnamed_20_83d468e4:name;
-const unique T.MaximumVector___unnamed_20_83d468e4:name;
-const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
-const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
-const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
-const unique T.Length40___unnamed_24_035931da:name;
-const unique T.Alignment40___unnamed_24_035931da:name;
-const unique T.MinimumAddress___unnamed_24_035931da:name;
-const unique T.MaximumAddress___unnamed_24_035931da:name;
-const unique T.Length___unnamed_24_38e128db:name;
-const unique T.Alignment___unnamed_24_38e128db:name;
-const unique T.MinimumAddress___unnamed_24_38e128db:name;
-const unique T.MaximumAddress___unnamed_24_38e128db:name;
-const unique T.Length___unnamed_24_9500ea34:name;
-const unique T.Alignment___unnamed_24_9500ea34:name;
-const unique T.MinimumAddress___unnamed_24_9500ea34:name;
-const unique T.MaximumAddress___unnamed_24_9500ea34:name;
-const unique T.Length___unnamed_24_9734802c:name;
-const unique T.Alignment___unnamed_24_9734802c:name;
-const unique T.MinimumAddress___unnamed_24_9734802c:name;
-const unique T.MaximumAddress___unnamed_24_9734802c:name;
-const unique T.Length64___unnamed_24_af62813f:name;
-const unique T.Alignment64___unnamed_24_af62813f:name;
-const unique T.MinimumAddress___unnamed_24_af62813f:name;
-const unique T.MaximumAddress___unnamed_24_af62813f:name;
-const unique T.Length48___unnamed_24_c0555099:name;
-const unique T.Alignment48___unnamed_24_c0555099:name;
-const unique T.MinimumAddress___unnamed_24_c0555099:name;
-const unique T.MaximumAddress___unnamed_24_c0555099:name;
-const unique T.Port___unnamed_24_d7c4ec3a:name;
-const unique T.Memory___unnamed_24_d7c4ec3a:name;
-const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
-const unique T.Dma___unnamed_24_d7c4ec3a:name;
-const unique T.Generic___unnamed_24_d7c4ec3a:name;
-const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
-const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
-const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
-const unique T.Memory40___unnamed_24_d7c4ec3a:name;
-const unique T.Memory48___unnamed_24_d7c4ec3a:name;
-const unique T.Memory64___unnamed_24_d7c4ec3a:name;
-const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
-const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
-const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
-const unique T.Thread___unnamed_40_a0414182:name;
-const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
-const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
-const unique T.OriginalFileObject___unnamed_40_a0414182:name;
-const unique T.ListEntry___unnamed_40_d90496f4:name;
-const unique T.Wcb___unnamed_40_d90496f4:name;
-const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
-const unique T.Overlay___unnamed_48_c1da9fa5:name;
-const unique T.Apc___unnamed_48_c1da9fa5:name;
-const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
-const unique T.PowerSequence___unnamed_4_0510b147:name;
-const unique T.Length___unnamed_4_0a569078:name;
-const unique T.Status___unnamed_4_16aff58e:name;
-const unique T.Pointer___unnamed_4_16aff58e:name;
-const unique T.IdType___unnamed_4_40bf8e34:name;
-const unique T.Address___unnamed_4_46b62f69:name;
-const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
-const unique T.Capabilities___unnamed_4_73d46255:name;
-const unique T.Srb___unnamed_4_765e3037:name;
-const unique T.Type___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
-const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
-const unique T.PowerState___unnamed_4_8dd73d30:name;
-const unique T.Type___unnamed_4_957e0d74:name;
-const unique T.Buttons___unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
-const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
-const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
-const unique T.PacketType___unnamed_4_a7aa989c:name;
-const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
-const unique T.ClusterCount___unnamed_4_a7d0864c:name;
-const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
-const unique T.Length___unnamed_4_aa20b426:name;
-const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
-const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
-const unique T.Reserved1___unnamed_4_b016b1e1:name;
-const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
-const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
-const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
-const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
-const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
-const unique T.Reserved2___unnamed_4_b016b1e1:name;
-const unique T.SystemContext___unnamed_4_b060dea6:name;
-const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
-const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
-const unique T.ButtonFlags___unnamed_4_b5247f10:name;
-const unique T.ButtonData___unnamed_4_b5247f10:name;
-const unique T.OwnerCount___unnamed_4_c1e23b02:name;
-const unique T.TableSize___unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
-const unique T.Lock___unnamed_4_c9b2e921:name;
-const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
-const unique T.IrpCount___unnamed_4_fa7b96a7:name;
-const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
-const unique T.Vpb___unnamed_8_09ad2712:name;
-const unique T.DeviceObject___unnamed_8_09ad2712:name;
-const unique T.Length___unnamed_8_21ac1dba:name;
-const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
-const unique T.Length___unnamed_8_27d3ab76:name;
-const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
-const unique T.Vpb___unnamed_8_4289df81:name;
-const unique T.DeviceObject___unnamed_8_4289df81:name;
-const unique T.Length___unnamed_8_47b72724:name;
-const unique T.FileInformationClass___unnamed_8_47b72724:name;
-const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
-const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
-const unique T.UserApcContext___unnamed_8_4f695993:name;
-const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
-const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
-const unique T.SecurityInformation___unnamed_8_606438c5:name;
-const unique T.Length___unnamed_8_606438c5:name;
-const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
-const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
-const unique T.Length___unnamed_8_805045cb:name;
-const unique T.FsInformationClass___unnamed_8_805045cb:name;
-const unique T.LowPart___unnamed_8_8684a3e7:name;
-const unique T.HighPart___unnamed_8_8684a3e7:name;
-const unique T.SecurityInformation___unnamed_8_8cc410da:name;
-const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
-const unique T.InPath___unnamed_8_a47253e0:name;
-const unique T.Reserved___unnamed_8_a47253e0:name;
-const unique T.Type___unnamed_8_a47253e0:name;
-const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
-const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
-const unique T.LowPart___unnamed_8_c9ca8234:name;
-const unique T.HighPart___unnamed_8_c9ca8234:name;
-
-// Type declarations
-
-const unique T.A11CHAR:name;
-const unique T.A19CHAR:name;
-const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
-const unique T.A1_IO_RESOURCE_LIST:name;
-const unique T.A1_LUID_AND_ATTRIBUTES:name;
-const unique T.A256UINT2:name;
-const unique T.A28PFDRIVER_DISPATCH:name;
-const unique T.A2UCHAR:name;
-const unique T.A32UINT2:name;
-const unique T.A33CHAR:name;
-const unique T.A34CHAR:name;
-const unique T.A39CHAR:name;
-const unique T.A3UCHAR:name;
-const unique T.A3UINT4:name;
-const unique T.A3_LUID_AND_ATTRIBUTES:name;
-const unique T.A43CHAR:name;
-const unique T.A4PVOID:name;
-const unique T.A4UINT4:name;
-const unique T.A5_DEVICE_POWER_STATE:name;
-const unique T.A74CHAR:name;
-const unique T.A7_DEVICE_POWER_STATE:name;
-const unique T.A8UCHAR:name;
-const unique T.BUS_QUERY_ID_TYPE:name;
-const unique T.CHAR:name;
-const unique T.DEVICE_TEXT_TYPE:name;
-const unique T.F0:name;
-const unique T.F1:name;
-const unique T.F10:name;
-const unique T.F11:name;
-const unique T.F12:name;
-const unique T.F13:name;
-const unique T.F14:name;
-const unique T.F15:name;
-const unique T.F16:name;
-const unique T.F17:name;
-const unique T.F18:name;
-const unique T.F19:name;
-const unique T.F2:name;
-const unique T.F20:name;
-const unique T.F21:name;
-const unique T.F22:name;
-const unique T.F23:name;
-const unique T.F24:name;
-const unique T.F25:name;
-const unique T.F26:name;
-const unique T.F27:name;
-const unique T.F28:name;
-const unique T.F29:name;
-const unique T.F3:name;
-const unique T.F30:name;
-const unique T.F31:name;
-const unique T.F32:name;
-const unique T.F33:name;
-const unique T.F34:name;
-const unique T.F35:name;
-const unique T.F36:name;
-const unique T.F37:name;
-const unique T.F38:name;
-const unique T.F4:name;
-const unique T.F5:name;
-const unique T.F6:name;
-const unique T.F7:name;
-const unique T.F8:name;
-const unique T.F9:name;
-const unique T.FDRIVER_ADD_DEVICE:name;
-const unique T.FDRIVER_CANCEL:name;
-const unique T.FDRIVER_CONTROL:name;
-const unique T.FDRIVER_DISPATCH:name;
-const unique T.FDRIVER_INITIALIZE:name;
-const unique T.FDRIVER_STARTIO:name;
-const unique T.FDRIVER_UNLOAD:name;
-const unique T.FFAST_IO_ACQUIRE_FILE:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.FFAST_IO_DETACH_DEVICE:name;
-const unique T.FFAST_IO_DEVICE_CONTROL:name;
-const unique T.FFAST_IO_LOCK:name;
-const unique T.FFAST_IO_MDL_READ:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.FFAST_IO_QUERY_OPEN:name;
-const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.FFAST_IO_READ:name;
-const unique T.FFAST_IO_READ_COMPRESSED:name;
-const unique T.FFAST_IO_RELEASE_FILE:name;
-const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.FFAST_IO_UNLOCK_ALL:name;
-const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.FFAST_IO_UNLOCK_SINGLE:name;
-const unique T.FFAST_IO_WRITE:name;
-const unique T.FFAST_IO_WRITE_COMPRESSED:name;
-const unique T.FIO_COMPLETION_ROUTINE:name;
-const unique T.FKDEFERRED_ROUTINE:name;
-const unique T.INT2:name;
-const unique T.INT4:name;
-const unique T.INT8:name;
-const unique T.PA11CHAR:name;
-const unique T.PA19CHAR:name;
-const unique T.PA33CHAR:name;
-const unique T.PA34CHAR:name;
-const unique T.PA39CHAR:name;
-const unique T.PA43CHAR:name;
-const unique T.PA74CHAR:name;
-const unique T.PCHAR:name;
-const unique T.PF19:name;
-const unique T.PF21:name;
-const unique T.PF23:name;
-const unique T.PF24:name;
-const unique T.PF25:name;
-const unique T.PF33:name;
-const unique T.PF34:name;
-const unique T.PF35:name;
-const unique T.PF36:name;
-const unique T.PF37:name;
-const unique T.PF38:name;
-const unique T.PFDRIVER_ADD_DEVICE:name;
-const unique T.PFDRIVER_CANCEL:name;
-const unique T.PFDRIVER_CONTROL:name;
-const unique T.PFDRIVER_DISPATCH:name;
-const unique T.PFDRIVER_INITIALIZE:name;
-const unique T.PFDRIVER_STARTIO:name;
-const unique T.PFDRIVER_UNLOAD:name;
-const unique T.PFFAST_IO_ACQUIRE_FILE:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
-const unique T.PFFAST_IO_DETACH_DEVICE:name;
-const unique T.PFFAST_IO_DEVICE_CONTROL:name;
-const unique T.PFFAST_IO_LOCK:name;
-const unique T.PFFAST_IO_MDL_READ:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
-const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
-const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
-const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
-const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
-const unique T.PFFAST_IO_QUERY_OPEN:name;
-const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
-const unique T.PFFAST_IO_READ:name;
-const unique T.PFFAST_IO_READ_COMPRESSED:name;
-const unique T.PFFAST_IO_RELEASE_FILE:name;
-const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
-const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
-const unique T.PFFAST_IO_UNLOCK_ALL:name;
-const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
-const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
-const unique T.PFFAST_IO_WRITE:name;
-const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
-const unique T.PFIO_COMPLETION_ROUTINE:name;
-const unique T.PFKDEFERRED_ROUTINE:name;
-const unique T.PINT4:name;
-const unique T.POWER_ACTION:name;
-const unique T.PPCHAR:name;
-const unique T.PPF24:name;
-const unique T.PPP_FILE_OBJECT:name;
-const unique T.PPVOID:name;
-const unique T.PP_DEVICE_EXTENSION:name;
-const unique T.PP_DEVICE_OBJECT:name;
-const unique T.PP_DRIVER_OBJECT:name;
-const unique T.PP_ERESOURCE:name;
-const unique T.PP_FILE_OBJECT:name;
-const unique T.PP_IRP:name;
-const unique T.PP_LIST_ENTRY:name;
-const unique T.PP_MDL:name;
-const unique T.PP_PORT:name;
-const unique T.PP_UNICODE_STRING:name;
-const unique T.PUCHAR:name;
-const unique T.PUINT2:name;
-const unique T.PUINT4:name;
-const unique T.PVOID:name;
-const unique T.PWMIGUIDREGINFO:name;
-const unique T.P_ACCESS_STATE:name;
-const unique T.P_CM_RESOURCE_LIST:name;
-const unique T.P_COMPRESSED_DATA_INFO:name;
-const unique T.P_DEVICE_CAPABILITIES:name;
-const unique T.P_DEVICE_EXTENSION:name;
-const unique T.P_DEVICE_OBJECT:name;
-const unique T.P_DEVOBJ_EXTENSION:name;
-const unique T.P_DRIVER_EXTENSION:name;
-const unique T.P_DRIVER_OBJECT:name;
-const unique T.P_EPROCESS:name;
-const unique T.P_ERESOURCE:name;
-const unique T.P_ETHREAD:name;
-const unique T.P_FAST_IO_DISPATCH:name;
-const unique T.P_FILE_BASIC_INFORMATION:name;
-const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
-const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T.P_FILE_OBJECT:name;
-const unique T.P_FILE_STANDARD_INFORMATION:name;
-const unique T.P_GLOBALS:name;
-const unique T.P_GUID:name;
-const unique T.P_INTERFACE:name;
-const unique T.P_IO_COMPLETION_CONTEXT:name;
-const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T.P_IO_SECURITY_CONTEXT:name;
-const unique T.P_IO_STACK_LOCATION:name;
-const unique T.P_IO_STATUS_BLOCK:name;
-const unique T.P_IO_TIMER:name;
-const unique T.P_IRP:name;
-const unique T.P_KAPC:name;
-const unique T.P_KDPC:name;
-const unique T.P_KEVENT:name;
-const unique T.P_KSEMAPHORE:name;
-const unique T.P_KTHREAD:name;
-const unique T.P_LARGE_INTEGER:name;
-const unique T.P_LIST_ENTRY:name;
-const unique T.P_MDL:name;
-const unique T.P_MOUSE_INPUT_DATA:name;
-const unique T.P_OWNER_ENTRY:name;
-const unique T.P_PORT:name;
-const unique T.P_POWER_SEQUENCE:name;
-const unique T.P_SCSI_REQUEST_BLOCK:name;
-const unique T.P_SECTION_OBJECT_POINTERS:name;
-const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
-const unique T.P_UNICODE_STRING:name;
-const unique T.P_VPB:name;
-const unique T.UCHAR:name;
-const unique T.UINT2:name;
-const unique T.UINT4:name;
-const unique T.VOID:name;
-const unique T.WMIENABLEDISABLECONTROL:name;
-const unique T.WMIGUIDREGINFO:name;
-const unique T._ACCESS_STATE:name;
-const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
-const unique T._CM_PARTIAL_RESOURCE_LIST:name;
-const unique T._CM_RESOURCE_LIST:name;
-const unique T._COMPRESSED_DATA_INFO:name;
-const unique T._DEVICE_CAPABILITIES:name;
-const unique T._DEVICE_EXTENSION:name;
-const unique T._DEVICE_OBJECT:name;
-const unique T._DEVICE_POWER_STATE:name;
-const unique T._DEVICE_RELATION_TYPE:name;
-const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
-const unique T._DEVOBJ_EXTENSION:name;
-const unique T._DISPATCHER_HEADER:name;
-const unique T._DRIVER_EXTENSION:name;
-const unique T._DRIVER_OBJECT:name;
-const unique T._EPROCESS:name;
-const unique T._ERESOURCE:name;
-const unique T._ETHREAD:name;
-const unique T._FAST_IO_DISPATCH:name;
-const unique T._FAST_MUTEX:name;
-const unique T._FILE_BASIC_INFORMATION:name;
-const unique T._FILE_GET_QUOTA_INFORMATION:name;
-const unique T._FILE_INFORMATION_CLASS:name;
-const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
-const unique T._FILE_OBJECT:name;
-const unique T._FILE_STANDARD_INFORMATION:name;
-const unique T._FSINFOCLASS:name;
-const unique T._GLOBALS:name;
-const unique T._GUID:name;
-const unique T._INITIAL_PRIVILEGE_SET:name;
-const unique T._INTERFACE:name;
-const unique T._INTERFACE_TYPE:name;
-const unique T._IO_ALLOCATION_ACTION:name;
-const unique T._IO_COMPLETION_CONTEXT:name;
-const unique T._IO_REMOVE_LOCK:name;
-const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
-const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
-const unique T._IO_RESOURCE_DESCRIPTOR:name;
-const unique T._IO_RESOURCE_LIST:name;
-const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
-const unique T._IO_SECURITY_CONTEXT:name;
-const unique T._IO_STACK_LOCATION:name;
-const unique T._IO_STATUS_BLOCK:name;
-const unique T._IO_TIMER:name;
-const unique T._IRP:name;
-const unique T._IRQ_DEVICE_POLICY:name;
-const unique T._IRQ_PRIORITY:name;
-const unique T._KAPC:name;
-const unique T._KDEVICE_QUEUE:name;
-const unique T._KDEVICE_QUEUE_ENTRY:name;
-const unique T._KDPC:name;
-const unique T._KEVENT:name;
-const unique T._KSEMAPHORE:name;
-const unique T._KTHREAD:name;
-const unique T._LARGE_INTEGER:name;
-const unique T._LIST_ENTRY:name;
-const unique T._LUID:name;
-const unique T._LUID_AND_ATTRIBUTES:name;
-const unique T._MDL:name;
-const unique T._MOUSE_ATTRIBUTES:name;
-const unique T._MOUSE_INPUT_DATA:name;
-const unique T._OWNER_ENTRY:name;
-const unique T._PORT:name;
-const unique T._POWER_SEQUENCE:name;
-const unique T._POWER_STATE:name;
-const unique T._POWER_STATE_TYPE:name;
-const unique T._PRIVILEGE_SET:name;
-const unique T._SCSI_REQUEST_BLOCK:name;
-const unique T._SECTION_OBJECT_POINTERS:name;
-const unique T._SECURITY_IMPERSONATION_LEVEL:name;
-const unique T._SECURITY_QUALITY_OF_SERVICE:name;
-const unique T._SECURITY_SUBJECT_CONTEXT:name;
-const unique T._SYSTEM_POWER_STATE:name;
-const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
-const unique T._UNICODE_STRING:name;
-const unique T._VPB:name;
-const unique T._WAIT_CONTEXT_BLOCK:name;
-const unique T._WMILIB_CONTEXT:name;
-const unique T.__unnamed_12_06b9ee6e:name;
-const unique T.__unnamed_12_0882bd02:name;
-const unique T.__unnamed_12_264d0dab:name;
-const unique T.__unnamed_12_2e80217b:name;
-const unique T.__unnamed_12_5cc7ace2:name;
-const unique T.__unnamed_12_6374506e:name;
-const unique T.__unnamed_12_68a4278e:name;
-const unique T.__unnamed_12_79ed2653:name;
-const unique T.__unnamed_12_7da594c0:name;
-const unique T.__unnamed_12_9873e05d:name;
-const unique T.__unnamed_12_9cc8cebc:name;
-const unique T.__unnamed_12_b98da82e:name;
-const unique T.__unnamed_12_c2880e88:name;
-const unique T.__unnamed_12_c49ab31a:name;
-const unique T.__unnamed_12_c6ed93f3:name;
-const unique T.__unnamed_12_ced61554:name;
-const unique T.__unnamed_12_d9c44df5:name;
-const unique T.__unnamed_12_db3dcbfc:name;
-const unique T.__unnamed_12_fb26b3fc:name;
-const unique T.__unnamed_16_22e4d054:name;
-const unique T.__unnamed_16_39b626ad:name;
-const unique T.__unnamed_16_56c011d7:name;
-const unique T.__unnamed_16_5fed8f23:name;
-const unique T.__unnamed_16_6be9abe0:name;
-const unique T.__unnamed_16_78879a38:name;
-const unique T.__unnamed_16_804a2f24:name;
-const unique T.__unnamed_16_8586693f:name;
-const unique T.__unnamed_16_8831e65f:name;
-const unique T.__unnamed_16_8c2d663a:name;
-const unique T.__unnamed_16_913b9a7a:name;
-const unique T.__unnamed_16_94d1d1c7:name;
-const unique T.__unnamed_16_a2fab4da:name;
-const unique T.__unnamed_16_ae643f17:name;
-const unique T.__unnamed_16_c1b29316:name;
-const unique T.__unnamed_16_cbd53ed4:name;
-const unique T.__unnamed_16_db70db6e:name;
-const unique T.__unnamed_16_ef4b6307:name;
-const unique T.__unnamed_16_fdda1f62:name;
-const unique T.__unnamed_1_1394de4b:name;
-const unique T.__unnamed_1_2bb39c56:name;
-const unique T.__unnamed_1_9fa0583a:name;
-const unique T.__unnamed_1_e30779f5:name;
-const unique T.__unnamed_20_83d468e4:name;
-const unique T.__unnamed_24_035931da:name;
-const unique T.__unnamed_24_38e128db:name;
-const unique T.__unnamed_24_9500ea34:name;
-const unique T.__unnamed_24_9734802c:name;
-const unique T.__unnamed_24_af62813f:name;
-const unique T.__unnamed_24_c0555099:name;
-const unique T.__unnamed_24_d7c4ec3a:name;
-const unique T.__unnamed_2_196a7f56:name;
-const unique T.__unnamed_40_a0414182:name;
-const unique T.__unnamed_40_d90496f4:name;
-const unique T.__unnamed_44_a7026dca:name;
-const unique T.__unnamed_48_c1da9fa5:name;
-const unique T.__unnamed_4_0510b147:name;
-const unique T.__unnamed_4_0a569078:name;
-const unique T.__unnamed_4_16aff58e:name;
-const unique T.__unnamed_4_40bf8e34:name;
-const unique T.__unnamed_4_46b62f69:name;
-const unique T.__unnamed_4_73d46255:name;
-const unique T.__unnamed_4_765e3037:name;
-const unique T.__unnamed_4_846adf3f:name;
-const unique T.__unnamed_4_8dd73d30:name;
-const unique T.__unnamed_4_957e0d74:name;
-const unique T.__unnamed_4_9c11ed91:name;
-const unique T.__unnamed_4_a58d40c8:name;
-const unique T.__unnamed_4_a7aa989c:name;
-const unique T.__unnamed_4_a7d0864c:name;
-const unique T.__unnamed_4_aa20b426:name;
-const unique T.__unnamed_4_ab87ddfd:name;
-const unique T.__unnamed_4_b016b1e1:name;
-const unique T.__unnamed_4_b060dea6:name;
-const unique T.__unnamed_4_b4f5a780:name;
-const unique T.__unnamed_4_b5247f10:name;
-const unique T.__unnamed_4_c1e23b02:name;
-const unique T.__unnamed_4_c9b2e921:name;
-const unique T.__unnamed_4_fa7b96a7:name;
-const unique T.__unnamed_8_09ad2712:name;
-const unique T.__unnamed_8_21ac1dba:name;
-const unique T.__unnamed_8_27d3ab76:name;
-const unique T.__unnamed_8_4289df81:name;
-const unique T.__unnamed_8_47b72724:name;
-const unique T.__unnamed_8_4b3e3ba3:name;
-const unique T.__unnamed_8_4f695993:name;
-const unique T.__unnamed_8_5cfb6ca4:name;
-const unique T.__unnamed_8_606438c5:name;
-const unique T.__unnamed_8_6ad774c0:name;
-const unique T.__unnamed_8_805045cb:name;
-const unique T.__unnamed_8_8684a3e7:name;
-const unique T.__unnamed_8_8cc410da:name;
-const unique T.__unnamed_8_a47253e0:name;
-const unique T.__unnamed_8_bbd07f6c:name;
-const unique T.__unnamed_8_c9ca8234:name;
-
-function AssocClassList__GLOBALS(int) returns (int);
-function AssocClassList__GLOBALSInv(int) returns (int);
-function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
-function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
-function Buffer__UNICODE_STRING(int) returns (int);
-function Buffer__UNICODE_STRINGInv(int) returns (int);
-function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
-function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
-
-axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
-axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
-function DataIn__DEVICE_EXTENSION(int) returns (int);
-function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
-axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
-function DataOut__DEVICE_EXTENSION(int) returns (int);
-function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
-function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
-function Enabled__DEVICE_EXTENSION(int) returns (int);
-function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 264);
-axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 264);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == Enabled__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == Enabled__DEVICE_EXTENSIONInv(x));
-function Enabled__PORT(int) returns (int);
-function Enabled__PORTInv(int) returns (int);
-function _S_Enabled__PORT([int]bool) returns ([int]bool);
-function _S_Enabled__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Enabled__PORTInv(Enabled__PORT(x))} Enabled__PORTInv(Enabled__PORT(x)) == x);
-axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORT(Enabled__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORT(S)[x]} _S_Enabled__PORT(S)[x] <==> S[Enabled__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORTInv(S)[x]} _S_Enabled__PORTInv(S)[x] <==> S[Enabled__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORT(S)} S[x] ==> _S_Enabled__PORT(S)[Enabled__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORTInv(S)} S[x] ==> _S_Enabled__PORTInv(S)[Enabled__PORTInv(x)]);
-
-axiom (forall x:int :: {Enabled__PORT(x)} Enabled__PORT(x) == x + 8);
-axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORTInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == Enabled__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == Enabled__PORTInv(x));
-function File__DEVICE_EXTENSION(int) returns (int);
-function File__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
-axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
-function File__PORT(int) returns (int);
-function File__PORTInv(int) returns (int);
-function _S_File__PORT([int]bool) returns ([int]bool);
-function _S_File__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
-
-axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
-axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
-function Flink__LIST_ENTRY(int) returns (int);
-function Flink__LIST_ENTRYInv(int) returns (int);
-function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
-function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
-
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
-function Free__PORT(int) returns (int);
-function Free__PORTInv(int) returns (int);
-function _S_Free__PORT([int]bool) returns ([int]bool);
-function _S_Free__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
-
-axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
-axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
-function GrandMaster__GLOBALS(int) returns (int);
-function GrandMaster__GLOBALSInv(int) returns (int);
-function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
-function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
-function InputData__DEVICE_EXTENSION(int) returns (int);
-function InputData__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
-axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
-function LegacyDeviceList__GLOBALS(int) returns (int);
-function LegacyDeviceList__GLOBALSInv(int) returns (int);
-function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
-function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
-axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
-function Link__DEVICE_EXTENSION(int) returns (int);
-function Link__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
-axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
-function NumAssocClass__GLOBALS(int) returns (int);
-function NumAssocClass__GLOBALSInv(int) returns (int);
-function _S_NumAssocClass__GLOBALS([int]bool) returns ([int]bool);
-function _S_NumAssocClass__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x))} NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x)) == x);
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALS(NumAssocClass__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALS(S)[x]} _S_NumAssocClass__GLOBALS(S)[x] <==> S[NumAssocClass__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALSInv(S)[x]} _S_NumAssocClass__GLOBALSInv(S)[x] <==> S[NumAssocClass__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALS(S)} S[x] ==> _S_NumAssocClass__GLOBALS(S)[NumAssocClass__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALSInv(S)} S[x] ==> _S_NumAssocClass__GLOBALSInv(S)[NumAssocClass__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {NumAssocClass__GLOBALS(x)} NumAssocClass__GLOBALS(x) == x + 12);
-axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALSInv(x) == x - 12);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == NumAssocClass__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == NumAssocClass__GLOBALSInv(x));
-function PnP__DEVICE_EXTENSION(int) returns (int);
-function PnP__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
-axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
-function Port__PORT(int) returns (int);
-function Port__PORTInv(int) returns (int);
-function _S_Port__PORT([int]bool) returns ([int]bool);
-function _S_Port__PORTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
-
-axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
-axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
-function RegistryPath__GLOBALS(int) returns (int);
-function RegistryPath__GLOBALSInv(int) returns (int);
-function _S_RegistryPath__GLOBALS([int]bool) returns ([int]bool);
-function _S_RegistryPath__GLOBALSInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x))} RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x)) == x);
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALS(RegistryPath__GLOBALSInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALS(S)[x]} _S_RegistryPath__GLOBALS(S)[x] <==> S[RegistryPath__GLOBALSInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALSInv(S)[x]} _S_RegistryPath__GLOBALSInv(S)[x] <==> S[RegistryPath__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALS(S)} S[x] ==> _S_RegistryPath__GLOBALS(S)[RegistryPath__GLOBALS(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALSInv(S)} S[x] ==> _S_RegistryPath__GLOBALSInv(S)[RegistryPath__GLOBALSInv(x)]);
-
-axiom (forall x:int :: {RegistryPath__GLOBALS(x)} RegistryPath__GLOBALS(x) == x + 336);
-axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALSInv(x) == x - 336);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 336, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 336, 1) == RegistryPath__GLOBALSInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 336)} MINUS_LEFT_PTR(x, 1, 336) == RegistryPath__GLOBALSInv(x));
-function Self__DEVICE_EXTENSION(int) returns (int);
-function Self__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
-function SpinLock__DEVICE_EXTENSION(int) returns (int);
-function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
-axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
-function StackSize__DEVICE_OBJECT(int) returns (int);
-function StackSize__DEVICE_OBJECTInv(int) returns (int);
-function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
-function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
-
-axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
-axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
-function Started__DEVICE_EXTENSION(int) returns (int);
-function Started__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
-axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
-function TopPort__DEVICE_EXTENSION(int) returns (int);
-function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
-axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
-function UnitId__DEVICE_EXTENSION(int) returns (int);
-function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
-axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
-function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
-function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
-function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
-
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
-axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
-
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
-axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
-axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure nondet_choice() returns (x:int);
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-var Res_DEVICE_STACK:[int]int;
-var Res_DEV_EXTN:[int]int;
-var Res_DEV_OBJ_INIT:[int]int;
-var Res_SPIN_LOCK:[int]int;
-
-
-
-////////////////////
-// Between predicate
-////////////////////
-function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
-function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
-
-
-//////////////////////////
-// Between set constructor
-//////////////////////////
-function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
-
-////////////////////////////////////////////////////
-// axioms relating ReachBetween and ReachBetweenSet
-////////////////////////////////////////////////////
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
-axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
-
-
-//////////////////////////
-// Axioms for ReachBetween
-//////////////////////////
-
-// reflexive
-axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
-
-// step
-//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
-axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
-
-// reach
-axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
-
-// cycle
-axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
-
-// sandwich
-axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
-
-// order1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
-
-// order2
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
-
-// transitive1
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
-
-// transitive2
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
-
-// transitive3
-axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
-
-// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
-// It cannot be proved using the rest of the axioms.
-axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
-
-// relation between ReachAvoiding and ReachBetween
-axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
-
-// update
-axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
- ///////////////////////////////
- // Shifts for linking fields
- ///////////////////////////////
-function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
-axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
-axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
-
-const unique Globals : int;
-axiom(Globals != 0);
-
-
-procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoAllocateIrp($StackSize$1$20453.15$IoAllocateIrp$81:int, $ChargeQuota$2$20454.17$IoAllocateIrp$81:int) returns ($result.IoAllocateIrp$20452.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
-requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
-//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
-ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
-//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
-ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
-//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
-ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
-//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure IoFreeIrp($Irp$1$21417.14$IoFreeIrp$41:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouEnableDisablePort($EnableFlag$1$504.15$MouEnableDisablePort$161:int, $Irp$2$505.15$MouEnableDisablePort$161:int, $Port$3$506.25$MouEnableDisablePort$161:int, $File$4$507.22$MouEnableDisablePort$161:int) returns ($result.MouEnableDisablePort$503.0$1$:int);
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseClassCleanupQueue($DeviceObject$1$1052.28$MouseClassCleanupQueue$121:int, $DeviceExtension$2$1053.28$MouseClassCleanupQueue$121:int, $FileObject$3$1054.28$MouseClassCleanupQueue$121:int);
-
-//TAG: requires __resource("DEV_EXTN", DeviceExtension) == 1
-requires(Res_DEV_EXTN[$DeviceExtension$2$1053.28$MouseClassCleanupQueue$121] == 1);
-//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->WaitWakeSpinLock) == 0
-requires(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
-//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->SpinLock) == 0
-requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires __resource("DEV_OBJ_INIT", DeviceObject) == 1
-requires(Res_DEV_OBJ_INIT[$DeviceObject$1$1052.28$MouseClassCleanupQueue$121] == 1);
-//TAG: ensures __resource("DEV_EXTN", DeviceExtension) == 1
-ensures(Res_DEV_EXTN[$DeviceExtension$2$1053.28$MouseClassCleanupQueue$121] == 1);
-//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->WaitWakeSpinLock) == 0
-ensures(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
-//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->SpinLock) == 0
-ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
-ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
-//TAG: ensures __preserves_resource("DEV_EXTN")
-ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
-//TAG: ensures __resource("DEV_OBJ_INIT", DeviceObject) == 1
-ensures(Res_DEV_OBJ_INIT[$DeviceObject$1$1052.28$MouseClassCleanupQueue$121] == 1);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure RemoveEntryList($Entry$1$6929.19$RemoveEntryList$41:int) returns ($result.RemoveEntryList$6928.0$1$:int);
-
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __setminus(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList)), __set(Entry)))
-ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41))) && Subset(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)))));
-//TAG: ensures Entry->Flink == __old(Entry->Flink)
-ensures(Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)] == old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, __set_empty
-ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure __PREfastPagedCode();
-
-//TAG: ensures __preserves_mem
-ensures(Mem == old(Mem));
-//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
-ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
-
-//TAG: havoc memory locations by default
-modifies Mem;
-
-
-procedure MouseClassUnload($DriverObject$1$2789.24$MouseClassUnload$41:int)
-
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-modifies alloc;
-free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Res_DEVICE_STACK;
-
-//TAG: net change in resource DEVICE_STACK only for:
-modifies Res_DEV_EXTN;
-
-//TAG: net change in resource DEV_EXTN only for:
-modifies Res_DEV_OBJ_INIT;
-
-//TAG: net change in resource DEV_OBJ_INIT only for:
-modifies Res_SPIN_LOCK;
-
-//TAG: net change in resource SPIN_LOCK only for:
-
-//TAG: havoc memory locations by default
-modifies Mem;
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $DriverObject$1$2789.24$MouseClassUnload$4 : int;
-var $IoAllocateIrp.arg.1$9$ : int;
-var $MouDebugPrint.arg.2$1$ : int;
-var $MouDebugPrint.arg.2$19$ : int;
-var $RtlAssert.arg.1$14$ : int;
-var $RtlAssert.arg.1$16$ : int;
-var $RtlAssert.arg.1$18$ : int;
-var $RtlAssert.arg.1$3$ : int;
-var $RtlAssert.arg.1$5$ : int;
-var $RtlAssert.arg.1$7$ : int;
-var $RtlAssert.arg.2$13$ : int;
-var $RtlAssert.arg.2$15$ : int;
-var $RtlAssert.arg.2$17$ : int;
-var $RtlAssert.arg.2$2$ : int;
-var $RtlAssert.arg.2$4$ : int;
-var $RtlAssert.arg.2$6$ : int;
-var $data$3$2812.22$MouseClassUnload$4 : int;
-var $enabled$6$2829.16$MouseClassUnload$4 : int;
-var $entry$2$2811.16$MouseClassUnload$4 : int;
-var $file$7$2830.21$MouseClassUnload$4 : int;
-var $i$8$2898.14$MouseClassUnload$4 : int;
-var $irp$5$2814.9$MouseClassUnload$4 : int;
-var $port$4$2813.10$MouseClassUnload$4 : int;
-var $result.IoAllocateIrp$2854.31$8$ : int;
-var $result.MouEnableDisablePort$2856.37$10$ : int;
-var $result.ObfDereferenceObject$2867.12$11$ : int;
-var $result.RemoveEntryList$2878.24$12$ : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-var LOOP_15_alloc:[int]name;
-var LOOP_15_Mem:[name][int]int;
-var LOOP_15_Res_DEVICE_STACK:[int]int;
-var LOOP_15_Res_DEV_EXTN:[int]int;
-var LOOP_15_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_15_Res_SPIN_LOCK:[int]int;
-var LOOP_108_alloc:[int]name;
-var LOOP_108_Mem:[name][int]int;
-var LOOP_108_Res_DEVICE_STACK:[int]int;
-var LOOP_108_Res_DEV_EXTN:[int]int;
-var LOOP_108_Res_DEV_OBJ_INIT:[int]int;
-var LOOP_108_Res_SPIN_LOCK:[int]int;
-
-
-start:
-
-assume (alloc[$DriverObject$1$2789.24$MouseClassUnload$41] != UNALLOCATED);
-call $file$7$2830.21$MouseClassUnload$4 := __HAVOC_malloc(4);
-$DriverObject$1$2789.24$MouseClassUnload$4 := $DriverObject$1$2789.24$MouseClassUnload$41;
-goto label_3;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2911)
-label_1:
-call __HAVOC_free($file$7$2830.21$MouseClassUnload$4);
-assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
-assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
-assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
-assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
-assume (forall m:int :: {Mem[T.A11CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A11CHAR][m] == old(Mem[T.A11CHAR])[m]);
-assume (forall m:int :: {Mem[T.A19CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A19CHAR][m] == old(Mem[T.A19CHAR])[m]);
-assume (forall m:int :: {Mem[T.A33CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A33CHAR][m] == old(Mem[T.A33CHAR])[m]);
-assume (forall m:int :: {Mem[T.A34CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A34CHAR][m] == old(Mem[T.A34CHAR])[m]);
-assume (forall m:int :: {Mem[T.A39CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A39CHAR][m] == old(Mem[T.A39CHAR])[m]);
-assume (forall m:int :: {Mem[T.A43CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A43CHAR][m] == old(Mem[T.A43CHAR])[m]);
-assume (forall m:int :: {Mem[T.A74CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A74CHAR][m] == old(Mem[T.A74CHAR])[m]);
-assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
-assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
-assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Enabled__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__PORT][m] == old(Mem[T.Enabled__PORT])[m]);
-assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
-assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
-assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
-assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
-assume (forall m:int :: {Mem[T.NumAssocClass__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumAssocClass__GLOBALS][m] == old(Mem[T.NumAssocClass__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
-assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
-assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.P_IRP][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IRP][m] == old(Mem[T.P_IRP])[m]);
-assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
-assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
-assume (forall m:int :: {Mem[T.P_PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_PORT][m] == old(Mem[T.P_PORT])[m]);
-assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
-assume (forall m:int :: {Mem[T.RegistryPath__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RegistryPath__GLOBALS][m] == old(Mem[T.RegistryPath__GLOBALS])[m]);
-assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
-assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
-assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
-assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
-assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2911)
-label_2:
-assume false;
-return;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2811)
-label_3:
-goto label_4;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2812)
-label_4:
-goto label_5;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2813)
-label_5:
-goto label_6;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2814)
-label_6:
-goto label_7;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2818)
-label_7:
-call __PREfastPagedCode ();
-goto label_13;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2820)
-label_10:
-// skip MouDebugPrint
-goto label_14;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2820)
-label_13:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$1$ := havoc_stringTemp ;
-goto label_10;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2825)
-label_14:
-$entry$2$2811.16$MouseClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))] ;
-goto label_15;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2826)
-label_15:
-// loop entry initialization...
-LOOP_15_alloc := alloc;
-LOOP_15_Mem := Mem;
-LOOP_15_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_15_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_15_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_15_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_15_head;
-
-
-label_15_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
-assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
-//TAG: requires __setin(entry, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[$entry$2$2811.16$MouseClassUnload$4]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_15_alloc[Base(f)] == UNALLOCATED || LOOP_15_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_15_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
-assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_15_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_15_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_15_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_15_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_15_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_15_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_15_true , label_15_false ;
-
-
-label_15_true :
-assume ($entry$2$2811.16$MouseClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
-goto label_16;
-
-
-label_15_false :
-assume !($entry$2$2811.16$MouseClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
-goto label_85;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2829)
-label_16:
-goto label_17;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2829)
-label_17:
-$enabled$6$2829.16$MouseClassUnload$4 := 0 ;
-goto label_18;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2830)
-label_18:
-goto label_19;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2830)
-label_19:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := 0];
-goto label_20;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2832)
-label_20:
-$data$3$2812.22$MouseClassUnload$4 := MINUS_LEFT_PTR($entry$2$2811.16$MouseClassUnload$4, 1, 252) ;
-goto label_21;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
-label_21:
-goto label_21_true , label_21_false ;
-
-
-label_21_true :
-assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
-goto label_25;
-
-
-label_21_false :
-assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
-label_22:
-// skip RtlAssert
-goto label_27;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
-label_25:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$2$ := havoc_stringTemp ;
-goto label_26;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
-label_26:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$3$ := havoc_stringTemp ;
-goto label_22;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2835)
-label_27:
-goto label_27_true , label_27_false ;
-
-
-label_27_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_28;
-
-
-label_27_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_40;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2836)
-label_28:
-$port$4$2813.10$MouseClassUnload$4 := PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]) ;
-goto label_29;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
-label_29:
-goto label_29_true , label_29_false ;
-
-
-label_29_true :
-assume (Mem[T.Port__PORT][Port__PORT($port$4$2813.10$MouseClassUnload$4)] == $data$3$2812.22$MouseClassUnload$4);
-goto label_35;
-
-
-label_29_false :
-assume !(Mem[T.Port__PORT][Port__PORT($port$4$2813.10$MouseClassUnload$4)] == $data$3$2812.22$MouseClassUnload$4);
-goto label_33;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
-label_30:
-// skip RtlAssert
-goto label_35;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
-label_33:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$4$ := havoc_stringTemp ;
-goto label_34;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
-label_34:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$5$ := havoc_stringTemp ;
-goto label_30;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2839)
-label_35:
-$enabled$6$2829.16$MouseClassUnload$4 := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2813.10$MouseClassUnload$4)] ;
-goto label_36;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2840)
-label_36:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := Mem[T.File__PORT][File__PORT($port$4$2813.10$MouseClassUnload$4)]];
-goto label_37;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2842)
-label_37:
-Mem[T.Enabled__PORT] := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2813.10$MouseClassUnload$4) := 0];
-goto label_38;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2843)
-label_38:
-Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT($port$4$2813.10$MouseClassUnload$4) := 0];
-goto label_39;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2844)
-label_39:
-Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT($port$4$2813.10$MouseClassUnload$4) := 1];
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2847)
-label_40:
-$enabled$6$2829.16$MouseClassUnload$4 := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] ;
-goto label_41;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2848)
-label_41:
-Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
-goto label_42;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
-label_42:
-goto label_42_true , label_42_false ;
-
-
-label_42_true :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
-goto label_48;
-
-
-label_42_false :
-assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
-goto label_46;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
-label_43:
-// skip RtlAssert
-goto label_48;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
-label_46:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$6$ := havoc_stringTemp ;
-goto label_47;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
-label_47:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$7$ := havoc_stringTemp ;
-goto label_43;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2850)
-label_48:
-Mem[T.Enabled__DEVICE_EXTENSION] := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
-goto label_49;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2853)
-label_49:
-goto label_49_true , label_49_false ;
-
-
-label_49_true :
-assume ($enabled$6$2829.16$MouseClassUnload$4 != 0);
-goto label_53;
-
-
-label_49_false :
-assume ($enabled$6$2829.16$MouseClassUnload$4 == 0);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
-label_50:
-call $result.IoAllocateIrp$2854.31$8$ := IoAllocateIrp ($IoAllocateIrp.arg.1$9$, 0);
-goto label_54;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
-label_53:
-$IoAllocateIrp.arg.1$9$ := PLUS(Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)])], 1, 1) ;
-goto label_50;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
-label_54:
-$irp$5$2814.9$MouseClassUnload$4 := $result.IoAllocateIrp$2854.31$8$ ;
-goto label_55;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2855)
-label_55:
-goto label_55_true , label_55_false ;
-
-
-label_55_true :
-assume ($irp$5$2814.9$MouseClassUnload$4 != 0);
-goto label_56;
-
-
-label_55_false :
-assume ($irp$5$2814.9$MouseClassUnload$4 == 0);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2856)
-label_56:
-call $result.MouEnableDisablePort$2856.37$10$ := MouEnableDisablePort (0, $irp$5$2814.9$MouseClassUnload$4, $data$3$2812.22$MouseClassUnload$4, $file$7$2830.21$MouseClassUnload$4);
-goto label_59;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2857)
-label_59:
-call IoFreeIrp ($irp$5$2814.9$MouseClassUnload$4);
-goto label_62;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2866)
-label_62:
-goto label_62_true , label_62_false ;
-
-
-label_62_true :
-assume (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4] != 0);
-goto label_63;
-
-
-label_62_false :
-assume (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4] == 0);
-goto label_66;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2867)
-label_63:
-call $result.ObfDereferenceObject$2867.12$11$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4]);
-goto label_66;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2874)
-label_66:
-goto label_66_true , label_66_false ;
-
-
-label_66_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_70;
-
-
-label_66_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_67;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2875)
-label_67:
-call MouseClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], $data$3$2812.22$MouseClassUnload$4, 0);
-goto label_70;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2878)
-label_70:
-call $result.RemoveEntryList$2878.24$12$ := RemoveEntryList (Link__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4));
-goto label_73;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2879)
-label_73:
-$entry$2$2811.16$MouseClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($entry$2$2811.16$MouseClassUnload$4)] ;
-goto label_74;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_74:
-goto label_74_true , label_74_false ;
-
-
-label_74_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
-goto label_75;
-
-
-label_74_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_75:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], 0);
-goto label_78;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_78:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
-goto label_79;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_79:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
-goto label_80;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_80:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
-goto label_81;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_81:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]);
-goto label_84;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
-label_84:
-$data$3$2812.22$MouseClassUnload$4 := 0 ;
-goto label_15_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2887)
-label_85:
-goto label_85_true , label_85_false ;
-
-
-label_85_true :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
-goto label_86;
-
-
-label_85_false :
-assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2888)
-label_86:
-$data$3$2812.22$MouseClassUnload$4 := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] ;
-goto label_87;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2889)
-label_87:
-Mem[T.GrandMaster__GLOBALS] := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals) := 0];
-goto label_88;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2891)
-label_88:
-call MouseClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], $data$3$2812.22$MouseClassUnload$4, 0);
-goto label_91;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_91:
-goto label_91_true , label_91_false ;
-
-
-label_91_true :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
-goto label_92;
-
-
-label_91_false :
-assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
-goto label_98;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_92:
-call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], 0);
-goto label_95;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_95:
-Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
-goto label_96;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_96:
-Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
-goto label_97;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_97:
-Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
-goto label_98;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_98:
-call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]);
-goto label_101;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
-label_101:
-$data$3$2812.22$MouseClassUnload$4 := 0 ;
-goto label_102;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2895)
-label_102:
-call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(RegistryPath__GLOBALS(Globals))], 0);
-goto label_105;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2896)
-label_105:
-goto label_105_true , label_105_false ;
-
-
-label_105_true :
-assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] != 0);
-goto label_106;
-
-
-label_105_false :
-assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] == 0);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2898)
-label_106:
-goto label_107;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
-label_107:
-$i$8$2898.14$MouseClassUnload$4 := 0 ;
-goto label_108;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
-label_108:
-// loop entry initialization...
-LOOP_108_alloc := alloc;
-LOOP_108_Mem := Mem;
-LOOP_108_Res_DEVICE_STACK := Res_DEVICE_STACK;
-LOOP_108_Res_DEV_EXTN := Res_DEV_EXTN;
-LOOP_108_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
-LOOP_108_Res_SPIN_LOCK := Res_SPIN_LOCK;
-goto label_108_head;
-
-
-label_108_head:
-// loop head assertions...
-//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
-assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
-//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
-assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
-//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
-assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
-//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
-assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
-//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
-assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
-//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
-assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
-//TAG: requires __preserves_resource("DEV_OBJ_INIT")
-assert(Res_DEV_OBJ_INIT == LOOP_108_Res_DEV_OBJ_INIT);
-//TAG: requires __preserves_resource("DEV_EXTN")
-assert(Res_DEV_EXTN == LOOP_108_Res_DEV_EXTN);
-//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
-assert(Mem[T.Flink__LIST_ENTRY] == LOOP_108_Mem[T.Flink__LIST_ENTRY]);
-assume(forall f:int :: {alloc[Base(f)]} LOOP_108_alloc[Base(f)] == UNALLOCATED || LOOP_108_alloc[Base(f)] == alloc[Base(f)]);
-
-
-//TAG: net change in resource DEVICE_STACK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_108_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
-
-//TAG: net change in resource DEV_EXTN only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_108_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
-
-//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_108_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
-
-//TAG: net change in resource SPIN_LOCK only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_108_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
-//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_108_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
-//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_108_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
-//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_108_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
-//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Self__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Started__DEVICE_EXTENSION][_m]));
-//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_108_Mem[T.GrandMaster__GLOBALS][_m]));
-//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
-assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_108_Mem[T.P_DEVICE_OBJECT][_m]));
-
-// end loop head assertions
-
-goto label_108_true , label_108_false ;
-
-
-label_108_true :
-assume ($i$8$2898.14$MouseClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
-goto label_109;
-
-
-label_108_false :
-assume !($i$8$2898.14$MouseClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
-goto label_128;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
-label_109:
-goto label_109_true , label_109_false ;
-
-
-label_109_true :
-assume (Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 1);
-goto label_115;
-
-
-label_109_false :
-assume !(Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 1);
-goto label_113;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
-label_110:
-// skip RtlAssert
-goto label_115;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
-label_113:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$13$ := havoc_stringTemp ;
-goto label_114;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
-label_114:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$14$ := havoc_stringTemp ;
-goto label_110;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
-label_115:
-goto label_115_true , label_115_false ;
-
-
-label_115_true :
-assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] != 0);
-goto label_119;
-
-
-label_115_false :
-assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 0);
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
-label_116:
-// skip RtlAssert
-goto label_121;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
-label_119:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$15$ := havoc_stringTemp ;
-goto label_120;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
-label_120:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$16$ := havoc_stringTemp ;
-goto label_116;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
-label_121:
-goto label_121_true , label_121_false ;
-
-
-label_121_true :
-assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] != 0);
-goto label_125;
-
-
-label_121_false :
-assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 0);
-goto label_127;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
-label_122:
-// skip RtlAssert
-goto label_127;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
-label_125:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.2$17$ := havoc_stringTemp ;
-goto label_126;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
-label_126:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$RtlAssert.arg.1$18$ := havoc_stringTemp ;
-goto label_122;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
-label_127:
-$i$8$2898.14$MouseClassUnload$4 := PLUS($i$8$2898.14$MouseClassUnload$4, 1, 1) ;
-goto label_108_head;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2907)
-label_128:
-call ExFreePoolWithTag (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 0);
-goto label_134;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2910)
-label_131:
-// skip MouDebugPrint
-goto label_1;
-
-
-// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2910)
-label_134:
-call havoc_stringTemp := __HAVOC_malloc(1);
-$MouDebugPrint.arg.2$19$ := havoc_stringTemp ;
-goto label_131;
-
-}
-
+// RUN: %boogie -monomorphize "%s" > "%t"
+// RUN: %diff success.expect "%t"
+type byte, name;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function SetTrue() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int :: SetTrue()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]}{Union(S,T),S[x]}{Union(S,T),T[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]}{Intersection(S,T),S[x]}{Intersection(S,T),T[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]}{Difference(S,T),S[x]}{Difference(S,T),T[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Subset(S,T)}{T[x],Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x],Disjoint(S,T)}{T[x],Disjoint(S,T)} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f,y), f[x]} Inverse(f,y)[x] ==> f[x] == y);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name, m:[name][int]int) returns (bool);
+function Values(t:name, m:[name][int]int) returns ([int]bool);
+function T.Ptr(t:name) returns (name);
+
+axiom(forall v:int, t:name, m:[name][int]int :: {Values(t, m)[v]} Values(t, m)[v] ==> HasType(v, t, m));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, t, m), Values(t, m)} HasType(v, t, m) ==> Values(t, m)[v]);
+
+axiom(forall a:int, t:name :: {Match(a, T.Ptr(t))} Match(a, T.Ptr(t)) <==> Field(a) == T.Ptr(t));
+axiom(forall v:int, t:name, m:[name][int]int :: {HasType(v, T.Ptr(t), m)} HasType(v, T.Ptr(t), m) <==> (v == 0 || (v > 0 && Match(v, t))));
+
+axiom(forall v:int, t:name, m1:[name][int]int, m2:[name][int]int :: {HasType(v, t, m1), HasType(v, t, m2)}
+ (HasType(v, t, m1) <==> HasType(v, t, m2)));
+
+// Field declarations
+
+const unique T.Guid_WMIGUIDREGINFO:name;
+const unique T.InstanceCount_WMIGUIDREGINFO:name;
+const unique T.Flags_WMIGUIDREGINFO:name;
+const unique T.OperationID__ACCESS_STATE:name;
+const unique T.SecurityEvaluated__ACCESS_STATE:name;
+const unique T.GenerateAudit__ACCESS_STATE:name;
+const unique T.GenerateOnClose__ACCESS_STATE:name;
+const unique T.PrivilegesAllocated__ACCESS_STATE:name;
+const unique T.Flags__ACCESS_STATE:name;
+const unique T.RemainingDesiredAccess__ACCESS_STATE:name;
+const unique T.PreviouslyGrantedAccess__ACCESS_STATE:name;
+const unique T.OriginalDesiredAccess__ACCESS_STATE:name;
+const unique T.SubjectSecurityContext__ACCESS_STATE:name;
+const unique T.SecurityDescriptor__ACCESS_STATE:name;
+const unique T.AuxData__ACCESS_STATE:name;
+const unique T.Privileges__ACCESS_STATE:name;
+const unique T.AuditPrivileges__ACCESS_STATE:name;
+const unique T.ObjectName__ACCESS_STATE:name;
+const unique T.ObjectTypeName__ACCESS_STATE:name;
+const unique T.InterfaceType__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.BusNumber__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.PartialResourceList__CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.u__CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Revision__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.PartialDescriptors__CM_PARTIAL_RESOURCE_LIST:name;
+const unique T.Count__CM_RESOURCE_LIST:name;
+const unique T.List__CM_RESOURCE_LIST:name;
+const unique T.Size__DEVICE_CAPABILITIES:name;
+const unique T.Version__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD1__DEVICE_CAPABILITIES:name;
+const unique T.DeviceD2__DEVICE_CAPABILITIES:name;
+const unique T.LockSupported__DEVICE_CAPABILITIES:name;
+const unique T.EjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.Removable__DEVICE_CAPABILITIES:name;
+const unique T.DockDevice__DEVICE_CAPABILITIES:name;
+const unique T.UniqueID__DEVICE_CAPABILITIES:name;
+const unique T.SilentInstall__DEVICE_CAPABILITIES:name;
+const unique T.RawDeviceOK__DEVICE_CAPABILITIES:name;
+const unique T.SurpriseRemovalOK__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD0__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD1__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD2__DEVICE_CAPABILITIES:name;
+const unique T.WakeFromD3__DEVICE_CAPABILITIES:name;
+const unique T.HardwareDisabled__DEVICE_CAPABILITIES:name;
+const unique T.NonDynamic__DEVICE_CAPABILITIES:name;
+const unique T.WarmEjectSupported__DEVICE_CAPABILITIES:name;
+const unique T.NoDisplayInUI__DEVICE_CAPABILITIES:name;
+const unique T.Reserved__DEVICE_CAPABILITIES:name;
+const unique T.Address__DEVICE_CAPABILITIES:name;
+const unique T.UINumber__DEVICE_CAPABILITIES:name;
+const unique T.DeviceState__DEVICE_CAPABILITIES:name;
+const unique T.SystemWake__DEVICE_CAPABILITIES:name;
+const unique T.DeviceWake__DEVICE_CAPABILITIES:name;
+const unique T.D1Latency__DEVICE_CAPABILITIES:name;
+const unique T.D2Latency__DEVICE_CAPABILITIES:name;
+const unique T.D3Latency__DEVICE_CAPABILITIES:name;
+const unique T.Self__DEVICE_EXTENSION:name;
+const unique T.TrueClassDevice__DEVICE_EXTENSION:name;
+const unique T.TopPort__DEVICE_EXTENSION:name;
+const unique T.PDO__DEVICE_EXTENSION:name;
+const unique T.RemoveLock__DEVICE_EXTENSION:name;
+const unique T.PnP__DEVICE_EXTENSION:name;
+const unique T.Started__DEVICE_EXTENSION:name;
+const unique T.OkayToLogOverflow__DEVICE_EXTENSION:name;
+const unique T.WaitWakeSpinLock__DEVICE_EXTENSION:name;
+const unique T.TrustedSubsystemCount__DEVICE_EXTENSION:name;
+const unique T.InputCount__DEVICE_EXTENSION:name;
+const unique T.SymbolicLinkName__DEVICE_EXTENSION:name;
+const unique T.InputData__DEVICE_EXTENSION:name;
+const unique T.DataIn__DEVICE_EXTENSION:name;
+const unique T.DataOut__DEVICE_EXTENSION:name;
+const unique T.MouseAttributes__DEVICE_EXTENSION:name;
+const unique T.SpinLock__DEVICE_EXTENSION:name;
+const unique T.ReadQueue__DEVICE_EXTENSION:name;
+const unique T.SequenceNumber__DEVICE_EXTENSION:name;
+const unique T.DeviceState__DEVICE_EXTENSION:name;
+const unique T.SystemState__DEVICE_EXTENSION:name;
+const unique T.UnitId__DEVICE_EXTENSION:name;
+const unique T.WmiLibInfo__DEVICE_EXTENSION:name;
+const unique T.SystemToDeviceState__DEVICE_EXTENSION:name;
+const unique T.MinDeviceWakeState__DEVICE_EXTENSION:name;
+const unique T.MinSystemWakeState__DEVICE_EXTENSION:name;
+const unique T.WaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.ExtraWaitWakeIrp__DEVICE_EXTENSION:name;
+const unique T.TargetNotifyHandle__DEVICE_EXTENSION:name;
+const unique T.Link__DEVICE_EXTENSION:name;
+const unique T.File__DEVICE_EXTENSION:name;
+const unique T.Enabled__DEVICE_EXTENSION:name;
+const unique T.WaitWakeEnabled__DEVICE_EXTENSION:name;
+const unique T.SurpriseRemoved__DEVICE_EXTENSION:name;
+const unique T.Type__DEVICE_OBJECT:name;
+const unique T.Size__DEVICE_OBJECT:name;
+const unique T.ReferenceCount__DEVICE_OBJECT:name;
+const unique T.DriverObject__DEVICE_OBJECT:name;
+const unique T.NextDevice__DEVICE_OBJECT:name;
+const unique T.AttachedDevice__DEVICE_OBJECT:name;
+const unique T.CurrentIrp__DEVICE_OBJECT:name;
+const unique T.Timer__DEVICE_OBJECT:name;
+const unique T.Flags__DEVICE_OBJECT:name;
+const unique T.Characteristics__DEVICE_OBJECT:name;
+const unique T.Vpb__DEVICE_OBJECT:name;
+const unique T.DeviceExtension__DEVICE_OBJECT:name;
+const unique T.DeviceType__DEVICE_OBJECT:name;
+const unique T.StackSize__DEVICE_OBJECT:name;
+const unique T.Queue__DEVICE_OBJECT:name;
+const unique T.AlignmentRequirement__DEVICE_OBJECT:name;
+const unique T.DeviceQueue__DEVICE_OBJECT:name;
+const unique T.Dpc__DEVICE_OBJECT:name;
+const unique T.ActiveThreadCount__DEVICE_OBJECT:name;
+const unique T.SecurityDescriptor__DEVICE_OBJECT:name;
+const unique T.DeviceLock__DEVICE_OBJECT:name;
+const unique T.SectorSize__DEVICE_OBJECT:name;
+const unique T.Spare1__DEVICE_OBJECT:name;
+const unique T.DeviceObjectExtension__DEVICE_OBJECT:name;
+const unique T.Reserved__DEVICE_OBJECT:name;
+const unique T.Type__DEVOBJ_EXTENSION:name;
+const unique T.Size__DEVOBJ_EXTENSION:name;
+const unique T.DeviceObject__DEVOBJ_EXTENSION:name;
+const unique T.__unnamed_4_c9b2e921__DISPATCHER_HEADER:name;
+const unique T.SignalState__DISPATCHER_HEADER:name;
+const unique T.WaitListHead__DISPATCHER_HEADER:name;
+const unique T.DriverObject__DRIVER_EXTENSION:name;
+const unique T.AddDevice__DRIVER_EXTENSION:name;
+const unique T.Count__DRIVER_EXTENSION:name;
+const unique T.ServiceKeyName__DRIVER_EXTENSION:name;
+const unique T.Type__DRIVER_OBJECT:name;
+const unique T.Size__DRIVER_OBJECT:name;
+const unique T.DeviceObject__DRIVER_OBJECT:name;
+const unique T.Flags__DRIVER_OBJECT:name;
+const unique T.DriverStart__DRIVER_OBJECT:name;
+const unique T.DriverSize__DRIVER_OBJECT:name;
+const unique T.DriverSection__DRIVER_OBJECT:name;
+const unique T.DriverExtension__DRIVER_OBJECT:name;
+const unique T.DriverName__DRIVER_OBJECT:name;
+const unique T.HardwareDatabase__DRIVER_OBJECT:name;
+const unique T.FastIoDispatch__DRIVER_OBJECT:name;
+const unique T.DriverInit__DRIVER_OBJECT:name;
+const unique T.DriverStartIo__DRIVER_OBJECT:name;
+const unique T.DriverUnload__DRIVER_OBJECT:name;
+const unique T.MajorFunction__DRIVER_OBJECT:name;
+const unique T.SystemResourcesList__ERESOURCE:name;
+const unique T.OwnerTable__ERESOURCE:name;
+const unique T.ActiveCount__ERESOURCE:name;
+const unique T.Flag__ERESOURCE:name;
+const unique T.SharedWaiters__ERESOURCE:name;
+const unique T.ExclusiveWaiters__ERESOURCE:name;
+const unique T.OwnerEntry__ERESOURCE:name;
+const unique T.ActiveEntries__ERESOURCE:name;
+const unique T.ContentionCount__ERESOURCE:name;
+const unique T.NumberOfSharedWaiters__ERESOURCE:name;
+const unique T.NumberOfExclusiveWaiters__ERESOURCE:name;
+const unique T.__unnamed_4_46b62f69__ERESOURCE:name;
+const unique T.SpinLock__ERESOURCE:name;
+const unique T.SizeOfFastIoDispatch__FAST_IO_DISPATCH:name;
+const unique T.FastIoCheckIfPossible__FAST_IO_DISPATCH:name;
+const unique T.FastIoRead__FAST_IO_DISPATCH:name;
+const unique T.FastIoWrite__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryBasicInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryStandardInfo__FAST_IO_DISPATCH:name;
+const unique T.FastIoLock__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockSingle__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAll__FAST_IO_DISPATCH:name;
+const unique T.FastIoUnlockAllByKey__FAST_IO_DISPATCH:name;
+const unique T.FastIoDeviceControl__FAST_IO_DISPATCH:name;
+const unique T.AcquireFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.ReleaseFileForNtCreateSection__FAST_IO_DISPATCH:name;
+const unique T.FastIoDetachDevice__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryNetworkOpenInfo__FAST_IO_DISPATCH:name;
+const unique T.AcquireForModWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlRead__FAST_IO_DISPATCH:name;
+const unique T.MdlReadComplete__FAST_IO_DISPATCH:name;
+const unique T.PrepareMdlWrite__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteComplete__FAST_IO_DISPATCH:name;
+const unique T.FastIoReadCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoWriteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlReadCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.MdlWriteCompleteCompressed__FAST_IO_DISPATCH:name;
+const unique T.FastIoQueryOpen__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForModWrite__FAST_IO_DISPATCH:name;
+const unique T.AcquireForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.ReleaseForCcFlush__FAST_IO_DISPATCH:name;
+const unique T.Count__FAST_MUTEX:name;
+const unique T.Owner__FAST_MUTEX:name;
+const unique T.Contention__FAST_MUTEX:name;
+const unique T.Gate__FAST_MUTEX:name;
+const unique T.OldIrql__FAST_MUTEX:name;
+const unique T.CreationTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastAccessTime__FILE_BASIC_INFORMATION:name;
+const unique T.LastWriteTime__FILE_BASIC_INFORMATION:name;
+const unique T.ChangeTime__FILE_BASIC_INFORMATION:name;
+const unique T.FileAttributes__FILE_BASIC_INFORMATION:name;
+const unique T.CreationTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastAccessTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.LastWriteTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.ChangeTime__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.AllocationSize__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.EndOfFile__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.FileAttributes__FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.Type__FILE_OBJECT:name;
+const unique T.Size__FILE_OBJECT:name;
+const unique T.DeviceObject__FILE_OBJECT:name;
+const unique T.Vpb__FILE_OBJECT:name;
+const unique T.FsContext__FILE_OBJECT:name;
+const unique T.FsContext2__FILE_OBJECT:name;
+const unique T.SectionObjectPointer__FILE_OBJECT:name;
+const unique T.PrivateCacheMap__FILE_OBJECT:name;
+const unique T.FinalStatus__FILE_OBJECT:name;
+const unique T.RelatedFileObject__FILE_OBJECT:name;
+const unique T.LockOperation__FILE_OBJECT:name;
+const unique T.DeletePending__FILE_OBJECT:name;
+const unique T.ReadAccess__FILE_OBJECT:name;
+const unique T.WriteAccess__FILE_OBJECT:name;
+const unique T.DeleteAccess__FILE_OBJECT:name;
+const unique T.SharedRead__FILE_OBJECT:name;
+const unique T.SharedWrite__FILE_OBJECT:name;
+const unique T.SharedDelete__FILE_OBJECT:name;
+const unique T.Flags__FILE_OBJECT:name;
+const unique T.FileName__FILE_OBJECT:name;
+const unique T.CurrentByteOffset__FILE_OBJECT:name;
+const unique T.Waiters__FILE_OBJECT:name;
+const unique T.Busy__FILE_OBJECT:name;
+const unique T.LastLock__FILE_OBJECT:name;
+const unique T.Lock__FILE_OBJECT:name;
+const unique T.Event__FILE_OBJECT:name;
+const unique T.CompletionContext__FILE_OBJECT:name;
+const unique T.IrpListLock__FILE_OBJECT:name;
+const unique T.IrpList__FILE_OBJECT:name;
+const unique T.FileObjectExtension__FILE_OBJECT:name;
+const unique T.AllocationSize__FILE_STANDARD_INFORMATION:name;
+const unique T.EndOfFile__FILE_STANDARD_INFORMATION:name;
+const unique T.NumberOfLinks__FILE_STANDARD_INFORMATION:name;
+const unique T.DeletePending__FILE_STANDARD_INFORMATION:name;
+const unique T.Directory__FILE_STANDARD_INFORMATION:name;
+const unique T.Debug__GLOBALS:name;
+const unique T.GrandMaster__GLOBALS:name;
+const unique T.AssocClassList__GLOBALS:name;
+const unique T.NumAssocClass__GLOBALS:name;
+const unique T.Opens__GLOBALS:name;
+const unique T.NumberLegacyPorts__GLOBALS:name;
+const unique T.Mutex__GLOBALS:name;
+const unique T.ConnectOneClassToOnePort__GLOBALS:name;
+const unique T.PortsServiced__GLOBALS:name;
+const unique T.InitExtension__GLOBALS:name;
+const unique T.RegistryPath__GLOBALS:name;
+const unique T.BaseClassName__GLOBALS:name;
+const unique T.BaseClassBuffer__GLOBALS:name;
+const unique T.LegacyDeviceList__GLOBALS:name;
+const unique T.Data1__GUID:name;
+const unique T.Data2__GUID:name;
+const unique T.Data3__GUID:name;
+const unique T.Data4__GUID:name;
+const unique T.PrivilegeCount__INITIAL_PRIVILEGE_SET:name;
+const unique T.Control__INITIAL_PRIVILEGE_SET:name;
+const unique T.Privilege__INITIAL_PRIVILEGE_SET:name;
+const unique T.Size__INTERFACE:name;
+const unique T.Version__INTERFACE:name;
+const unique T.Context__INTERFACE:name;
+const unique T.InterfaceReference__INTERFACE:name;
+const unique T.InterfaceDereference__INTERFACE:name;
+const unique T.Port__IO_COMPLETION_CONTEXT:name;
+const unique T.Key__IO_COMPLETION_CONTEXT:name;
+const unique T.Common__IO_REMOVE_LOCK:name;
+const unique T.Dbg__IO_REMOVE_LOCK:name;
+const unique T.Removed__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Reserved__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.IoCount__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.RemoveEvent__IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T.Signature__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.HighWatermark__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.MaxLockedTicks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.AllocateTag__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LockList__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Spin__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.LowMemoryCount__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved1__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Reserved2__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Blocks__IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T.Option__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Type__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.ShareDisposition__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare1__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Flags__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Spare2__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.u__IO_RESOURCE_DESCRIPTOR:name;
+const unique T.Version__IO_RESOURCE_LIST:name;
+const unique T.Revision__IO_RESOURCE_LIST:name;
+const unique T.Count__IO_RESOURCE_LIST:name;
+const unique T.Descriptors__IO_RESOURCE_LIST:name;
+const unique T.ListSize__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.InterfaceType__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.BusNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SlotNumber__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.Reserved__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.AlternativeLists__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.List__IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.SecurityQos__IO_SECURITY_CONTEXT:name;
+const unique T.AccessState__IO_SECURITY_CONTEXT:name;
+const unique T.DesiredAccess__IO_SECURITY_CONTEXT:name;
+const unique T.FullCreateOptions__IO_SECURITY_CONTEXT:name;
+const unique T.MajorFunction__IO_STACK_LOCATION:name;
+const unique T.MinorFunction__IO_STACK_LOCATION:name;
+const unique T.Flags__IO_STACK_LOCATION:name;
+const unique T.Control__IO_STACK_LOCATION:name;
+const unique T.Parameters__IO_STACK_LOCATION:name;
+const unique T.DeviceObject__IO_STACK_LOCATION:name;
+const unique T.FileObject__IO_STACK_LOCATION:name;
+const unique T.CompletionRoutine__IO_STACK_LOCATION:name;
+const unique T.Context__IO_STACK_LOCATION:name;
+const unique T.__unnamed_4_16aff58e__IO_STATUS_BLOCK:name;
+const unique T.Information__IO_STATUS_BLOCK:name;
+const unique T.Type__IRP:name;
+const unique T.Size__IRP:name;
+const unique T.MdlAddress__IRP:name;
+const unique T.Flags__IRP:name;
+const unique T.AssociatedIrp__IRP:name;
+const unique T.ThreadListEntry__IRP:name;
+const unique T.IoStatus__IRP:name;
+const unique T.RequestorMode__IRP:name;
+const unique T.PendingReturned__IRP:name;
+const unique T.StackCount__IRP:name;
+const unique T.CurrentLocation__IRP:name;
+const unique T.Cancel__IRP:name;
+const unique T.CancelIrql__IRP:name;
+const unique T.ApcEnvironment__IRP:name;
+const unique T.AllocationFlags__IRP:name;
+const unique T.UserIosb__IRP:name;
+const unique T.UserEvent__IRP:name;
+const unique T.Overlay__IRP:name;
+const unique T.CancelRoutine__IRP:name;
+const unique T.UserBuffer__IRP:name;
+const unique T.Tail__IRP:name;
+const unique T.Type__KAPC:name;
+const unique T.SpareByte0__KAPC:name;
+const unique T.Size__KAPC:name;
+const unique T.SpareByte1__KAPC:name;
+const unique T.SpareLong0__KAPC:name;
+const unique T.Thread__KAPC:name;
+const unique T.ApcListEntry__KAPC:name;
+const unique T.KernelRoutine__KAPC:name;
+const unique T.RundownRoutine__KAPC:name;
+const unique T.NormalRoutine__KAPC:name;
+const unique T.NormalContext__KAPC:name;
+const unique T.SystemArgument1__KAPC:name;
+const unique T.SystemArgument2__KAPC:name;
+const unique T.ApcStateIndex__KAPC:name;
+const unique T.ApcMode__KAPC:name;
+const unique T.Inserted__KAPC:name;
+const unique T.Type__KDEVICE_QUEUE:name;
+const unique T.Size__KDEVICE_QUEUE:name;
+const unique T.DeviceListHead__KDEVICE_QUEUE:name;
+const unique T.Lock__KDEVICE_QUEUE:name;
+const unique T.Busy__KDEVICE_QUEUE:name;
+const unique T.DeviceListEntry__KDEVICE_QUEUE_ENTRY:name;
+const unique T.SortKey__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Inserted__KDEVICE_QUEUE_ENTRY:name;
+const unique T.Type__KDPC:name;
+const unique T.Importance__KDPC:name;
+const unique T.Number__KDPC:name;
+const unique T.DpcListEntry__KDPC:name;
+const unique T.DeferredRoutine__KDPC:name;
+const unique T.DeferredContext__KDPC:name;
+const unique T.SystemArgument1__KDPC:name;
+const unique T.SystemArgument2__KDPC:name;
+const unique T.DpcData__KDPC:name;
+const unique T.Header__KEVENT:name;
+const unique T.Header__KSEMAPHORE:name;
+const unique T.Limit__KSEMAPHORE:name;
+const unique T.__unnamed_8_8684a3e7__LARGE_INTEGER:name;
+const unique T.u__LARGE_INTEGER:name;
+const unique T.QuadPart__LARGE_INTEGER:name;
+const unique T.Flink__LIST_ENTRY:name;
+const unique T.Blink__LIST_ENTRY:name;
+const unique T.LowPart__LUID:name;
+const unique T.HighPart__LUID:name;
+const unique T.Luid__LUID_AND_ATTRIBUTES:name;
+const unique T.Attributes__LUID_AND_ATTRIBUTES:name;
+const unique T.Next__MDL:name;
+const unique T.Size__MDL:name;
+const unique T.MdlFlags__MDL:name;
+const unique T.Process__MDL:name;
+const unique T.MappedSystemVa__MDL:name;
+const unique T.StartVa__MDL:name;
+const unique T.ByteCount__MDL:name;
+const unique T.ByteOffset__MDL:name;
+const unique T.MouseIdentifier__MOUSE_ATTRIBUTES:name;
+const unique T.NumberOfButtons__MOUSE_ATTRIBUTES:name;
+const unique T.SampleRate__MOUSE_ATTRIBUTES:name;
+const unique T.InputDataQueueLength__MOUSE_ATTRIBUTES:name;
+const unique T.UnitId__MOUSE_INPUT_DATA:name;
+const unique T.Flags__MOUSE_INPUT_DATA:name;
+const unique T.__unnamed_4_9c11ed91__MOUSE_INPUT_DATA:name;
+const unique T.RawButtons__MOUSE_INPUT_DATA:name;
+const unique T.LastX__MOUSE_INPUT_DATA:name;
+const unique T.LastY__MOUSE_INPUT_DATA:name;
+const unique T.ExtraInformation__MOUSE_INPUT_DATA:name;
+const unique T.OwnerThread__OWNER_ENTRY:name;
+const unique T.__unnamed_4_c1e23b02__OWNER_ENTRY:name;
+const unique T.File__PORT:name;
+const unique T.Port__PORT:name;
+const unique T.Enabled__PORT:name;
+const unique T.Reserved__PORT:name;
+const unique T.Free__PORT:name;
+const unique T.SequenceD1__POWER_SEQUENCE:name;
+const unique T.SequenceD2__POWER_SEQUENCE:name;
+const unique T.SequenceD3__POWER_SEQUENCE:name;
+const unique T.SystemState__POWER_STATE:name;
+const unique T.DeviceState__POWER_STATE:name;
+const unique T.PrivilegeCount__PRIVILEGE_SET:name;
+const unique T.Control__PRIVILEGE_SET:name;
+const unique T.Privilege__PRIVILEGE_SET:name;
+const unique T.DataSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.SharedCacheMap__SECTION_OBJECT_POINTERS:name;
+const unique T.ImageSectionObject__SECTION_OBJECT_POINTERS:name;
+const unique T.Length__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ImpersonationLevel__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ContextTrackingMode__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.EffectiveOnly__SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.ClientToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ImpersonationLevel__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.PrimaryToken__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.ProcessAuditId__SECURITY_SUBJECT_CONTEXT:name;
+const unique T.__unnamed_4_b4f5a780__SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T.Length__UNICODE_STRING:name;
+const unique T.MaximumLength__UNICODE_STRING:name;
+const unique T.Buffer__UNICODE_STRING:name;
+const unique T.Type__VPB:name;
+const unique T.Size__VPB:name;
+const unique T.Flags__VPB:name;
+const unique T.VolumeLabelLength__VPB:name;
+const unique T.DeviceObject__VPB:name;
+const unique T.RealDevice__VPB:name;
+const unique T.SerialNumber__VPB:name;
+const unique T.ReferenceCount__VPB:name;
+const unique T.VolumeLabel__VPB:name;
+const unique T.WaitQueueEntry__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceRoutine__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceContext__WAIT_CONTEXT_BLOCK:name;
+const unique T.NumberOfMapRegisters__WAIT_CONTEXT_BLOCK:name;
+const unique T.DeviceObject__WAIT_CONTEXT_BLOCK:name;
+const unique T.CurrentIrp__WAIT_CONTEXT_BLOCK:name;
+const unique T.BufferChainingDpc__WAIT_CONTEXT_BLOCK:name;
+const unique T.GuidCount__WMILIB_CONTEXT:name;
+const unique T.GuidList__WMILIB_CONTEXT:name;
+const unique T.QueryWmiRegInfo__WMILIB_CONTEXT:name;
+const unique T.QueryWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataBlock__WMILIB_CONTEXT:name;
+const unique T.SetWmiDataItem__WMILIB_CONTEXT:name;
+const unique T.ExecuteWmiMethod__WMILIB_CONTEXT:name;
+const unique T.WmiFunctionControl__WMILIB_CONTEXT:name;
+const unique T.Start___unnamed_12_06b9ee6e:name;
+const unique T.Length48___unnamed_12_06b9ee6e:name;
+const unique T.Start___unnamed_12_0882bd02:name;
+const unique T.Length64___unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_2e80217b___unnamed_12_264d0dab:name;
+const unique T.Raw___unnamed_12_2e80217b:name;
+const unique T.Translated___unnamed_12_2e80217b:name;
+const unique T.Data___unnamed_12_5cc7ace2:name;
+const unique T.Channel___unnamed_12_6374506e:name;
+const unique T.Port___unnamed_12_6374506e:name;
+const unique T.Reserved1___unnamed_12_6374506e:name;
+const unique T.Priority___unnamed_12_68a4278e:name;
+const unique T.Reserved1___unnamed_12_68a4278e:name;
+const unique T.Reserved2___unnamed_12_68a4278e:name;
+const unique T.Generic___unnamed_12_79ed2653:name;
+const unique T.Port___unnamed_12_79ed2653:name;
+const unique T.Interrupt___unnamed_12_79ed2653:name;
+const unique T.MessageInterrupt___unnamed_12_79ed2653:name;
+const unique T.Memory___unnamed_12_79ed2653:name;
+const unique T.Dma___unnamed_12_79ed2653:name;
+const unique T.DevicePrivate___unnamed_12_79ed2653:name;
+const unique T.BusNumber___unnamed_12_79ed2653:name;
+const unique T.DeviceSpecificData___unnamed_12_79ed2653:name;
+const unique T.Memory40___unnamed_12_79ed2653:name;
+const unique T.Memory48___unnamed_12_79ed2653:name;
+const unique T.Memory64___unnamed_12_79ed2653:name;
+const unique T.Start___unnamed_12_7da594c0:name;
+const unique T.Length40___unnamed_12_7da594c0:name;
+const unique T.Start___unnamed_12_9873e05d:name;
+const unique T.Length___unnamed_12_9873e05d:name;
+const unique T.DataSize___unnamed_12_9cc8cebc:name;
+const unique T.Reserved1___unnamed_12_9cc8cebc:name;
+const unique T.Reserved2___unnamed_12_9cc8cebc:name;
+const unique T.Start___unnamed_12_b98da82e:name;
+const unique T.Length___unnamed_12_b98da82e:name;
+const unique T.Level___unnamed_12_c2880e88:name;
+const unique T.Vector___unnamed_12_c2880e88:name;
+const unique T.Affinity___unnamed_12_c2880e88:name;
+const unique T.Start___unnamed_12_c49ab31a:name;
+const unique T.Length___unnamed_12_c49ab31a:name;
+const unique T.ListEntry___unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_4_a7aa989c___unnamed_12_c6ed93f3:name;
+const unique T.Data___unnamed_12_ced61554:name;
+const unique T.Reserved___unnamed_12_d9c44df5:name;
+const unique T.MessageCount___unnamed_12_d9c44df5:name;
+const unique T.Vector___unnamed_12_d9c44df5:name;
+const unique T.Affinity___unnamed_12_d9c44df5:name;
+const unique T.Start___unnamed_12_db3dcbfc:name;
+const unique T.Length___unnamed_12_db3dcbfc:name;
+const unique T.Reserved___unnamed_12_db3dcbfc:name;
+const unique T.Level___unnamed_12_fb26b3fc:name;
+const unique T.Vector___unnamed_12_fb26b3fc:name;
+const unique T.Affinity___unnamed_12_fb26b3fc:name;
+const unique T.OutputBufferLength___unnamed_16_22e4d054:name;
+const unique T.InputBufferLength___unnamed_16_22e4d054:name;
+const unique T.IoControlCode___unnamed_16_22e4d054:name;
+const unique T.Type3InputBuffer___unnamed_16_22e4d054:name;
+const unique T.Create___unnamed_16_39b626ad:name;
+const unique T.Read___unnamed_16_39b626ad:name;
+const unique T.Write___unnamed_16_39b626ad:name;
+const unique T.QueryDirectory___unnamed_16_39b626ad:name;
+const unique T.NotifyDirectory___unnamed_16_39b626ad:name;
+const unique T.QueryFile___unnamed_16_39b626ad:name;
+const unique T.SetFile___unnamed_16_39b626ad:name;
+const unique T.QueryEa___unnamed_16_39b626ad:name;
+const unique T.SetEa___unnamed_16_39b626ad:name;
+const unique T.QueryVolume___unnamed_16_39b626ad:name;
+const unique T.SetVolume___unnamed_16_39b626ad:name;
+const unique T.FileSystemControl___unnamed_16_39b626ad:name;
+const unique T.LockControl___unnamed_16_39b626ad:name;
+const unique T.DeviceIoControl___unnamed_16_39b626ad:name;
+const unique T.QuerySecurity___unnamed_16_39b626ad:name;
+const unique T.SetSecurity___unnamed_16_39b626ad:name;
+const unique T.MountVolume___unnamed_16_39b626ad:name;
+const unique T.VerifyVolume___unnamed_16_39b626ad:name;
+const unique T.Scsi___unnamed_16_39b626ad:name;
+const unique T.QueryQuota___unnamed_16_39b626ad:name;
+const unique T.SetQuota___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceRelations___unnamed_16_39b626ad:name;
+const unique T.QueryInterface___unnamed_16_39b626ad:name;
+const unique T.DeviceCapabilities___unnamed_16_39b626ad:name;
+const unique T.FilterResourceRequirements___unnamed_16_39b626ad:name;
+const unique T.ReadWriteConfig___unnamed_16_39b626ad:name;
+const unique T.SetLock___unnamed_16_39b626ad:name;
+const unique T.QueryId___unnamed_16_39b626ad:name;
+const unique T.QueryDeviceText___unnamed_16_39b626ad:name;
+const unique T.UsageNotification___unnamed_16_39b626ad:name;
+const unique T.WaitWake___unnamed_16_39b626ad:name;
+const unique T.PowerSequence___unnamed_16_39b626ad:name;
+const unique T.Power___unnamed_16_39b626ad:name;
+const unique T.StartDevice___unnamed_16_39b626ad:name;
+const unique T.WMI___unnamed_16_39b626ad:name;
+const unique T.Others___unnamed_16_39b626ad:name;
+const unique T.WhichSpace___unnamed_16_56c011d7:name;
+const unique T.Buffer___unnamed_16_56c011d7:name;
+const unique T.Offset___unnamed_16_56c011d7:name;
+const unique T.Length___unnamed_16_56c011d7:name;
+const unique T.DeviceQueueEntry___unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_ae643f17___unnamed_16_5fed8f23:name;
+const unique T.Length___unnamed_16_6be9abe0:name;
+const unique T.FileName___unnamed_16_6be9abe0:name;
+const unique T.FileInformationClass___unnamed_16_6be9abe0:name;
+const unique T.FileIndex___unnamed_16_6be9abe0:name;
+const unique T.InterfaceType___unnamed_16_78879a38:name;
+const unique T.Size___unnamed_16_78879a38:name;
+const unique T.Version___unnamed_16_78879a38:name;
+const unique T.Interface___unnamed_16_78879a38:name;
+const unique T.InterfaceSpecificData___unnamed_16_78879a38:name;
+const unique T.Length___unnamed_16_804a2f24:name;
+const unique T.StartSid___unnamed_16_804a2f24:name;
+const unique T.SidList___unnamed_16_804a2f24:name;
+const unique T.SidListLength___unnamed_16_804a2f24:name;
+const unique T.Argument1___unnamed_16_8586693f:name;
+const unique T.Argument2___unnamed_16_8586693f:name;
+const unique T.Argument3___unnamed_16_8586693f:name;
+const unique T.Argument4___unnamed_16_8586693f:name;
+const unique T.Length___unnamed_16_8831e65f:name;
+const unique T.Key___unnamed_16_8831e65f:name;
+const unique T.ByteOffset___unnamed_16_8831e65f:name;
+const unique T.SecurityContext___unnamed_16_8c2d663a:name;
+const unique T.Options___unnamed_16_8c2d663a:name;
+const unique T.FileAttributes___unnamed_16_8c2d663a:name;
+const unique T.ShareAccess___unnamed_16_8c2d663a:name;
+const unique T.EaLength___unnamed_16_8c2d663a:name;
+const unique T.Length___unnamed_16_913b9a7a:name;
+const unique T.Key___unnamed_16_913b9a7a:name;
+const unique T.ByteOffset___unnamed_16_913b9a7a:name;
+const unique T.OutputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.InputBufferLength___unnamed_16_94d1d1c7:name;
+const unique T.FsControlCode___unnamed_16_94d1d1c7:name;
+const unique T.Type3InputBuffer___unnamed_16_94d1d1c7:name;
+const unique T.Length___unnamed_16_a2fab4da:name;
+const unique T.FileInformationClass___unnamed_16_a2fab4da:name;
+const unique T.FileObject___unnamed_16_a2fab4da:name;
+const unique T.__unnamed_4_a7d0864c___unnamed_16_a2fab4da:name;
+const unique T.DriverContext___unnamed_16_ae643f17:name;
+const unique T.Length___unnamed_16_c1b29316:name;
+const unique T.Key___unnamed_16_c1b29316:name;
+const unique T.ByteOffset___unnamed_16_c1b29316:name;
+const unique T.ProviderId___unnamed_16_cbd53ed4:name;
+const unique T.DataPath___unnamed_16_cbd53ed4:name;
+const unique T.BufferSize___unnamed_16_cbd53ed4:name;
+const unique T.Buffer___unnamed_16_cbd53ed4:name;
+const unique T.Length___unnamed_16_db70db6e:name;
+const unique T.MinBusNumber___unnamed_16_db70db6e:name;
+const unique T.MaxBusNumber___unnamed_16_db70db6e:name;
+const unique T.Reserved___unnamed_16_db70db6e:name;
+const unique T.Length___unnamed_16_ef4b6307:name;
+const unique T.EaList___unnamed_16_ef4b6307:name;
+const unique T.EaListLength___unnamed_16_ef4b6307:name;
+const unique T.EaIndex___unnamed_16_ef4b6307:name;
+const unique T.__unnamed_4_b060dea6___unnamed_16_fdda1f62:name;
+const unique T.Type___unnamed_16_fdda1f62:name;
+const unique T.State___unnamed_16_fdda1f62:name;
+const unique T.ShutdownType___unnamed_16_fdda1f62:name;
+const unique T.Lock___unnamed_1_1394de4b:name;
+const unique T.Abandoned___unnamed_1_2bb39c56:name;
+const unique T.Absolute___unnamed_1_2bb39c56:name;
+const unique T.NpxIrql___unnamed_1_2bb39c56:name;
+const unique T.Signalling___unnamed_1_2bb39c56:name;
+const unique T.Inserted___unnamed_1_9fa0583a:name;
+const unique T.DebugActive___unnamed_1_9fa0583a:name;
+const unique T.DpcActive___unnamed_1_9fa0583a:name;
+const unique T.Size___unnamed_1_e30779f5:name;
+const unique T.Hand___unnamed_1_e30779f5:name;
+const unique T.MinimumVector___unnamed_20_83d468e4:name;
+const unique T.MaximumVector___unnamed_20_83d468e4:name;
+const unique T.AffinityPolicy___unnamed_20_83d468e4:name;
+const unique T.PriorityPolicy___unnamed_20_83d468e4:name;
+const unique T.TargetedProcessors___unnamed_20_83d468e4:name;
+const unique T.Length40___unnamed_24_035931da:name;
+const unique T.Alignment40___unnamed_24_035931da:name;
+const unique T.MinimumAddress___unnamed_24_035931da:name;
+const unique T.MaximumAddress___unnamed_24_035931da:name;
+const unique T.Length___unnamed_24_38e128db:name;
+const unique T.Alignment___unnamed_24_38e128db:name;
+const unique T.MinimumAddress___unnamed_24_38e128db:name;
+const unique T.MaximumAddress___unnamed_24_38e128db:name;
+const unique T.Length___unnamed_24_9500ea34:name;
+const unique T.Alignment___unnamed_24_9500ea34:name;
+const unique T.MinimumAddress___unnamed_24_9500ea34:name;
+const unique T.MaximumAddress___unnamed_24_9500ea34:name;
+const unique T.Length___unnamed_24_9734802c:name;
+const unique T.Alignment___unnamed_24_9734802c:name;
+const unique T.MinimumAddress___unnamed_24_9734802c:name;
+const unique T.MaximumAddress___unnamed_24_9734802c:name;
+const unique T.Length64___unnamed_24_af62813f:name;
+const unique T.Alignment64___unnamed_24_af62813f:name;
+const unique T.MinimumAddress___unnamed_24_af62813f:name;
+const unique T.MaximumAddress___unnamed_24_af62813f:name;
+const unique T.Length48___unnamed_24_c0555099:name;
+const unique T.Alignment48___unnamed_24_c0555099:name;
+const unique T.MinimumAddress___unnamed_24_c0555099:name;
+const unique T.MaximumAddress___unnamed_24_c0555099:name;
+const unique T.Port___unnamed_24_d7c4ec3a:name;
+const unique T.Memory___unnamed_24_d7c4ec3a:name;
+const unique T.Interrupt___unnamed_24_d7c4ec3a:name;
+const unique T.Dma___unnamed_24_d7c4ec3a:name;
+const unique T.Generic___unnamed_24_d7c4ec3a:name;
+const unique T.DevicePrivate___unnamed_24_d7c4ec3a:name;
+const unique T.BusNumber___unnamed_24_d7c4ec3a:name;
+const unique T.ConfigData___unnamed_24_d7c4ec3a:name;
+const unique T.Memory40___unnamed_24_d7c4ec3a:name;
+const unique T.Memory48___unnamed_24_d7c4ec3a:name;
+const unique T.Memory64___unnamed_24_d7c4ec3a:name;
+const unique T.ReplaceIfExists___unnamed_2_196a7f56:name;
+const unique T.AdvanceOnly___unnamed_2_196a7f56:name;
+const unique T.__unnamed_16_5fed8f23___unnamed_40_a0414182:name;
+const unique T.Thread___unnamed_40_a0414182:name;
+const unique T.AuxiliaryBuffer___unnamed_40_a0414182:name;
+const unique T.__unnamed_12_c6ed93f3___unnamed_40_a0414182:name;
+const unique T.OriginalFileObject___unnamed_40_a0414182:name;
+const unique T.ListEntry___unnamed_40_d90496f4:name;
+const unique T.Wcb___unnamed_40_d90496f4:name;
+const unique T.InitialPrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.PrivilegeSet___unnamed_44_a7026dca:name;
+const unique T.Overlay___unnamed_48_c1da9fa5:name;
+const unique T.Apc___unnamed_48_c1da9fa5:name;
+const unique T.CompletionKey___unnamed_48_c1da9fa5:name;
+const unique T.PowerSequence___unnamed_4_0510b147:name;
+const unique T.Length___unnamed_4_0a569078:name;
+const unique T.Status___unnamed_4_16aff58e:name;
+const unique T.Pointer___unnamed_4_16aff58e:name;
+const unique T.IdType___unnamed_4_40bf8e34:name;
+const unique T.Address___unnamed_4_46b62f69:name;
+const unique T.CreatorBackTraceIndex___unnamed_4_46b62f69:name;
+const unique T.Capabilities___unnamed_4_73d46255:name;
+const unique T.Srb___unnamed_4_765e3037:name;
+const unique T.Type___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_2bb39c56___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_e30779f5___unnamed_4_846adf3f:name;
+const unique T.__unnamed_1_9fa0583a___unnamed_4_846adf3f:name;
+const unique T.PowerState___unnamed_4_8dd73d30:name;
+const unique T.Type___unnamed_4_957e0d74:name;
+const unique T.Buttons___unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_b5247f10___unnamed_4_9c11ed91:name;
+const unique T.IoResourceRequirementList___unnamed_4_a58d40c8:name;
+const unique T.CurrentStackLocation___unnamed_4_a7aa989c:name;
+const unique T.PacketType___unnamed_4_a7aa989c:name;
+const unique T.__unnamed_2_196a7f56___unnamed_4_a7d0864c:name;
+const unique T.ClusterCount___unnamed_4_a7d0864c:name;
+const unique T.DeleteHandle___unnamed_4_a7d0864c:name;
+const unique T.Length___unnamed_4_aa20b426:name;
+const unique T.UserApcRoutine___unnamed_4_ab87ddfd:name;
+const unique T.IssuingProcess___unnamed_4_ab87ddfd:name;
+const unique T.Reserved1___unnamed_4_b016b1e1:name;
+const unique T.TargetSystemState___unnamed_4_b016b1e1:name;
+const unique T.EffectiveSystemState___unnamed_4_b016b1e1:name;
+const unique T.CurrentSystemState___unnamed_4_b016b1e1:name;
+const unique T.IgnoreHibernationPath___unnamed_4_b016b1e1:name;
+const unique T.PseudoTransition___unnamed_4_b016b1e1:name;
+const unique T.Reserved2___unnamed_4_b016b1e1:name;
+const unique T.SystemContext___unnamed_4_b060dea6:name;
+const unique T.SystemPowerStateContext___unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b016b1e1___unnamed_4_b4f5a780:name;
+const unique T.ContextAsUlong___unnamed_4_b4f5a780:name;
+const unique T.ButtonFlags___unnamed_4_b5247f10:name;
+const unique T.ButtonData___unnamed_4_b5247f10:name;
+const unique T.OwnerCount___unnamed_4_c1e23b02:name;
+const unique T.TableSize___unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_846adf3f___unnamed_4_c9b2e921:name;
+const unique T.Lock___unnamed_4_c9b2e921:name;
+const unique T.MasterIrp___unnamed_4_fa7b96a7:name;
+const unique T.IrpCount___unnamed_4_fa7b96a7:name;
+const unique T.SystemBuffer___unnamed_4_fa7b96a7:name;
+const unique T.Vpb___unnamed_8_09ad2712:name;
+const unique T.DeviceObject___unnamed_8_09ad2712:name;
+const unique T.Length___unnamed_8_21ac1dba:name;
+const unique T.CompletionFilter___unnamed_8_21ac1dba:name;
+const unique T.Length___unnamed_8_27d3ab76:name;
+const unique T.FsInformationClass___unnamed_8_27d3ab76:name;
+const unique T.Vpb___unnamed_8_4289df81:name;
+const unique T.DeviceObject___unnamed_8_4289df81:name;
+const unique T.Length___unnamed_8_47b72724:name;
+const unique T.FileInformationClass___unnamed_8_47b72724:name;
+const unique T.DeviceTextType___unnamed_8_4b3e3ba3:name;
+const unique T.LocaleId___unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_4_ab87ddfd___unnamed_8_4f695993:name;
+const unique T.UserApcContext___unnamed_8_4f695993:name;
+const unique T.AllocatedResources___unnamed_8_5cfb6ca4:name;
+const unique T.AllocatedResourcesTranslated___unnamed_8_5cfb6ca4:name;
+const unique T.SecurityInformation___unnamed_8_606438c5:name;
+const unique T.Length___unnamed_8_606438c5:name;
+const unique T.MinimumChannel___unnamed_8_6ad774c0:name;
+const unique T.MaximumChannel___unnamed_8_6ad774c0:name;
+const unique T.Length___unnamed_8_805045cb:name;
+const unique T.FsInformationClass___unnamed_8_805045cb:name;
+const unique T.LowPart___unnamed_8_8684a3e7:name;
+const unique T.HighPart___unnamed_8_8684a3e7:name;
+const unique T.SecurityInformation___unnamed_8_8cc410da:name;
+const unique T.SecurityDescriptor___unnamed_8_8cc410da:name;
+const unique T.InPath___unnamed_8_a47253e0:name;
+const unique T.Reserved___unnamed_8_a47253e0:name;
+const unique T.Type___unnamed_8_a47253e0:name;
+const unique T.AsynchronousParameters___unnamed_8_bbd07f6c:name;
+const unique T.AllocationSize___unnamed_8_bbd07f6c:name;
+const unique T.LowPart___unnamed_8_c9ca8234:name;
+const unique T.HighPart___unnamed_8_c9ca8234:name;
+
+// Type declarations
+
+const unique T.A11CHAR:name;
+const unique T.A19CHAR:name;
+const unique T.A1_CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_DESCRIPTOR:name;
+const unique T.A1_IO_RESOURCE_LIST:name;
+const unique T.A1_LUID_AND_ATTRIBUTES:name;
+const unique T.A256UINT2:name;
+const unique T.A28PFDRIVER_DISPATCH:name;
+const unique T.A2UCHAR:name;
+const unique T.A32UINT2:name;
+const unique T.A33CHAR:name;
+const unique T.A34CHAR:name;
+const unique T.A39CHAR:name;
+const unique T.A3UCHAR:name;
+const unique T.A3UINT4:name;
+const unique T.A3_LUID_AND_ATTRIBUTES:name;
+const unique T.A43CHAR:name;
+const unique T.A4PVOID:name;
+const unique T.A4UINT4:name;
+const unique T.A5_DEVICE_POWER_STATE:name;
+const unique T.A74CHAR:name;
+const unique T.A7_DEVICE_POWER_STATE:name;
+const unique T.A8UCHAR:name;
+const unique T.BUS_QUERY_ID_TYPE:name;
+const unique T.CHAR:name;
+const unique T.DEVICE_TEXT_TYPE:name;
+const unique T.F0:name;
+const unique T.F1:name;
+const unique T.F10:name;
+const unique T.F11:name;
+const unique T.F12:name;
+const unique T.F13:name;
+const unique T.F14:name;
+const unique T.F15:name;
+const unique T.F16:name;
+const unique T.F17:name;
+const unique T.F18:name;
+const unique T.F19:name;
+const unique T.F2:name;
+const unique T.F20:name;
+const unique T.F21:name;
+const unique T.F22:name;
+const unique T.F23:name;
+const unique T.F24:name;
+const unique T.F25:name;
+const unique T.F26:name;
+const unique T.F27:name;
+const unique T.F28:name;
+const unique T.F29:name;
+const unique T.F3:name;
+const unique T.F30:name;
+const unique T.F31:name;
+const unique T.F32:name;
+const unique T.F33:name;
+const unique T.F34:name;
+const unique T.F35:name;
+const unique T.F36:name;
+const unique T.F37:name;
+const unique T.F38:name;
+const unique T.F4:name;
+const unique T.F5:name;
+const unique T.F6:name;
+const unique T.F7:name;
+const unique T.F8:name;
+const unique T.F9:name;
+const unique T.FDRIVER_ADD_DEVICE:name;
+const unique T.FDRIVER_CANCEL:name;
+const unique T.FDRIVER_CONTROL:name;
+const unique T.FDRIVER_DISPATCH:name;
+const unique T.FDRIVER_INITIALIZE:name;
+const unique T.FDRIVER_STARTIO:name;
+const unique T.FDRIVER_UNLOAD:name;
+const unique T.FFAST_IO_ACQUIRE_FILE:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.FFAST_IO_DETACH_DEVICE:name;
+const unique T.FFAST_IO_DEVICE_CONTROL:name;
+const unique T.FFAST_IO_LOCK:name;
+const unique T.FFAST_IO_MDL_READ:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.FFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.FFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.FFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.FFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.FFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.FFAST_IO_QUERY_OPEN:name;
+const unique T.FFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.FFAST_IO_READ:name;
+const unique T.FFAST_IO_READ_COMPRESSED:name;
+const unique T.FFAST_IO_RELEASE_FILE:name;
+const unique T.FFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.FFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.FFAST_IO_UNLOCK_ALL:name;
+const unique T.FFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.FFAST_IO_UNLOCK_SINGLE:name;
+const unique T.FFAST_IO_WRITE:name;
+const unique T.FFAST_IO_WRITE_COMPRESSED:name;
+const unique T.FIO_COMPLETION_ROUTINE:name;
+const unique T.FKDEFERRED_ROUTINE:name;
+const unique T.INT2:name;
+const unique T.INT4:name;
+const unique T.INT8:name;
+const unique T.PA11CHAR:name;
+const unique T.PA19CHAR:name;
+const unique T.PA33CHAR:name;
+const unique T.PA34CHAR:name;
+const unique T.PA39CHAR:name;
+const unique T.PA43CHAR:name;
+const unique T.PA74CHAR:name;
+const unique T.PCHAR:name;
+const unique T.PF19:name;
+const unique T.PF21:name;
+const unique T.PF23:name;
+const unique T.PF24:name;
+const unique T.PF25:name;
+const unique T.PF33:name;
+const unique T.PF34:name;
+const unique T.PF35:name;
+const unique T.PF36:name;
+const unique T.PF37:name;
+const unique T.PF38:name;
+const unique T.PFDRIVER_ADD_DEVICE:name;
+const unique T.PFDRIVER_CANCEL:name;
+const unique T.PFDRIVER_CONTROL:name;
+const unique T.PFDRIVER_DISPATCH:name;
+const unique T.PFDRIVER_INITIALIZE:name;
+const unique T.PFDRIVER_STARTIO:name;
+const unique T.PFDRIVER_UNLOAD:name;
+const unique T.PFFAST_IO_ACQUIRE_FILE:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_ACQUIRE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_CHECK_IF_POSSIBLE:name;
+const unique T.PFFAST_IO_DETACH_DEVICE:name;
+const unique T.PFFAST_IO_DEVICE_CONTROL:name;
+const unique T.PFFAST_IO_LOCK:name;
+const unique T.PFFAST_IO_MDL_READ:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_READ_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE:name;
+const unique T.PFFAST_IO_MDL_WRITE_COMPLETE_COMPRESSED:name;
+const unique T.PFFAST_IO_PREPARE_MDL_WRITE:name;
+const unique T.PFFAST_IO_QUERY_BASIC_INFO:name;
+const unique T.PFFAST_IO_QUERY_NETWORK_OPEN_INFO:name;
+const unique T.PFFAST_IO_QUERY_OPEN:name;
+const unique T.PFFAST_IO_QUERY_STANDARD_INFO:name;
+const unique T.PFFAST_IO_READ:name;
+const unique T.PFFAST_IO_READ_COMPRESSED:name;
+const unique T.PFFAST_IO_RELEASE_FILE:name;
+const unique T.PFFAST_IO_RELEASE_FOR_CCFLUSH:name;
+const unique T.PFFAST_IO_RELEASE_FOR_MOD_WRITE:name;
+const unique T.PFFAST_IO_UNLOCK_ALL:name;
+const unique T.PFFAST_IO_UNLOCK_ALL_BY_KEY:name;
+const unique T.PFFAST_IO_UNLOCK_SINGLE:name;
+const unique T.PFFAST_IO_WRITE:name;
+const unique T.PFFAST_IO_WRITE_COMPRESSED:name;
+const unique T.PFIO_COMPLETION_ROUTINE:name;
+const unique T.PFKDEFERRED_ROUTINE:name;
+const unique T.PINT4:name;
+const unique T.POWER_ACTION:name;
+const unique T.PPCHAR:name;
+const unique T.PPF24:name;
+const unique T.PPP_FILE_OBJECT:name;
+const unique T.PPVOID:name;
+const unique T.PP_DEVICE_EXTENSION:name;
+const unique T.PP_DEVICE_OBJECT:name;
+const unique T.PP_DRIVER_OBJECT:name;
+const unique T.PP_ERESOURCE:name;
+const unique T.PP_FILE_OBJECT:name;
+const unique T.PP_IRP:name;
+const unique T.PP_LIST_ENTRY:name;
+const unique T.PP_MDL:name;
+const unique T.PP_PORT:name;
+const unique T.PP_UNICODE_STRING:name;
+const unique T.PUCHAR:name;
+const unique T.PUINT2:name;
+const unique T.PUINT4:name;
+const unique T.PVOID:name;
+const unique T.PWMIGUIDREGINFO:name;
+const unique T.P_ACCESS_STATE:name;
+const unique T.P_CM_RESOURCE_LIST:name;
+const unique T.P_COMPRESSED_DATA_INFO:name;
+const unique T.P_DEVICE_CAPABILITIES:name;
+const unique T.P_DEVICE_EXTENSION:name;
+const unique T.P_DEVICE_OBJECT:name;
+const unique T.P_DEVOBJ_EXTENSION:name;
+const unique T.P_DRIVER_EXTENSION:name;
+const unique T.P_DRIVER_OBJECT:name;
+const unique T.P_EPROCESS:name;
+const unique T.P_ERESOURCE:name;
+const unique T.P_ETHREAD:name;
+const unique T.P_FAST_IO_DISPATCH:name;
+const unique T.P_FILE_BASIC_INFORMATION:name;
+const unique T.P_FILE_GET_QUOTA_INFORMATION:name;
+const unique T.P_FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T.P_FILE_OBJECT:name;
+const unique T.P_FILE_STANDARD_INFORMATION:name;
+const unique T.P_GLOBALS:name;
+const unique T.P_GUID:name;
+const unique T.P_INTERFACE:name;
+const unique T.P_IO_COMPLETION_CONTEXT:name;
+const unique T.P_IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T.P_IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T.P_IO_SECURITY_CONTEXT:name;
+const unique T.P_IO_STACK_LOCATION:name;
+const unique T.P_IO_STATUS_BLOCK:name;
+const unique T.P_IO_TIMER:name;
+const unique T.P_IRP:name;
+const unique T.P_KAPC:name;
+const unique T.P_KDPC:name;
+const unique T.P_KEVENT:name;
+const unique T.P_KSEMAPHORE:name;
+const unique T.P_KTHREAD:name;
+const unique T.P_LARGE_INTEGER:name;
+const unique T.P_LIST_ENTRY:name;
+const unique T.P_MDL:name;
+const unique T.P_MOUSE_INPUT_DATA:name;
+const unique T.P_OWNER_ENTRY:name;
+const unique T.P_PORT:name;
+const unique T.P_POWER_SEQUENCE:name;
+const unique T.P_SCSI_REQUEST_BLOCK:name;
+const unique T.P_SECTION_OBJECT_POINTERS:name;
+const unique T.P_SECURITY_QUALITY_OF_SERVICE:name;
+const unique T.P_UNICODE_STRING:name;
+const unique T.P_VPB:name;
+const unique T.UCHAR:name;
+const unique T.UINT2:name;
+const unique T.UINT4:name;
+const unique T.VOID:name;
+const unique T.WMIENABLEDISABLECONTROL:name;
+const unique T.WMIGUIDREGINFO:name;
+const unique T._ACCESS_STATE:name;
+const unique T._CM_FULL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_DESCRIPTOR:name;
+const unique T._CM_PARTIAL_RESOURCE_LIST:name;
+const unique T._CM_RESOURCE_LIST:name;
+const unique T._COMPRESSED_DATA_INFO:name;
+const unique T._DEVICE_CAPABILITIES:name;
+const unique T._DEVICE_EXTENSION:name;
+const unique T._DEVICE_OBJECT:name;
+const unique T._DEVICE_POWER_STATE:name;
+const unique T._DEVICE_RELATION_TYPE:name;
+const unique T._DEVICE_USAGE_NOTIFICATION_TYPE:name;
+const unique T._DEVOBJ_EXTENSION:name;
+const unique T._DISPATCHER_HEADER:name;
+const unique T._DRIVER_EXTENSION:name;
+const unique T._DRIVER_OBJECT:name;
+const unique T._EPROCESS:name;
+const unique T._ERESOURCE:name;
+const unique T._ETHREAD:name;
+const unique T._FAST_IO_DISPATCH:name;
+const unique T._FAST_MUTEX:name;
+const unique T._FILE_BASIC_INFORMATION:name;
+const unique T._FILE_GET_QUOTA_INFORMATION:name;
+const unique T._FILE_INFORMATION_CLASS:name;
+const unique T._FILE_NETWORK_OPEN_INFORMATION:name;
+const unique T._FILE_OBJECT:name;
+const unique T._FILE_STANDARD_INFORMATION:name;
+const unique T._FSINFOCLASS:name;
+const unique T._GLOBALS:name;
+const unique T._GUID:name;
+const unique T._INITIAL_PRIVILEGE_SET:name;
+const unique T._INTERFACE:name;
+const unique T._INTERFACE_TYPE:name;
+const unique T._IO_ALLOCATION_ACTION:name;
+const unique T._IO_COMPLETION_CONTEXT:name;
+const unique T._IO_REMOVE_LOCK:name;
+const unique T._IO_REMOVE_LOCK_COMMON_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_DBG_BLOCK:name;
+const unique T._IO_REMOVE_LOCK_TRACKING_BLOCK:name;
+const unique T._IO_RESOURCE_DESCRIPTOR:name;
+const unique T._IO_RESOURCE_LIST:name;
+const unique T._IO_RESOURCE_REQUIREMENTS_LIST:name;
+const unique T._IO_SECURITY_CONTEXT:name;
+const unique T._IO_STACK_LOCATION:name;
+const unique T._IO_STATUS_BLOCK:name;
+const unique T._IO_TIMER:name;
+const unique T._IRP:name;
+const unique T._IRQ_DEVICE_POLICY:name;
+const unique T._IRQ_PRIORITY:name;
+const unique T._KAPC:name;
+const unique T._KDEVICE_QUEUE:name;
+const unique T._KDEVICE_QUEUE_ENTRY:name;
+const unique T._KDPC:name;
+const unique T._KEVENT:name;
+const unique T._KSEMAPHORE:name;
+const unique T._KTHREAD:name;
+const unique T._LARGE_INTEGER:name;
+const unique T._LIST_ENTRY:name;
+const unique T._LUID:name;
+const unique T._LUID_AND_ATTRIBUTES:name;
+const unique T._MDL:name;
+const unique T._MOUSE_ATTRIBUTES:name;
+const unique T._MOUSE_INPUT_DATA:name;
+const unique T._OWNER_ENTRY:name;
+const unique T._PORT:name;
+const unique T._POWER_SEQUENCE:name;
+const unique T._POWER_STATE:name;
+const unique T._POWER_STATE_TYPE:name;
+const unique T._PRIVILEGE_SET:name;
+const unique T._SCSI_REQUEST_BLOCK:name;
+const unique T._SECTION_OBJECT_POINTERS:name;
+const unique T._SECURITY_IMPERSONATION_LEVEL:name;
+const unique T._SECURITY_QUALITY_OF_SERVICE:name;
+const unique T._SECURITY_SUBJECT_CONTEXT:name;
+const unique T._SYSTEM_POWER_STATE:name;
+const unique T._SYSTEM_POWER_STATE_CONTEXT:name;
+const unique T._UNICODE_STRING:name;
+const unique T._VPB:name;
+const unique T._WAIT_CONTEXT_BLOCK:name;
+const unique T._WMILIB_CONTEXT:name;
+const unique T.__unnamed_12_06b9ee6e:name;
+const unique T.__unnamed_12_0882bd02:name;
+const unique T.__unnamed_12_264d0dab:name;
+const unique T.__unnamed_12_2e80217b:name;
+const unique T.__unnamed_12_5cc7ace2:name;
+const unique T.__unnamed_12_6374506e:name;
+const unique T.__unnamed_12_68a4278e:name;
+const unique T.__unnamed_12_79ed2653:name;
+const unique T.__unnamed_12_7da594c0:name;
+const unique T.__unnamed_12_9873e05d:name;
+const unique T.__unnamed_12_9cc8cebc:name;
+const unique T.__unnamed_12_b98da82e:name;
+const unique T.__unnamed_12_c2880e88:name;
+const unique T.__unnamed_12_c49ab31a:name;
+const unique T.__unnamed_12_c6ed93f3:name;
+const unique T.__unnamed_12_ced61554:name;
+const unique T.__unnamed_12_d9c44df5:name;
+const unique T.__unnamed_12_db3dcbfc:name;
+const unique T.__unnamed_12_fb26b3fc:name;
+const unique T.__unnamed_16_22e4d054:name;
+const unique T.__unnamed_16_39b626ad:name;
+const unique T.__unnamed_16_56c011d7:name;
+const unique T.__unnamed_16_5fed8f23:name;
+const unique T.__unnamed_16_6be9abe0:name;
+const unique T.__unnamed_16_78879a38:name;
+const unique T.__unnamed_16_804a2f24:name;
+const unique T.__unnamed_16_8586693f:name;
+const unique T.__unnamed_16_8831e65f:name;
+const unique T.__unnamed_16_8c2d663a:name;
+const unique T.__unnamed_16_913b9a7a:name;
+const unique T.__unnamed_16_94d1d1c7:name;
+const unique T.__unnamed_16_a2fab4da:name;
+const unique T.__unnamed_16_ae643f17:name;
+const unique T.__unnamed_16_c1b29316:name;
+const unique T.__unnamed_16_cbd53ed4:name;
+const unique T.__unnamed_16_db70db6e:name;
+const unique T.__unnamed_16_ef4b6307:name;
+const unique T.__unnamed_16_fdda1f62:name;
+const unique T.__unnamed_1_1394de4b:name;
+const unique T.__unnamed_1_2bb39c56:name;
+const unique T.__unnamed_1_9fa0583a:name;
+const unique T.__unnamed_1_e30779f5:name;
+const unique T.__unnamed_20_83d468e4:name;
+const unique T.__unnamed_24_035931da:name;
+const unique T.__unnamed_24_38e128db:name;
+const unique T.__unnamed_24_9500ea34:name;
+const unique T.__unnamed_24_9734802c:name;
+const unique T.__unnamed_24_af62813f:name;
+const unique T.__unnamed_24_c0555099:name;
+const unique T.__unnamed_24_d7c4ec3a:name;
+const unique T.__unnamed_2_196a7f56:name;
+const unique T.__unnamed_40_a0414182:name;
+const unique T.__unnamed_40_d90496f4:name;
+const unique T.__unnamed_44_a7026dca:name;
+const unique T.__unnamed_48_c1da9fa5:name;
+const unique T.__unnamed_4_0510b147:name;
+const unique T.__unnamed_4_0a569078:name;
+const unique T.__unnamed_4_16aff58e:name;
+const unique T.__unnamed_4_40bf8e34:name;
+const unique T.__unnamed_4_46b62f69:name;
+const unique T.__unnamed_4_73d46255:name;
+const unique T.__unnamed_4_765e3037:name;
+const unique T.__unnamed_4_846adf3f:name;
+const unique T.__unnamed_4_8dd73d30:name;
+const unique T.__unnamed_4_957e0d74:name;
+const unique T.__unnamed_4_9c11ed91:name;
+const unique T.__unnamed_4_a58d40c8:name;
+const unique T.__unnamed_4_a7aa989c:name;
+const unique T.__unnamed_4_a7d0864c:name;
+const unique T.__unnamed_4_aa20b426:name;
+const unique T.__unnamed_4_ab87ddfd:name;
+const unique T.__unnamed_4_b016b1e1:name;
+const unique T.__unnamed_4_b060dea6:name;
+const unique T.__unnamed_4_b4f5a780:name;
+const unique T.__unnamed_4_b5247f10:name;
+const unique T.__unnamed_4_c1e23b02:name;
+const unique T.__unnamed_4_c9b2e921:name;
+const unique T.__unnamed_4_fa7b96a7:name;
+const unique T.__unnamed_8_09ad2712:name;
+const unique T.__unnamed_8_21ac1dba:name;
+const unique T.__unnamed_8_27d3ab76:name;
+const unique T.__unnamed_8_4289df81:name;
+const unique T.__unnamed_8_47b72724:name;
+const unique T.__unnamed_8_4b3e3ba3:name;
+const unique T.__unnamed_8_4f695993:name;
+const unique T.__unnamed_8_5cfb6ca4:name;
+const unique T.__unnamed_8_606438c5:name;
+const unique T.__unnamed_8_6ad774c0:name;
+const unique T.__unnamed_8_805045cb:name;
+const unique T.__unnamed_8_8684a3e7:name;
+const unique T.__unnamed_8_8cc410da:name;
+const unique T.__unnamed_8_a47253e0:name;
+const unique T.__unnamed_8_bbd07f6c:name;
+const unique T.__unnamed_8_c9ca8234:name;
+
+function AssocClassList__GLOBALS(int) returns (int);
+function AssocClassList__GLOBALSInv(int) returns (int);
+function _S_AssocClassList__GLOBALS([int]bool) returns ([int]bool);
+function _S_AssocClassList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x))} AssocClassList__GLOBALSInv(AssocClassList__GLOBALS(x)) == x);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALS(AssocClassList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALS(S)[x]} _S_AssocClassList__GLOBALS(S)[x] <==> S[AssocClassList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_AssocClassList__GLOBALSInv(S)[x]} _S_AssocClassList__GLOBALSInv(S)[x] <==> S[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALS(S)} S[x] ==> _S_AssocClassList__GLOBALS(S)[AssocClassList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_AssocClassList__GLOBALSInv(S)} S[x] ==> _S_AssocClassList__GLOBALSInv(S)[AssocClassList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {AssocClassList__GLOBALS(x)} AssocClassList__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {AssocClassList__GLOBALSInv(x)} AssocClassList__GLOBALSInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == AssocClassList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == AssocClassList__GLOBALSInv(x));
+function Buffer__UNICODE_STRING(int) returns (int);
+function Buffer__UNICODE_STRINGInv(int) returns (int);
+function _S_Buffer__UNICODE_STRING([int]bool) returns ([int]bool);
+function _S_Buffer__UNICODE_STRINGInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x))} Buffer__UNICODE_STRINGInv(Buffer__UNICODE_STRING(x)) == x);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRING(Buffer__UNICODE_STRINGInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRING(S)[x]} _S_Buffer__UNICODE_STRING(S)[x] <==> S[Buffer__UNICODE_STRINGInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Buffer__UNICODE_STRINGInv(S)[x]} _S_Buffer__UNICODE_STRINGInv(S)[x] <==> S[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRING(S)} S[x] ==> _S_Buffer__UNICODE_STRING(S)[Buffer__UNICODE_STRING(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Buffer__UNICODE_STRINGInv(S)} S[x] ==> _S_Buffer__UNICODE_STRINGInv(S)[Buffer__UNICODE_STRINGInv(x)]);
+
+axiom (forall x:int :: {Buffer__UNICODE_STRING(x)} Buffer__UNICODE_STRING(x) == x + 4);
+axiom (forall x:int :: {Buffer__UNICODE_STRINGInv(x)} Buffer__UNICODE_STRINGInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Buffer__UNICODE_STRINGInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Buffer__UNICODE_STRINGInv(x));
+function DataIn__DEVICE_EXTENSION(int) returns (int);
+function DataIn__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataIn__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataIn__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x))} DataIn__DEVICE_EXTENSIONInv(DataIn__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSION(DataIn__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSION(S)[x]} _S_DataIn__DEVICE_EXTENSION(S)[x] <==> S[DataIn__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataIn__DEVICE_EXTENSIONInv(S)[x]} _S_DataIn__DEVICE_EXTENSIONInv(S)[x] <==> S[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSION(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSION(S)[DataIn__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataIn__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataIn__DEVICE_EXTENSIONInv(S)[DataIn__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSION(x)} DataIn__DEVICE_EXTENSION(x) == x + 132);
+axiom (forall x:int :: {DataIn__DEVICE_EXTENSIONInv(x)} DataIn__DEVICE_EXTENSIONInv(x) == x - 132);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 132, 1) == DataIn__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 132)} MINUS_LEFT_PTR(x, 1, 132) == DataIn__DEVICE_EXTENSIONInv(x));
+function DataOut__DEVICE_EXTENSION(int) returns (int);
+function DataOut__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_DataOut__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_DataOut__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x))} DataOut__DEVICE_EXTENSIONInv(DataOut__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSION(DataOut__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSION(S)[x]} _S_DataOut__DEVICE_EXTENSION(S)[x] <==> S[DataOut__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DataOut__DEVICE_EXTENSIONInv(S)[x]} _S_DataOut__DEVICE_EXTENSIONInv(S)[x] <==> S[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSION(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSION(S)[DataOut__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DataOut__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_DataOut__DEVICE_EXTENSIONInv(S)[DataOut__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSION(x)} DataOut__DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DataOut__DEVICE_EXTENSIONInv(x)} DataOut__DEVICE_EXTENSIONInv(x) == x - 136);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 136, 1) == DataOut__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 136)} MINUS_LEFT_PTR(x, 1, 136) == DataOut__DEVICE_EXTENSIONInv(x));
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+function DeviceExtension__DEVICE_OBJECTInv(int) returns (int);
+function _S_DeviceExtension__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_DeviceExtension__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x))} DeviceExtension__DEVICE_OBJECTInv(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECT(S)[x]} _S_DeviceExtension__DEVICE_OBJECT(S)[x] <==> S[DeviceExtension__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_DeviceExtension__DEVICE_OBJECTInv(S)[x]} _S_DeviceExtension__DEVICE_OBJECTInv(S)[x] <==> S[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECT(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECT(S)[DeviceExtension__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_DeviceExtension__DEVICE_OBJECTInv(S)} S[x] ==> _S_DeviceExtension__DEVICE_OBJECTInv(S)[DeviceExtension__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECTInv(x)} DeviceExtension__DEVICE_OBJECTInv(x) == x - 40);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 40, 1) == DeviceExtension__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 40)} MINUS_LEFT_PTR(x, 1, 40) == DeviceExtension__DEVICE_OBJECTInv(x));
+function Enabled__DEVICE_EXTENSION(int) returns (int);
+function Enabled__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Enabled__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Enabled__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x))} Enabled__DEVICE_EXTENSIONInv(Enabled__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSION(Enabled__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSION(S)[x]} _S_Enabled__DEVICE_EXTENSION(S)[x] <==> S[Enabled__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__DEVICE_EXTENSIONInv(S)[x]} _S_Enabled__DEVICE_EXTENSIONInv(S)[x] <==> S[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSION(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSION(S)[Enabled__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Enabled__DEVICE_EXTENSIONInv(S)[Enabled__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSION(x)} Enabled__DEVICE_EXTENSION(x) == x + 264);
+axiom (forall x:int :: {Enabled__DEVICE_EXTENSIONInv(x)} Enabled__DEVICE_EXTENSIONInv(x) == x - 264);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 264, 1) == Enabled__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 264)} MINUS_LEFT_PTR(x, 1, 264) == Enabled__DEVICE_EXTENSIONInv(x));
+function Enabled__PORT(int) returns (int);
+function Enabled__PORTInv(int) returns (int);
+function _S_Enabled__PORT([int]bool) returns ([int]bool);
+function _S_Enabled__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Enabled__PORTInv(Enabled__PORT(x))} Enabled__PORTInv(Enabled__PORT(x)) == x);
+axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORT(Enabled__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORT(S)[x]} _S_Enabled__PORT(S)[x] <==> S[Enabled__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Enabled__PORTInv(S)[x]} _S_Enabled__PORTInv(S)[x] <==> S[Enabled__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORT(S)} S[x] ==> _S_Enabled__PORT(S)[Enabled__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Enabled__PORTInv(S)} S[x] ==> _S_Enabled__PORTInv(S)[Enabled__PORTInv(x)]);
+
+axiom (forall x:int :: {Enabled__PORT(x)} Enabled__PORT(x) == x + 8);
+axiom (forall x:int :: {Enabled__PORTInv(x)} Enabled__PORTInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == Enabled__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == Enabled__PORTInv(x));
+function File__DEVICE_EXTENSION(int) returns (int);
+function File__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_File__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_File__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x))} File__DEVICE_EXTENSIONInv(File__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSION(File__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSION(S)[x]} _S_File__DEVICE_EXTENSION(S)[x] <==> S[File__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__DEVICE_EXTENSIONInv(S)[x]} _S_File__DEVICE_EXTENSIONInv(S)[x] <==> S[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSION(S)} S[x] ==> _S_File__DEVICE_EXTENSION(S)[File__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_File__DEVICE_EXTENSIONInv(S)[File__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {File__DEVICE_EXTENSION(x)} File__DEVICE_EXTENSION(x) == x + 260);
+axiom (forall x:int :: {File__DEVICE_EXTENSIONInv(x)} File__DEVICE_EXTENSIONInv(x) == x - 260);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 260, 1) == File__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 260)} MINUS_LEFT_PTR(x, 1, 260) == File__DEVICE_EXTENSIONInv(x));
+function File__PORT(int) returns (int);
+function File__PORTInv(int) returns (int);
+function _S_File__PORT([int]bool) returns ([int]bool);
+function _S_File__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {File__PORTInv(File__PORT(x))} File__PORTInv(File__PORT(x)) == x);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORT(File__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_File__PORT(S)[x]} _S_File__PORT(S)[x] <==> S[File__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_File__PORTInv(S)[x]} _S_File__PORTInv(S)[x] <==> S[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORT(S)} S[x] ==> _S_File__PORT(S)[File__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_File__PORTInv(S)} S[x] ==> _S_File__PORTInv(S)[File__PORTInv(x)]);
+
+axiom (forall x:int :: {File__PORT(x)} File__PORT(x) == x + 0);
+axiom (forall x:int :: {File__PORTInv(x)} File__PORTInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == File__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == File__PORTInv(x));
+function Flink__LIST_ENTRY(int) returns (int);
+function Flink__LIST_ENTRYInv(int) returns (int);
+function _S_Flink__LIST_ENTRY([int]bool) returns ([int]bool);
+function _S_Flink__LIST_ENTRYInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x))} Flink__LIST_ENTRYInv(Flink__LIST_ENTRY(x)) == x);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRY(Flink__LIST_ENTRYInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRY(S)[x]} _S_Flink__LIST_ENTRY(S)[x] <==> S[Flink__LIST_ENTRYInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Flink__LIST_ENTRYInv(S)[x]} _S_Flink__LIST_ENTRYInv(S)[x] <==> S[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRY(S)} S[x] ==> _S_Flink__LIST_ENTRY(S)[Flink__LIST_ENTRY(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Flink__LIST_ENTRYInv(S)} S[x] ==> _S_Flink__LIST_ENTRYInv(S)[Flink__LIST_ENTRYInv(x)]);
+
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRYInv(x)} Flink__LIST_ENTRYInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Flink__LIST_ENTRYInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Flink__LIST_ENTRYInv(x));
+function Free__PORT(int) returns (int);
+function Free__PORTInv(int) returns (int);
+function _S_Free__PORT([int]bool) returns ([int]bool);
+function _S_Free__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Free__PORTInv(Free__PORT(x))} Free__PORTInv(Free__PORT(x)) == x);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORT(Free__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORT(S)[x]} _S_Free__PORT(S)[x] <==> S[Free__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Free__PORTInv(S)[x]} _S_Free__PORTInv(S)[x] <==> S[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORT(S)} S[x] ==> _S_Free__PORT(S)[Free__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Free__PORTInv(S)} S[x] ==> _S_Free__PORTInv(S)[Free__PORTInv(x)]);
+
+axiom (forall x:int :: {Free__PORT(x)} Free__PORT(x) == x + 11);
+axiom (forall x:int :: {Free__PORTInv(x)} Free__PORTInv(x) == x - 11);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 11, 1) == Free__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 11)} MINUS_LEFT_PTR(x, 1, 11) == Free__PORTInv(x));
+function GrandMaster__GLOBALS(int) returns (int);
+function GrandMaster__GLOBALSInv(int) returns (int);
+function _S_GrandMaster__GLOBALS([int]bool) returns ([int]bool);
+function _S_GrandMaster__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x))} GrandMaster__GLOBALSInv(GrandMaster__GLOBALS(x)) == x);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALS(GrandMaster__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALS(S)[x]} _S_GrandMaster__GLOBALS(S)[x] <==> S[GrandMaster__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_GrandMaster__GLOBALSInv(S)[x]} _S_GrandMaster__GLOBALSInv(S)[x] <==> S[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALS(S)} S[x] ==> _S_GrandMaster__GLOBALS(S)[GrandMaster__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_GrandMaster__GLOBALSInv(S)} S[x] ==> _S_GrandMaster__GLOBALSInv(S)[GrandMaster__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {GrandMaster__GLOBALS(x)} GrandMaster__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {GrandMaster__GLOBALSInv(x)} GrandMaster__GLOBALSInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == GrandMaster__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == GrandMaster__GLOBALSInv(x));
+function InputData__DEVICE_EXTENSION(int) returns (int);
+function InputData__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_InputData__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_InputData__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x))} InputData__DEVICE_EXTENSIONInv(InputData__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSION(InputData__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSION(S)[x]} _S_InputData__DEVICE_EXTENSION(S)[x] <==> S[InputData__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_InputData__DEVICE_EXTENSIONInv(S)[x]} _S_InputData__DEVICE_EXTENSIONInv(S)[x] <==> S[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSION(S)} S[x] ==> _S_InputData__DEVICE_EXTENSION(S)[InputData__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_InputData__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_InputData__DEVICE_EXTENSIONInv(S)[InputData__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {InputData__DEVICE_EXTENSION(x)} InputData__DEVICE_EXTENSION(x) == x + 128);
+axiom (forall x:int :: {InputData__DEVICE_EXTENSIONInv(x)} InputData__DEVICE_EXTENSIONInv(x) == x - 128);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 128, 1) == InputData__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 128)} MINUS_LEFT_PTR(x, 1, 128) == InputData__DEVICE_EXTENSIONInv(x));
+function LegacyDeviceList__GLOBALS(int) returns (int);
+function LegacyDeviceList__GLOBALSInv(int) returns (int);
+function _S_LegacyDeviceList__GLOBALS([int]bool) returns ([int]bool);
+function _S_LegacyDeviceList__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x))} LegacyDeviceList__GLOBALSInv(LegacyDeviceList__GLOBALS(x)) == x);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALS(LegacyDeviceList__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALS(S)[x]} _S_LegacyDeviceList__GLOBALS(S)[x] <==> S[LegacyDeviceList__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_LegacyDeviceList__GLOBALSInv(S)[x]} _S_LegacyDeviceList__GLOBALSInv(S)[x] <==> S[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALS(S)} S[x] ==> _S_LegacyDeviceList__GLOBALS(S)[LegacyDeviceList__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_LegacyDeviceList__GLOBALSInv(S)} S[x] ==> _S_LegacyDeviceList__GLOBALSInv(S)[LegacyDeviceList__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {LegacyDeviceList__GLOBALS(x)} LegacyDeviceList__GLOBALS(x) == x + 864);
+axiom (forall x:int :: {LegacyDeviceList__GLOBALSInv(x)} LegacyDeviceList__GLOBALSInv(x) == x - 864);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 864, 1) == LegacyDeviceList__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 864)} MINUS_LEFT_PTR(x, 1, 864) == LegacyDeviceList__GLOBALSInv(x));
+function Link__DEVICE_EXTENSION(int) returns (int);
+function Link__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Link__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Link__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x))} Link__DEVICE_EXTENSIONInv(Link__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSION(Link__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSION(S)[x]} _S_Link__DEVICE_EXTENSION(S)[x] <==> S[Link__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Link__DEVICE_EXTENSIONInv(S)[x]} _S_Link__DEVICE_EXTENSIONInv(S)[x] <==> S[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSION(S)} S[x] ==> _S_Link__DEVICE_EXTENSION(S)[Link__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Link__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Link__DEVICE_EXTENSIONInv(S)[Link__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Link__DEVICE_EXTENSION(x)} Link__DEVICE_EXTENSION(x) == x + 252);
+axiom (forall x:int :: {Link__DEVICE_EXTENSIONInv(x)} Link__DEVICE_EXTENSIONInv(x) == x - 252);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 252, 1) == Link__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 252)} MINUS_LEFT_PTR(x, 1, 252) == Link__DEVICE_EXTENSIONInv(x));
+function NumAssocClass__GLOBALS(int) returns (int);
+function NumAssocClass__GLOBALSInv(int) returns (int);
+function _S_NumAssocClass__GLOBALS([int]bool) returns ([int]bool);
+function _S_NumAssocClass__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x))} NumAssocClass__GLOBALSInv(NumAssocClass__GLOBALS(x)) == x);
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALS(NumAssocClass__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALS(S)[x]} _S_NumAssocClass__GLOBALS(S)[x] <==> S[NumAssocClass__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_NumAssocClass__GLOBALSInv(S)[x]} _S_NumAssocClass__GLOBALSInv(S)[x] <==> S[NumAssocClass__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALS(S)} S[x] ==> _S_NumAssocClass__GLOBALS(S)[NumAssocClass__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_NumAssocClass__GLOBALSInv(S)} S[x] ==> _S_NumAssocClass__GLOBALSInv(S)[NumAssocClass__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {NumAssocClass__GLOBALS(x)} NumAssocClass__GLOBALS(x) == x + 12);
+axiom (forall x:int :: {NumAssocClass__GLOBALSInv(x)} NumAssocClass__GLOBALSInv(x) == x - 12);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 12, 1) == NumAssocClass__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 12)} MINUS_LEFT_PTR(x, 1, 12) == NumAssocClass__GLOBALSInv(x));
+function PnP__DEVICE_EXTENSION(int) returns (int);
+function PnP__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_PnP__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_PnP__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x))} PnP__DEVICE_EXTENSIONInv(PnP__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSION(PnP__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSION(S)[x]} _S_PnP__DEVICE_EXTENSION(S)[x] <==> S[PnP__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_PnP__DEVICE_EXTENSIONInv(S)[x]} _S_PnP__DEVICE_EXTENSIONInv(S)[x] <==> S[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSION(S)} S[x] ==> _S_PnP__DEVICE_EXTENSION(S)[PnP__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_PnP__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_PnP__DEVICE_EXTENSIONInv(S)[PnP__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {PnP__DEVICE_EXTENSION(x)} PnP__DEVICE_EXTENSION(x) == x + 104);
+axiom (forall x:int :: {PnP__DEVICE_EXTENSIONInv(x)} PnP__DEVICE_EXTENSIONInv(x) == x - 104);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 104, 1) == PnP__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 104)} MINUS_LEFT_PTR(x, 1, 104) == PnP__DEVICE_EXTENSIONInv(x));
+function Port__PORT(int) returns (int);
+function Port__PORTInv(int) returns (int);
+function _S_Port__PORT([int]bool) returns ([int]bool);
+function _S_Port__PORTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Port__PORTInv(Port__PORT(x))} Port__PORTInv(Port__PORT(x)) == x);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORT(Port__PORTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORT(S)[x]} _S_Port__PORT(S)[x] <==> S[Port__PORTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Port__PORTInv(S)[x]} _S_Port__PORTInv(S)[x] <==> S[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORT(S)} S[x] ==> _S_Port__PORT(S)[Port__PORT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Port__PORTInv(S)} S[x] ==> _S_Port__PORTInv(S)[Port__PORTInv(x)]);
+
+axiom (forall x:int :: {Port__PORT(x)} Port__PORT(x) == x + 4);
+axiom (forall x:int :: {Port__PORTInv(x)} Port__PORTInv(x) == x - 4);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 4, 1) == Port__PORTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 4)} MINUS_LEFT_PTR(x, 1, 4) == Port__PORTInv(x));
+function RegistryPath__GLOBALS(int) returns (int);
+function RegistryPath__GLOBALSInv(int) returns (int);
+function _S_RegistryPath__GLOBALS([int]bool) returns ([int]bool);
+function _S_RegistryPath__GLOBALSInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x))} RegistryPath__GLOBALSInv(RegistryPath__GLOBALS(x)) == x);
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALS(RegistryPath__GLOBALSInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALS(S)[x]} _S_RegistryPath__GLOBALS(S)[x] <==> S[RegistryPath__GLOBALSInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_RegistryPath__GLOBALSInv(S)[x]} _S_RegistryPath__GLOBALSInv(S)[x] <==> S[RegistryPath__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALS(S)} S[x] ==> _S_RegistryPath__GLOBALS(S)[RegistryPath__GLOBALS(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_RegistryPath__GLOBALSInv(S)} S[x] ==> _S_RegistryPath__GLOBALSInv(S)[RegistryPath__GLOBALSInv(x)]);
+
+axiom (forall x:int :: {RegistryPath__GLOBALS(x)} RegistryPath__GLOBALS(x) == x + 336);
+axiom (forall x:int :: {RegistryPath__GLOBALSInv(x)} RegistryPath__GLOBALSInv(x) == x - 336);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 336, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 336, 1) == RegistryPath__GLOBALSInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 336)} MINUS_LEFT_PTR(x, 1, 336) == RegistryPath__GLOBALSInv(x));
+function Self__DEVICE_EXTENSION(int) returns (int);
+function Self__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Self__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Self__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x))} Self__DEVICE_EXTENSIONInv(Self__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSION(Self__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSION(S)[x]} _S_Self__DEVICE_EXTENSION(S)[x] <==> S[Self__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Self__DEVICE_EXTENSIONInv(S)[x]} _S_Self__DEVICE_EXTENSIONInv(S)[x] <==> S[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSION(S)} S[x] ==> _S_Self__DEVICE_EXTENSION(S)[Self__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Self__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Self__DEVICE_EXTENSIONInv(S)[Self__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Self__DEVICE_EXTENSION(x)} Self__DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {Self__DEVICE_EXTENSIONInv(x)} Self__DEVICE_EXTENSIONInv(x) == x - 0);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 0, 1) == Self__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 0)} MINUS_LEFT_PTR(x, 1, 0) == Self__DEVICE_EXTENSIONInv(x));
+function SpinLock__DEVICE_EXTENSION(int) returns (int);
+function SpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_SpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_SpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x))} SpinLock__DEVICE_EXTENSIONInv(SpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSION(SpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSION(S)[x]} _S_SpinLock__DEVICE_EXTENSION(S)[x] <==> S[SpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_SpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_SpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSION(S)[SpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_SpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_SpinLock__DEVICE_EXTENSIONInv(S)[SpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSION(x)} SpinLock__DEVICE_EXTENSION(x) == x + 152);
+axiom (forall x:int :: {SpinLock__DEVICE_EXTENSIONInv(x)} SpinLock__DEVICE_EXTENSIONInv(x) == x - 152);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 152, 1) == SpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 152)} MINUS_LEFT_PTR(x, 1, 152) == SpinLock__DEVICE_EXTENSIONInv(x));
+function StackSize__DEVICE_OBJECT(int) returns (int);
+function StackSize__DEVICE_OBJECTInv(int) returns (int);
+function _S_StackSize__DEVICE_OBJECT([int]bool) returns ([int]bool);
+function _S_StackSize__DEVICE_OBJECTInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x))} StackSize__DEVICE_OBJECTInv(StackSize__DEVICE_OBJECT(x)) == x);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECT(StackSize__DEVICE_OBJECTInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECT(S)[x]} _S_StackSize__DEVICE_OBJECT(S)[x] <==> S[StackSize__DEVICE_OBJECTInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_StackSize__DEVICE_OBJECTInv(S)[x]} _S_StackSize__DEVICE_OBJECTInv(S)[x] <==> S[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECT(S)} S[x] ==> _S_StackSize__DEVICE_OBJECT(S)[StackSize__DEVICE_OBJECT(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_StackSize__DEVICE_OBJECTInv(S)} S[x] ==> _S_StackSize__DEVICE_OBJECTInv(S)[StackSize__DEVICE_OBJECTInv(x)]);
+
+axiom (forall x:int :: {StackSize__DEVICE_OBJECT(x)} StackSize__DEVICE_OBJECT(x) == x + 48);
+axiom (forall x:int :: {StackSize__DEVICE_OBJECTInv(x)} StackSize__DEVICE_OBJECTInv(x) == x - 48);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 48, 1) == StackSize__DEVICE_OBJECTInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 48)} MINUS_LEFT_PTR(x, 1, 48) == StackSize__DEVICE_OBJECTInv(x));
+function Started__DEVICE_EXTENSION(int) returns (int);
+function Started__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_Started__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_Started__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x))} Started__DEVICE_EXTENSIONInv(Started__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSION(Started__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSION(S)[x]} _S_Started__DEVICE_EXTENSION(S)[x] <==> S[Started__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_Started__DEVICE_EXTENSIONInv(S)[x]} _S_Started__DEVICE_EXTENSIONInv(S)[x] <==> S[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSION(S)} S[x] ==> _S_Started__DEVICE_EXTENSION(S)[Started__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_Started__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_Started__DEVICE_EXTENSIONInv(S)[Started__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {Started__DEVICE_EXTENSION(x)} Started__DEVICE_EXTENSION(x) == x + 105);
+axiom (forall x:int :: {Started__DEVICE_EXTENSIONInv(x)} Started__DEVICE_EXTENSIONInv(x) == x - 105);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 105, 1) == Started__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 105)} MINUS_LEFT_PTR(x, 1, 105) == Started__DEVICE_EXTENSIONInv(x));
+function TopPort__DEVICE_EXTENSION(int) returns (int);
+function TopPort__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_TopPort__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_TopPort__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x))} TopPort__DEVICE_EXTENSIONInv(TopPort__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSION(TopPort__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSION(S)[x]} _S_TopPort__DEVICE_EXTENSION(S)[x] <==> S[TopPort__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_TopPort__DEVICE_EXTENSIONInv(S)[x]} _S_TopPort__DEVICE_EXTENSIONInv(S)[x] <==> S[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSION(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSION(S)[TopPort__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_TopPort__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_TopPort__DEVICE_EXTENSIONInv(S)[TopPort__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSION(x)} TopPort__DEVICE_EXTENSION(x) == x + 8);
+axiom (forall x:int :: {TopPort__DEVICE_EXTENSIONInv(x)} TopPort__DEVICE_EXTENSIONInv(x) == x - 8);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 8, 1) == TopPort__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 8)} MINUS_LEFT_PTR(x, 1, 8) == TopPort__DEVICE_EXTENSIONInv(x));
+function UnitId__DEVICE_EXTENSION(int) returns (int);
+function UnitId__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_UnitId__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_UnitId__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x))} UnitId__DEVICE_EXTENSIONInv(UnitId__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSION(UnitId__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSION(S)[x]} _S_UnitId__DEVICE_EXTENSION(S)[x] <==> S[UnitId__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_UnitId__DEVICE_EXTENSIONInv(S)[x]} _S_UnitId__DEVICE_EXTENSIONInv(S)[x] <==> S[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSION(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSION(S)[UnitId__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_UnitId__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_UnitId__DEVICE_EXTENSIONInv(S)[UnitId__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSION(x)} UnitId__DEVICE_EXTENSION(x) == x + 176);
+axiom (forall x:int :: {UnitId__DEVICE_EXTENSIONInv(x)} UnitId__DEVICE_EXTENSIONInv(x) == x - 176);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 176, 1) == UnitId__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 176)} MINUS_LEFT_PTR(x, 1, 176) == UnitId__DEVICE_EXTENSIONInv(x));
+function WaitWakeSpinLock__DEVICE_EXTENSION(int) returns (int);
+function WaitWakeSpinLock__DEVICE_EXTENSIONInv(int) returns (int);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSION([int]bool) returns ([int]bool);
+function _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv([int]bool) returns ([int]bool);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x))} WaitWakeSpinLock__DEVICE_EXTENSIONInv(WaitWakeSpinLock__DEVICE_EXTENSION(x)) == x);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSION(WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)) == x);
+
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+axiom (forall x:int, S:[int]bool :: {_S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x]} _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[x] <==> S[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSION(S)[WaitWakeSpinLock__DEVICE_EXTENSION(x)]);
+axiom (forall x:int, S:[int]bool :: {S[x], _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)} S[x] ==> _S_WaitWakeSpinLock__DEVICE_EXTENSIONInv(S)[WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)]);
+
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSION(x)} WaitWakeSpinLock__DEVICE_EXTENSION(x) == x + 108);
+axiom (forall x:int :: {WaitWakeSpinLock__DEVICE_EXTENSIONInv(x)} WaitWakeSpinLock__DEVICE_EXTENSIONInv(x) == x - 108);
+axiom (forall x:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, 108, 1) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+axiom (forall x:int :: {MINUS_LEFT_PTR(x, 1, 108)} MINUS_LEFT_PTR(x, 1, 108) == WaitWakeSpinLock__DEVICE_EXTENSIONInv(x));
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure nondet_choice() returns (x:int);
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+var Res_DEVICE_STACK:[int]int;
+var Res_DEV_EXTN:[int]int;
+var Res_DEV_OBJ_INIT:[int]int;
+var Res_SPIN_LOCK:[int]int;
+
+
+
+////////////////////
+// Between predicate
+////////////////////
+function ReachBetween(f: [int]int, x: int, y: int, z: int) returns (bool);
+function ReachAvoiding(f: [int]int, x: int, y: int, z: int) returns (bool);
+
+
+//////////////////////////
+// Between set constructor
+//////////////////////////
+function ReachBetweenSet(f: [int]int, x: int, z: int) returns ([int]bool);
+
+////////////////////////////////////////////////////
+// axioms relating ReachBetween and ReachBetweenSet
+////////////////////////////////////////////////////
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetweenSet(f, x, z)[y]} ReachBetweenSet(f, x, z)[y] <==> ReachBetween(f, x, y, z));
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z), ReachBetweenSet(f, x, z)} ReachBetween(f, x, y, z) ==> ReachBetweenSet(f, x, z)[y]);
+axiom(forall f: [int]int, x: int, z: int :: {ReachBetweenSet(f, x, z)} ReachBetween(f, x, x, x));
+
+
+//////////////////////////
+// Axioms for ReachBetween
+//////////////////////////
+
+// reflexive
+axiom(forall f: [int]int, x: int :: ReachBetween(f, x, x, x));
+
+// step
+//axiom(forall f: [int]int, x: int :: {f[x]} ReachBetween(f, x, f[x], f[x]));
+axiom(forall f: [int]int, x: int, y: int, z: int, w:int :: {ReachBetween(f, y, z, w), f[x]} ReachBetween(f, x, f[x], f[x]));
+
+// reach
+axiom(forall f: [int]int, x: int, y: int :: {f[x], ReachBetween(f, x, y, y)} ReachBetween(f, x, y, y) ==> x == y || ReachBetween(f, x, f[x], y));
+
+// cycle
+axiom(forall f: [int]int, x: int, y:int :: {f[x], ReachBetween(f, x, y, y)} f[x] == x && ReachBetween(f, x, y, y) ==> x == y);
+
+// sandwich
+axiom(forall f: [int]int, x: int, y: int :: {ReachBetween(f, x, y, x)} ReachBetween(f, x, y, x) ==> x == y);
+
+// order1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, x, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, x, z, z) ==> ReachBetween(f, x, y, z) || ReachBetween(f, x, z, y));
+
+// order2
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, z)} ReachBetween(f, x, y, z) ==> ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z));
+
+// transitive1
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachBetween(f, x, y, y), ReachBetween(f, y, z, z)} ReachBetween(f, x, y, y) && ReachBetween(f, y, z, z) ==> ReachBetween(f, x, z, z));
+
+// transitive2
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, y, w, z)} ReachBetween(f, x, y, z) && ReachBetween(f, y, w, z) ==> ReachBetween(f, x, y, w) && ReachBetween(f, x, w, z));
+
+// transitive3
+axiom(forall f: [int]int, x: int, y: int, z: int, w: int :: {ReachBetween(f, x, y, z), ReachBetween(f, x, w, y)} ReachBetween(f, x, y, z) && ReachBetween(f, x, w, y) ==> ReachBetween(f, x, w, z) && ReachBetween(f, w, y, z));
+
+// This axiom is required to deal with the incompleteness of the trigger for the reflexive axiom.
+// It cannot be proved using the rest of the axioms.
+axiom(forall f: [int]int, u:int, x: int :: {ReachBetween(f, u, x, x)} ReachBetween(f, u, x, x) ==> ReachBetween(f, u, u, x));
+
+// relation between ReachAvoiding and ReachBetween
+axiom(forall f: [int]int, x: int, y: int, z: int :: {ReachAvoiding(f, x, y, z)}{ReachBetween(f, x, y, z)} ReachAvoiding(f, x, y, z) <==> (ReachBetween(f, x, y, z) || (ReachBetween(f, x, y, y) && !ReachBetween(f, x, z, z))));
+
+// update
+axiom(forall f: [int]int, u: int, v: int, x: int, p: int, q: int :: {ReachAvoiding(f[p := q], u, v, x)} ReachAvoiding(f[p := q], u, v, x) <==> ((ReachAvoiding(f, u, v, p) && ReachAvoiding(f, u, v, x)) || (ReachAvoiding(f, u, p, x) && p != x && ReachAvoiding(f, q, v, p) && ReachAvoiding(f, q, v, x))));
+ ///////////////////////////////
+ // Shifts for linking fields
+ ///////////////////////////////
+function Shift_Flink__LIST_ENTRY(f: [int]int) returns ([int]int);
+axiom( forall f: [int]int, __x:int :: {f[Flink__LIST_ENTRY(__x)],Shift_Flink__LIST_ENTRY(f)} {Shift_Flink__LIST_ENTRY(f)[__x]} Shift_Flink__LIST_ENTRY(f)[__x] == f[Flink__LIST_ENTRY(__x)]);
+axiom(forall f: [int]int, __x:int, __v:int :: {Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v])} Shift_Flink__LIST_ENTRY(f[Flink__LIST_ENTRY(__x) := __v]) == Shift_Flink__LIST_ENTRY(f)[__x := __v]);
+
+const unique Globals : int;
+axiom(Globals != 0);
+
+
+procedure ExFreePoolWithTag($P$1$14901.35$ExFreePoolWithTag$81:int, $Tag$2$14902.15$ExFreePoolWithTag$81:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoAllocateIrp($StackSize$1$20453.15$IoAllocateIrp$81:int, $ChargeQuota$2$20454.17$IoAllocateIrp$81:int) returns ($result.IoAllocateIrp$20452.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoDeleteDevice($DeviceObject$1$21328.67$IoDeleteDevice$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: requires 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 1 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 1
+requires((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 1) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 1)));
+//TAG: ensures 1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == 0 && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == 0
+ensures((true) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == 0) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == 0)));
+//TAG: ensures 1 ==> __updates_resource("DEV_OBJ_INIT", DeviceObject, 0) && __updates_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension), 0)
+ensures((true) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41 := 0]) && (Res_DEV_EXTN == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] := 0])));
+//TAG: ensures !1 ==> __resource("DEV_OBJ_INIT", DeviceObject) == __old_resource("DEV_OBJ_INIT", DeviceObject) && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)) == __old_resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension))
+ensures((!(true)) ==> ((Res_DEV_OBJ_INIT[$DeviceObject$1$21328.67$IoDeleteDevice$41] == old(Res_DEV_OBJ_INIT)[$DeviceObject$1$21328.67$IoDeleteDevice$41]) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]] == old(Res_DEV_EXTN)[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]])));
+//TAG: ensures !1 ==> __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+free ensures((!(true)) ==> ((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN))));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)DeviceObject)->DeviceExtension)
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)]))) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT($DeviceObject$1$21328.67$IoDeleteDevice$41)] == r) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, DeviceObject
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Singleton($DeviceObject$1$21328.67$IoDeleteDevice$41))) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || ($DeviceObject$1$21328.67$IoDeleteDevice$41 == r) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure IoFreeIrp($Irp$1$21417.14$IoFreeIrp$41:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouEnableDisablePort($EnableFlag$1$504.15$MouEnableDisablePort$161:int, $Irp$2$505.15$MouEnableDisablePort$161:int, $Port$3$506.25$MouEnableDisablePort$161:int, $File$4$507.22$MouEnableDisablePort$161:int) returns ($result.MouEnableDisablePort$503.0$1$:int);
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+ensures((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseClassCleanupQueue($DeviceObject$1$1052.28$MouseClassCleanupQueue$121:int, $DeviceExtension$2$1053.28$MouseClassCleanupQueue$121:int, $FileObject$3$1054.28$MouseClassCleanupQueue$121:int);
+
+//TAG: requires __resource("DEV_EXTN", DeviceExtension) == 1
+requires(Res_DEV_EXTN[$DeviceExtension$2$1053.28$MouseClassCleanupQueue$121] == 1);
+//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->WaitWakeSpinLock) == 0
+requires(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
+//TAG: requires __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->SpinLock) == 0
+requires(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+requires((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires __resource("DEV_OBJ_INIT", DeviceObject) == 1
+requires(Res_DEV_OBJ_INIT[$DeviceObject$1$1052.28$MouseClassCleanupQueue$121] == 1);
+//TAG: ensures __resource("DEV_EXTN", DeviceExtension) == 1
+ensures(Res_DEV_EXTN[$DeviceExtension$2$1053.28$MouseClassCleanupQueue$121] == 1);
+//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->WaitWakeSpinLock) == 0
+ensures(Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
+//TAG: ensures __resource("SPIN_LOCK", &((struct _DEVICE_EXTENSION *)DeviceExtension)->SpinLock) == 0
+ensures(Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION($DeviceExtension$2$1053.28$MouseClassCleanupQueue$121)] == 0);
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+ensures((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: ensures __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: ensures 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+ensures((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: ensures 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+ensures((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: ensures 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+ensures((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: ensures __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+ensures(Mem[T.Flink__LIST_ENTRY] == old(Mem)[T.Flink__LIST_ENTRY]);
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT")
+ensures(Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT));
+//TAG: ensures __preserves_resource("DEV_EXTN")
+ensures(Res_DEV_EXTN == old(Res_DEV_EXTN));
+//TAG: ensures __resource("DEV_OBJ_INIT", DeviceObject) == 1
+ensures(Res_DEV_OBJ_INIT[$DeviceObject$1$1052.28$MouseClassCleanupQueue$121] == 1);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure ObfDereferenceObject($Object$1$24931.15$ObfDereferenceObject$41:int) returns ($result.ObfDereferenceObject$24930.0$1$:int);
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure RemoveEntryList($Entry$1$6929.19$RemoveEntryList$41:int) returns ($result.RemoveEntryList$6928.0$1$:int);
+
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+//TAG: ensures __seteq(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __setminus(__old(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList)), __set(Entry)))
+ensures((Subset(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41))) && Subset(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(old(Mem)[T.Flink__LIST_ENTRY]), old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(old(Globals)))], LegacyDeviceList__GLOBALS(old(Globals))), Singleton($Entry$1$6929.19$RemoveEntryList$41)), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)))));
+//TAG: ensures Entry->Flink == __old(Entry->Flink)
+ensures(Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)] == old(Mem)[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($Entry$1$6929.19$RemoveEntryList$41)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty, __set_empty
+ensures (Subset(Empty(), Union(Union(Empty(), Empty()), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure __PREfastPagedCode();
+
+//TAG: ensures __preserves_mem
+ensures(Mem == old(Mem));
+//TAG: ensures __preserves_resource("DEV_OBJ_INIT") && __preserves_resource("DEV_EXTN")
+ensures((Res_DEV_OBJ_INIT == old(Res_DEV_OBJ_INIT)) && (Res_DEV_EXTN == old(Res_DEV_EXTN)));
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || old(Res_DEVICE_STACK)[r] == Res_DEVICE_STACK[r]));
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || old(Res_DEV_EXTN)[r] == Res_DEV_EXTN[r]));
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || old(Res_DEV_OBJ_INIT)[r] == Res_DEV_OBJ_INIT[r]));
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || old(Res_SPIN_LOCK)[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == old(Mem)[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == old(Mem)[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == old(Mem)[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == old(Mem)[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == old(Mem)[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == old(Mem)[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+ensures (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == old(Mem)[T.P_DEVICE_OBJECT][_m]));
+
+//TAG: havoc memory locations by default
+modifies Mem;
+
+
+procedure MouseClassUnload($DriverObject$1$2789.24$MouseClassUnload$41:int)
+
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+requires((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+requires(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: ensures __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+ensures((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: ensures __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z) && __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+ensures(((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z)))) && ((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0))))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+requires((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+requires((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+requires((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+requires((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+modifies alloc;
+free ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Res_DEVICE_STACK;
+
+//TAG: net change in resource DEVICE_STACK only for:
+modifies Res_DEV_EXTN;
+
+//TAG: net change in resource DEV_EXTN only for:
+modifies Res_DEV_OBJ_INIT;
+
+//TAG: net change in resource DEV_OBJ_INIT only for:
+modifies Res_SPIN_LOCK;
+
+//TAG: net change in resource SPIN_LOCK only for:
+
+//TAG: havoc memory locations by default
+modifies Mem;
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $DriverObject$1$2789.24$MouseClassUnload$4 : int;
+var $IoAllocateIrp.arg.1$9$ : int;
+var $MouDebugPrint.arg.2$1$ : int;
+var $MouDebugPrint.arg.2$19$ : int;
+var $RtlAssert.arg.1$14$ : int;
+var $RtlAssert.arg.1$16$ : int;
+var $RtlAssert.arg.1$18$ : int;
+var $RtlAssert.arg.1$3$ : int;
+var $RtlAssert.arg.1$5$ : int;
+var $RtlAssert.arg.1$7$ : int;
+var $RtlAssert.arg.2$13$ : int;
+var $RtlAssert.arg.2$15$ : int;
+var $RtlAssert.arg.2$17$ : int;
+var $RtlAssert.arg.2$2$ : int;
+var $RtlAssert.arg.2$4$ : int;
+var $RtlAssert.arg.2$6$ : int;
+var $data$3$2812.22$MouseClassUnload$4 : int;
+var $enabled$6$2829.16$MouseClassUnload$4 : int;
+var $entry$2$2811.16$MouseClassUnload$4 : int;
+var $file$7$2830.21$MouseClassUnload$4 : int;
+var $i$8$2898.14$MouseClassUnload$4 : int;
+var $irp$5$2814.9$MouseClassUnload$4 : int;
+var $port$4$2813.10$MouseClassUnload$4 : int;
+var $result.IoAllocateIrp$2854.31$8$ : int;
+var $result.MouEnableDisablePort$2856.37$10$ : int;
+var $result.ObfDereferenceObject$2867.12$11$ : int;
+var $result.RemoveEntryList$2878.24$12$ : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+var LOOP_15_alloc:[int]name;
+var LOOP_15_Mem:[name][int]int;
+var LOOP_15_Res_DEVICE_STACK:[int]int;
+var LOOP_15_Res_DEV_EXTN:[int]int;
+var LOOP_15_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_15_Res_SPIN_LOCK:[int]int;
+var LOOP_108_alloc:[int]name;
+var LOOP_108_Mem:[name][int]int;
+var LOOP_108_Res_DEVICE_STACK:[int]int;
+var LOOP_108_Res_DEV_EXTN:[int]int;
+var LOOP_108_Res_DEV_OBJ_INIT:[int]int;
+var LOOP_108_Res_SPIN_LOCK:[int]int;
+
+
+start:
+
+assume (alloc[$DriverObject$1$2789.24$MouseClassUnload$41] != UNALLOCATED);
+call $file$7$2830.21$MouseClassUnload$4 := __HAVOC_malloc(4);
+$DriverObject$1$2789.24$MouseClassUnload$4 := $DriverObject$1$2789.24$MouseClassUnload$41;
+goto label_3;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2911)
+label_1:
+call __HAVOC_free($file$7$2830.21$MouseClassUnload$4);
+assume (forall m:int:: {Res_DEVICE_STACK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEVICE_STACK[m] == old(Res_DEVICE_STACK)[m]);
+assume (forall m:int:: {Res_DEV_EXTN[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_EXTN[m] == old(Res_DEV_EXTN)[m]);
+assume (forall m:int:: {Res_DEV_OBJ_INIT[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_DEV_OBJ_INIT[m] == old(Res_DEV_OBJ_INIT)[m]);
+assume (forall m:int:: {Res_SPIN_LOCK[m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Res_SPIN_LOCK[m] == old(Res_SPIN_LOCK)[m]);
+assume (forall m:int :: {Mem[T.A11CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A11CHAR][m] == old(Mem[T.A11CHAR])[m]);
+assume (forall m:int :: {Mem[T.A19CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A19CHAR][m] == old(Mem[T.A19CHAR])[m]);
+assume (forall m:int :: {Mem[T.A33CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A33CHAR][m] == old(Mem[T.A33CHAR])[m]);
+assume (forall m:int :: {Mem[T.A34CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A34CHAR][m] == old(Mem[T.A34CHAR])[m]);
+assume (forall m:int :: {Mem[T.A39CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A39CHAR][m] == old(Mem[T.A39CHAR])[m]);
+assume (forall m:int :: {Mem[T.A43CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A43CHAR][m] == old(Mem[T.A43CHAR])[m]);
+assume (forall m:int :: {Mem[T.A74CHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.A74CHAR][m] == old(Mem[T.A74CHAR])[m]);
+assume (forall m:int :: {Mem[T.AssocClassList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.AssocClassList__GLOBALS][m] == old(Mem[T.AssocClassList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Buffer__UNICODE_STRING][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Buffer__UNICODE_STRING][m] == old(Mem[T.Buffer__UNICODE_STRING])[m]);
+assume (forall m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][m] == old(Mem[T.CurrentStackLocation___unnamed_4_a7aa989c])[m]);
+assume (forall m:int :: {Mem[T.DataIn__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataIn__DEVICE_EXTENSION][m] == old(Mem[T.DataIn__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DataOut__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DataOut__DEVICE_EXTENSION][m] == old(Mem[T.DataOut__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.DeviceExtension__DEVICE_OBJECT][m] == old(Mem[T.DeviceExtension__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Enabled__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__DEVICE_EXTENSION][m] == old(Mem[T.Enabled__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Enabled__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Enabled__PORT][m] == old(Mem[T.Enabled__PORT])[m]);
+assume (forall m:int :: {Mem[T.File__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__DEVICE_EXTENSION][m] == old(Mem[T.File__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.File__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.File__PORT][m] == old(Mem[T.File__PORT])[m]);
+assume (forall m:int :: {Mem[T.Flink__LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Flink__LIST_ENTRY][m] == old(Mem[T.Flink__LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.Free__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Free__PORT][m] == old(Mem[T.Free__PORT])[m]);
+assume (forall m:int :: {Mem[T.GrandMaster__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.GrandMaster__GLOBALS][m] == old(Mem[T.GrandMaster__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.INT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.INT4][m] == old(Mem[T.INT4])[m]);
+assume (forall m:int :: {Mem[T.InputData__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.InputData__DEVICE_EXTENSION][m] == old(Mem[T.InputData__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.LegacyDeviceList__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.LegacyDeviceList__GLOBALS][m] == old(Mem[T.LegacyDeviceList__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Link__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Link__DEVICE_EXTENSION][m] == old(Mem[T.Link__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.MinorFunction__IO_STACK_LOCATION][m] == old(Mem[T.MinorFunction__IO_STACK_LOCATION])[m]);
+assume (forall m:int :: {Mem[T.NumAssocClass__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.NumAssocClass__GLOBALS][m] == old(Mem[T.NumAssocClass__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.PCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PCHAR][m] == old(Mem[T.PCHAR])[m]);
+assume (forall m:int :: {Mem[T.PP_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PP_FILE_OBJECT][m] == old(Mem[T.PP_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.PUINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PUINT4][m] == old(Mem[T.PUINT4])[m]);
+assume (forall m:int :: {Mem[T.PVOID][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PVOID][m] == old(Mem[T.PVOID])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_EXTENSION][m] == old(Mem[T.P_DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.P_DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_DEVICE_OBJECT][m] == old(Mem[T.P_DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_FILE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_FILE_OBJECT][m] == old(Mem[T.P_FILE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.P_IRP][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_IRP][m] == old(Mem[T.P_IRP])[m]);
+assume (forall m:int :: {Mem[T.P_LIST_ENTRY][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_LIST_ENTRY][m] == old(Mem[T.P_LIST_ENTRY])[m]);
+assume (forall m:int :: {Mem[T.P_MOUSE_INPUT_DATA][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_MOUSE_INPUT_DATA][m] == old(Mem[T.P_MOUSE_INPUT_DATA])[m]);
+assume (forall m:int :: {Mem[T.P_PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.P_PORT][m] == old(Mem[T.P_PORT])[m]);
+assume (forall m:int :: {Mem[T.PnP__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.PnP__DEVICE_EXTENSION][m] == old(Mem[T.PnP__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.Port__PORT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Port__PORT][m] == old(Mem[T.Port__PORT])[m]);
+assume (forall m:int :: {Mem[T.RegistryPath__GLOBALS][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.RegistryPath__GLOBALS][m] == old(Mem[T.RegistryPath__GLOBALS])[m]);
+assume (forall m:int :: {Mem[T.Self__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Self__DEVICE_EXTENSION][m] == old(Mem[T.Self__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.SpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.SpinLock__DEVICE_EXTENSION][m] == old(Mem[T.SpinLock__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.StackSize__DEVICE_OBJECT][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.StackSize__DEVICE_OBJECT][m] == old(Mem[T.StackSize__DEVICE_OBJECT])[m]);
+assume (forall m:int :: {Mem[T.Started__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.Started__DEVICE_EXTENSION][m] == old(Mem[T.Started__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.TopPort__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.TopPort__DEVICE_EXTENSION][m] == old(Mem[T.TopPort__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.UCHAR][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UCHAR][m] == old(Mem[T.UCHAR])[m]);
+assume (forall m:int :: {Mem[T.UINT4][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UINT4][m] == old(Mem[T.UINT4])[m]);
+assume (forall m:int :: {Mem[T.UnitId__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.UnitId__DEVICE_EXTENSION][m] == old(Mem[T.UnitId__DEVICE_EXTENSION])[m]);
+assume (forall m:int :: {Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION][m] == old(Mem[T.WaitWakeSpinLock__DEVICE_EXTENSION])[m]);
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2911)
+label_2:
+assume false;
+return;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2811)
+label_3:
+goto label_4;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2812)
+label_4:
+goto label_5;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2813)
+label_5:
+goto label_6;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2814)
+label_6:
+goto label_7;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2818)
+label_7:
+call __PREfastPagedCode ();
+goto label_13;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2820)
+label_10:
+// skip MouDebugPrint
+goto label_14;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2820)
+label_13:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$1$ := havoc_stringTemp ;
+goto label_10;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2825)
+label_14:
+$entry$2$2811.16$MouseClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))] ;
+goto label_15;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2826)
+label_15:
+// loop entry initialization...
+LOOP_15_alloc := alloc;
+LOOP_15_Mem := Mem;
+LOOP_15_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_15_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_15_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_15_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_15_head;
+
+
+label_15_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires 1 ==> !__setin(&Globals.GrandMaster->Link, __setminus(__btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), __set(&Globals.LegacyDeviceList)))
+assert((true) ==> (!(Difference(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals)), Singleton(LegacyDeviceList__GLOBALS(Globals)))[Link__DEVICE_EXTENSION(Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)])])));
+//TAG: requires __setin(entry, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert(ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[$entry$2$2811.16$MouseClassUnload$4]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_15_alloc[Base(f)] == UNALLOCATED || LOOP_15_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_15_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_EXTN[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_true
+assert (Subset(Empty(), Union(Empty(), SetTrue())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (SetTrue()[r]) || LOOP_15_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_15_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_15_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_15_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_15_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_15_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_15_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_15_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_15_true , label_15_false ;
+
+
+label_15_true :
+assume ($entry$2$2811.16$MouseClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
+goto label_16;
+
+
+label_15_false :
+assume !($entry$2$2811.16$MouseClassUnload$4 != LegacyDeviceList__GLOBALS(Globals));
+goto label_85;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2829)
+label_16:
+goto label_17;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2829)
+label_17:
+$enabled$6$2829.16$MouseClassUnload$4 := 0 ;
+goto label_18;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2830)
+label_18:
+goto label_19;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2830)
+label_19:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := 0];
+goto label_20;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2832)
+label_20:
+$data$3$2812.22$MouseClassUnload$4 := MINUS_LEFT_PTR($entry$2$2811.16$MouseClassUnload$4, 1, 252) ;
+goto label_21;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
+label_21:
+goto label_21_true , label_21_false ;
+
+
+label_21_true :
+assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
+goto label_25;
+
+
+label_21_false :
+assume (Mem[T.PnP__DEVICE_EXTENSION][PnP__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
+label_22:
+// skip RtlAssert
+goto label_27;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
+label_25:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$2$ := havoc_stringTemp ;
+goto label_26;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2833)
+label_26:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$3$ := havoc_stringTemp ;
+goto label_22;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2835)
+label_27:
+goto label_27_true , label_27_false ;
+
+
+label_27_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_28;
+
+
+label_27_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_40;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2836)
+label_28:
+$port$4$2813.10$MouseClassUnload$4 := PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, Mem[T.UnitId__DEVICE_EXTENSION][UnitId__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]) ;
+goto label_29;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
+label_29:
+goto label_29_true , label_29_false ;
+
+
+label_29_true :
+assume (Mem[T.Port__PORT][Port__PORT($port$4$2813.10$MouseClassUnload$4)] == $data$3$2812.22$MouseClassUnload$4);
+goto label_35;
+
+
+label_29_false :
+assume !(Mem[T.Port__PORT][Port__PORT($port$4$2813.10$MouseClassUnload$4)] == $data$3$2812.22$MouseClassUnload$4);
+goto label_33;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
+label_30:
+// skip RtlAssert
+goto label_35;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
+label_33:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$4$ := havoc_stringTemp ;
+goto label_34;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2837)
+label_34:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$5$ := havoc_stringTemp ;
+goto label_30;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2839)
+label_35:
+$enabled$6$2829.16$MouseClassUnload$4 := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2813.10$MouseClassUnload$4)] ;
+goto label_36;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2840)
+label_36:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := Mem[T.File__PORT][File__PORT($port$4$2813.10$MouseClassUnload$4)]];
+goto label_37;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2842)
+label_37:
+Mem[T.Enabled__PORT] := Mem[T.Enabled__PORT][Enabled__PORT($port$4$2813.10$MouseClassUnload$4) := 0];
+goto label_38;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2843)
+label_38:
+Mem[T.File__PORT] := Mem[T.File__PORT][File__PORT($port$4$2813.10$MouseClassUnload$4) := 0];
+goto label_39;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2844)
+label_39:
+Mem[T.Free__PORT] := Mem[T.Free__PORT][Free__PORT($port$4$2813.10$MouseClassUnload$4) := 1];
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2847)
+label_40:
+$enabled$6$2829.16$MouseClassUnload$4 := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] ;
+goto label_41;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2848)
+label_41:
+Mem[T.P_FILE_OBJECT] := Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4 := Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
+goto label_42;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
+label_42:
+goto label_42_true , label_42_false ;
+
+
+label_42_true :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
+goto label_48;
+
+
+label_42_false :
+assume (Mem[T.File__DEVICE_EXTENSION][File__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
+goto label_46;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
+label_43:
+// skip RtlAssert
+goto label_48;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
+label_46:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$6$ := havoc_stringTemp ;
+goto label_47;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2849)
+label_47:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$7$ := havoc_stringTemp ;
+goto label_43;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2850)
+label_48:
+Mem[T.Enabled__DEVICE_EXTENSION] := Mem[T.Enabled__DEVICE_EXTENSION][Enabled__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
+goto label_49;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2853)
+label_49:
+goto label_49_true , label_49_false ;
+
+
+label_49_true :
+assume ($enabled$6$2829.16$MouseClassUnload$4 != 0);
+goto label_53;
+
+
+label_49_false :
+assume ($enabled$6$2829.16$MouseClassUnload$4 == 0);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
+label_50:
+call $result.IoAllocateIrp$2854.31$8$ := IoAllocateIrp ($IoAllocateIrp.arg.1$9$, 0);
+goto label_54;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
+label_53:
+$IoAllocateIrp.arg.1$9$ := PLUS(Mem[T.StackSize__DEVICE_OBJECT][StackSize__DEVICE_OBJECT(Mem[T.TopPort__DEVICE_EXTENSION][TopPort__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)])], 1, 1) ;
+goto label_50;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2854)
+label_54:
+$irp$5$2814.9$MouseClassUnload$4 := $result.IoAllocateIrp$2854.31$8$ ;
+goto label_55;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2855)
+label_55:
+goto label_55_true , label_55_false ;
+
+
+label_55_true :
+assume ($irp$5$2814.9$MouseClassUnload$4 != 0);
+goto label_56;
+
+
+label_55_false :
+assume ($irp$5$2814.9$MouseClassUnload$4 == 0);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2856)
+label_56:
+call $result.MouEnableDisablePort$2856.37$10$ := MouEnableDisablePort (0, $irp$5$2814.9$MouseClassUnload$4, $data$3$2812.22$MouseClassUnload$4, $file$7$2830.21$MouseClassUnload$4);
+goto label_59;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2857)
+label_59:
+call IoFreeIrp ($irp$5$2814.9$MouseClassUnload$4);
+goto label_62;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2866)
+label_62:
+goto label_62_true , label_62_false ;
+
+
+label_62_true :
+assume (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4] != 0);
+goto label_63;
+
+
+label_62_false :
+assume (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4] == 0);
+goto label_66;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2867)
+label_63:
+call $result.ObfDereferenceObject$2867.12$11$ := ObfDereferenceObject (Mem[T.P_FILE_OBJECT][$file$7$2830.21$MouseClassUnload$4]);
+goto label_66;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2874)
+label_66:
+goto label_66_true , label_66_false ;
+
+
+label_66_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_70;
+
+
+label_66_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_67;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2875)
+label_67:
+call MouseClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], $data$3$2812.22$MouseClassUnload$4, 0);
+goto label_70;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2878)
+label_70:
+call $result.RemoveEntryList$2878.24$12$ := RemoveEntryList (Link__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4));
+goto label_73;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2879)
+label_73:
+$entry$2$2811.16$MouseClassUnload$4 := Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY($entry$2$2811.16$MouseClassUnload$4)] ;
+goto label_74;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_74:
+goto label_74_true , label_74_false ;
+
+
+label_74_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
+goto label_75;
+
+
+label_74_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_75:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], 0);
+goto label_78;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_78:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
+goto label_79;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_79:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
+goto label_80;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_80:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
+goto label_81;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_81:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]);
+goto label_84;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2881)
+label_84:
+$data$3$2812.22$MouseClassUnload$4 := 0 ;
+goto label_15_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2887)
+label_85:
+goto label_85_true , label_85_false ;
+
+
+label_85_true :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0);
+goto label_86;
+
+
+label_85_false :
+assume (Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] == 0);
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2888)
+label_86:
+$data$3$2812.22$MouseClassUnload$4 := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] ;
+goto label_87;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2889)
+label_87:
+Mem[T.GrandMaster__GLOBALS] := Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals) := 0];
+goto label_88;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2891)
+label_88:
+call MouseClassCleanupQueue (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], $data$3$2812.22$MouseClassUnload$4, 0);
+goto label_91;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_91:
+goto label_91_true , label_91_false ;
+
+
+label_91_true :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] != 0);
+goto label_92;
+
+
+label_91_false :
+assume (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)] == 0);
+goto label_98;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_92:
+call ExFreePoolWithTag (Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)], 0);
+goto label_95;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_95:
+Mem[T.DataOut__DEVICE_EXTENSION] := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := 0];
+goto label_96;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_96:
+Mem[T.DataIn__DEVICE_EXTENSION] := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataOut__DEVICE_EXTENSION][DataOut__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
+goto label_97;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_97:
+Mem[T.InputData__DEVICE_EXTENSION] := Mem[T.InputData__DEVICE_EXTENSION][InputData__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4) := Mem[T.DataIn__DEVICE_EXTENSION][DataIn__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]];
+goto label_98;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_98:
+call IoDeleteDevice (Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION($data$3$2812.22$MouseClassUnload$4)]);
+goto label_101;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2892)
+label_101:
+$data$3$2812.22$MouseClassUnload$4 := 0 ;
+goto label_102;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2895)
+label_102:
+call ExFreePoolWithTag (Mem[T.Buffer__UNICODE_STRING][Buffer__UNICODE_STRING(RegistryPath__GLOBALS(Globals))], 0);
+goto label_105;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2896)
+label_105:
+goto label_105_true , label_105_false ;
+
+
+label_105_true :
+assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] != 0);
+goto label_106;
+
+
+label_105_false :
+assume (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)] == 0);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2898)
+label_106:
+goto label_107;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
+label_107:
+$i$8$2898.14$MouseClassUnload$4 := 0 ;
+goto label_108;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
+label_108:
+// loop entry initialization...
+LOOP_108_alloc := alloc;
+LOOP_108_Mem := Mem;
+LOOP_108_Res_DEVICE_STACK := Res_DEVICE_STACK;
+LOOP_108_Res_DEV_EXTN := Res_DEV_EXTN;
+LOOP_108_Res_DEV_OBJ_INIT := Res_DEV_OBJ_INIT;
+LOOP_108_Res_SPIN_LOCK := Res_SPIN_LOCK;
+goto label_108_head;
+
+
+label_108_head:
+// loop head assertions...
+//TAG: requires __pforall(_H_x, (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension), __inv_resource("DEV_OBJ_INIT", 1), ((struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension))->Self == _H_x && __resource("DEV_EXTN", (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)_H_x)->DeviceExtension)) == 1)
+assert((forall _H_x:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]} Inverse(Res_DEV_OBJ_INIT,1)[_H_x] ==> ((Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)])] == _H_x) && (Res_DEV_EXTN[Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(_H_x)]] == 1))));
+//TAG: requires __pforall(_H_z, _H_z->Self, __inv_resource("DEV_EXTN", 1), __resource("DEV_OBJ_INIT", _H_z->Self) == 1 && (struct _DEVICE_EXTENSION *)(((struct _DEVICE_OBJECT *)(_H_z->Self))->DeviceExtension) == _H_z)
+assert((forall _H_z:int :: {Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]} Inverse(Res_DEV_EXTN,1)[_H_z] ==> ((Res_DEV_OBJ_INIT[Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)]] == 1) && (Mem[T.DeviceExtension__DEVICE_OBJECT][DeviceExtension__DEVICE_OBJECT(Mem[T.Self__DEVICE_EXTENSION][Self__DEVICE_EXTENSION(_H_z)])] == _H_z))));
+//TAG: requires __forall(_H_z, __inv_resource("DEV_EXTN", 1), __resource("SPIN_LOCK", &_H_z->SpinLock) == 0 && __resource("SPIN_LOCK", &_H_z->WaitWakeSpinLock) == 0)
+assert((Subset(Empty(), Inverse(Res_DEV_EXTN,1)) && (forall _H_z : int :: {Inverse(Res_DEV_EXTN,1)[_H_z]} (Inverse(Res_DEV_EXTN,1)[_H_z]) ==> ((Res_SPIN_LOCK[SpinLock__DEVICE_EXTENSION(_H_z)] == 0) && (Res_SPIN_LOCK[WaitWakeSpinLock__DEVICE_EXTENSION(_H_z)] == 0)))));
+//TAG: requires 1 ==> (Globals.GrandMaster != (void *)0 ==> __resource("DEV_EXTN", Globals.GrandMaster) == 1)
+assert((true) ==> ((Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)] != 0) ==> (Res_DEV_EXTN[Mem[T.GrandMaster__GLOBALS][GrandMaster__GLOBALS(Globals)]] == 1)));
+//TAG: requires 1 ==> __setin(&Globals.LegacyDeviceList, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList))
+assert((true) ==> (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[LegacyDeviceList__GLOBALS(Globals)]));
+//TAG: requires 1 ==> __forall(_H_y, __btwn(__offset((*((struct _LIST_ENTRY *)0)).Flink), (&Globals.LegacyDeviceList)->Flink, &Globals.LegacyDeviceList), _H_y == &Globals.LegacyDeviceList || __resource("DEV_EXTN", CONTAINING_RECORD(_H_y, struct _DEVICE_EXTENSION , Link)) == 1)
+assert((true) ==> ((Subset(Empty(), ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))) && (forall _H_y : int :: {ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]} (ReachBetweenSet(Shift_Flink__LIST_ENTRY(Mem[T.Flink__LIST_ENTRY]), Mem[T.Flink__LIST_ENTRY][Flink__LIST_ENTRY(LegacyDeviceList__GLOBALS(Globals))], LegacyDeviceList__GLOBALS(Globals))[_H_y]) ==> ((_H_y == LegacyDeviceList__GLOBALS(Globals)) || (Res_DEV_EXTN[MINUS_LEFT_PTR(_H_y, 1, Link__DEVICE_EXTENSION(0))] == 1))))));
+//TAG: requires __preserves_resource("DEV_OBJ_INIT")
+assert(Res_DEV_OBJ_INIT == LOOP_108_Res_DEV_OBJ_INIT);
+//TAG: requires __preserves_resource("DEV_EXTN")
+assert(Res_DEV_EXTN == LOOP_108_Res_DEV_EXTN);
+//TAG: requires __preserves_field_map(__offset((*((struct _LIST_ENTRY *)0)).Flink))
+assert(Mem[T.Flink__LIST_ENTRY] == LOOP_108_Mem[T.Flink__LIST_ENTRY]);
+assume(forall f:int :: {alloc[Base(f)]} LOOP_108_alloc[Base(f)] == UNALLOCATED || LOOP_108_alloc[Base(f)] == alloc[Base(f)]);
+
+
+//TAG: net change in resource DEVICE_STACK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEVICE_STACK[r]} (Empty()[r]) || LOOP_108_Res_DEVICE_STACK[r] == Res_DEVICE_STACK[r]));
+
+//TAG: net change in resource DEV_EXTN only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_EXTN[r]} (Empty()[r]) || LOOP_108_Res_DEV_EXTN[r] == Res_DEV_EXTN[r]));
+
+//TAG: net change in resource DEV_OBJ_INIT only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_DEV_OBJ_INIT[r]} (Empty()[r]) || LOOP_108_Res_DEV_OBJ_INIT[r] == Res_DEV_OBJ_INIT[r]));
+
+//TAG: net change in resource SPIN_LOCK only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall r:int :: {Res_SPIN_LOCK[r]} (Empty()[r]) || LOOP_108_Res_SPIN_LOCK[r] == Res_SPIN_LOCK[r]));
+//TAG: updated memory locations at Mem[T.MinorFunction__IO_STACK_LOCATION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.MinorFunction__IO_STACK_LOCATION][_m]} (Empty()[_m]) || Mem[T.MinorFunction__IO_STACK_LOCATION][_m] == LOOP_108_Mem[T.MinorFunction__IO_STACK_LOCATION][_m]));
+//TAG: updated memory locations at Mem[T.CurrentStackLocation___unnamed_4_a7aa989c] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]} (Empty()[_m]) || Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m] == LOOP_108_Mem[T.CurrentStackLocation___unnamed_4_a7aa989c][_m]));
+//TAG: updated memory locations at Mem[T.DeviceExtension__DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.DeviceExtension__DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.DeviceExtension__DEVICE_OBJECT][_m] == LOOP_108_Mem[T.DeviceExtension__DEVICE_OBJECT][_m]));
+//TAG: updated memory locations at Mem[T.Self__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Self__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Self__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Self__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.Started__DEVICE_EXTENSION] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.Started__DEVICE_EXTENSION][_m]} (Empty()[_m]) || Mem[T.Started__DEVICE_EXTENSION][_m] == LOOP_108_Mem[T.Started__DEVICE_EXTENSION][_m]));
+//TAG: updated memory locations at Mem[T.GrandMaster__GLOBALS] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.GrandMaster__GLOBALS][_m]} (Empty()[_m]) || Mem[T.GrandMaster__GLOBALS][_m] == LOOP_108_Mem[T.GrandMaster__GLOBALS][_m]));
+//TAG: updated memory locations at Mem[T.P_DEVICE_OBJECT] only for: __set_empty
+assert (Subset(Empty(), Union(Empty(), Empty())) && (forall _m:int :: {Mem[T.P_DEVICE_OBJECT][_m]} (Empty()[_m]) || Mem[T.P_DEVICE_OBJECT][_m] == LOOP_108_Mem[T.P_DEVICE_OBJECT][_m]));
+
+// end loop head assertions
+
+goto label_108_true , label_108_false ;
+
+
+label_108_true :
+assume ($i$8$2898.14$MouseClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
+goto label_109;
+
+
+label_108_false :
+assume !($i$8$2898.14$MouseClassUnload$4 < Mem[T.NumAssocClass__GLOBALS][NumAssocClass__GLOBALS(Globals)]);
+goto label_128;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
+label_109:
+goto label_109_true , label_109_false ;
+
+
+label_109_true :
+assume (Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 1);
+goto label_115;
+
+
+label_109_false :
+assume !(Mem[T.Free__PORT][Free__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 1);
+goto label_113;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
+label_110:
+// skip RtlAssert
+goto label_115;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
+label_113:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$13$ := havoc_stringTemp ;
+goto label_114;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2901)
+label_114:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$14$ := havoc_stringTemp ;
+goto label_110;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
+label_115:
+goto label_115_true , label_115_false ;
+
+
+label_115_true :
+assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] != 0);
+goto label_119;
+
+
+label_115_false :
+assume (Mem[T.Enabled__PORT][Enabled__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 0);
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
+label_116:
+// skip RtlAssert
+goto label_121;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
+label_119:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$15$ := havoc_stringTemp ;
+goto label_120;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2902)
+label_120:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$16$ := havoc_stringTemp ;
+goto label_116;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
+label_121:
+goto label_121_true , label_121_false ;
+
+
+label_121_true :
+assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] != 0);
+goto label_125;
+
+
+label_121_false :
+assume (Mem[T.File__PORT][File__PORT(PLUS(Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 12, $i$8$2898.14$MouseClassUnload$4))] == 0);
+goto label_127;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
+label_122:
+// skip RtlAssert
+goto label_127;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
+label_125:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.2$17$ := havoc_stringTemp ;
+goto label_126;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2903)
+label_126:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$RtlAssert.arg.1$18$ := havoc_stringTemp ;
+goto label_122;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2900)
+label_127:
+$i$8$2898.14$MouseClassUnload$4 := PLUS($i$8$2898.14$MouseClassUnload$4, 1, 1) ;
+goto label_108_head;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2907)
+label_128:
+call ExFreePoolWithTag (Mem[T.AssocClassList__GLOBALS][AssocClassList__GLOBALS(Globals)], 0);
+goto label_134;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2910)
+label_131:
+// skip MouDebugPrint
+goto label_1;
+
+
+// e:\esp1\esp\tests\hvdrivers\houdini\mouclass_fbl_fbs_dev2_ntfs\mouclass.c(2910)
+label_134:
+call havoc_stringTemp := __HAVOC_malloc(1);
+$MouDebugPrint.arg.2$19$ := havoc_stringTemp ;
+goto label_131;
+
+}
+
diff --git a/Test/houdini/deterministic.bpl b/Test/houdini/deterministic.bpl
index 8a6c0cd6..f566388a 100644
--- a/Test/houdini/deterministic.bpl
+++ b/Test/houdini/deterministic.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-function f(a:int):int;
-
-procedure {:inline 1} Foo(x:int) returns (r:int)
-free ensures r == f(x);
-{
- if (x >0 ) {
- call r := Foo(x);
- r := r + 1;
- havoc r;
- } else {
- r := 0;
- }
- return;
-}
-
-procedure Check(x1:int, x2:int)
-{
- var r1: int, r2:int;
-
- call r1 := Foo(x2); //inlined
- call r2 := Foo(x2); //inlined
- assert r1 == r2;
-}
+// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+function f(a:int):int;
+
+procedure {:inline 1} Foo(x:int) returns (r:int)
+free ensures r == f(x);
+{
+ if (x >0 ) {
+ call r := Foo(x);
+ r := r + 1;
+ havoc r;
+ } else {
+ r := 0;
+ }
+ return;
+}
+
+procedure Check(x1:int, x2:int)
+{
+ var r1: int, r2:int;
+
+ call r1 := Foo(x2); //inlined
+ call r2 := Foo(x2); //inlined
+ assert r1 == r2;
+}
diff --git a/Test/houdini/deterministic.bpl.expect b/Test/houdini/deterministic.bpl.expect
index 22b480b4..55ced70d 100644
--- a/Test/houdini/deterministic.bpl.expect
+++ b/Test/houdini/deterministic.bpl.expect
@@ -1,3 +1,3 @@
-Assignment computed by Houdini:
-
-Boogie program verifier finished with 1 verified, 0 errors
+Assignment computed by Houdini:
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/houdini/houd1.bpl b/Test/houdini/houd1.bpl
index 0ad0feee..8477064f 100644
--- a/Test/houdini/houd1.bpl
+++ b/Test/houdini/houd1.bpl
@@ -1,21 +1,21 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-
-var myVar: int;
-
-procedure foo (i:int)
-modifies myVar;
-// comment
-ensures b1 ==> myVar>0;
-ensures myVar!=-1;
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected output: Correct
-// expected end assigment: b1->False
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+
+var myVar: int;
+
+procedure foo (i:int)
+modifies myVar;
+// comment
+ensures b1 ==> myVar>0;
+ensures myVar!=-1;
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected output: Correct
+// expected end assigment: b1->False
diff --git a/Test/houdini/houd10.bpl b/Test/houdini/houd10.bpl
index 11757320..4e329df3 100644
--- a/Test/houdini/houd10.bpl
+++ b/Test/houdini/houd10.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-
-var fooVar: int;
-var xVar: int;
-
-procedure foo()
-modifies fooVar;
-modifies xVar;
-ensures b1 ==> fooVar==0;
-ensures b3 ==> xVar<0;
-{
- fooVar:=5;
- call bar();
-}
-
-procedure bar();
-modifies xVar;
-requires fooVar!=5;
-
-// expected outcome: Errors
-// expected assigment: b1->True,b2->True,b3->True
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+
+var fooVar: int;
+var xVar: int;
+
+procedure foo()
+modifies fooVar;
+modifies xVar;
+ensures b1 ==> fooVar==0;
+ensures b3 ==> xVar<0;
+{
+ fooVar:=5;
+ call bar();
+}
+
+procedure bar();
+modifies xVar;
+requires fooVar!=5;
+
+// expected outcome: Errors
+// expected assigment: b1->True,b2->True,b3->True
diff --git a/Test/houdini/houd11.bpl b/Test/houdini/houd11.bpl
index dc90f900..239d7e29 100644
--- a/Test/houdini/houd11.bpl
+++ b/Test/houdini/houd11.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var fooVar: int;
-
-procedure foo()
-modifies fooVar;
-{
- fooVar:=5;
- assert(fooVar==4);
- assert(fooVar==3);
-}
-
-// expected outcome: Errors
-// expected assigment: []
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var fooVar: int;
+
+procedure foo()
+modifies fooVar;
+{
+ fooVar:=5;
+ assert(fooVar==4);
+ assert(fooVar==3);
+}
+
+// expected outcome: Errors
+// expected assigment: []
diff --git a/Test/houdini/houd12.bpl b/Test/houdini/houd12.bpl
index 7e39b8af..9c1a2449 100644
--- a/Test/houdini/houd12.bpl
+++ b/Test/houdini/houd12.bpl
@@ -1,59 +1,59 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" | %OutputCheck -d "%s"
-// Example to test candidate annotations on loops
-
-// CHECK-L: Assignment computed by Houdini:
-// CHECK-NEXT-L: b1 = False
-const {:existential true} b1:bool;
-// CHECK-NEXT-L: b2 = True
-const {:existential true} b2:bool;
-// CHECK-NEXT-L: b3 = True
-const {:existential true} b3:bool;
-// CHECK-NEXT-L: b4 = True
-const {:existential true} b4:bool;
-// CHECK-NEXT-L: b5 = True
-const {:existential true} b5:bool;
-// CHECK-NEXT-L: b6 = False
-const {:existential true} b6:bool;
-// CHECK-NEXT-L: b7 = False
-const {:existential true} b7:bool;
-
-var x: int;
-var y: int;
-
-
-procedure foo()
-modifies x;
-modifies y;
-ensures (b4 ==> x == 0);
-ensures (b5 ==> y == 10);
-ensures (b6 ==> x == 10);
-ensures (b7 ==> y == 11);
-
-{
- x := 10;
- y := 0;
-
- goto Head;
-
-Head:
-
- //loop invariants
- assert (b1 ==> x < 0);
- assert (b2 ==> x >= 0);
- assert (b3 ==> x + y == 10);
- goto Body, Exit;
-
-Body:
- assume x > 0;
- x := x - 1;
- y := y + 1;
-
-
- goto Head;
-
-Exit:
- assume !(x > 0);
- return;
-}
-
-// CHECK-L: Boogie program verifier finished with 1 verified, 0 errors
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" | %OutputCheck -d "%s"
+// Example to test candidate annotations on loops
+
+// CHECK-L: Assignment computed by Houdini:
+// CHECK-NEXT-L: b1 = False
+const {:existential true} b1:bool;
+// CHECK-NEXT-L: b2 = True
+const {:existential true} b2:bool;
+// CHECK-NEXT-L: b3 = True
+const {:existential true} b3:bool;
+// CHECK-NEXT-L: b4 = True
+const {:existential true} b4:bool;
+// CHECK-NEXT-L: b5 = True
+const {:existential true} b5:bool;
+// CHECK-NEXT-L: b6 = False
+const {:existential true} b6:bool;
+// CHECK-NEXT-L: b7 = False
+const {:existential true} b7:bool;
+
+var x: int;
+var y: int;
+
+
+procedure foo()
+modifies x;
+modifies y;
+ensures (b4 ==> x == 0);
+ensures (b5 ==> y == 10);
+ensures (b6 ==> x == 10);
+ensures (b7 ==> y == 11);
+
+{
+ x := 10;
+ y := 0;
+
+ goto Head;
+
+Head:
+
+ //loop invariants
+ assert (b1 ==> x < 0);
+ assert (b2 ==> x >= 0);
+ assert (b3 ==> x + y == 10);
+ goto Body, Exit;
+
+Body:
+ assume x > 0;
+ x := x - 1;
+ y := y + 1;
+
+
+ goto Head;
+
+Exit:
+ assume !(x > 0);
+ return;
+}
+
+// CHECK-L: Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/houdini/houd2.bpl b/Test/houdini/houd2.bpl
index e0bfe4ba..4bd94215 100644
--- a/Test/houdini/houd2.bpl
+++ b/Test/houdini/houd2.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-
-
-var myVar: int;
-
-procedure bar(i:int)
-modifies myVar;
-ensures myVar>0;
-{
- call foo(5);
-}
-
-procedure foo (i:int)
-modifies myVar;
-ensures b1 ==> myVar>0;
-ensures myVar!=-1;
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected output: Errors
-// expected end assigment: b1->False b2->True
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+
+
+var myVar: int;
+
+procedure bar(i:int)
+modifies myVar;
+ensures myVar>0;
+{
+ call foo(5);
+}
+
+procedure foo (i:int)
+modifies myVar;
+ensures b1 ==> myVar>0;
+ensures myVar!=-1;
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected output: Errors
+// expected end assigment: b1->False b2->True
diff --git a/Test/houdini/houd3.bpl b/Test/houdini/houd3.bpl
index 2f6b1452..a8a49409 100644
--- a/Test/houdini/houd3.bpl
+++ b/Test/houdini/houd3.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-
-
-var myVar: int;
-
-procedure bar(i:int)
-modifies myVar;
-ensures b2==>myVar>0;
-{
- call foo(5);
-}
-
-procedure foo (i:int)
-modifies myVar;
-ensures b1 ==> myVar>0;
-ensures myVar!=-1;
-{
- if (i>0) {
- myVar := 5;
- } else {
- myVar := 0;
- }
-}
-
-// expected output: Correct
-// expected end assigment: b1->False b2->False
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+
+
+var myVar: int;
+
+procedure bar(i:int)
+modifies myVar;
+ensures b2==>myVar>0;
+{
+ call foo(5);
+}
+
+procedure foo (i:int)
+modifies myVar;
+ensures b1 ==> myVar>0;
+ensures myVar!=-1;
+{
+ if (i>0) {
+ myVar := 5;
+ } else {
+ myVar := 0;
+ }
+}
+
+// expected output: Correct
+// expected end assigment: b1->False b2->False
diff --git a/Test/houdini/houd4.bpl b/Test/houdini/houd4.bpl
index 0950e422..f3937a8a 100644
--- a/Test/houdini/houd4.bpl
+++ b/Test/houdini/houd4.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-const {:existential true} b4:bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b2 ==> i > 0;
-ensures b3 ==> array[i] > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b4 ==> j > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} (b1 && x == j) || array[x] == old(array)[x]);
-{
- call foo(j);
- result := array[j];
-}
-
-// expected outcome: Correct
-// expected assignment: b1->True,b2->True,b3->True,b4->True
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+const {:existential true} b4:bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b2 ==> i > 0;
+ensures b3 ==> array[i] > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b4 ==> j > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} (b1 && x == j) || array[x] == old(array)[x]);
+{
+ call foo(j);
+ result := array[j];
+}
+
+// expected outcome: Correct
+// expected assignment: b1->True,b2->True,b3->True,b4->True
diff --git a/Test/houdini/houd5.bpl b/Test/houdini/houd5.bpl
index b6ee32dd..73f7143e 100644
--- a/Test/houdini/houd5.bpl
+++ b/Test/houdini/houd5.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-const {:existential true} b4:bool;
-const {:existential true} b5:bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b1 ==> i == 0;
-requires b2 ==> i > 0;
-requires b3 ==> i < 0;
-ensures b4 ==> array[i] > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b5 ==> j > 0;
-modifies array;
-{
- call foo(j);
- result := array[j];
-}
-
-// expected outcome: Correct
-// expected assigment: b1->False,b2->true,b3->False,b4->True,b5->True
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+const {:existential true} b4:bool;
+const {:existential true} b5:bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b1 ==> i == 0;
+requires b2 ==> i > 0;
+requires b3 ==> i < 0;
+ensures b4 ==> array[i] > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b5 ==> j > 0;
+modifies array;
+{
+ call foo(j);
+ result := array[j];
+}
+
+// expected outcome: Correct
+// expected assigment: b1->False,b2->true,b3->False,b4->True,b5->True
diff --git a/Test/houdini/houd6.bpl b/Test/houdini/houd6.bpl
index a1dc7220..7b8903a7 100644
--- a/Test/houdini/houd6.bpl
+++ b/Test/houdini/houd6.bpl
@@ -1,46 +1,46 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-const {:existential true} b4:bool;
-const {:existential true} b5:bool;
-const {:existential true} b6:bool;
-const {:existential true} b7:bool;
-const {:existential true} b8:bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b6 ==> i < 0;
-requires b5 ==> i == 0;
-requires b2 ==> i > 0;
-ensures b3 ==> array[i] > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b8 ==> j < 0;
-requires b7 ==> j == 0;
-requires b4 ==> j > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} (x == j) || array[x] == old(array)[x]);
-ensures (b1 ==> array[j] == old(array)[j]);
-{
- call foo(j);
- result := array[j];
-}
-
-var p:int;
-
-procedure main() returns (result: int)
-modifies array;
-{
- call result:= bar(p);
-}
-
-// expected outcome: Correct
-// expected assigment: bi->False forall i
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+const {:existential true} b4:bool;
+const {:existential true} b5:bool;
+const {:existential true} b6:bool;
+const {:existential true} b7:bool;
+const {:existential true} b8:bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b6 ==> i < 0;
+requires b5 ==> i == 0;
+requires b2 ==> i > 0;
+ensures b3 ==> array[i] > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b8 ==> j < 0;
+requires b7 ==> j == 0;
+requires b4 ==> j > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} (x == j) || array[x] == old(array)[x]);
+ensures (b1 ==> array[j] == old(array)[j]);
+{
+ call foo(j);
+ result := array[j];
+}
+
+var p:int;
+
+procedure main() returns (result: int)
+modifies array;
+{
+ call result:= bar(p);
+}
+
+// expected outcome: Correct
+// expected assigment: bi->False forall i
diff --git a/Test/houdini/houd7.bpl b/Test/houdini/houd7.bpl
index 6238ee5a..18b4448b 100644
--- a/Test/houdini/houd7.bpl
+++ b/Test/houdini/houd7.bpl
@@ -1,37 +1,37 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-
-var myVar: int;
-
-procedure foo(i:int)
-requires b1 ==> i>0;
-requires b2 ==> i==0;
-requires b3 ==> i<0;
-modifies myVar;
-ensures myVar>0;
-{
- myVar:=5;
-}
-
-procedure bar(i:int)
-modifies myVar;
-{
- call foo(5);
-}
-// expected outcome: Correct
-// expected Assigment: b1->True,b2->False,b3->False
-
-
-
-
-
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+
+var myVar: int;
+
+procedure foo(i:int)
+requires b1 ==> i>0;
+requires b2 ==> i==0;
+requires b3 ==> i<0;
+modifies myVar;
+ensures myVar>0;
+{
+ myVar:=5;
+}
+
+procedure bar(i:int)
+modifies myVar;
+{
+ call foo(5);
+}
+// expected outcome: Correct
+// expected Assigment: b1->True,b2->False,b3->False
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Test/houdini/houd8.bpl b/Test/houdini/houd8.bpl
index 52d49111..1df79b48 100644
--- a/Test/houdini/houd8.bpl
+++ b/Test/houdini/houd8.bpl
@@ -1,32 +1,32 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-
-var myVar: int;
-
-procedure foo(i:int)
-modifies myVar;
-ensures b1 ==> myVar>0;
-ensures b2 ==> myVar==0;
-ensures b3 ==> myVar<0;
-{
- myVar:=5;
-}
-
-// expected outcome: Correct
-// expected assigment: b1->True,b2->False,b3->False
-
-
-
-
-
-
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+
+var myVar: int;
+
+procedure foo(i:int)
+modifies myVar;
+ensures b1 ==> myVar>0;
+ensures b2 ==> myVar==0;
+ensures b3 ==> myVar<0;
+{
+ myVar:=5;
+}
+
+// expected outcome: Correct
+// expected assigment: b1->True,b2->False,b3->False
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Test/houdini/houd9.bpl b/Test/houdini/houd9.bpl
index 0a33896c..c8f91ad4 100644
--- a/Test/houdini/houd9.bpl
+++ b/Test/houdini/houd9.bpl
@@ -1,34 +1,34 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-
-axiom(b1 && b2 && b3);
-
-var fooVar: int;
-var xVar: int;
-
-
-procedure foo()
-modifies fooVar;
-modifies xVar;
-ensures b1 ==> fooVar>0;
-ensures b2 ==> fooVar==0;
-ensures b3 ==> xVar<0;
-{
- fooVar:=5;
- assert(fooVar>5);
- xVar:=0;
- assert(xVar>0);
-}
-
-// expected outcome: Errors
-// expected assigment: b1->True,b2->True,b3->True
-
-
-
-
-
-
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+
+axiom(b1 && b2 && b3);
+
+var fooVar: int;
+var xVar: int;
+
+
+procedure foo()
+modifies fooVar;
+modifies xVar;
+ensures b1 ==> fooVar>0;
+ensures b2 ==> fooVar==0;
+ensures b3 ==> xVar<0;
+{
+ fooVar:=5;
+ assert(fooVar>5);
+ xVar:=0;
+ assert(xVar>0);
+}
+
+// expected outcome: Errors
+// expected assigment: b1->True,b2->True,b3->True
+
+
+
+
+
+
+
diff --git a/Test/houdini/mergedProgSingle_dac.bpl b/Test/houdini/mergedProgSingle_dac.bpl
index 26ff38d7..e891254f 100644
--- a/Test/houdini/mergedProgSingle_dac.bpl
+++ b/Test/houdini/mergedProgSingle_dac.bpl
@@ -1,7616 +1,7616 @@
-// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-function _v2.ite(b: bool, x: int, y: int) : int;
-
-var _v2.OK: bool;
-
-var {:extern} _v2.Mem: [name][int]int;
-
-var {:extern} _v2.alloc: int;
-
-var {:extern} _v2.Mem_T.A1CHAR: [int]int;
-
-var {:extern} _v2.Mem_T.A5UCHAR: [int]int;
-
-var {:extern} _v2.Mem_T.A6UCHAR: [int]int;
-
-var {:extern} _v2.Mem_T.CHAR: [int]int;
-
-var {:extern} _v2.Mem_T.INT4: [int]int;
-
-var {:extern} _v2.Mem_T.PCHAR: [int]int;
-
-var {:extern} _v2.Mem_T.PUCHAR: [int]int;
-
-var {:extern} _v2.Mem_T.PVOID: [int]int;
-
-var {:extern} _v2.Mem_T.Pieee80211_scan_entry: [int]int;
-
-var {:extern} _v2.Mem_T.UCHAR: [int]int;
-
-var {:extern} _v2.Mem_T.VOID: [int]int;
-
-var {:extern} _v2.Mem_T.ieee80211_scan_entry: [int]int;
-
-var {:extern} _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
-
-var {:extern} _v2.detChoiceCnt: int;
-
-var {:extern} _v2.Res_KERNEL_SOURCE: [int]int;
-
-var {:extern} _v2.Res_PROBED: [int]int;
-
-const {:extern} unique _v2.T.se_rsn_ie_ieee80211_scan_entry: name;
-
-const {:extern} unique _v2.T.A1CHAR: name;
-
-const {:extern} unique _v2.T.A5UCHAR: name;
-
-const {:extern} unique _v2.T.A6UCHAR: name;
-
-const {:extern} unique _v2.T.CHAR: name;
-
-const {:extern} unique _v2.T.INT4: name;
-
-const {:extern} unique _v2.T.PA1CHAR: name;
-
-const {:extern} unique _v2.T.PA5UCHAR: name;
-
-const {:extern} unique _v2.T.PA6UCHAR: name;
-
-const {:extern} unique _v2.T.PCHAR: name;
-
-const {:extern} unique _v2.T.PINT4: name;
-
-const {:extern} unique _v2.T.PPCHAR: name;
-
-const {:extern} unique _v2.T.PPUCHAR: name;
-
-const {:extern} unique _v2.T.PPVOID: name;
-
-const {:extern} unique _v2.T.PPieee80211_scan_entry: name;
-
-const {:extern} unique _v2.T.PUCHAR: name;
-
-const {:extern} unique _v2.T.PUINT4: name;
-
-const {:extern} unique _v2.T.PVOID: name;
-
-const {:extern} unique _v2.T.Pieee80211_scan_entry: name;
-
-const {:extern} unique _v2.T.UCHAR: name;
-
-const {:extern} unique _v2.T.UINT4: name;
-
-const {:extern} unique _v2.T.VOID: name;
-
-const {:extern} unique _v2.T.ieee80211_scan_entry: name;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_8: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 13} unique _v2.__ctobpl_const_2: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 15} unique _v2.__ctobpl_const_3: int;
-
-const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 15} unique _v2.__ctobpl_const_4: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_5: int;
-
-const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_6: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_7: int;
-
-const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_9: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_10: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_44: int;
-
-const {:extern} {:model_const "(se.se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 66} unique _v2.__ctobpl_const_50: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 51} unique _v2.__ctobpl_const_34: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 67} unique _v2.__ctobpl_const_51: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_45: int;
-
-const {:extern} {:model_const "(se.se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 67} unique _v2.__ctobpl_const_52: int;
-
-const {:extern} {:model_const "(se->se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_37: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_42: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_39: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_47: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_40: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_38: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 66} unique _v2.__ctobpl_const_49: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_35: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_43: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_46: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 65} unique _v2.__ctobpl_const_48: int;
-
-const {:extern} {:model_const "(se->se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_41: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_36: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 13} unique _v2.__ctobpl_const_1: int;
-
-const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_31: int;
-
-const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_17: int;
-
-const {:extern} {:model_const "*(p + 1)"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 32} unique _v2.__ctobpl_const_24: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_18: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 34} unique _v2.__ctobpl_const_26: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 18} unique _v2.__ctobpl_const_14: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_27: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 51} unique _v2.__ctobpl_const_33: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_28: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_15: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 17} unique _v2.__ctobpl_const_11: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_29: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 17} unique _v2.__ctobpl_const_12: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_32: int;
-
-const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_19: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 30} unique _v2.__ctobpl_const_21: int;
-
-const {:extern} {:model_const "*p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 30} unique _v2.__ctobpl_const_22: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 32} unique _v2.__ctobpl_const_23: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_16: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_20: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 33} unique _v2.__ctobpl_const_25: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 18} unique _v2.__ctobpl_const_13: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_30: int;
-
-function {:extern} _v2.OneByteToInt(arg_0: byte) : int;
-
-function {:extern} _v2.TwoBytesToInt(arg_0: byte, arg_1: byte) : int;
-
-function {:extern} _v2.FourBytesToInt(arg_0: byte, arg_1: byte, arg_2: byte, arg_3: byte) : int;
-
-function {:extern} _v2.Field(arg_0: int) : name;
-
-function {:extern} _v2.Base(arg_0: int) : int;
-
-function {:extern} _v2.Match(a: int, t: name) : bool;
-
-function {:extern} _v2.MatchBase(b: int, a: int, t: name) : bool;
-
-function {:extern} _v2.HasType(v: int, t: name) : bool;
-
-function {:extern} _v2.T.Ptr(t: name) : name;
-
-function {:extern} _v2.se_rsn_ie_ieee80211_scan_entry(arg_0: int) : int;
-
-function {:extern} _v2.se_rsn_ie_ieee80211_scan_entryInv(arg_0: int) : int;
-
-function {:extern} _v2._S_se_rsn_ie_ieee80211_scan_entry(arg_0: [int]bool) : [int]bool;
-
-function {:extern} _v2._S_se_rsn_ie_ieee80211_scan_entryInv(arg_0: [int]bool) : [int]bool;
-
-function {:extern} _v2.INT_AND(a: int, b: int) : int;
-
-function {:extern} _v2.INT_OR(a: int, b: int) : int;
-
-function {:extern} _v2.INT_XOR(a: int, b: int) : int;
-
-function {:extern} _v2.INT_NOT(a: int) : int;
-
-function {:extern} _v2.POW2(a: int) : bool;
-
-function {:extern} _v2.INT_MINUS_LEFT_PTR(a: int, a_size: int, b: int) : int;
-
-function {:extern} _v2.INT_PLUS(a: int, a_size: int, b: int) : int;
-
-function {:extern} _v2.INT_MULT(a: int, b: int) : int;
-
-function {:extern} _v2.INT_DIV(a: int, b: int) : int;
-
-function {:extern} _v2.INT_BINARY_BOTH_INT(a: int, b: int) : int;
-
-function {:extern} _v2.BV32_EQ(x: bv32, y: bv32) : bool;
-
-function {:extern} _v2.BV32_NEQ(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvadd"} _v2.BV32_ADD(x: bv32, y: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvsub"} _v2.BV32_SUB(x: bv32, y: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvmul"} _v2.BV32_MULT(x: bv32, y: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvudiv"} _v2.BV32_DIV(x: bv32, y: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvult"} _v2.BV32_ULT(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvslt"} _v2.BV32_LT(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvule"} _v2.BV32_ULEQ(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvsle"} _v2.BV32_LEQ(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvugt"} _v2.BV32_UGT(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvsgt"} _v2.BV32_GT(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvuge"} _v2.BV32_UGEQ(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvsge"} _v2.BV32_GEQ(x: bv32, y: bv32) : bool;
-
-function {:extern} {:bvbuiltin "bvand"} _v2.BV32_AND(a: bv32, b: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvor"} _v2.BV32_OR(a: bv32, b: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvxor"} _v2.BV32_XOR(a: bv32, b: bv32) : bv32;
-
-function {:extern} {:bvbuiltin "bvnot"} _v2.BV32_NOT(a: bv32) : bv32;
-
-function {:extern} _v2.BV32_MINUS_BOTH_PTR_OR_BOTH_INT(a: bv32, b: bv32, size: bv32) : bv32;
-
-function {:extern} _v2.BV32_MINUS_LEFT_PTR(a: bv32, a_size: bv32, b: bv32) : bv32;
-
-function {:extern} _v2.BV32_PLUS(a: bv32, a_size: bv32, b: bv32) : bv32;
-
-function {:extern} _v2.BV32_BINARY_BOTH_INT(a: bv32, b: bv32) : bv32;
-
-function {:extern} _v2.bv32ToInt(arg_0: bv32) : int;
-
-function {:extern} _v2.intToBv32(arg_0: int) : bv32;
-
-function {:extern} _v2.choose(a: bool, b: int, c: int) : int;
-
-function {:extern} _v2.LIFT(a: bool) : int;
-
-function {:extern} _v2.PTR_NOT(a: int) : int;
-
-function {:extern} _v2.NULL_CHECK(a: int) : int;
-
-function {:extern} _v2.NewAlloc(x: int, y: int) : int;
-
-function {:extern} _v2.DetChoiceFunc(a: int) : int;
-
-function {:extern} _v2.Res_VALID_REGION(arg_0: int) : int;
-
-function {:extern} _v2.Equal(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function {:extern} _v2.Subset(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function {:extern} _v2.Disjoint(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function {:extern} _v2.Empty() : [int]bool;
-
-function {:extern} _v2.SetTrue() : [int]bool;
-
-function {:extern} _v2.Singleton(arg_0: int) : [int]bool;
-
-function {:extern} _v2.Reachable(arg_0: [int,int]bool, arg_1: int) : [int]bool;
-
-function {:extern} _v2.Union(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function {:extern} _v2.Intersection(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function {:extern} _v2.Difference(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function {:extern} _v2.Dereference(arg_0: [int]bool, arg_1: [int]int) : [int]bool;
-
-function {:extern} _v2.Inverse(f: [int]int, x: int) : [int]bool;
-
-function {:extern} _v2.AtLeast(arg_0: int, arg_1: int) : [int]bool;
-
-function {:extern} _v2.Rep(arg_0: int, arg_1: int) : int;
-
-function {:extern} _v2.Array(arg_0: int, arg_1: int, arg_2: int) : [int]bool;
-
-function {:extern} _v2.Unified(arg_0: [name][int]int) : [int]int;
-
-function {:extern} _v2.value_is(c: int, e: int) : bool;
-
-
-function {:inline true} _v2.se_rsn_ie_ieee80211_scan_entry(x : int) : int
-{
-_v2.INT_ADD(x, 0)
-}
-
-function {:inline true} _v2.INT_EQ(x : int, y : int): bool
-{
-x == y
-}
-
-function {:inline true} _v2.INT_NEQ(x : int, y: int): bool
-{
-x != y
-}
-
-function {:inline true} _v2.INT_ADD(x : int, y : int): int
-{
-x + y
-}
-
-function {:inline true} _v2.INT_SUB(x : int, y : int): int
-{
- x - y
-}
-
-function {:inline true} _v2.INT_LT(x : int, y : int): bool
-{
-x < y
-}
-
-function {:inline true} _v2.INT_ULT(x : int, y : int): bool
-{
-x < y
-}
-
-function {:inline true} _v2.INT_LEQ(x : int, y : int): bool
-{
-x <= y
-}
-
-function {:inline true} _v2.INT_ULEQ(x : int, y : int): bool
-{
-x <= y
-}
-
-function {:inline true} _v2.INT_GT(x : int, y : int): bool
-{
-x > y
-}
-
-function {:inline true} _v2.INT_UGT(x : int, y : int): bool
-{
-x > y
-}
-
-function {:inline true} _v2.INT_GEQ(x : int, y : int): bool
-{
-x >= y
-}
-
-function {:inline true} _v2.INT_UGEQ(x : int, y : int): bool
-{
-x >= y
-}
-
-
-
-procedure _v2.havoc_assert(i: int);
- /* free */ requires i != 0;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.havoc_assume(i: int);
- /* free */ ensures i != 0;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.__HAVOC_free(a: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.__HAVOC_malloc(obj_size: int) returns (new: int);
- /* free */ requires _v2.INT_GEQ(obj_size, 0);
- modifies _v2.alloc;
- /* free */ ensures new == old(_v2.alloc);
- /* free */ ensures _v2.INT_GT(_v2.alloc, _v2.INT_ADD(new, obj_size));
- /* free */ ensures _v2.Base(new) == new;
- /* free */ ensures _v2.INT_GEQ(new, 0);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.__HAVOC_det_malloc(obj_size: int) returns (new: int);
- /* free */ requires _v2.INT_GEQ(obj_size, 0);
- modifies _v2.alloc;
- /* free */ ensures new == old(_v2.alloc);
- /* free */ ensures _v2.INT_GT(_v2.alloc, _v2.INT_ADD(new, obj_size));
- /* free */ ensures _v2.Base(new) == new;
- /* free */ ensures _v2.alloc == _v2.NewAlloc(old(_v2.alloc), obj_size);
- /* free */ ensures _v2.INT_GEQ(new, 0);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-
-procedure _v2.nondet_choice() returns (x: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.det_choice() returns (x: int);
- modifies _v2.detChoiceCnt;
- /* free */ ensures _v2.detChoiceCnt == _v2.INT_ADD(old(_v2.detChoiceCnt), 1);
- /* free */ ensures x == _v2.DetChoiceFunc(old(_v2.detChoiceCnt));
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2._strdup(str: int) returns (new: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2._xstrcasecmp(a0: int, a1: int) returns (ret: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2._xstrcmp(a0: int, a1: int) returns (ret: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.memcpy(a0: int, a1: int, a2: int) returns (ret: int);
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.encode_ie(buf_.1: int,
- bufsize_.1: int,
- ie_.1: int,
- ielen_.1: int,
- leader_.1: int,
- leader_len_.1: int)
- returns (result.encode_ie$1: int);
- modifies _v2.OK, _v2.Mem_T.UCHAR;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int);
- modifies _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.main() returns (result.main$1: int);
- modifies _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-procedure _v2.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
- returns (out_bufsize: int, out_i: int, out_p: int, out_tempBoogie0: int);
- modifies _v2.Mem_T.UCHAR, _v2.OK;
- /* free */ ensures _v2.OK ==> old(_v2.OK);
-
-
-
-implementation _v2.encode_ie(buf_.1: int,
- bufsize_.1: int,
- ie_.1: int,
- ielen_.1: int,
- leader_.1: int,
- leader_len_.1: int)
- returns (result.encode_ie$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} buf: int;
- var {:extern} bufsize: int;
- var {:extern} i: int;
- var {:extern} ie: int;
- var {:extern} ielen: int;
- var {:extern} leader: int;
- var {:extern} leader_len: int;
- var {:extern} p: int;
- var {:extern} result.memcpy$2: int;
- var {:extern} $result.question.3.$$static$: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume _v2.INT_LT(buf_.1, _v2.alloc);
- assume _v2.INT_LT(ie_.1, _v2.alloc);
- assume _v2.INT_LT(leader_.1, _v2.alloc);
- buf := 0;
- assume _v2.INT_GEQ(buf_.1, 0);
- bufsize := 0;
- i := 0;
- ie := 0;
- assume _v2.INT_GEQ(ie_.1, 0);
- ielen := 0;
- leader := 0;
- assume _v2.INT_GEQ(leader_.1, 0);
- leader_len := 0;
- p := 0;
- result.encode_ie$1 := 0;
- result.memcpy$2 := 0;
- $result.question.3.$$static$ := 0;
- buf := buf_.1;
- bufsize := bufsize_.1;
- ie := ie_.1;
- ielen := ielen_.1;
- leader := leader_.1;
- leader_len := leader_len_.1;
- goto label_3#2;
-
- label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 9} true;
- goto label_4#2;
-
- label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 10} true;
- goto label_5#2;
-
- label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 13} true;
- goto label_5_true#2, label_5_false#2;
-
- label_5_false#2:
- assume !_v2.INT_LT(bufsize, leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_1, bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_2, leader_len);
- goto label_6#2;
-
- label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 15} true;
- p := buf;
- assume _v2.value_is(_v2.__ctobpl_const_3, p);
- assume _v2.value_is(_v2.__ctobpl_const_4, buf);
- goto label_8#2;
-
- label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 16} true;
- call result.memcpy$2 := _v2.memcpy(p, leader, leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_5, p);
- assume _v2.value_is(_v2.__ctobpl_const_6, leader);
- assume _v2.value_is(_v2.__ctobpl_const_7, leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_8, p);
- assume _v2.value_is(_v2.__ctobpl_const_9, leader);
- assume _v2.value_is(_v2.__ctobpl_const_10, leader_len);
- goto label_11#2;
-
- label_11#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 17} true;
- havoc tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, leader_len, 1, tempBoogie0);
- bufsize := tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_11, bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_12, leader_len);
- goto label_12#2;
-
- label_12#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 18} true;
- tempBoogie0 := _v2.INT_PLUS(p, 1, leader_len);
- p := tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_13, p);
- assume _v2.value_is(_v2.__ctobpl_const_14, leader_len);
- goto label_13#2;
-
- label_13#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- i := 0;
- assume _v2.value_is(_v2.__ctobpl_const_15, i);
- goto label_14#2;
-
- label_14#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto label_14_head#2;
-
- label_14_head#2:
- call bufsize, i, p, tempBoogie0 := _v2.encode_ie_loop_label_14_head(bufsize, i, ielen, p, tempBoogie0);
- goto label_14_head_last#2;
-
- label_14_head_last#2:
- goto label_14_true#2, label_14_false#2;
-
- label_14_false#2:
- assume !_v2.INT_LT(i, ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, i);
- assume _v2.value_is(_v2.__ctobpl_const_17, ielen);
- goto label_15#2;
-
- label_14_true#2:
- assume _v2.INT_LT(i, ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, i);
- assume _v2.value_is(_v2.__ctobpl_const_17, ielen);
- goto label_16#2;
-
- label_16#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto label_16_true#2, label_16_false#2;
-
- label_16_false#2:
- assume !_v2.INT_LT(2, bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, bufsize);
- goto label_15#2;
-
- label_15#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- goto label_15_true#2, label_15_false#2;
-
- label_15_false#2:
- assume !_v2.INT_EQ(i, ielen);
- assume _v2.value_is(_v2.__ctobpl_const_18, i);
- assume _v2.value_is(_v2.__ctobpl_const_19, ielen);
- goto label_22#2;
-
- label_22#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- $result.question.3.$$static$ := 0;
- assume _v2.value_is(_v2.__ctobpl_const_28, $result.question.3.$$static$);
- goto label_24#2;
-
- label_15_true#2:
- assume _v2.INT_EQ(i, ielen);
- assume _v2.value_is(_v2.__ctobpl_const_18, i);
- assume _v2.value_is(_v2.__ctobpl_const_19, ielen);
- goto label_23#2;
-
- label_23#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- havoc $result.question.3.$$static$;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(p, buf, 1, $result.question.3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_29, $result.question.3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_30, p);
- assume _v2.value_is(_v2.__ctobpl_const_31, buf);
- goto label_24#2;
-
- label_24#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- result.encode_ie$1 := $result.question.3.$$static$;
- assume _v2.value_is(_v2.__ctobpl_const_32, $result.question.3.$$static$);
- goto label_1#2;
-
- label_16_true#2:
- assume _v2.INT_LT(2, bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, bufsize);
- goto label_17#2;
-
- label_17#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(p, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(p) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[p := 120];
- assume _v2.value_is(_v2.__ctobpl_const_21, p);
- assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[p]);
- goto label_18#2;
-
- label_18#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(p, 1, 1), 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(p, 1, 1)) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1) := 120];
- assume _v2.value_is(_v2.__ctobpl_const_23, p);
- assume _v2.value_is(_v2.__ctobpl_const_24, _v2.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1)]);
- goto label_19#2;
-
- label_19#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
- tempBoogie0 := _v2.INT_PLUS(p, 1, 2);
- p := tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_25, p);
- goto label_20#2;
-
- label_20#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
- havoc tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, 2, 1, tempBoogie0);
- bufsize := tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_26, bufsize);
- goto label_21#2;
-
- label_21#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- assume _v2.value_is(_v2.__ctobpl_const_27, i);
- i := _v2.INT_PLUS(i, 1, 1);
- goto label_21_dummy#2;
-
- label_21_dummy#2:
- assume false;
- return;
-
- label_5_true#2:
- assume _v2.INT_LT(bufsize, leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_1, bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_2, leader_len);
- goto label_7#2;
-
- label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 14} true;
- result.encode_ie$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 40} true;
- return;
-}
-
-
-
-implementation _v2.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} buf: int;
- var {:extern} $encode_ie.arg.4$3.$$static$: int;
- var {:extern} result.encode_ie$2: int;
- var {:extern} rsn_leader: int;
- var {:extern} se: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume _v2.INT_LT(se_.1, _v2.alloc);
- call buf := _v2.__HAVOC_det_malloc(6);
- $encode_ie.arg.4$3.$$static$ := 0;
- result.encode_ie$2 := 0;
- result.giwscan_cb$1 := 0;
- call rsn_leader := _v2.__HAVOC_det_malloc(1);
- se := 0;
- assume _v2.INT_GEQ(se_.1, 0);
- se := se_.1;
- goto label_3#2;
-
- label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 46} true;
- goto label_4#2;
-
- label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 47} true;
- goto label_5#2;
-
- label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 51} true;
- assume _v2.INT_GEQ(se, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- goto label_5_true#2, label_5_false#2;
-
- label_5_false#2:
- assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
- == 0;
- assume _v2.value_is(_v2.__ctobpl_const_33, se);
- assume _v2.value_is(_v2.__ctobpl_const_34,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_6#2;
-
- label_5_true#2:
- assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
- != 0;
- assume _v2.value_is(_v2.__ctobpl_const_33, se);
- assume _v2.value_is(_v2.__ctobpl_const_34,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_7#2;
-
- label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 52} true;
- assume _v2.INT_GEQ(se, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0))
- == 1;
- assert true;
- goto label_7_true#2, label_7_false#2;
-
- label_7_false#2:
- assume !_v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v2.__ctobpl_const_35, se);
- assume _v2.value_is(_v2.__ctobpl_const_36,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_37,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_6#2;
-
- label_7_true#2:
- assume _v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v2.__ctobpl_const_35, se);
- assume _v2.value_is(_v2.__ctobpl_const_36,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_37,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_8#2;
-
- label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 54} true;
- assume _v2.INT_GEQ(se, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1))
- == 1;
- assert true;
- $encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)],
- 1,
- 2);
- assume _v2.value_is(_v2.__ctobpl_const_38, $encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_39, se);
- assume _v2.value_is(_v2.__ctobpl_const_40,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_41,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)]);
- goto label_9#2;
-
- label_9#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 53} true;
- assume _v2.INT_GEQ(se, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(se, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- call result.encode_ie$2 := _v2.encode_ie(buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)], $encode_ie.arg.4$3.$$static$, rsn_leader, 1);
- assume _v2.value_is(_v2.__ctobpl_const_42, se);
- assume _v2.value_is(_v2.__ctobpl_const_43,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_44, $encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_45, se);
- assume _v2.value_is(_v2.__ctobpl_const_46,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_47, $encode_ie.arg.4$3.$$static$);
- goto label_6#2;
-
- label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 58} true;
- result.giwscan_cb$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 59} true;
- call _v2.__HAVOC_free(buf);
- call _v2.__HAVOC_free(rsn_leader);
- return;
-}
-
-
-
-implementation _v2.main() returns (result.main$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} ie: int;
- var {:extern} result.giwscan_cb$2: int;
- var {:extern} se: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- call ie := _v2.__HAVOC_det_malloc(5);
- result.giwscan_cb$2 := 0;
- result.main$1 := 0;
- call se := _v2.__HAVOC_det_malloc(4);
- goto label_3#2;
-
- label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 63} true;
- goto label_4#2;
-
- label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 64} true;
- goto label_5#2;
-
- label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 65} true;
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se) := ie];
- assume _v2.value_is(_v2.__ctobpl_const_48,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_6#2;
-
- label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 66} true;
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0))
- == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0) := 200];
- assume _v2.value_is(_v2.__ctobpl_const_49,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_50,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_7#2;
-
- label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 67} true;
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1))
- == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1) := 3];
- assume _v2.value_is(_v2.__ctobpl_const_51,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v2.__ctobpl_const_52,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)]);
- goto label_8#2;
-
- label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 69} true;
- assume _v2.INT_GT(se, 0);
- assume _v2.INT_GT(se, 0);
- call result.giwscan_cb$2 := _v2.giwscan_cb(se);
- goto label_11#2;
-
- label_11#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 71} true;
- result.main$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 72} true;
- call _v2.__HAVOC_free(ie);
- call _v2.__HAVOC_free(se);
- return;
-}
-
-
-
-implementation _v2.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
- returns (out_bufsize: int, out_i: int, out_p: int, out_tempBoogie0: int)
-{
-
- entry#2:
- out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
- goto label_14_head#2;
-
- label_14_head#2:
- goto label_14_true#2, label_14_false#2;
-
- label_14_false#2:
- assume !_v2.INT_LT(out_i, in_ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, out_i);
- assume _v2.value_is(_v2.__ctobpl_const_17, in_ielen);
- out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
- _v2.Mem_T.UCHAR := old(_v2.Mem_T.UCHAR);
- return;
-
- label_14_true#2:
- assume _v2.INT_LT(out_i, in_ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, out_i);
- assume _v2.value_is(_v2.__ctobpl_const_17, in_ielen);
- goto label_16#2;
-
- label_16#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto label_16_true#2, label_16_false#2;
-
- label_16_false#2:
- assume !_v2.INT_LT(2, out_bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, out_bufsize);
- out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
- _v2.Mem_T.UCHAR := old(_v2.Mem_T.UCHAR);
- return;
-
- label_16_true#2:
- assume _v2.INT_LT(2, out_bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, out_bufsize);
- goto label_17#2;
-
- label_17#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(out_p, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(out_p) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[out_p := 120];
- assume _v2.value_is(_v2.__ctobpl_const_21, out_p);
- assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[out_p]);
- goto label_18#2;
-
- label_18#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(out_p, 1, 1), 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(out_p, 1, 1)) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1) := 120];
- assume _v2.value_is(_v2.__ctobpl_const_23, out_p);
- assume _v2.value_is(_v2.__ctobpl_const_24, _v2.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1)]);
- goto label_19#2;
-
- label_19#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
- out_tempBoogie0 := _v2.INT_PLUS(out_p, 1, 2);
- out_p := out_tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_25, out_p);
- goto label_20#2;
-
- label_20#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
- havoc out_tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(out_bufsize, 2, 1, out_tempBoogie0);
- out_bufsize := out_tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_26, out_bufsize);
- goto label_21#2;
-
- label_21#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- assume _v2.value_is(_v2.__ctobpl_const_27, out_i);
- out_i := _v2.INT_PLUS(out_i, 1, 1);
- goto label_21_dummy#2;
-
- label_21_dummy#2:
- call out_bufsize, out_i, out_p, out_tempBoogie0 := _v2.encode_ie_loop_label_14_head(out_bufsize, out_i, in_ielen, out_p, out_tempBoogie0);
- return;
-}
-
-
-
-function _v1.ite(b: bool, x: int, y: int) : int;
-
-
-var _v1.OK: bool;
-
-var {:extern} _v1.Mem: [name][int]int;
-
-var {:extern} _v1.alloc: int;
-
-var {:extern} _v1.Mem_T.A1CHAR: [int]int;
-
-var {:extern} _v1.Mem_T.A5UCHAR: [int]int;
-
-var {:extern} _v1.Mem_T.A6UCHAR: [int]int;
-
-var {:extern} _v1.Mem_T.CHAR: [int]int;
-
-var {:extern} _v1.Mem_T.INT4: [int]int;
-
-var {:extern} _v1.Mem_T.PCHAR: [int]int;
-
-var {:extern} _v1.Mem_T.PUCHAR: [int]int;
-
-var {:extern} _v1.Mem_T.PVOID: [int]int;
-
-var {:extern} _v1.Mem_T.Pieee80211_scan_entry: [int]int;
-
-var {:extern} _v1.Mem_T.UCHAR: [int]int;
-
-var {:extern} _v1.Mem_T.VOID: [int]int;
-
-var {:extern} _v1.Mem_T.ieee80211_scan_entry: [int]int;
-
-var {:extern} _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
-
-var {:extern} _v1.detChoiceCnt: int;
-
-var {:extern} _v1.Res_KERNEL_SOURCE: [int]int;
-
-var {:extern} _v1.Res_PROBED: [int]int;
-
-const {:extern} unique _v1.T.se_rsn_ie_ieee80211_scan_entry: name;
-
-const {:extern} unique _v1.T.A1CHAR: name;
-
-const {:extern} unique _v1.T.A5UCHAR: name;
-
-const {:extern} unique _v1.T.A6UCHAR: name;
-
-const {:extern} unique _v1.T.CHAR: name;
-
-const {:extern} unique _v1.T.INT4: name;
-
-const {:extern} unique _v1.T.PA1CHAR: name;
-
-const {:extern} unique _v1.T.PA5UCHAR: name;
-
-const {:extern} unique _v1.T.PA6UCHAR: name;
-
-const {:extern} unique _v1.T.PCHAR: name;
-
-const {:extern} unique _v1.T.PINT4: name;
-
-const {:extern} unique _v1.T.PPCHAR: name;
-
-const {:extern} unique _v1.T.PPUCHAR: name;
-
-const {:extern} unique _v1.T.PPVOID: name;
-
-const {:extern} unique _v1.T.PPieee80211_scan_entry: name;
-
-const {:extern} unique _v1.T.PUCHAR: name;
-
-const {:extern} unique _v1.T.PUINT4: name;
-
-const {:extern} unique _v1.T.PVOID: name;
-
-const {:extern} unique _v1.T.Pieee80211_scan_entry: name;
-
-const {:extern} unique _v1.T.UCHAR: name;
-
-const {:extern} unique _v1.T.UINT4: name;
-
-const {:extern} unique _v1.T.VOID: name;
-
-const {:extern} unique _v1.T.ieee80211_scan_entry: name;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_5: int;
-
-const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_6: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_7: int;
-
-const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_9: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 13} unique _v1.__ctobpl_const_1: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_8: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_10: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 13} unique _v1.__ctobpl_const_2: int;
-
-const {:extern} {:model_const "*(p + 1)"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 32} unique _v1.__ctobpl_const_24: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 33} unique _v1.__ctobpl_const_25: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_26: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_28: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 17} unique _v1.__ctobpl_const_11: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 32} unique _v1.__ctobpl_const_23: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 18} unique _v1.__ctobpl_const_13: int;
-
-const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_30: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 50} unique _v1.__ctobpl_const_32: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 17} unique _v1.__ctobpl_const_12: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 50} unique _v1.__ctobpl_const_33: int;
-
-const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_20: int;
-
-const {:extern} {:model_const "*p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 30} unique _v1.__ctobpl_const_22: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_18: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_31: int;
-
-const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_19: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_16: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 30} unique _v1.__ctobpl_const_21: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_29: int;
-
-const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_27: int;
-
-const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_17: int;
-
-const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 18} unique _v1.__ctobpl_const_14: int;
-
-const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_15: int;
-
-const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 15} unique _v1.__ctobpl_const_3: int;
-
-const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 15} unique _v1.__ctobpl_const_4: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 66} unique _v1.__ctobpl_const_50: int;
-
-const {:extern} {:model_const "(se.se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 65} unique _v1.__ctobpl_const_49: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 65} unique _v1.__ctobpl_const_48: int;
-
-const {:extern} {:model_const "(se.se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 66} unique _v1.__ctobpl_const_51: int;
-
-const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 64} unique _v1.__ctobpl_const_47: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_41: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_42: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_45: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_35: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_44: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_34: int;
-
-const {:extern} {:model_const "(se->se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_40: int;
-
-const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_38: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_43: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_46: int;
-
-const {:extern} {:model_const "(se->se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_36: int;
-
-const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_37: int;
-
-const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_39: int;
-
-function {:inline true} _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(a : int, b : int, size : int, result : int) : bool
-{
- (size * result <= a - b) && (a - b < size * (result + 1))
-}
-
-
-
-procedure _v1.havoc_assert(i: int);
- /* free */ requires i != 0;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.havoc_assume(i: int);
- /* free */ ensures i != 0;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.__HAVOC_free(a: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.__HAVOC_malloc(obj_size: int) returns (new: int);
- /* free */ requires _v2.INT_GEQ(obj_size, 0);
- modifies _v1.alloc;
- /* free */ ensures new == old(_v1.alloc);
- /* free */ ensures _v2.INT_GT(_v1.alloc, _v2.INT_ADD(new, obj_size));
- /* free */ ensures _v2.Base(new) == new;
- /* free */ ensures _v2.INT_GEQ(new, 0);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.__HAVOC_det_malloc(obj_size: int) returns (new: int);
- /* free */ requires _v2.INT_GEQ(obj_size, 0);
- modifies _v1.alloc;
- /* free */ ensures new == old(_v1.alloc);
- /* free */ ensures _v2.INT_GT(_v1.alloc, _v2.INT_ADD(new, obj_size));
- /* free */ ensures _v2.Base(new) == new;
- /* free */ ensures _v1.alloc == _v2.NewAlloc(old(_v1.alloc), obj_size);
- /* free */ ensures _v2.INT_GEQ(new, 0);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-
-procedure _v1.nondet_choice() returns (x: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.det_choice() returns (x: int);
- modifies _v1.detChoiceCnt;
- /* free */ ensures _v1.detChoiceCnt == _v2.INT_ADD(old(_v1.detChoiceCnt), 1);
- /* free */ ensures x == _v2.DetChoiceFunc(old(_v1.detChoiceCnt));
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1._strdup(str: int) returns (new: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1._xstrcasecmp(a0: int, a1: int) returns (ret: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1._xstrcmp(a0: int, a1: int) returns (ret: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.memcpy(a0: int, a1: int, a2: int) returns (ret: int);
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.encode_ie(buf_.1: int,
- bufsize_.1: int,
- ie_.1: int,
- ielen_.1: int,
- leader_.1: int,
- leader_len_.1: int)
- returns (result.encode_ie$1: int);
- modifies _v1.OK, _v1.Mem_T.UCHAR;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int);
- modifies _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.main() returns (result.main$1: int);
- modifies _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-procedure _v1.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
- returns (out_i: int, out_p: int, out_tempBoogie0: int);
- modifies _v1.Mem_T.UCHAR, _v1.OK;
- /* free */ ensures _v1.OK ==> old(_v1.OK);
-
-
-
-implementation _v1.encode_ie(buf_.1: int,
- bufsize_.1: int,
- ie_.1: int,
- ielen_.1: int,
- leader_.1: int,
- leader_len_.1: int)
- returns (result.encode_ie$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} buf: int;
- var {:extern} bufsize: int;
- var {:extern} i: int;
- var {:extern} ie: int;
- var {:extern} ielen: int;
- var {:extern} leader: int;
- var {:extern} leader_len: int;
- var {:extern} p: int;
- var {:extern} result.memcpy$2: int;
- var {:extern} $result.question.3.$$static$: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume _v2.INT_LT(buf_.1, _v1.alloc);
- assume _v2.INT_LT(ie_.1, _v1.alloc);
- assume _v2.INT_LT(leader_.1, _v1.alloc);
- buf := 0;
- assume _v2.INT_GEQ(buf_.1, 0);
- bufsize := 0;
- i := 0;
- ie := 0;
- assume _v2.INT_GEQ(ie_.1, 0);
- ielen := 0;
- leader := 0;
- assume _v2.INT_GEQ(leader_.1, 0);
- leader_len := 0;
- p := 0;
- result.encode_ie$1 := 0;
- result.memcpy$2 := 0;
- $result.question.3.$$static$ := 0;
- buf := buf_.1;
- bufsize := bufsize_.1;
- ie := ie_.1;
- ielen := ielen_.1;
- leader := leader_.1;
- leader_len := leader_len_.1;
- goto label_3#2;
-
- label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 9} true;
- goto label_4#2;
-
- label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 10} true;
- goto label_5#2;
-
- label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 13} true;
- goto label_5_true#2, label_5_false#2;
-
- label_5_false#2:
- assume !_v2.INT_LT(bufsize, leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_1, bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_2, leader_len);
- goto label_6#2;
-
- label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 15} true;
- p := buf;
- assume _v2.value_is(_v1.__ctobpl_const_3, p);
- assume _v2.value_is(_v1.__ctobpl_const_4, buf);
- goto label_8#2;
-
- label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 16} true;
- call result.memcpy$2 := _v1.memcpy(p, leader, leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_5, p);
- assume _v2.value_is(_v1.__ctobpl_const_6, leader);
- assume _v2.value_is(_v1.__ctobpl_const_7, leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_8, p);
- assume _v2.value_is(_v1.__ctobpl_const_9, leader);
- assume _v2.value_is(_v1.__ctobpl_const_10, leader_len);
- goto label_11#2;
-
- label_11#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 17} true;
- havoc tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, leader_len, 1, tempBoogie0);
- bufsize := tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_11, bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_12, leader_len);
- goto label_12#2;
-
- label_12#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 18} true;
- tempBoogie0 := _v2.INT_PLUS(p, 1, leader_len);
- p := tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_13, p);
- assume _v2.value_is(_v1.__ctobpl_const_14, leader_len);
- goto label_13#2;
-
- label_13#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- i := 0;
- assume _v2.value_is(_v1.__ctobpl_const_15, i);
- goto label_14#2;
-
- label_14#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto label_14_head#2;
-
- label_14_head#2:
- call i, p, tempBoogie0 := _v1.encode_ie_loop_label_14_head(bufsize, i, ielen, p, tempBoogie0);
- goto label_14_head_last#2;
-
- label_14_head_last#2:
- goto label_14_true#2, label_14_false#2;
-
- label_14_false#2:
- assume !_v2.INT_LT(i, ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, i);
- assume _v2.value_is(_v1.__ctobpl_const_17, ielen);
- goto label_15#2;
-
- label_14_true#2:
- assume _v2.INT_LT(i, ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, i);
- assume _v2.value_is(_v1.__ctobpl_const_17, ielen);
- goto label_16#2;
-
- label_16#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto label_16_true#2, label_16_false#2;
-
- label_16_false#2:
- assume !_v2.INT_LT(2, bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, bufsize);
- goto label_15#2;
-
- label_15#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- goto label_15_true#2, label_15_false#2;
-
- label_15_false#2:
- assume !_v2.INT_EQ(i, ielen);
- assume _v2.value_is(_v1.__ctobpl_const_18, i);
- assume _v2.value_is(_v1.__ctobpl_const_19, ielen);
- goto label_21#2;
-
- label_21#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- $result.question.3.$$static$ := 0;
- assume _v2.value_is(_v1.__ctobpl_const_27, $result.question.3.$$static$);
- goto label_23#2;
-
- label_15_true#2:
- assume _v2.INT_EQ(i, ielen);
- assume _v2.value_is(_v1.__ctobpl_const_18, i);
- assume _v2.value_is(_v1.__ctobpl_const_19, ielen);
- goto label_22#2;
-
- label_22#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- havoc $result.question.3.$$static$;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(p, buf, 1, $result.question.3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_28, $result.question.3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_29, p);
- assume _v2.value_is(_v1.__ctobpl_const_30, buf);
- goto label_23#2;
-
- label_23#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- result.encode_ie$1 := $result.question.3.$$static$;
- assume _v2.value_is(_v1.__ctobpl_const_31, $result.question.3.$$static$);
- goto label_1#2;
-
- label_16_true#2:
- assume _v2.INT_LT(2, bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, bufsize);
- goto label_17#2;
-
- label_17#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(p, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(p) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[p := 120];
- assume _v2.value_is(_v1.__ctobpl_const_21, p);
- assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[p]);
- goto label_18#2;
-
- label_18#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(p, 1, 1), 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(p, 1, 1)) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1) := 120];
- assume _v2.value_is(_v1.__ctobpl_const_23, p);
- assume _v2.value_is(_v1.__ctobpl_const_24, _v1.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1)]);
- goto label_19#2;
-
- label_19#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
- tempBoogie0 := _v2.INT_PLUS(p, 1, 2);
- p := tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_25, p);
- goto label_20#2;
-
- label_20#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- assume _v2.value_is(_v1.__ctobpl_const_26, i);
- i := _v2.INT_PLUS(i, 1, 1);
- goto label_20_dummy#2;
-
- label_20_dummy#2:
- assume false;
- return;
-
- label_5_true#2:
- assume _v2.INT_LT(bufsize, leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_1, bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_2, leader_len);
- goto label_7#2;
-
- label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 14} true;
- result.encode_ie$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 39} true;
- return;
-}
-
-
-
-implementation _v1.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} buf: int;
- var {:extern} $encode_ie.arg.4$3.$$static$: int;
- var {:extern} result.encode_ie$2: int;
- var {:extern} rsn_leader: int;
- var {:extern} se: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume _v2.INT_LT(se_.1, _v1.alloc);
- call buf := _v1.__HAVOC_det_malloc(6);
- $encode_ie.arg.4$3.$$static$ := 0;
- result.encode_ie$2 := 0;
- result.giwscan_cb$1 := 0;
- call rsn_leader := _v1.__HAVOC_det_malloc(1);
- se := 0;
- assume _v2.INT_GEQ(se_.1, 0);
- se := se_.1;
- goto label_3#2;
-
- label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 45} true;
- goto label_4#2;
-
- label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 46} true;
- goto label_5#2;
-
- label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 50} true;
- assume _v2.INT_GEQ(se, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- goto label_5_true#2, label_5_false#2;
-
- label_5_false#2:
- assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
- == 0;
- assume _v2.value_is(_v1.__ctobpl_const_32, se);
- assume _v2.value_is(_v1.__ctobpl_const_33,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_6#2;
-
- label_5_true#2:
- assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
- != 0;
- assume _v2.value_is(_v1.__ctobpl_const_32, se);
- assume _v2.value_is(_v1.__ctobpl_const_33,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_7#2;
-
- label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 51} true;
- assume _v2.INT_GEQ(se, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0))
- == 1;
- assert true;
- goto label_7_true#2, label_7_false#2;
-
- label_7_false#2:
- assume !_v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v1.__ctobpl_const_34, se);
- assume _v2.value_is(_v1.__ctobpl_const_35,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_36,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_6#2;
-
- label_7_true#2:
- assume _v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v1.__ctobpl_const_34, se);
- assume _v2.value_is(_v1.__ctobpl_const_35,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_36,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_8#2;
-
- label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 53} true;
- assume _v2.INT_GEQ(se, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1))
- == 1;
- assert true;
- $encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)],
- 1,
- 2);
- assume _v2.value_is(_v1.__ctobpl_const_37, $encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_38, se);
- assume _v2.value_is(_v1.__ctobpl_const_39,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_40,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)]);
- goto label_9#2;
-
- label_9#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 52} true;
- assume _v2.INT_GEQ(se, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(se, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- call result.encode_ie$2 := _v1.encode_ie(buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)], $encode_ie.arg.4$3.$$static$, rsn_leader, 1);
- assume _v2.value_is(_v1.__ctobpl_const_41, se);
- assume _v2.value_is(_v1.__ctobpl_const_42,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_43, $encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_44, se);
- assume _v2.value_is(_v1.__ctobpl_const_45,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_46, $encode_ie.arg.4$3.$$static$);
- goto label_6#2;
-
- label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 57} true;
- result.giwscan_cb$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 58} true;
- call _v1.__HAVOC_free(buf);
- call _v1.__HAVOC_free(rsn_leader);
- return;
-}
-
-
-
-implementation _v1.main() returns (result.main$1: int)
-{
- var {:extern} havoc_stringTemp: int;
- var {:extern} condVal: int;
- var {:extern} ie: int;
- var {:extern} result.giwscan_cb$2: int;
- var {:extern} se: int;
- var {:extern} tempBoogie0: int;
- var {:extern} tempBoogie1: int;
- var {:extern} tempBoogie2: int;
- var {:extern} tempBoogie3: int;
- var {:extern} tempBoogie4: int;
- var {:extern} tempBoogie5: int;
- var {:extern} tempBoogie6: int;
- var {:extern} tempBoogie7: int;
- var {:extern} tempBoogie8: int;
- var {:extern} tempBoogie9: int;
- var {:extern} tempBoogie10: int;
- var {:extern} tempBoogie11: int;
- var {:extern} tempBoogie12: int;
- var {:extern} tempBoogie13: int;
- var {:extern} tempBoogie14: int;
- var {:extern} tempBoogie15: int;
- var {:extern} tempBoogie16: int;
- var {:extern} tempBoogie17: int;
- var {:extern} tempBoogie18: int;
- var {:extern} tempBoogie19: int;
- var {:extern} __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- call ie := _v1.__HAVOC_det_malloc(5);
- result.giwscan_cb$2 := 0;
- result.main$1 := 0;
- call se := _v1.__HAVOC_det_malloc(4);
- goto label_3#2;
-
- label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 62} true;
- goto label_4#2;
-
- label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 63} true;
- goto label_5#2;
-
- label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 64} true;
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se) := ie];
- assume _v2.value_is(_v1.__ctobpl_const_47,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- goto label_6#2;
-
- label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 65} true;
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0))
- == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0) := 200];
- assume _v2.value_is(_v1.__ctobpl_const_48,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_49,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 0)]);
- goto label_7#2;
-
- label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 66} true;
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1))
- == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1) := 3];
- assume _v2.value_is(_v1.__ctobpl_const_50,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
- assume _v2.value_is(_v1.__ctobpl_const_51,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
- 1,
- 1)]);
- goto label_8#2;
-
- label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 68} true;
- assume _v2.INT_GT(se, 0);
- assume _v2.INT_GT(se, 0);
- call result.giwscan_cb$2 := _v1.giwscan_cb(se);
- goto label_11#2;
-
- label_11#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 70} true;
- result.main$1 := 0;
- goto label_1#2;
-
- label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 71} true;
- call _v1.__HAVOC_free(ie);
- call _v1.__HAVOC_free(se);
- return;
-}
-
-
-
-implementation _v1.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
- returns (out_i: int, out_p: int, out_tempBoogie0: int)
-{
-
- entry#2:
- out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
- goto label_14_head#2;
-
- label_14_head#2:
- goto label_14_true#2, label_14_false#2;
-
- label_14_false#2:
- assume !_v2.INT_LT(out_i, in_ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, out_i);
- assume _v2.value_is(_v1.__ctobpl_const_17, in_ielen);
- out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
- _v1.Mem_T.UCHAR := old(_v1.Mem_T.UCHAR);
- return;
-
- label_14_true#2:
- assume _v2.INT_LT(out_i, in_ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, out_i);
- assume _v2.value_is(_v1.__ctobpl_const_17, in_ielen);
- goto label_16#2;
-
- label_16#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto label_16_true#2, label_16_false#2;
-
- label_16_false#2:
- assume !_v2.INT_LT(2, in_bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, in_bufsize);
- out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
- _v1.Mem_T.UCHAR := old(_v1.Mem_T.UCHAR);
- return;
-
- label_16_true#2:
- assume _v2.INT_LT(2, in_bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, in_bufsize);
- goto label_17#2;
-
- label_17#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(out_p, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(out_p) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[out_p := 120];
- assume _v2.value_is(_v1.__ctobpl_const_21, out_p);
- assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[out_p]);
- goto label_18#2;
-
- label_18#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(out_p, 1, 1), 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(out_p, 1, 1)) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1) := 120];
- assume _v2.value_is(_v1.__ctobpl_const_23, out_p);
- assume _v2.value_is(_v1.__ctobpl_const_24, _v1.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1)]);
- goto label_19#2;
-
- label_19#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
- out_tempBoogie0 := _v2.INT_PLUS(out_p, 1, 2);
- out_p := out_tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_25, out_p);
- goto label_20#2;
-
- label_20#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- assume _v2.value_is(_v1.__ctobpl_const_26, out_i);
- out_i := _v2.INT_PLUS(out_i, 1, 1);
- goto label_20_dummy#2;
-
- label_20_dummy#2:
- call out_i, out_p, out_tempBoogie0 := _v1.encode_ie_loop_label_14_head(in_bufsize, out_i, in_ielen, out_p, out_tempBoogie0);
- return;
-}
-
-
-
-type {:extern} name;
-
-type {:extern} byte;
-
-function {:inline true} MS$_v1.havoc_assert$_v2.havoc_assert(_v1.i: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v2.i: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.havoc_assert___v2.havoc_assert(_v1.i: int, _v2.i: int);
- requires _v1.i == _v2.i
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.havoc_assert$_v2.havoc_assert(_v1.i,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v2.i,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED));
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.havoc_assume$_v2.havoc_assume(_v1.i: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v2.i: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.havoc_assume___v2.havoc_assume(_v1.i: int, _v2.i: int);
- requires _v1.i == _v2.i
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.havoc_assume$_v2.havoc_assume(_v1.i,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v2.i,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED));
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_free$_v2.__HAVOC_free(_v1.a: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v2.a: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_0: bool;
-
-const {:existential true} _houdini_1: bool;
-
-const {:existential true} _houdini_2: bool;
-
-const {:existential true} _houdini_3: bool;
-
-const {:existential true} _houdini_4: bool;
-
-const {:existential true} _houdini_5: bool;
-
-const {:existential true} _houdini_6: bool;
-
-const {:existential true} _houdini_7: bool;
-
-const {:existential true} _houdini_8: bool;
-
-const {:existential true} _houdini_9: bool;
-
-const {:existential true} _houdini_10: bool;
-
-const {:existential true} _houdini_11: bool;
-
-const {:existential true} _houdini_12: bool;
-
-const {:existential true} _houdini_13: bool;
-
-const {:existential true} _houdini_14: bool;
-
-const {:existential true} _houdini_15: bool;
-
-const {:existential true} _houdini_16: bool;
-
-const {:existential true} _houdini_17: bool;
-
-const {:existential true} _houdini_18: bool;
-
-const {:existential true} _houdini_19: bool;
-
-const {:existential true} _houdini_20: bool;
-
-const {:existential true} _houdini_21: bool;
-
-const {:existential true} _houdini_22: bool;
-
-const {:existential true} _houdini_23: bool;
-
-procedure MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.a: int, _v2.a: int);
- requires _houdini_0 ==> _v1.a <= _v2.a;
- requires _houdini_1 ==> _v2.a <= _v1.a;
- requires _houdini_2 ==> _v1.OK ==> _v2.OK;
- requires _houdini_3 ==> _v2.OK ==> _v1.OK;
- requires _houdini_4 ==> _v1.Mem == _v2.Mem;
- requires _houdini_5 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_6 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_7 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_8 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_9 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_10 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_11 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_12 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_13 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_14 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_15
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_16 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_17 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_18 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_19
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_20 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_21 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_22 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_23 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.__HAVOC_free$_v2.__HAVOC_free(_v1.a,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v2.a,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED));
- ensures old(_v1.a == _v2.a) ==> true;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_malloc$_v2.__HAVOC_malloc(_v1.obj_size: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.alloc_: int,
- _v1.new: int,
- _v2.obj_size: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.alloc_: int,
- _v2.new: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.__HAVOC_malloc___v2.__HAVOC_malloc(_v1.obj_size: int, _v2.obj_size: int) returns (_v1.new: int, _v2.new: int);
- requires _v1.obj_size == _v2.obj_size
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.alloc, _v2.alloc;
- ensures MS$_v1.__HAVOC_malloc$_v2.__HAVOC_malloc(_v1.obj_size,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.alloc,
- _v1.new,
- _v2.obj_size,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.alloc,
- _v2.new);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_det_malloc$_v2.__HAVOC_det_malloc(_v1.obj_size: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.alloc_: int,
- _v1.new: int,
- _v2.obj_size: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.alloc_: int,
- _v2.new: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_24: bool;
-
-const {:existential true} _houdini_25: bool;
-
-const {:existential true} _houdini_26: bool;
-
-const {:existential true} _houdini_27: bool;
-
-const {:existential true} _houdini_28: bool;
-
-const {:existential true} _houdini_29: bool;
-
-const {:existential true} _houdini_30: bool;
-
-const {:existential true} _houdini_31: bool;
-
-const {:existential true} _houdini_32: bool;
-
-const {:existential true} _houdini_33: bool;
-
-const {:existential true} _houdini_34: bool;
-
-const {:existential true} _houdini_35: bool;
-
-const {:existential true} _houdini_36: bool;
-
-const {:existential true} _houdini_37: bool;
-
-const {:existential true} _houdini_38: bool;
-
-const {:existential true} _houdini_39: bool;
-
-const {:existential true} _houdini_40: bool;
-
-const {:existential true} _houdini_41: bool;
-
-const {:existential true} _houdini_42: bool;
-
-const {:existential true} _houdini_43: bool;
-
-const {:existential true} _houdini_44: bool;
-
-const {:existential true} _houdini_45: bool;
-
-const {:existential true} _houdini_46: bool;
-
-const {:existential true} _houdini_47: bool;
-
-procedure MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.obj_size: int, _v2.obj_size: int) returns (_v1.new: int, _v2.new: int);
- requires _houdini_24 ==> _v1.obj_size <= _v2.obj_size;
- requires _houdini_25 ==> _v2.obj_size <= _v1.obj_size;
- requires _houdini_26 ==> _v1.OK ==> _v2.OK;
- requires _houdini_27 ==> _v2.OK ==> _v1.OK;
- requires _houdini_28 ==> _v1.Mem == _v2.Mem;
- requires _houdini_29 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_30 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_31 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_32 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_33 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_34 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_35 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_36 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_37 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_38 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_39
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_40 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_41 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_42 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_43
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_44 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_45 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_46 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_47 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.alloc, _v2.alloc;
- ensures MS$_v1.__HAVOC_det_malloc$_v2.__HAVOC_det_malloc(_v1.obj_size,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.alloc,
- _v1.new,
- _v2.obj_size,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.alloc,
- _v2.new);
- ensures old(_v1.obj_size == _v2.obj_size && _v1.alloc == _v2.alloc)
- ==> _v1.new <= _v2.new
- && _v2.new <= _v1.new
- && _v1.alloc <= _v2.alloc
- && _v2.alloc <= _v1.alloc;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_memset_split_1$_v2.__HAVOC_memset_split_1(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: [int]int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: [int]int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.__HAVOC_memset_split_1___v2.__HAVOC_memset_split_1(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int)
- returns (_v1.ret: [int]int, _v2.ret: [int]int);
- requires _v1.A == _v2.A
- && _v1.p == _v2.p
- && _v1.c == _v2.c
- && _v1.n == _v2.n
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.__HAVOC_memset_split_1$_v2.__HAVOC_memset_split_1(_v1.A,
- _v1.p,
- _v1.c,
- _v1.n,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.A,
- _v2.p,
- _v2.c,
- _v2.n,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_memset_split_2$_v2.__HAVOC_memset_split_2(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: [int]int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: [int]int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.__HAVOC_memset_split_2___v2.__HAVOC_memset_split_2(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int)
- returns (_v1.ret: [int]int, _v2.ret: [int]int);
- requires _v1.A == _v2.A
- && _v1.p == _v2.p
- && _v1.c == _v2.c
- && _v1.n == _v2.n
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.__HAVOC_memset_split_2$_v2.__HAVOC_memset_split_2(_v1.A,
- _v1.p,
- _v1.c,
- _v1.n,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.A,
- _v2.p,
- _v2.c,
- _v2.n,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.__HAVOC_memset_split_4$_v2.__HAVOC_memset_split_4(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: [int]int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: [int]int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.__HAVOC_memset_split_4___v2.__HAVOC_memset_split_4(_v1.A: [int]int,
- _v1.p: int,
- _v1.c: int,
- _v1.n: int,
- _v2.A: [int]int,
- _v2.p: int,
- _v2.c: int,
- _v2.n: int)
- returns (_v1.ret: [int]int, _v2.ret: [int]int);
- requires _v1.A == _v2.A
- && _v1.p == _v2.p
- && _v1.c == _v2.c
- && _v1.n == _v2.n
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.__HAVOC_memset_split_4$_v2.__HAVOC_memset_split_4(_v1.A,
- _v1.p,
- _v1.c,
- _v1.n,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.A,
- _v2.p,
- _v2.c,
- _v2.n,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.nondet_choice$_v2.nondet_choice(_v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.x: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.x: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.nondet_choice___v2.nondet_choice() returns (_v1.x: int, _v2.x: int);
- requires (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.nondet_choice$_v2.nondet_choice(old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.x,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.x);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.det_choice$_v2.det_choice(_v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.detChoiceCnt_: int,
- _v1.x: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.detChoiceCnt_: int,
- _v2.x: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.det_choice___v2.det_choice() returns (_v1.x: int, _v2.x: int);
- requires (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.detChoiceCnt, _v2.detChoiceCnt;
- ensures MS$_v1.det_choice$_v2.det_choice(old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.detChoiceCnt,
- _v1.x,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.detChoiceCnt,
- _v2.x);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1._strdup$_v2._strdup(_v1.str: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.new: int,
- _v2.str: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.new: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1._strdup___v2._strdup(_v1.str: int, _v2.str: int) returns (_v1.new: int, _v2.new: int);
- requires _v1.str == _v2.str
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1._strdup$_v2._strdup(_v1.str,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.new,
- _v2.str,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.new);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1._xstrcasecmp$_v2._xstrcasecmp(_v1.a0: int,
- _v1.a1: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: int,
- _v2.a0: int,
- _v2.a1: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1._xstrcasecmp___v2._xstrcasecmp(_v1.a0: int, _v1.a1: int, _v2.a0: int, _v2.a1: int)
- returns (_v1.ret: int, _v2.ret: int);
- requires _v1.a0 == _v2.a0
- && _v1.a1 == _v2.a1
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1._xstrcasecmp$_v2._xstrcasecmp(_v1.a0,
- _v1.a1,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.a0,
- _v2.a1,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1._xstrcmp$_v2._xstrcmp(_v1.a0: int,
- _v1.a1: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: int,
- _v2.a0: int,
- _v2.a1: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1._xstrcmp___v2._xstrcmp(_v1.a0: int, _v1.a1: int, _v2.a0: int, _v2.a1: int)
- returns (_v1.ret: int, _v2.ret: int);
- requires _v1.a0 == _v2.a0
- && _v1.a1 == _v2.a1
- &&
- (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1._xstrcmp$_v2._xstrcmp(_v1.a0,
- _v1.a1,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.a0,
- _v2.a1,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-function {:inline true} MS$_v1.memcpy$_v2.memcpy(_v1.a0: int,
- _v1.a1: int,
- _v1.a2: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.ret: int,
- _v2.a0: int,
- _v2.a1: int,
- _v2.a2: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.ret: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_48: bool;
-
-const {:existential true} _houdini_49: bool;
-
-const {:existential true} _houdini_50: bool;
-
-const {:existential true} _houdini_51: bool;
-
-const {:existential true} _houdini_52: bool;
-
-const {:existential true} _houdini_53: bool;
-
-const {:existential true} _houdini_54: bool;
-
-const {:existential true} _houdini_55: bool;
-
-const {:existential true} _houdini_56: bool;
-
-const {:existential true} _houdini_57: bool;
-
-const {:existential true} _houdini_58: bool;
-
-const {:existential true} _houdini_59: bool;
-
-const {:existential true} _houdini_60: bool;
-
-const {:existential true} _houdini_61: bool;
-
-const {:existential true} _houdini_62: bool;
-
-const {:existential true} _houdini_63: bool;
-
-const {:existential true} _houdini_64: bool;
-
-const {:existential true} _houdini_65: bool;
-
-const {:existential true} _houdini_66: bool;
-
-const {:existential true} _houdini_67: bool;
-
-const {:existential true} _houdini_68: bool;
-
-const {:existential true} _houdini_69: bool;
-
-const {:existential true} _houdini_70: bool;
-
-const {:existential true} _houdini_71: bool;
-
-const {:existential true} _houdini_72: bool;
-
-const {:existential true} _houdini_73: bool;
-
-const {:existential true} _houdini_74: bool;
-
-const {:existential true} _houdini_75: bool;
-
-procedure MS_Check__v1.memcpy___v2.memcpy(_v1.a0: int, _v1.a1: int, _v1.a2: int, _v2.a0: int, _v2.a1: int, _v2.a2: int)
- returns (_v1.ret: int, _v2.ret: int);
- requires _houdini_48 ==> _v1.a0 <= _v2.a0;
- requires _houdini_49 ==> _v2.a0 <= _v1.a0;
- requires _houdini_50 ==> _v1.a1 <= _v2.a1;
- requires _houdini_51 ==> _v2.a1 <= _v1.a1;
- requires _houdini_52 ==> _v1.a2 <= _v2.a2;
- requires _houdini_53 ==> _v2.a2 <= _v1.a2;
- requires _houdini_54 ==> _v1.OK ==> _v2.OK;
- requires _houdini_55 ==> _v2.OK ==> _v1.OK;
- requires _houdini_56 ==> _v1.Mem == _v2.Mem;
- requires _houdini_57 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_58 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_59 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_60 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_61 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_62 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_63 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_64 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_65 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_66 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_67
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_68 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_69 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_70 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_71
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_72 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_73 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_74 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_75 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- ensures MS$_v1.memcpy$_v2.memcpy(_v1.a0,
- _v1.a1,
- _v1.a2,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.ret,
- _v2.a0,
- _v2.a1,
- _v2.a2,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.ret);
- ensures old(_v1.a0 == _v2.a0 && _v1.a1 == _v2.a1 && _v1.a2 == _v2.a2)
- ==> _v1.ret <= _v2.ret && _v2.ret <= _v1.ret;
-
-
-
-function {:inline true} MS$_v1.encode_ie$_v2.encode_ie(_v1.buf_.1: int,
- _v1.bufsize_.1: int,
- _v1.ie_.1: int,
- _v1.ielen_.1: int,
- _v1.leader_.1: int,
- _v1.leader_len_.1: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.OK_: bool,
- _v1.Mem_T.UCHAR_: [int]int,
- _v1.result.encode_ie$1: int,
- _v2.buf_.1: int,
- _v2.bufsize_.1: int,
- _v2.ie_.1: int,
- _v2.ielen_.1: int,
- _v2.leader_.1: int,
- _v2.leader_len_.1: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.OK_: bool,
- _v2.Mem_T.UCHAR_: [int]int,
- _v2.result.encode_ie$1: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_76: bool;
-
-const {:existential true} _houdini_77: bool;
-
-const {:existential true} _houdini_78: bool;
-
-const {:existential true} _houdini_79: bool;
-
-const {:existential true} _houdini_80: bool;
-
-const {:existential true} _houdini_81: bool;
-
-const {:existential true} _houdini_82: bool;
-
-const {:existential true} _houdini_83: bool;
-
-const {:existential true} _houdini_84: bool;
-
-const {:existential true} _houdini_85: bool;
-
-const {:existential true} _houdini_86: bool;
-
-const {:existential true} _houdini_87: bool;
-
-const {:existential true} _houdini_88: bool;
-
-const {:existential true} _houdini_89: bool;
-
-const {:existential true} _houdini_90: bool;
-
-const {:existential true} _houdini_91: bool;
-
-const {:existential true} _houdini_92: bool;
-
-const {:existential true} _houdini_93: bool;
-
-const {:existential true} _houdini_94: bool;
-
-const {:existential true} _houdini_95: bool;
-
-const {:existential true} _houdini_96: bool;
-
-const {:existential true} _houdini_97: bool;
-
-const {:existential true} _houdini_98: bool;
-
-const {:existential true} _houdini_99: bool;
-
-const {:existential true} _houdini_100: bool;
-
-const {:existential true} _houdini_101: bool;
-
-const {:existential true} _houdini_102: bool;
-
-const {:existential true} _houdini_103: bool;
-
-const {:existential true} _houdini_104: bool;
-
-const {:existential true} _houdini_105: bool;
-
-const {:existential true} _houdini_106: bool;
-
-const {:existential true} _houdini_107: bool;
-
-const {:existential true} _houdini_108: bool;
-
-const {:existential true} _houdini_109: bool;
-
-const {:existential true} _houdini_110: bool;
-
-const {:existential true} _houdini_111: bool;
-
-const {:existential true} _houdini_112: bool;
-
-const {:existential true} _houdini_113: bool;
-
-const {:existential true} _houdini_114: bool;
-
-procedure MS_Check__v1.encode_ie___v2.encode_ie(_v1.buf_.1: int,
- _v1.bufsize_.1: int,
- _v1.ie_.1: int,
- _v1.ielen_.1: int,
- _v1.leader_.1: int,
- _v1.leader_len_.1: int,
- _v2.buf_.1: int,
- _v2.bufsize_.1: int,
- _v2.ie_.1: int,
- _v2.ielen_.1: int,
- _v2.leader_.1: int,
- _v2.leader_len_.1: int)
- returns (_v1.result.encode_ie$1: int, _v2.result.encode_ie$1: int);
- requires _houdini_81 ==> _v1.buf_.1 <= _v2.buf_.1;
- requires _houdini_82 ==> _v2.buf_.1 <= _v1.buf_.1;
- requires _houdini_83 ==> _v1.bufsize_.1 <= _v2.bufsize_.1;
- requires _houdini_84 ==> _v2.bufsize_.1 <= _v1.bufsize_.1;
- requires _houdini_85 ==> _v1.ie_.1 <= _v2.ie_.1;
- requires _houdini_86 ==> _v2.ie_.1 <= _v1.ie_.1;
- requires _houdini_87 ==> _v1.ielen_.1 <= _v2.ielen_.1;
- requires _houdini_88 ==> _v2.ielen_.1 <= _v1.ielen_.1;
- requires _houdini_89 ==> _v1.leader_.1 <= _v2.leader_.1;
- requires _houdini_90 ==> _v2.leader_.1 <= _v1.leader_.1;
- requires _houdini_91 ==> _v1.leader_len_.1 <= _v2.leader_len_.1;
- requires _houdini_92 ==> _v2.leader_len_.1 <= _v1.leader_len_.1;
- requires _houdini_93 ==> _v1.OK ==> _v2.OK;
- requires _houdini_94 ==> _v2.OK ==> _v1.OK;
- requires _houdini_95 ==> _v1.Mem == _v2.Mem;
- requires _houdini_96 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_97 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_98 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_99 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_100 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_101 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_102 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_103 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_104 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_105 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_106
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_107 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_108 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_109
- ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_110
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_111 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_112 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_113 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_114 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.OK, _v1.Mem_T.UCHAR, _v2.OK, _v2.Mem_T.UCHAR;
- ensures MS$_v1.encode_ie$_v2.encode_ie(_v1.buf_.1,
- _v1.bufsize_.1,
- _v1.ie_.1,
- _v1.ielen_.1,
- _v1.leader_.1,
- _v1.leader_len_.1,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.OK,
- _v1.Mem_T.UCHAR,
- _v1.result.encode_ie$1,
- _v2.buf_.1,
- _v2.bufsize_.1,
- _v2.ie_.1,
- _v2.ielen_.1,
- _v2.leader_.1,
- _v2.leader_len_.1,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.OK,
- _v2.Mem_T.UCHAR,
- _v2.result.encode_ie$1);
- ensures _houdini_76 ==> _v1.result.encode_ie$1 <= _v2.result.encode_ie$1;
- ensures _houdini_77 ==> _v2.result.encode_ie$1 <= _v1.result.encode_ie$1;
- ensures _houdini_78 ==> _v1.OK ==> _v2.OK;
- ensures _houdini_79 ==> _v2.OK ==> _v1.OK;
- ensures _houdini_80 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
-
-
-
-implementation MS_Check__v1.encode_ie___v2.encode_ie(_v1.buf_.1: int,
- _v1.bufsize_.1: int,
- _v1.ie_.1: int,
- _v1.ielen_.1: int,
- _v1.leader_.1: int,
- _v1.leader_len_.1: int,
- _v2.buf_.1: int,
- _v2.bufsize_.1: int,
- _v2.ie_.1: int,
- _v2.ielen_.1: int,
- _v2.leader_.1: int,
- _v2.leader_len_.1: int)
- returns (_v1.result.encode_ie$1: int, _v2.result.encode_ie$1: int)
-{
- var inline$_v1.encode_ie$0$havoc_stringTemp: int;
- var inline$_v1.encode_ie$0$condVal: int;
- var inline$_v1.encode_ie$0$buf: int;
- var inline$_v1.encode_ie$0$bufsize: int;
- var inline$_v1.encode_ie$0$i: int;
- var inline$_v1.encode_ie$0$ie: int;
- var inline$_v1.encode_ie$0$ielen: int;
- var inline$_v1.encode_ie$0$leader: int;
- var inline$_v1.encode_ie$0$leader_len: int;
- var inline$_v1.encode_ie$0$p: int;
- var inline$_v1.encode_ie$0$result.memcpy$2: int;
- var inline$_v1.encode_ie$0$$result.question.3.$$static$: int;
- var inline$_v1.encode_ie$0$tempBoogie0: int;
- var inline$_v1.encode_ie$0$tempBoogie1: int;
- var inline$_v1.encode_ie$0$tempBoogie2: int;
- var inline$_v1.encode_ie$0$tempBoogie3: int;
- var inline$_v1.encode_ie$0$tempBoogie4: int;
- var inline$_v1.encode_ie$0$tempBoogie5: int;
- var inline$_v1.encode_ie$0$tempBoogie6: int;
- var inline$_v1.encode_ie$0$tempBoogie7: int;
- var inline$_v1.encode_ie$0$tempBoogie8: int;
- var inline$_v1.encode_ie$0$tempBoogie9: int;
- var inline$_v1.encode_ie$0$tempBoogie10: int;
- var inline$_v1.encode_ie$0$tempBoogie11: int;
- var inline$_v1.encode_ie$0$tempBoogie12: int;
- var inline$_v1.encode_ie$0$tempBoogie13: int;
- var inline$_v1.encode_ie$0$tempBoogie14: int;
- var inline$_v1.encode_ie$0$tempBoogie15: int;
- var inline$_v1.encode_ie$0$tempBoogie16: int;
- var inline$_v1.encode_ie$0$tempBoogie17: int;
- var inline$_v1.encode_ie$0$tempBoogie18: int;
- var inline$_v1.encode_ie$0$tempBoogie19: int;
- var inline$_v1.encode_ie$0$__havoc_dummy_return: int;
- var inline$_v1.encode_ie$0$buf_.1: int;
- var inline$_v1.encode_ie$0$bufsize_.1: int;
- var inline$_v1.encode_ie$0$ie_.1: int;
- var inline$_v1.encode_ie$0$ielen_.1: int;
- var inline$_v1.encode_ie$0$leader_.1: int;
- var inline$_v1.encode_ie$0$leader_len_.1: int;
- var inline$_v1.encode_ie$0$result.encode_ie$1: int;
- var inline$_v1.encode_ie$0$_v1.OK: bool;
- var inline$_v1.encode_ie$0$_v1.Mem_T.UCHAR: [int]int;
- var inline$_v2.encode_ie$0$havoc_stringTemp: int;
- var inline$_v2.encode_ie$0$condVal: int;
- var inline$_v2.encode_ie$0$buf: int;
- var inline$_v2.encode_ie$0$bufsize: int;
- var inline$_v2.encode_ie$0$i: int;
- var inline$_v2.encode_ie$0$ie: int;
- var inline$_v2.encode_ie$0$ielen: int;
- var inline$_v2.encode_ie$0$leader: int;
- var inline$_v2.encode_ie$0$leader_len: int;
- var inline$_v2.encode_ie$0$p: int;
- var inline$_v2.encode_ie$0$result.memcpy$2: int;
- var inline$_v2.encode_ie$0$$result.question.3.$$static$: int;
- var inline$_v2.encode_ie$0$tempBoogie0: int;
- var inline$_v2.encode_ie$0$tempBoogie1: int;
- var inline$_v2.encode_ie$0$tempBoogie2: int;
- var inline$_v2.encode_ie$0$tempBoogie3: int;
- var inline$_v2.encode_ie$0$tempBoogie4: int;
- var inline$_v2.encode_ie$0$tempBoogie5: int;
- var inline$_v2.encode_ie$0$tempBoogie6: int;
- var inline$_v2.encode_ie$0$tempBoogie7: int;
- var inline$_v2.encode_ie$0$tempBoogie8: int;
- var inline$_v2.encode_ie$0$tempBoogie9: int;
- var inline$_v2.encode_ie$0$tempBoogie10: int;
- var inline$_v2.encode_ie$0$tempBoogie11: int;
- var inline$_v2.encode_ie$0$tempBoogie12: int;
- var inline$_v2.encode_ie$0$tempBoogie13: int;
- var inline$_v2.encode_ie$0$tempBoogie14: int;
- var inline$_v2.encode_ie$0$tempBoogie15: int;
- var inline$_v2.encode_ie$0$tempBoogie16: int;
- var inline$_v2.encode_ie$0$tempBoogie17: int;
- var inline$_v2.encode_ie$0$tempBoogie18: int;
- var inline$_v2.encode_ie$0$tempBoogie19: int;
- var inline$_v2.encode_ie$0$__havoc_dummy_return: int;
- var inline$_v2.encode_ie$0$buf_.1: int;
- var inline$_v2.encode_ie$0$bufsize_.1: int;
- var inline$_v2.encode_ie$0$ie_.1: int;
- var inline$_v2.encode_ie$0$ielen_.1: int;
- var inline$_v2.encode_ie$0$leader_.1: int;
- var inline$_v2.encode_ie$0$leader_len_.1: int;
- var inline$_v2.encode_ie$0$result.encode_ie$1: int;
- var inline$_v2.encode_ie$0$_v2.OK: bool;
- var inline$_v2.encode_ie$0$_v2.Mem_T.UCHAR: [int]int;
- var _v1.memcpy_1_done: bool;
- var _v1.memcpy_in_1_0: int;
- var _v1.memcpy_in_1_1: int;
- var _v1.memcpy_in_1_2: int;
- var _v1.memcpy_in_1_3: bool;
- var _v1.memcpy_in_1_4: [int]int;
- var _v1.memcpy_out_1_0: int;
- var _v1.encode_ie_loop_label_14_head_2_done: bool;
- var _v1.encode_ie_loop_label_14_head_in_2_0: int;
- var _v1.encode_ie_loop_label_14_head_in_2_1: int;
- var _v1.encode_ie_loop_label_14_head_in_2_2: int;
- var _v1.encode_ie_loop_label_14_head_in_2_3: int;
- var _v1.encode_ie_loop_label_14_head_in_2_4: int;
- var _v1.encode_ie_loop_label_14_head_in_2_5: bool;
- var _v1.encode_ie_loop_label_14_head_in_2_6: [int]int;
- var _v1.encode_ie_loop_label_14_head_out_2_0: int;
- var _v1.encode_ie_loop_label_14_head_out_2_1: int;
- var _v1.encode_ie_loop_label_14_head_out_2_2: int;
- var _v1.encode_ie_loop_label_14_head_out_2_3: [int]int;
- var _v1.encode_ie_loop_label_14_head_out_2_4: bool;
- var _v2.memcpy_3_done: bool;
- var _v2.memcpy_in_3_0: int;
- var _v2.memcpy_in_3_1: int;
- var _v2.memcpy_in_3_2: int;
- var _v2.memcpy_in_3_3: bool;
- var _v2.memcpy_in_3_4: [int]int;
- var _v2.memcpy_out_3_0: int;
- var _v2.encode_ie_loop_label_14_head_4_done: bool;
- var _v2.encode_ie_loop_label_14_head_in_4_0: int;
- var _v2.encode_ie_loop_label_14_head_in_4_1: int;
- var _v2.encode_ie_loop_label_14_head_in_4_2: int;
- var _v2.encode_ie_loop_label_14_head_in_4_3: int;
- var _v2.encode_ie_loop_label_14_head_in_4_4: int;
- var _v2.encode_ie_loop_label_14_head_in_4_5: bool;
- var _v2.encode_ie_loop_label_14_head_in_4_6: [int]int;
- var _v2.encode_ie_loop_label_14_head_out_4_0: int;
- var _v2.encode_ie_loop_label_14_head_out_4_1: int;
- var _v2.encode_ie_loop_label_14_head_out_4_2: int;
- var _v2.encode_ie_loop_label_14_head_out_4_3: int;
- var _v2.encode_ie_loop_label_14_head_out_4_4: [int]int;
- var _v2.encode_ie_loop_label_14_head_out_4_5: bool;
- var store__0__v1.OK: bool;
- var store__0__v1.Mem_T.UCHAR: [int]int;
- var store__0__v2.OK: bool;
- var store__0__v2.Mem_T.UCHAR: [int]int;
- var out__v1.memcpy_out_1_0_0: int;
- var out__v2.memcpy_out_3_0_0: int;
- var store__1__v1.OK: bool;
- var store__1__v1.Mem_T.UCHAR: [int]int;
- var store__1__v2.OK: bool;
- var store__1__v2.Mem_T.UCHAR: [int]int;
- var out__v1.encode_ie_loop_label_14_head_out_2_0_1: int;
- var out__v1.encode_ie_loop_label_14_head_out_2_1_1: int;
- var out__v1.encode_ie_loop_label_14_head_out_2_2_1: int;
- var out__v2.encode_ie_loop_label_14_head_out_4_0_1: int;
- var out__v2.encode_ie_loop_label_14_head_out_4_1_1: int;
- var out__v2.encode_ie_loop_label_14_head_out_4_2_1: int;
- var out__v2.encode_ie_loop_label_14_head_out_4_3_1: int;
-
- START:
- _v1.memcpy_1_done, _v1.encode_ie_loop_label_14_head_2_done, _v2.memcpy_3_done, _v2.encode_ie_loop_label_14_head_4_done := false, false, false, false;
- goto inline$_v1.encode_ie$0$Entry;
-
- inline$_v1.encode_ie$0$Entry:
- inline$_v1.encode_ie$0$buf_.1 := _v1.buf_.1;
- inline$_v1.encode_ie$0$bufsize_.1 := _v1.bufsize_.1;
- inline$_v1.encode_ie$0$ie_.1 := _v1.ie_.1;
- inline$_v1.encode_ie$0$ielen_.1 := _v1.ielen_.1;
- inline$_v1.encode_ie$0$leader_.1 := _v1.leader_.1;
- inline$_v1.encode_ie$0$leader_len_.1 := _v1.leader_len_.1;
- havoc inline$_v1.encode_ie$0$havoc_stringTemp, inline$_v1.encode_ie$0$condVal, inline$_v1.encode_ie$0$buf, inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ie, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$result.memcpy$2, inline$_v1.encode_ie$0$$result.question.3.$$static$, inline$_v1.encode_ie$0$tempBoogie0, inline$_v1.encode_ie$0$tempBoogie1, inline$_v1.encode_ie$0$tempBoogie2, inline$_v1.encode_ie$0$tempBoogie3, inline$_v1.encode_ie$0$tempBoogie4, inline$_v1.encode_ie$0$tempBoogie5, inline$_v1.encode_ie$0$tempBoogie6, inline$_v1.encode_ie$0$tempBoogie7, inline$_v1.encode_ie$0$tempBoogie8, inline$_v1.encode_ie$0$tempBoogie9, inline$_v1.encode_ie$0$tempBoogie10, inline$_v1.encode_ie$0$tempBoogie11, inline$_v1.encode_ie$0$tempBoogie12, inline$_v1.encode_ie$0$tempBoogie13, inline$_v1.encode_ie$0$tempBoogie14, inline$_v1.encode_ie$0$tempBoogie15, inline$_v1.encode_ie$0$tempBoogie16, inline$_v1.encode_ie$0$tempBoogie17, inline$_v1.encode_ie$0$tempBoogie18, inline$_v1.encode_ie$0$tempBoogie19, inline$_v1.encode_ie$0$__havoc_dummy_return, inline$_v1.encode_ie$0$result.encode_ie$1;
- inline$_v1.encode_ie$0$_v1.OK := _v1.OK;
- inline$_v1.encode_ie$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
- goto inline$_v1.encode_ie$0$anon0#2;
-
- inline$_v1.encode_ie$0$anon0#2:
- inline$_v1.encode_ie$0$havoc_stringTemp := 0;
- goto inline$_v1.encode_ie$0$start#2;
-
- inline$_v1.encode_ie$0$start#2:
- assume _v2.INT_LT(inline$_v1.encode_ie$0$buf_.1, _v1.alloc);
- assume _v2.INT_LT(inline$_v1.encode_ie$0$ie_.1, _v1.alloc);
- assume _v2.INT_LT(inline$_v1.encode_ie$0$leader_.1, _v1.alloc);
- inline$_v1.encode_ie$0$buf := 0;
- assume _v2.INT_GEQ(inline$_v1.encode_ie$0$buf_.1, 0);
- inline$_v1.encode_ie$0$bufsize := 0;
- inline$_v1.encode_ie$0$i := 0;
- inline$_v1.encode_ie$0$ie := 0;
- assume _v2.INT_GEQ(inline$_v1.encode_ie$0$ie_.1, 0);
- inline$_v1.encode_ie$0$ielen := 0;
- inline$_v1.encode_ie$0$leader := 0;
- assume _v2.INT_GEQ(inline$_v1.encode_ie$0$leader_.1, 0);
- inline$_v1.encode_ie$0$leader_len := 0;
- inline$_v1.encode_ie$0$p := 0;
- inline$_v1.encode_ie$0$result.encode_ie$1 := 0;
- inline$_v1.encode_ie$0$result.memcpy$2 := 0;
- inline$_v1.encode_ie$0$$result.question.3.$$static$ := 0;
- inline$_v1.encode_ie$0$buf := inline$_v1.encode_ie$0$buf_.1;
- inline$_v1.encode_ie$0$bufsize := inline$_v1.encode_ie$0$bufsize_.1;
- inline$_v1.encode_ie$0$ie := inline$_v1.encode_ie$0$ie_.1;
- inline$_v1.encode_ie$0$ielen := inline$_v1.encode_ie$0$ielen_.1;
- inline$_v1.encode_ie$0$leader := inline$_v1.encode_ie$0$leader_.1;
- inline$_v1.encode_ie$0$leader_len := inline$_v1.encode_ie$0$leader_len_.1;
- goto inline$_v1.encode_ie$0$label_3#2;
-
- inline$_v1.encode_ie$0$label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 9} true;
- goto inline$_v1.encode_ie$0$label_4#2;
-
- inline$_v1.encode_ie$0$label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 10} true;
- goto inline$_v1.encode_ie$0$label_5#2;
-
- inline$_v1.encode_ie$0$label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 13} true;
- goto inline$_v1.encode_ie$0$label_5_true#2, inline$_v1.encode_ie$0$label_5_false#2;
-
- inline$_v1.encode_ie$0$label_5_false#2:
- assume !_v2.INT_LT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_1, inline$_v1.encode_ie$0$bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_2, inline$_v1.encode_ie$0$leader_len);
- goto inline$_v1.encode_ie$0$label_6#2;
-
- inline$_v1.encode_ie$0$label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 15} true;
- inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$buf;
- assume _v2.value_is(_v1.__ctobpl_const_3, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_4, inline$_v1.encode_ie$0$buf);
- goto inline$_v1.encode_ie$0$label_8#2;
-
- inline$_v1.encode_ie$0$label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 16} true;
- _v1.memcpy_in_1_0, _v1.memcpy_in_1_1, _v1.memcpy_in_1_2, _v1.memcpy_in_1_3, _v1.memcpy_in_1_4 := inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len, _v1.OK, _v1.Mem_T.UCHAR;
- call inline$_v1.encode_ie$0$result.memcpy$2 := _v1.memcpy(inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len);
- _v1.memcpy_1_done := true;
- _v1.memcpy_out_1_0 := inline$_v1.encode_ie$0$result.memcpy$2;
- assume _v2.value_is(_v1.__ctobpl_const_5, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_6, inline$_v1.encode_ie$0$leader);
- assume _v2.value_is(_v1.__ctobpl_const_7, inline$_v1.encode_ie$0$leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_8, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_9, inline$_v1.encode_ie$0$leader);
- assume _v2.value_is(_v1.__ctobpl_const_10, inline$_v1.encode_ie$0$leader_len);
- goto inline$_v1.encode_ie$0$label_11#2;
-
- inline$_v1.encode_ie$0$label_11#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 17} true;
- havoc inline$_v1.encode_ie$0$tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len, 1, inline$_v1.encode_ie$0$tempBoogie0);
- inline$_v1.encode_ie$0$bufsize := inline$_v1.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_11, inline$_v1.encode_ie$0$bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_12, inline$_v1.encode_ie$0$leader_len);
- goto inline$_v1.encode_ie$0$label_12#2;
-
- inline$_v1.encode_ie$0$label_12#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 18} true;
- inline$_v1.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, inline$_v1.encode_ie$0$leader_len);
- inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_13, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_14, inline$_v1.encode_ie$0$leader_len);
- goto inline$_v1.encode_ie$0$label_13#2;
-
- inline$_v1.encode_ie$0$label_13#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- inline$_v1.encode_ie$0$i := 0;
- assume _v2.value_is(_v1.__ctobpl_const_15, inline$_v1.encode_ie$0$i);
- goto inline$_v1.encode_ie$0$label_14#2;
-
- inline$_v1.encode_ie$0$label_14#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto inline$_v1.encode_ie$0$label_14_head#2;
-
- inline$_v1.encode_ie$0$label_14_head#2:
- _v1.encode_ie_loop_label_14_head_in_2_0, _v1.encode_ie_loop_label_14_head_in_2_1, _v1.encode_ie_loop_label_14_head_in_2_2, _v1.encode_ie_loop_label_14_head_in_2_3, _v1.encode_ie_loop_label_14_head_in_2_4, _v1.encode_ie_loop_label_14_head_in_2_5, _v1.encode_ie_loop_label_14_head_in_2_6 := inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0, _v1.OK, _v1.Mem_T.UCHAR;
- call inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0 := _v1.encode_ie_loop_label_14_head(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0);
- _v1.encode_ie_loop_label_14_head_2_done := true;
- _v1.encode_ie_loop_label_14_head_out_2_0, _v1.encode_ie_loop_label_14_head_out_2_1, _v1.encode_ie_loop_label_14_head_out_2_2, _v1.encode_ie_loop_label_14_head_out_2_3, _v1.encode_ie_loop_label_14_head_out_2_4 := inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
- goto inline$_v1.encode_ie$0$label_14_head_last#2;
-
- inline$_v1.encode_ie$0$label_14_head_last#2:
- goto inline$_v1.encode_ie$0$label_14_true#2, inline$_v1.encode_ie$0$label_14_false#2;
-
- inline$_v1.encode_ie$0$label_14_false#2:
- assume !_v2.INT_LT(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie$0$i);
- assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie$0$ielen);
- goto inline$_v1.encode_ie$0$label_15#2;
-
- inline$_v1.encode_ie$0$label_14_true#2:
- assume _v2.INT_LT(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie$0$i);
- assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie$0$ielen);
- goto inline$_v1.encode_ie$0$label_16#2;
-
- inline$_v1.encode_ie$0$label_16#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto inline$_v1.encode_ie$0$label_16_true#2, inline$_v1.encode_ie$0$label_16_false#2;
-
- inline$_v1.encode_ie$0$label_16_false#2:
- assume !_v2.INT_LT(2, inline$_v1.encode_ie$0$bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie$0$bufsize);
- goto inline$_v1.encode_ie$0$label_15#2;
-
- inline$_v1.encode_ie$0$label_15#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- goto inline$_v1.encode_ie$0$label_15_true#2, inline$_v1.encode_ie$0$label_15_false#2;
-
- inline$_v1.encode_ie$0$label_15_false#2:
- assume !_v2.INT_EQ(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
- assume _v2.value_is(_v1.__ctobpl_const_18, inline$_v1.encode_ie$0$i);
- assume _v2.value_is(_v1.__ctobpl_const_19, inline$_v1.encode_ie$0$ielen);
- goto inline$_v1.encode_ie$0$label_21#2;
-
- inline$_v1.encode_ie$0$label_21#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- inline$_v1.encode_ie$0$$result.question.3.$$static$ := 0;
- assume _v2.value_is(_v1.__ctobpl_const_27, inline$_v1.encode_ie$0$$result.question.3.$$static$);
- goto inline$_v1.encode_ie$0$label_23#2;
-
- inline$_v1.encode_ie$0$label_15_true#2:
- assume _v2.INT_EQ(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
- assume _v2.value_is(_v1.__ctobpl_const_18, inline$_v1.encode_ie$0$i);
- assume _v2.value_is(_v1.__ctobpl_const_19, inline$_v1.encode_ie$0$ielen);
- goto inline$_v1.encode_ie$0$label_22#2;
-
- inline$_v1.encode_ie$0$label_22#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- havoc inline$_v1.encode_ie$0$$result.question.3.$$static$;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$buf, 1, inline$_v1.encode_ie$0$$result.question.3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_28, inline$_v1.encode_ie$0$$result.question.3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_29, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_30, inline$_v1.encode_ie$0$buf);
- goto inline$_v1.encode_ie$0$label_23#2;
-
- inline$_v1.encode_ie$0$label_23#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
- inline$_v1.encode_ie$0$result.encode_ie$1 := inline$_v1.encode_ie$0$$result.question.3.$$static$;
- assume _v2.value_is(_v1.__ctobpl_const_31, inline$_v1.encode_ie$0$$result.question.3.$$static$);
- goto inline$_v1.encode_ie$0$label_1#2;
-
- inline$_v1.encode_ie$0$label_16_true#2:
- assume _v2.INT_LT(2, inline$_v1.encode_ie$0$bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie$0$bufsize);
- goto inline$_v1.encode_ie$0$label_17#2;
-
- inline$_v1.encode_ie$0$label_17#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(inline$_v1.encode_ie$0$p, 0);
- _v1.OK := _v1.OK && _v2.Res_VALID_REGION(inline$_v1.encode_ie$0$p) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[inline$_v1.encode_ie$0$p := 120];
- assume _v2.value_is(_v1.__ctobpl_const_21, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[inline$_v1.encode_ie$0$p]);
- goto inline$_v1.encode_ie$0$label_18#2;
-
- inline$_v1.encode_ie$0$label_18#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1), 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1)) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1) := 120];
- assume _v2.value_is(_v1.__ctobpl_const_23, inline$_v1.encode_ie$0$p);
- assume _v2.value_is(_v1.__ctobpl_const_24,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1)]);
- goto inline$_v1.encode_ie$0$label_19#2;
-
- inline$_v1.encode_ie$0$label_19#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
- inline$_v1.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 2);
- inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_25, inline$_v1.encode_ie$0$p);
- goto inline$_v1.encode_ie$0$label_20#2;
-
- inline$_v1.encode_ie$0$label_20#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- assume _v2.value_is(_v1.__ctobpl_const_26, inline$_v1.encode_ie$0$i);
- inline$_v1.encode_ie$0$i := _v2.INT_PLUS(inline$_v1.encode_ie$0$i, 1, 1);
- goto inline$_v1.encode_ie$0$label_20_dummy#2;
-
- inline$_v1.encode_ie$0$label_20_dummy#2:
- assume false;
- goto inline$_v1.encode_ie$0$Return;
-
- inline$_v1.encode_ie$0$label_5_true#2:
- assume _v2.INT_LT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len);
- assume _v2.value_is(_v1.__ctobpl_const_1, inline$_v1.encode_ie$0$bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_2, inline$_v1.encode_ie$0$leader_len);
- goto inline$_v1.encode_ie$0$label_7#2;
-
- inline$_v1.encode_ie$0$label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 14} true;
- inline$_v1.encode_ie$0$result.encode_ie$1 := 0;
- goto inline$_v1.encode_ie$0$label_1#2;
-
- inline$_v1.encode_ie$0$label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 39} true;
- goto inline$_v1.encode_ie$0$Return;
-
- inline$_v1.encode_ie$0$Return:
- assume true;
- _v1.result.encode_ie$1 := inline$_v1.encode_ie$0$result.encode_ie$1;
- goto START$1;
-
- START$1:
- goto inline$_v2.encode_ie$0$Entry;
-
- inline$_v2.encode_ie$0$Entry:
- inline$_v2.encode_ie$0$buf_.1 := _v2.buf_.1;
- inline$_v2.encode_ie$0$bufsize_.1 := _v2.bufsize_.1;
- inline$_v2.encode_ie$0$ie_.1 := _v2.ie_.1;
- inline$_v2.encode_ie$0$ielen_.1 := _v2.ielen_.1;
- inline$_v2.encode_ie$0$leader_.1 := _v2.leader_.1;
- inline$_v2.encode_ie$0$leader_len_.1 := _v2.leader_len_.1;
- havoc inline$_v2.encode_ie$0$havoc_stringTemp, inline$_v2.encode_ie$0$condVal, inline$_v2.encode_ie$0$buf, inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ie, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$result.memcpy$2, inline$_v2.encode_ie$0$$result.question.3.$$static$, inline$_v2.encode_ie$0$tempBoogie0, inline$_v2.encode_ie$0$tempBoogie1, inline$_v2.encode_ie$0$tempBoogie2, inline$_v2.encode_ie$0$tempBoogie3, inline$_v2.encode_ie$0$tempBoogie4, inline$_v2.encode_ie$0$tempBoogie5, inline$_v2.encode_ie$0$tempBoogie6, inline$_v2.encode_ie$0$tempBoogie7, inline$_v2.encode_ie$0$tempBoogie8, inline$_v2.encode_ie$0$tempBoogie9, inline$_v2.encode_ie$0$tempBoogie10, inline$_v2.encode_ie$0$tempBoogie11, inline$_v2.encode_ie$0$tempBoogie12, inline$_v2.encode_ie$0$tempBoogie13, inline$_v2.encode_ie$0$tempBoogie14, inline$_v2.encode_ie$0$tempBoogie15, inline$_v2.encode_ie$0$tempBoogie16, inline$_v2.encode_ie$0$tempBoogie17, inline$_v2.encode_ie$0$tempBoogie18, inline$_v2.encode_ie$0$tempBoogie19, inline$_v2.encode_ie$0$__havoc_dummy_return, inline$_v2.encode_ie$0$result.encode_ie$1;
- inline$_v2.encode_ie$0$_v2.OK := _v2.OK;
- inline$_v2.encode_ie$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
- goto inline$_v2.encode_ie$0$anon0#2;
-
- inline$_v2.encode_ie$0$anon0#2:
- inline$_v2.encode_ie$0$havoc_stringTemp := 0;
- goto inline$_v2.encode_ie$0$start#2;
-
- inline$_v2.encode_ie$0$start#2:
- assume _v2.INT_LT(inline$_v2.encode_ie$0$buf_.1, _v2.alloc);
- assume _v2.INT_LT(inline$_v2.encode_ie$0$ie_.1, _v2.alloc);
- assume _v2.INT_LT(inline$_v2.encode_ie$0$leader_.1, _v2.alloc);
- inline$_v2.encode_ie$0$buf := 0;
- assume _v2.INT_GEQ(inline$_v2.encode_ie$0$buf_.1, 0);
- inline$_v2.encode_ie$0$bufsize := 0;
- inline$_v2.encode_ie$0$i := 0;
- inline$_v2.encode_ie$0$ie := 0;
- assume _v2.INT_GEQ(inline$_v2.encode_ie$0$ie_.1, 0);
- inline$_v2.encode_ie$0$ielen := 0;
- inline$_v2.encode_ie$0$leader := 0;
- assume _v2.INT_GEQ(inline$_v2.encode_ie$0$leader_.1, 0);
- inline$_v2.encode_ie$0$leader_len := 0;
- inline$_v2.encode_ie$0$p := 0;
- inline$_v2.encode_ie$0$result.encode_ie$1 := 0;
- inline$_v2.encode_ie$0$result.memcpy$2 := 0;
- inline$_v2.encode_ie$0$$result.question.3.$$static$ := 0;
- inline$_v2.encode_ie$0$buf := inline$_v2.encode_ie$0$buf_.1;
- inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$bufsize_.1;
- inline$_v2.encode_ie$0$ie := inline$_v2.encode_ie$0$ie_.1;
- inline$_v2.encode_ie$0$ielen := inline$_v2.encode_ie$0$ielen_.1;
- inline$_v2.encode_ie$0$leader := inline$_v2.encode_ie$0$leader_.1;
- inline$_v2.encode_ie$0$leader_len := inline$_v2.encode_ie$0$leader_len_.1;
- goto inline$_v2.encode_ie$0$label_3#2;
-
- inline$_v2.encode_ie$0$label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 9} true;
- goto inline$_v2.encode_ie$0$label_4#2;
-
- inline$_v2.encode_ie$0$label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 10} true;
- goto inline$_v2.encode_ie$0$label_5#2;
-
- inline$_v2.encode_ie$0$label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 13} true;
- goto inline$_v2.encode_ie$0$label_5_true#2, inline$_v2.encode_ie$0$label_5_false#2;
-
- inline$_v2.encode_ie$0$label_5_false#2:
- assume !_v2.INT_LT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_1, inline$_v2.encode_ie$0$bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_2, inline$_v2.encode_ie$0$leader_len);
- goto inline$_v2.encode_ie$0$label_6#2;
-
- inline$_v2.encode_ie$0$label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 15} true;
- inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$buf;
- assume _v2.value_is(_v2.__ctobpl_const_3, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_4, inline$_v2.encode_ie$0$buf);
- goto inline$_v2.encode_ie$0$label_8#2;
-
- inline$_v2.encode_ie$0$label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 16} true;
- _v2.memcpy_in_3_0, _v2.memcpy_in_3_1, _v2.memcpy_in_3_2, _v2.memcpy_in_3_3, _v2.memcpy_in_3_4 := inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len, _v2.OK, _v2.Mem_T.UCHAR;
- call inline$_v2.encode_ie$0$result.memcpy$2 := _v2.memcpy(inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len);
- _v2.memcpy_3_done := true;
- _v2.memcpy_out_3_0 := inline$_v2.encode_ie$0$result.memcpy$2;
- assume _v2.value_is(_v2.__ctobpl_const_5, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_6, inline$_v2.encode_ie$0$leader);
- assume _v2.value_is(_v2.__ctobpl_const_7, inline$_v2.encode_ie$0$leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_8, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_9, inline$_v2.encode_ie$0$leader);
- assume _v2.value_is(_v2.__ctobpl_const_10, inline$_v2.encode_ie$0$leader_len);
- goto inline$_v2.encode_ie$0$label_11#2;
-
- inline$_v2.encode_ie$0$label_11#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 17} true;
- havoc inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len, 1, inline$_v2.encode_ie$0$tempBoogie0);
- inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_11, inline$_v2.encode_ie$0$bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_12, inline$_v2.encode_ie$0$leader_len);
- goto inline$_v2.encode_ie$0$label_12#2;
-
- inline$_v2.encode_ie$0$label_12#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 18} true;
- inline$_v2.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, inline$_v2.encode_ie$0$leader_len);
- inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_13, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_14, inline$_v2.encode_ie$0$leader_len);
- goto inline$_v2.encode_ie$0$label_13#2;
-
- inline$_v2.encode_ie$0$label_13#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- inline$_v2.encode_ie$0$i := 0;
- assume _v2.value_is(_v2.__ctobpl_const_15, inline$_v2.encode_ie$0$i);
- goto inline$_v2.encode_ie$0$label_14#2;
-
- inline$_v2.encode_ie$0$label_14#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto inline$_v2.encode_ie$0$label_14_head#2;
-
- inline$_v2.encode_ie$0$label_14_head#2:
- _v2.encode_ie_loop_label_14_head_in_4_0, _v2.encode_ie_loop_label_14_head_in_4_1, _v2.encode_ie_loop_label_14_head_in_4_2, _v2.encode_ie_loop_label_14_head_in_4_3, _v2.encode_ie_loop_label_14_head_in_4_4, _v2.encode_ie_loop_label_14_head_in_4_5, _v2.encode_ie_loop_label_14_head_in_4_6 := inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0, _v2.OK, _v2.Mem_T.UCHAR;
- call inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0 := _v2.encode_ie_loop_label_14_head(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0);
- _v2.encode_ie_loop_label_14_head_4_done := true;
- _v2.encode_ie_loop_label_14_head_out_4_0, _v2.encode_ie_loop_label_14_head_out_4_1, _v2.encode_ie_loop_label_14_head_out_4_2, _v2.encode_ie_loop_label_14_head_out_4_3, _v2.encode_ie_loop_label_14_head_out_4_4, _v2.encode_ie_loop_label_14_head_out_4_5 := inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
- goto inline$_v2.encode_ie$0$label_14_head_last#2;
-
- inline$_v2.encode_ie$0$label_14_head_last#2:
- goto inline$_v2.encode_ie$0$label_14_true#2, inline$_v2.encode_ie$0$label_14_false#2;
-
- inline$_v2.encode_ie$0$label_14_false#2:
- assume !_v2.INT_LT(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie$0$i);
- assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie$0$ielen);
- goto inline$_v2.encode_ie$0$label_15#2;
-
- inline$_v2.encode_ie$0$label_14_true#2:
- assume _v2.INT_LT(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie$0$i);
- assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie$0$ielen);
- goto inline$_v2.encode_ie$0$label_16#2;
-
- inline$_v2.encode_ie$0$label_16#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto inline$_v2.encode_ie$0$label_16_true#2, inline$_v2.encode_ie$0$label_16_false#2;
-
- inline$_v2.encode_ie$0$label_16_false#2:
- assume !_v2.INT_LT(2, inline$_v2.encode_ie$0$bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie$0$bufsize);
- goto inline$_v2.encode_ie$0$label_15#2;
-
- inline$_v2.encode_ie$0$label_15#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- goto inline$_v2.encode_ie$0$label_15_true#2, inline$_v2.encode_ie$0$label_15_false#2;
-
- inline$_v2.encode_ie$0$label_15_false#2:
- assume !_v2.INT_EQ(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
- assume _v2.value_is(_v2.__ctobpl_const_18, inline$_v2.encode_ie$0$i);
- assume _v2.value_is(_v2.__ctobpl_const_19, inline$_v2.encode_ie$0$ielen);
- goto inline$_v2.encode_ie$0$label_22#2;
-
- inline$_v2.encode_ie$0$label_22#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- inline$_v2.encode_ie$0$$result.question.3.$$static$ := 0;
- assume _v2.value_is(_v2.__ctobpl_const_28, inline$_v2.encode_ie$0$$result.question.3.$$static$);
- goto inline$_v2.encode_ie$0$label_24#2;
-
- inline$_v2.encode_ie$0$label_15_true#2:
- assume _v2.INT_EQ(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
- assume _v2.value_is(_v2.__ctobpl_const_18, inline$_v2.encode_ie$0$i);
- assume _v2.value_is(_v2.__ctobpl_const_19, inline$_v2.encode_ie$0$ielen);
- goto inline$_v2.encode_ie$0$label_23#2;
-
- inline$_v2.encode_ie$0$label_23#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- havoc inline$_v2.encode_ie$0$$result.question.3.$$static$;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$buf, 1, inline$_v2.encode_ie$0$$result.question.3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_29, inline$_v2.encode_ie$0$$result.question.3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_30, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_31, inline$_v2.encode_ie$0$buf);
- goto inline$_v2.encode_ie$0$label_24#2;
-
- inline$_v2.encode_ie$0$label_24#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
- inline$_v2.encode_ie$0$result.encode_ie$1 := inline$_v2.encode_ie$0$$result.question.3.$$static$;
- assume _v2.value_is(_v2.__ctobpl_const_32, inline$_v2.encode_ie$0$$result.question.3.$$static$);
- goto inline$_v2.encode_ie$0$label_1#2;
-
- inline$_v2.encode_ie$0$label_16_true#2:
- assume _v2.INT_LT(2, inline$_v2.encode_ie$0$bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie$0$bufsize);
- goto inline$_v2.encode_ie$0$label_17#2;
-
- inline$_v2.encode_ie$0$label_17#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(inline$_v2.encode_ie$0$p, 0);
- _v2.OK := _v2.OK && _v2.Res_VALID_REGION(inline$_v2.encode_ie$0$p) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[inline$_v2.encode_ie$0$p := 120];
- assume _v2.value_is(_v2.__ctobpl_const_21, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[inline$_v2.encode_ie$0$p]);
- goto inline$_v2.encode_ie$0$label_18#2;
-
- inline$_v2.encode_ie$0$label_18#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1), 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1)) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1) := 120];
- assume _v2.value_is(_v2.__ctobpl_const_23, inline$_v2.encode_ie$0$p);
- assume _v2.value_is(_v2.__ctobpl_const_24,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1)]);
- goto inline$_v2.encode_ie$0$label_19#2;
-
- inline$_v2.encode_ie$0$label_19#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
- inline$_v2.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 2);
- inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_25, inline$_v2.encode_ie$0$p);
- goto inline$_v2.encode_ie$0$label_20#2;
-
- inline$_v2.encode_ie$0$label_20#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
- havoc inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$bufsize, 2, 1, inline$_v2.encode_ie$0$tempBoogie0);
- inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_26, inline$_v2.encode_ie$0$bufsize);
- goto inline$_v2.encode_ie$0$label_21#2;
-
- inline$_v2.encode_ie$0$label_21#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- assume _v2.value_is(_v2.__ctobpl_const_27, inline$_v2.encode_ie$0$i);
- inline$_v2.encode_ie$0$i := _v2.INT_PLUS(inline$_v2.encode_ie$0$i, 1, 1);
- goto inline$_v2.encode_ie$0$label_21_dummy#2;
-
- inline$_v2.encode_ie$0$label_21_dummy#2:
- assume false;
- goto inline$_v2.encode_ie$0$Return;
-
- inline$_v2.encode_ie$0$label_5_true#2:
- assume _v2.INT_LT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len);
- assume _v2.value_is(_v2.__ctobpl_const_1, inline$_v2.encode_ie$0$bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_2, inline$_v2.encode_ie$0$leader_len);
- goto inline$_v2.encode_ie$0$label_7#2;
-
- inline$_v2.encode_ie$0$label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 14} true;
- inline$_v2.encode_ie$0$result.encode_ie$1 := 0;
- goto inline$_v2.encode_ie$0$label_1#2;
-
- inline$_v2.encode_ie$0$label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 40} true;
- goto inline$_v2.encode_ie$0$Return;
-
- inline$_v2.encode_ie$0$Return:
- assume true;
- _v2.result.encode_ie$1 := inline$_v2.encode_ie$0$result.encode_ie$1;
- goto START$2;
-
- START$2:
- goto MS_L_0_1;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.memcpy_1_done && _v2.memcpy_3_done;
- store__0__v1.OK, store__0__v1.Mem_T.UCHAR := _v1.OK, _v1.Mem_T.UCHAR;
- store__0__v2.OK, store__0__v2.Mem_T.UCHAR := _v2.OK, _v2.Mem_T.UCHAR;
- _v1.OK, _v1.Mem_T.UCHAR := _v1.memcpy_in_1_3, _v1.memcpy_in_1_4;
- _v2.OK, _v2.Mem_T.UCHAR := _v2.memcpy_in_3_3, _v2.memcpy_in_3_4;
- call out__v1.memcpy_out_1_0_0, out__v2.memcpy_out_3_0_0 := MS_Check__v1.memcpy___v2.memcpy(_v1.memcpy_in_1_0, _v1.memcpy_in_1_1, _v1.memcpy_in_1_2, _v2.memcpy_in_3_0, _v2.memcpy_in_3_1, _v2.memcpy_in_3_2);
- assume true;
- assume true;
- assume _v1.memcpy_out_1_0 == out__v1.memcpy_out_1_0_0
- && _v2.memcpy_out_3_0 == out__v2.memcpy_out_3_0_0;
- _v1.OK, _v1.Mem_T.UCHAR := store__0__v1.OK, store__0__v1.Mem_T.UCHAR;
- _v2.OK, _v2.Mem_T.UCHAR := store__0__v2.OK, store__0__v2.Mem_T.UCHAR;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.memcpy_1_done && _v2.memcpy_3_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-
- MS_L_0_1:
- goto MS_L_taken_1, MS_L_not_taken_1;
-
- MS_L_taken_1:
- assume _v1.encode_ie_loop_label_14_head_2_done
- && _v2.encode_ie_loop_label_14_head_4_done;
- store__1__v1.OK, store__1__v1.Mem_T.UCHAR := _v1.OK, _v1.Mem_T.UCHAR;
- store__1__v2.OK, store__1__v2.Mem_T.UCHAR := _v2.OK, _v2.Mem_T.UCHAR;
- _v1.OK, _v1.Mem_T.UCHAR := _v1.encode_ie_loop_label_14_head_in_2_5, _v1.encode_ie_loop_label_14_head_in_2_6;
- _v2.OK, _v2.Mem_T.UCHAR := _v2.encode_ie_loop_label_14_head_in_4_5, _v2.encode_ie_loop_label_14_head_in_4_6;
- call out__v1.encode_ie_loop_label_14_head_out_2_0_1, out__v1.encode_ie_loop_label_14_head_out_2_1_1, out__v1.encode_ie_loop_label_14_head_out_2_2_1, out__v2.encode_ie_loop_label_14_head_out_4_0_1, out__v2.encode_ie_loop_label_14_head_out_4_1_1, out__v2.encode_ie_loop_label_14_head_out_4_2_1, out__v2.encode_ie_loop_label_14_head_out_4_3_1 := MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.encode_ie_loop_label_14_head_in_2_0, _v1.encode_ie_loop_label_14_head_in_2_1, _v1.encode_ie_loop_label_14_head_in_2_2, _v1.encode_ie_loop_label_14_head_in_2_3, _v1.encode_ie_loop_label_14_head_in_2_4, _v2.encode_ie_loop_label_14_head_in_4_0, _v2.encode_ie_loop_label_14_head_in_4_1, _v2.encode_ie_loop_label_14_head_in_4_2, _v2.encode_ie_loop_label_14_head_in_4_3, _v2.encode_ie_loop_label_14_head_in_4_4);
- assume _v1.Mem_T.UCHAR == _v1.encode_ie_loop_label_14_head_out_2_3
- && (_v1.OK <==> _v1.encode_ie_loop_label_14_head_out_2_4);
- assume _v2.Mem_T.UCHAR == _v2.encode_ie_loop_label_14_head_out_4_4
- && (_v2.OK <==> _v2.encode_ie_loop_label_14_head_out_4_5);
- assume _v1.encode_ie_loop_label_14_head_out_2_0
- == out__v1.encode_ie_loop_label_14_head_out_2_0_1
- && _v1.encode_ie_loop_label_14_head_out_2_1
- == out__v1.encode_ie_loop_label_14_head_out_2_1_1
- && _v1.encode_ie_loop_label_14_head_out_2_2
- == out__v1.encode_ie_loop_label_14_head_out_2_2_1
- && _v2.encode_ie_loop_label_14_head_out_4_0
- == out__v2.encode_ie_loop_label_14_head_out_4_0_1
- && _v2.encode_ie_loop_label_14_head_out_4_1
- == out__v2.encode_ie_loop_label_14_head_out_4_1_1
- && _v2.encode_ie_loop_label_14_head_out_4_2
- == out__v2.encode_ie_loop_label_14_head_out_4_2_1
- && _v2.encode_ie_loop_label_14_head_out_4_3
- == out__v2.encode_ie_loop_label_14_head_out_4_3_1;
- _v1.OK, _v1.Mem_T.UCHAR := store__1__v1.OK, store__1__v1.Mem_T.UCHAR;
- _v2.OK, _v2.Mem_T.UCHAR := store__1__v2.OK, store__1__v2.Mem_T.UCHAR;
- goto MS_L_meet_1;
-
- MS_L_not_taken_1:
- assume !(_v1.encode_ie_loop_label_14_head_2_done
- && _v2.encode_ie_loop_label_14_head_4_done);
- goto MS_L_meet_1;
-
- MS_L_meet_1:
- goto MS_L_0_0;
-}
-
-
-
-function {:inline true} MS$_v1.encode_ie_loop_label_14_head$_v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
- _v1.in_i: int,
- _v1.in_ielen: int,
- _v1.in_p: int,
- _v1.in_tempBoogie0: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.Mem_T.UCHAR_: [int]int,
- _v1.OK_: bool,
- _v1.out_i: int,
- _v1.out_p: int,
- _v1.out_tempBoogie0: int,
- _v2.in_bufsize: int,
- _v2.in_i: int,
- _v2.in_ielen: int,
- _v2.in_p: int,
- _v2.in_tempBoogie0: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.Mem_T.UCHAR_: [int]int,
- _v2.OK_: bool,
- _v2.out_bufsize: int,
- _v2.out_i: int,
- _v2.out_p: int,
- _v2.out_tempBoogie0: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_115: bool;
-
-const {:existential true} _houdini_116: bool;
-
-const {:existential true} _houdini_117: bool;
-
-const {:existential true} _houdini_118: bool;
-
-const {:existential true} _houdini_119: bool;
-
-const {:existential true} _houdini_120: bool;
-
-const {:existential true} _houdini_121: bool;
-
-const {:existential true} _houdini_122: bool;
-
-const {:existential true} _houdini_123: bool;
-
-const {:existential true} _houdini_124: bool;
-
-const {:existential true} _houdini_125: bool;
-
-const {:existential true} _houdini_126: bool;
-
-const {:existential true} _houdini_127: bool;
-
-const {:existential true} _houdini_128: bool;
-
-const {:existential true} _houdini_129: bool;
-
-const {:existential true} _houdini_130: bool;
-
-const {:existential true} _houdini_131: bool;
-
-const {:existential true} _houdini_132: bool;
-
-const {:existential true} _houdini_133: bool;
-
-const {:existential true} _houdini_134: bool;
-
-const {:existential true} _houdini_135: bool;
-
-const {:existential true} _houdini_136: bool;
-
-const {:existential true} _houdini_137: bool;
-
-const {:existential true} _houdini_138: bool;
-
-const {:existential true} _houdini_139: bool;
-
-const {:existential true} _houdini_140: bool;
-
-const {:existential true} _houdini_141: bool;
-
-const {:existential true} _houdini_142: bool;
-
-const {:existential true} _houdini_143: bool;
-
-const {:existential true} _houdini_144: bool;
-
-const {:existential true} _houdini_145: bool;
-
-const {:existential true} _houdini_146: bool;
-
-const {:existential true} _houdini_147: bool;
-
-const {:existential true} _houdini_148: bool;
-
-const {:existential true} _houdini_149: bool;
-
-const {:existential true} _houdini_150: bool;
-
-const {:existential true} _houdini_151: bool;
-
-const {:existential true} _houdini_152: bool;
-
-const {:existential true} _houdini_153: bool;
-
-const {:existential true} _houdini_154: bool;
-
-const {:existential true} _houdini_155: bool;
-
-procedure MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
- _v1.in_i: int,
- _v1.in_ielen: int,
- _v1.in_p: int,
- _v1.in_tempBoogie0: int,
- _v2.in_bufsize: int,
- _v2.in_i: int,
- _v2.in_ielen: int,
- _v2.in_p: int,
- _v2.in_tempBoogie0: int)
- returns (_v1.out_i: int,
- _v1.out_p: int,
- _v1.out_tempBoogie0: int,
- _v2.out_bufsize: int,
- _v2.out_i: int,
- _v2.out_p: int,
- _v2.out_tempBoogie0: int);
- requires _houdini_124 ==> _v1.in_bufsize <= _v2.in_bufsize;
- requires _houdini_125 ==> _v2.in_bufsize <= _v1.in_bufsize;
- requires _houdini_126 ==> _v1.in_i <= _v2.in_i;
- requires _houdini_127 ==> _v2.in_i <= _v1.in_i;
- requires _houdini_128 ==> _v1.in_ielen <= _v2.in_ielen;
- requires _houdini_129 ==> _v2.in_ielen <= _v1.in_ielen;
- requires _houdini_130 ==> _v1.in_p <= _v2.in_p;
- requires _houdini_131 ==> _v2.in_p <= _v1.in_p;
- requires _houdini_132 ==> _v1.in_tempBoogie0 <= _v2.in_tempBoogie0;
- requires _houdini_133 ==> _v2.in_tempBoogie0 <= _v1.in_tempBoogie0;
- requires _houdini_134 ==> _v1.OK ==> _v2.OK;
- requires _houdini_135 ==> _v2.OK ==> _v1.OK;
- requires _houdini_136 ==> _v1.Mem == _v2.Mem;
- requires _houdini_137 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_138 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_139 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_140 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_141 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_142 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_143 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_144 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_145 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_146 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_147
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_148 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_149 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_150
- ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_151
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_152 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_153 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_154 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_155 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.Mem_T.UCHAR, _v1.OK, _v2.Mem_T.UCHAR, _v2.OK;
- ensures MS$_v1.encode_ie_loop_label_14_head$_v2.encode_ie_loop_label_14_head(_v1.in_bufsize,
- _v1.in_i,
- _v1.in_ielen,
- _v1.in_p,
- _v1.in_tempBoogie0,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.Mem_T.UCHAR,
- _v1.OK,
- _v1.out_i,
- _v1.out_p,
- _v1.out_tempBoogie0,
- _v2.in_bufsize,
- _v2.in_i,
- _v2.in_ielen,
- _v2.in_p,
- _v2.in_tempBoogie0,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.Mem_T.UCHAR,
- _v2.OK,
- _v2.out_bufsize,
- _v2.out_i,
- _v2.out_p,
- _v2.out_tempBoogie0);
- ensures _houdini_115 ==> _v1.out_i <= _v2.out_i;
- ensures _houdini_116 ==> _v2.out_i <= _v1.out_i;
- ensures _houdini_117 ==> _v1.out_p <= _v2.out_p;
- ensures _houdini_118 ==> _v2.out_p <= _v1.out_p;
- ensures _houdini_119 ==> _v1.out_tempBoogie0 <= _v2.out_tempBoogie0;
- ensures _houdini_120 ==> _v2.out_tempBoogie0 <= _v1.out_tempBoogie0;
- ensures _houdini_121 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- ensures _houdini_122 ==> _v1.OK ==> _v2.OK;
- ensures _houdini_123 ==> _v2.OK ==> _v1.OK;
-
-
-
-implementation MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
- _v1.in_i: int,
- _v1.in_ielen: int,
- _v1.in_p: int,
- _v1.in_tempBoogie0: int,
- _v2.in_bufsize: int,
- _v2.in_i: int,
- _v2.in_ielen: int,
- _v2.in_p: int,
- _v2.in_tempBoogie0: int)
- returns (_v1.out_i: int,
- _v1.out_p: int,
- _v1.out_tempBoogie0: int,
- _v2.out_bufsize: int,
- _v2.out_i: int,
- _v2.out_p: int,
- _v2.out_tempBoogie0: int)
-{
- var inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$in_i: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$in_ielen: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$in_p: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$out_i: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$out_p: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0: int;
- var inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR: [int]int;
- var inline$_v1.encode_ie_loop_label_14_head$0$_v1.OK: bool;
- var inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$in_i: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$in_ielen: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$in_p: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$out_i: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$out_p: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0: int;
- var inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR: [int]int;
- var inline$_v2.encode_ie_loop_label_14_head$0$_v2.OK: bool;
- var _v1.encode_ie_loop_label_14_head_1_done: bool;
- var _v1.encode_ie_loop_label_14_head_in_1_0: int;
- var _v1.encode_ie_loop_label_14_head_in_1_1: int;
- var _v1.encode_ie_loop_label_14_head_in_1_2: int;
- var _v1.encode_ie_loop_label_14_head_in_1_3: int;
- var _v1.encode_ie_loop_label_14_head_in_1_4: int;
- var _v1.encode_ie_loop_label_14_head_in_1_5: [int]int;
- var _v1.encode_ie_loop_label_14_head_in_1_6: bool;
- var _v1.encode_ie_loop_label_14_head_out_1_0: int;
- var _v1.encode_ie_loop_label_14_head_out_1_1: int;
- var _v1.encode_ie_loop_label_14_head_out_1_2: int;
- var _v1.encode_ie_loop_label_14_head_out_1_3: [int]int;
- var _v1.encode_ie_loop_label_14_head_out_1_4: bool;
- var _v2.encode_ie_loop_label_14_head_2_done: bool;
- var _v2.encode_ie_loop_label_14_head_in_2_0: int;
- var _v2.encode_ie_loop_label_14_head_in_2_1: int;
- var _v2.encode_ie_loop_label_14_head_in_2_2: int;
- var _v2.encode_ie_loop_label_14_head_in_2_3: int;
- var _v2.encode_ie_loop_label_14_head_in_2_4: int;
- var _v2.encode_ie_loop_label_14_head_in_2_5: [int]int;
- var _v2.encode_ie_loop_label_14_head_in_2_6: bool;
- var _v2.encode_ie_loop_label_14_head_out_2_0: int;
- var _v2.encode_ie_loop_label_14_head_out_2_1: int;
- var _v2.encode_ie_loop_label_14_head_out_2_2: int;
- var _v2.encode_ie_loop_label_14_head_out_2_3: int;
- var _v2.encode_ie_loop_label_14_head_out_2_4: [int]int;
- var _v2.encode_ie_loop_label_14_head_out_2_5: bool;
- var store__0__v1.Mem_T.UCHAR: [int]int;
- var store__0__v1.OK: bool;
- var store__0__v2.Mem_T.UCHAR: [int]int;
- var store__0__v2.OK: bool;
- var out__v1.encode_ie_loop_label_14_head_out_1_0_0: int;
- var out__v1.encode_ie_loop_label_14_head_out_1_1_0: int;
- var out__v1.encode_ie_loop_label_14_head_out_1_2_0: int;
- var out__v2.encode_ie_loop_label_14_head_out_2_0_0: int;
- var out__v2.encode_ie_loop_label_14_head_out_2_1_0: int;
- var out__v2.encode_ie_loop_label_14_head_out_2_2_0: int;
- var out__v2.encode_ie_loop_label_14_head_out_2_3_0: int;
-
- START:
- _v1.encode_ie_loop_label_14_head_1_done, _v2.encode_ie_loop_label_14_head_2_done := false, false;
- goto inline$_v1.encode_ie_loop_label_14_head$0$Entry;
-
- inline$_v1.encode_ie_loop_label_14_head$0$Entry:
- inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize := _v1.in_bufsize;
- inline$_v1.encode_ie_loop_label_14_head$0$in_i := _v1.in_i;
- inline$_v1.encode_ie_loop_label_14_head$0$in_ielen := _v1.in_ielen;
- inline$_v1.encode_ie_loop_label_14_head$0$in_p := _v1.in_p;
- inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0 := _v1.in_tempBoogie0;
- havoc inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
- inline$_v1.encode_ie_loop_label_14_head$0$_v1.OK := _v1.OK;
- goto inline$_v1.encode_ie_loop_label_14_head$0$entry#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$entry#2:
- inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_14_head#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_14_head#2:
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_14_true#2, inline$_v1.encode_ie_loop_label_14_head$0$label_14_false#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_14_false#2:
- assume !_v2.INT_LT(inline$_v1.encode_ie_loop_label_14_head$0$out_i,
- inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
- assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
- inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- _v1.Mem_T.UCHAR := inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR;
- goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_14_true#2:
- assume _v2.INT_LT(inline$_v1.encode_ie_loop_label_14_head$0$out_i,
- inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
- assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
- assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_16#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_16#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_16_true#2, inline$_v1.encode_ie_loop_label_14_head$0$label_16_false#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_16_false#2:
- assume !_v2.INT_LT(2, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
- inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- _v1.Mem_T.UCHAR := inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR;
- goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_16_true#2:
- assume _v2.INT_LT(2, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
- assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_17#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_17#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(inline$_v1.encode_ie_loop_label_14_head$0$out_p) == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[inline$_v1.encode_ie_loop_label_14_head$0$out_p := 120];
- assume _v2.value_is(_v1.__ctobpl_const_21, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
- assume _v2.value_is(_v1.__ctobpl_const_22,
- _v1.Mem_T.UCHAR[inline$_v1.encode_ie_loop_label_14_head$0$out_p]);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_18#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_18#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1), 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1))
- == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1) := 120];
- assume _v2.value_is(_v1.__ctobpl_const_23, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
- assume _v2.value_is(_v1.__ctobpl_const_24,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1)]);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_19#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_19#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
- inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 2);
- inline$_v1.encode_ie_loop_label_14_head$0$out_p := inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- assume _v2.value_is(_v1.__ctobpl_const_25, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_20#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_20#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
- assume _v2.value_is(_v1.__ctobpl_const_26, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
- inline$_v1.encode_ie_loop_label_14_head$0$out_i := _v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_i, 1, 1);
- goto inline$_v1.encode_ie_loop_label_14_head$0$label_20_dummy#2;
-
- inline$_v1.encode_ie_loop_label_14_head$0$label_20_dummy#2:
- _v1.encode_ie_loop_label_14_head_in_1_0, _v1.encode_ie_loop_label_14_head_in_1_1, _v1.encode_ie_loop_label_14_head_in_1_2, _v1.encode_ie_loop_label_14_head_in_1_3, _v1.encode_ie_loop_label_14_head_in_1_4, _v1.encode_ie_loop_label_14_head_in_1_5, _v1.encode_ie_loop_label_14_head_in_1_6 := inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
- call inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v1.encode_ie_loop_label_14_head(inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0);
- _v1.encode_ie_loop_label_14_head_1_done := true;
- _v1.encode_ie_loop_label_14_head_out_1_0, _v1.encode_ie_loop_label_14_head_out_1_1, _v1.encode_ie_loop_label_14_head_out_1_2, _v1.encode_ie_loop_label_14_head_out_1_3, _v1.encode_ie_loop_label_14_head_out_1_4 := inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
- goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v1.encode_ie_loop_label_14_head$0$Return:
- assume true;
- _v1.out_i := inline$_v1.encode_ie_loop_label_14_head$0$out_i;
- _v1.out_p := inline$_v1.encode_ie_loop_label_14_head$0$out_p;
- _v1.out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- goto START$1;
-
- START$1:
- goto inline$_v2.encode_ie_loop_label_14_head$0$Entry;
-
- inline$_v2.encode_ie_loop_label_14_head$0$Entry:
- inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize := _v2.in_bufsize;
- inline$_v2.encode_ie_loop_label_14_head$0$in_i := _v2.in_i;
- inline$_v2.encode_ie_loop_label_14_head$0$in_ielen := _v2.in_ielen;
- inline$_v2.encode_ie_loop_label_14_head$0$in_p := _v2.in_p;
- inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0 := _v2.in_tempBoogie0;
- havoc inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
- inline$_v2.encode_ie_loop_label_14_head$0$_v2.OK := _v2.OK;
- goto inline$_v2.encode_ie_loop_label_14_head$0$entry#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$entry#2:
- inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_14_head#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_14_head#2:
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_14_true#2, inline$_v2.encode_ie_loop_label_14_head$0$label_14_false#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_14_false#2:
- assume !_v2.INT_LT(inline$_v2.encode_ie_loop_label_14_head$0$out_i,
- inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
- assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
- inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- _v2.Mem_T.UCHAR := inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR;
- goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_14_true#2:
- assume _v2.INT_LT(inline$_v2.encode_ie_loop_label_14_head$0$out_i,
- inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
- assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
- assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_16#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_16#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_16_true#2, inline$_v2.encode_ie_loop_label_14_head$0$label_16_false#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_16_false#2:
- assume !_v2.INT_LT(2, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
- inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
- _v2.Mem_T.UCHAR := inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR;
- goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_16_true#2:
- assume _v2.INT_LT(2, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
- assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_17#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_17#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
- assume _v2.INT_GEQ(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(inline$_v2.encode_ie_loop_label_14_head$0$out_p) == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[inline$_v2.encode_ie_loop_label_14_head$0$out_p := 120];
- assume _v2.value_is(_v2.__ctobpl_const_21, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
- assume _v2.value_is(_v2.__ctobpl_const_22,
- _v2.Mem_T.UCHAR[inline$_v2.encode_ie_loop_label_14_head$0$out_p]);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_18#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_18#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
- assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1), 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1))
- == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1) := 120];
- assume _v2.value_is(_v2.__ctobpl_const_23, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
- assume _v2.value_is(_v2.__ctobpl_const_24,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1)]);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_19#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_19#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
- inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 2);
- inline$_v2.encode_ie_loop_label_14_head$0$out_p := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_25, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_20#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_20#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
- havoc inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, 2, 1, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0);
- inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- assume _v2.value_is(_v2.__ctobpl_const_26, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_21#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_21#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
- assume _v2.value_is(_v2.__ctobpl_const_27, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
- inline$_v2.encode_ie_loop_label_14_head$0$out_i := _v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_i, 1, 1);
- goto inline$_v2.encode_ie_loop_label_14_head$0$label_21_dummy#2;
-
- inline$_v2.encode_ie_loop_label_14_head$0$label_21_dummy#2:
- _v2.encode_ie_loop_label_14_head_in_2_0, _v2.encode_ie_loop_label_14_head_in_2_1, _v2.encode_ie_loop_label_14_head_in_2_2, _v2.encode_ie_loop_label_14_head_in_2_3, _v2.encode_ie_loop_label_14_head_in_2_4, _v2.encode_ie_loop_label_14_head_in_2_5, _v2.encode_ie_loop_label_14_head_in_2_6 := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
- call inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.encode_ie_loop_label_14_head(inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0);
- _v2.encode_ie_loop_label_14_head_2_done := true;
- _v2.encode_ie_loop_label_14_head_out_2_0, _v2.encode_ie_loop_label_14_head_out_2_1, _v2.encode_ie_loop_label_14_head_out_2_2, _v2.encode_ie_loop_label_14_head_out_2_3, _v2.encode_ie_loop_label_14_head_out_2_4, _v2.encode_ie_loop_label_14_head_out_2_5 := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
- goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
-
- inline$_v2.encode_ie_loop_label_14_head$0$Return:
- assume true;
- _v2.out_bufsize := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize;
- _v2.out_i := inline$_v2.encode_ie_loop_label_14_head$0$out_i;
- _v2.out_p := inline$_v2.encode_ie_loop_label_14_head$0$out_p;
- _v2.out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
- goto START$2;
-
- START$2:
- goto MS_L_0_0;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.encode_ie_loop_label_14_head_1_done
- && _v2.encode_ie_loop_label_14_head_2_done;
- store__0__v1.Mem_T.UCHAR, store__0__v1.OK := _v1.Mem_T.UCHAR, _v1.OK;
- store__0__v2.Mem_T.UCHAR, store__0__v2.OK := _v2.Mem_T.UCHAR, _v2.OK;
- _v1.Mem_T.UCHAR, _v1.OK := _v1.encode_ie_loop_label_14_head_in_1_5, _v1.encode_ie_loop_label_14_head_in_1_6;
- _v2.Mem_T.UCHAR, _v2.OK := _v2.encode_ie_loop_label_14_head_in_2_5, _v2.encode_ie_loop_label_14_head_in_2_6;
- call out__v1.encode_ie_loop_label_14_head_out_1_0_0, out__v1.encode_ie_loop_label_14_head_out_1_1_0, out__v1.encode_ie_loop_label_14_head_out_1_2_0, out__v2.encode_ie_loop_label_14_head_out_2_0_0, out__v2.encode_ie_loop_label_14_head_out_2_1_0, out__v2.encode_ie_loop_label_14_head_out_2_2_0, out__v2.encode_ie_loop_label_14_head_out_2_3_0 := MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.encode_ie_loop_label_14_head_in_1_0, _v1.encode_ie_loop_label_14_head_in_1_1, _v1.encode_ie_loop_label_14_head_in_1_2, _v1.encode_ie_loop_label_14_head_in_1_3, _v1.encode_ie_loop_label_14_head_in_1_4, _v2.encode_ie_loop_label_14_head_in_2_0, _v2.encode_ie_loop_label_14_head_in_2_1, _v2.encode_ie_loop_label_14_head_in_2_2, _v2.encode_ie_loop_label_14_head_in_2_3, _v2.encode_ie_loop_label_14_head_in_2_4);
- assume _v1.Mem_T.UCHAR == _v1.encode_ie_loop_label_14_head_out_1_3
- && (_v1.OK <==> _v1.encode_ie_loop_label_14_head_out_1_4);
- assume _v2.Mem_T.UCHAR == _v2.encode_ie_loop_label_14_head_out_2_4
- && (_v2.OK <==> _v2.encode_ie_loop_label_14_head_out_2_5);
- assume _v1.encode_ie_loop_label_14_head_out_1_0
- == out__v1.encode_ie_loop_label_14_head_out_1_0_0
- && _v1.encode_ie_loop_label_14_head_out_1_1
- == out__v1.encode_ie_loop_label_14_head_out_1_1_0
- && _v1.encode_ie_loop_label_14_head_out_1_2
- == out__v1.encode_ie_loop_label_14_head_out_1_2_0
- && _v2.encode_ie_loop_label_14_head_out_2_0
- == out__v2.encode_ie_loop_label_14_head_out_2_0_0
- && _v2.encode_ie_loop_label_14_head_out_2_1
- == out__v2.encode_ie_loop_label_14_head_out_2_1_0
- && _v2.encode_ie_loop_label_14_head_out_2_2
- == out__v2.encode_ie_loop_label_14_head_out_2_2_0
- && _v2.encode_ie_loop_label_14_head_out_2_3
- == out__v2.encode_ie_loop_label_14_head_out_2_3_0;
- _v1.Mem_T.UCHAR, _v1.OK := store__0__v1.Mem_T.UCHAR, store__0__v1.OK;
- _v2.Mem_T.UCHAR, _v2.OK := store__0__v2.Mem_T.UCHAR, store__0__v2.OK;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.encode_ie_loop_label_14_head_1_done
- && _v2.encode_ie_loop_label_14_head_2_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-}
-
-
-
-function {:inline true} MS$_v1.giwscan_cb$_v2.giwscan_cb(_v1.se_.1: int,
- _v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.alloc_: int,
- _v1.OK_: bool,
- _v1.Mem_T.UCHAR_: [int]int,
- _v1.result.giwscan_cb$1: int,
- _v2.se_.1: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.alloc_: int,
- _v2.OK_: bool,
- _v2.Mem_T.UCHAR_: [int]int,
- _v2.result.giwscan_cb$1: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_156: bool;
-
-const {:existential true} _houdini_157: bool;
-
-const {:existential true} _houdini_158: bool;
-
-const {:existential true} _houdini_159: bool;
-
-const {:existential true} _houdini_160: bool;
-
-const {:existential true} _houdini_161: bool;
-
-const {:existential true} _houdini_162: bool;
-
-const {:existential true} _houdini_163: bool;
-
-const {:existential true} _houdini_164: bool;
-
-const {:existential true} _houdini_165: bool;
-
-const {:existential true} _houdini_166: bool;
-
-const {:existential true} _houdini_167: bool;
-
-const {:existential true} _houdini_168: bool;
-
-const {:existential true} _houdini_169: bool;
-
-const {:existential true} _houdini_170: bool;
-
-const {:existential true} _houdini_171: bool;
-
-const {:existential true} _houdini_172: bool;
-
-const {:existential true} _houdini_173: bool;
-
-const {:existential true} _houdini_174: bool;
-
-const {:existential true} _houdini_175: bool;
-
-const {:existential true} _houdini_176: bool;
-
-const {:existential true} _houdini_177: bool;
-
-const {:existential true} _houdini_178: bool;
-
-const {:existential true} _houdini_179: bool;
-
-const {:existential true} _houdini_180: bool;
-
-const {:existential true} _houdini_181: bool;
-
-const {:existential true} _houdini_182: bool;
-
-const {:existential true} _houdini_183: bool;
-
-const {:existential true} _houdini_184: bool;
-
-const {:existential true} _houdini_185: bool;
-
-const {:existential true} _houdini_186: bool;
-
-procedure MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.se_.1: int, _v2.se_.1: int)
- returns (_v1.result.giwscan_cb$1: int, _v2.result.giwscan_cb$1: int);
- requires _houdini_163 ==> _v1.se_.1 <= _v2.se_.1;
- requires _houdini_164 ==> _v2.se_.1 <= _v1.se_.1;
- requires _houdini_165 ==> _v1.OK ==> _v2.OK;
- requires _houdini_166 ==> _v2.OK ==> _v1.OK;
- requires _houdini_167 ==> _v1.Mem == _v2.Mem;
- requires _houdini_168 ==> _v1.alloc <= _v2.alloc;
- requires _houdini_169 ==> _v2.alloc <= _v1.alloc;
- requires _houdini_170 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
- requires _houdini_171 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
- requires _houdini_172 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
- requires _houdini_173 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
- requires _houdini_174 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
- requires _houdini_175 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
- requires _houdini_176 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
- requires _houdini_177 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
- requires _houdini_178
- ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
- requires _houdini_179 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
- requires _houdini_180 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
- requires _houdini_181
- ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
- requires _houdini_182
- ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- requires _houdini_183 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
- requires _houdini_184 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
- requires _houdini_185 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
- requires _houdini_186 ==> _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- ensures MS$_v1.giwscan_cb$_v2.giwscan_cb(_v1.se_.1,
- old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.alloc,
- _v1.OK,
- _v1.Mem_T.UCHAR,
- _v1.result.giwscan_cb$1,
- _v2.se_.1,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.alloc,
- _v2.OK,
- _v2.Mem_T.UCHAR,
- _v2.result.giwscan_cb$1);
- ensures _houdini_156 ==> _v1.result.giwscan_cb$1 <= _v2.result.giwscan_cb$1;
- ensures _houdini_157 ==> _v2.result.giwscan_cb$1 <= _v1.result.giwscan_cb$1;
- ensures _houdini_158 ==> _v1.alloc <= _v2.alloc;
- ensures _houdini_159 ==> _v2.alloc <= _v1.alloc;
- ensures _houdini_160 ==> _v1.OK ==> _v2.OK;
- ensures _houdini_161 ==> _v2.OK ==> _v1.OK;
- ensures _houdini_162 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
-
-
-
-implementation MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.se_.1: int, _v2.se_.1: int)
- returns (_v1.result.giwscan_cb$1: int, _v2.result.giwscan_cb$1: int)
-{
- var inline$_v1.giwscan_cb$0$havoc_stringTemp: int;
- var inline$_v1.giwscan_cb$0$condVal: int;
- var inline$_v1.giwscan_cb$0$buf: int;
- var inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$: int;
- var inline$_v1.giwscan_cb$0$result.encode_ie$2: int;
- var inline$_v1.giwscan_cb$0$rsn_leader: int;
- var inline$_v1.giwscan_cb$0$se: int;
- var inline$_v1.giwscan_cb$0$tempBoogie0: int;
- var inline$_v1.giwscan_cb$0$tempBoogie1: int;
- var inline$_v1.giwscan_cb$0$tempBoogie2: int;
- var inline$_v1.giwscan_cb$0$tempBoogie3: int;
- var inline$_v1.giwscan_cb$0$tempBoogie4: int;
- var inline$_v1.giwscan_cb$0$tempBoogie5: int;
- var inline$_v1.giwscan_cb$0$tempBoogie6: int;
- var inline$_v1.giwscan_cb$0$tempBoogie7: int;
- var inline$_v1.giwscan_cb$0$tempBoogie8: int;
- var inline$_v1.giwscan_cb$0$tempBoogie9: int;
- var inline$_v1.giwscan_cb$0$tempBoogie10: int;
- var inline$_v1.giwscan_cb$0$tempBoogie11: int;
- var inline$_v1.giwscan_cb$0$tempBoogie12: int;
- var inline$_v1.giwscan_cb$0$tempBoogie13: int;
- var inline$_v1.giwscan_cb$0$tempBoogie14: int;
- var inline$_v1.giwscan_cb$0$tempBoogie15: int;
- var inline$_v1.giwscan_cb$0$tempBoogie16: int;
- var inline$_v1.giwscan_cb$0$tempBoogie17: int;
- var inline$_v1.giwscan_cb$0$tempBoogie18: int;
- var inline$_v1.giwscan_cb$0$tempBoogie19: int;
- var inline$_v1.giwscan_cb$0$__havoc_dummy_return: int;
- var inline$_v1.giwscan_cb$0$se_.1: int;
- var inline$_v1.giwscan_cb$0$result.giwscan_cb$1: int;
- var inline$_v1.giwscan_cb$0$_v1.alloc: int;
- var inline$_v1.giwscan_cb$0$_v1.OK: bool;
- var inline$_v1.giwscan_cb$0$_v1.Mem_T.UCHAR: [int]int;
- var inline$_v2.giwscan_cb$0$havoc_stringTemp: int;
- var inline$_v2.giwscan_cb$0$condVal: int;
- var inline$_v2.giwscan_cb$0$buf: int;
- var inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$: int;
- var inline$_v2.giwscan_cb$0$result.encode_ie$2: int;
- var inline$_v2.giwscan_cb$0$rsn_leader: int;
- var inline$_v2.giwscan_cb$0$se: int;
- var inline$_v2.giwscan_cb$0$tempBoogie0: int;
- var inline$_v2.giwscan_cb$0$tempBoogie1: int;
- var inline$_v2.giwscan_cb$0$tempBoogie2: int;
- var inline$_v2.giwscan_cb$0$tempBoogie3: int;
- var inline$_v2.giwscan_cb$0$tempBoogie4: int;
- var inline$_v2.giwscan_cb$0$tempBoogie5: int;
- var inline$_v2.giwscan_cb$0$tempBoogie6: int;
- var inline$_v2.giwscan_cb$0$tempBoogie7: int;
- var inline$_v2.giwscan_cb$0$tempBoogie8: int;
- var inline$_v2.giwscan_cb$0$tempBoogie9: int;
- var inline$_v2.giwscan_cb$0$tempBoogie10: int;
- var inline$_v2.giwscan_cb$0$tempBoogie11: int;
- var inline$_v2.giwscan_cb$0$tempBoogie12: int;
- var inline$_v2.giwscan_cb$0$tempBoogie13: int;
- var inline$_v2.giwscan_cb$0$tempBoogie14: int;
- var inline$_v2.giwscan_cb$0$tempBoogie15: int;
- var inline$_v2.giwscan_cb$0$tempBoogie16: int;
- var inline$_v2.giwscan_cb$0$tempBoogie17: int;
- var inline$_v2.giwscan_cb$0$tempBoogie18: int;
- var inline$_v2.giwscan_cb$0$tempBoogie19: int;
- var inline$_v2.giwscan_cb$0$__havoc_dummy_return: int;
- var inline$_v2.giwscan_cb$0$se_.1: int;
- var inline$_v2.giwscan_cb$0$result.giwscan_cb$1: int;
- var inline$_v2.giwscan_cb$0$_v2.alloc: int;
- var inline$_v2.giwscan_cb$0$_v2.OK: bool;
- var inline$_v2.giwscan_cb$0$_v2.Mem_T.UCHAR: [int]int;
- var _v1.__HAVOC_det_malloc_1_done: bool;
- var _v1.__HAVOC_det_malloc_in_1_0: int;
- var _v1.__HAVOC_det_malloc_in_1_1: int;
- var _v1.__HAVOC_det_malloc_in_1_2: bool;
- var _v1.__HAVOC_det_malloc_in_1_3: [int]int;
- var _v1.__HAVOC_det_malloc_out_1_0: int;
- var _v1.__HAVOC_det_malloc_out_1_1: int;
- var _v1.__HAVOC_det_malloc_2_done: bool;
- var _v1.__HAVOC_det_malloc_in_2_0: int;
- var _v1.__HAVOC_det_malloc_in_2_1: int;
- var _v1.__HAVOC_det_malloc_in_2_2: bool;
- var _v1.__HAVOC_det_malloc_in_2_3: [int]int;
- var _v1.__HAVOC_det_malloc_out_2_0: int;
- var _v1.__HAVOC_det_malloc_out_2_1: int;
- var _v1.encode_ie_3_done: bool;
- var _v1.encode_ie_in_3_0: int;
- var _v1.encode_ie_in_3_1: int;
- var _v1.encode_ie_in_3_2: int;
- var _v1.encode_ie_in_3_3: int;
- var _v1.encode_ie_in_3_4: int;
- var _v1.encode_ie_in_3_5: int;
- var _v1.encode_ie_in_3_6: int;
- var _v1.encode_ie_in_3_7: bool;
- var _v1.encode_ie_in_3_8: [int]int;
- var _v1.encode_ie_out_3_0: int;
- var _v1.encode_ie_out_3_1: bool;
- var _v1.encode_ie_out_3_2: [int]int;
- var _v1.__HAVOC_free_4_done: bool;
- var _v1.__HAVOC_free_in_4_0: int;
- var _v1.__HAVOC_free_in_4_1: int;
- var _v1.__HAVOC_free_in_4_2: bool;
- var _v1.__HAVOC_free_in_4_3: [int]int;
- var _v1.__HAVOC_free_5_done: bool;
- var _v1.__HAVOC_free_in_5_0: int;
- var _v1.__HAVOC_free_in_5_1: int;
- var _v1.__HAVOC_free_in_5_2: bool;
- var _v1.__HAVOC_free_in_5_3: [int]int;
- var _v2.__HAVOC_det_malloc_6_done: bool;
- var _v2.__HAVOC_det_malloc_in_6_0: int;
- var _v2.__HAVOC_det_malloc_in_6_1: int;
- var _v2.__HAVOC_det_malloc_in_6_2: bool;
- var _v2.__HAVOC_det_malloc_in_6_3: [int]int;
- var _v2.__HAVOC_det_malloc_out_6_0: int;
- var _v2.__HAVOC_det_malloc_out_6_1: int;
- var _v2.__HAVOC_det_malloc_7_done: bool;
- var _v2.__HAVOC_det_malloc_in_7_0: int;
- var _v2.__HAVOC_det_malloc_in_7_1: int;
- var _v2.__HAVOC_det_malloc_in_7_2: bool;
- var _v2.__HAVOC_det_malloc_in_7_3: [int]int;
- var _v2.__HAVOC_det_malloc_out_7_0: int;
- var _v2.__HAVOC_det_malloc_out_7_1: int;
- var _v2.encode_ie_8_done: bool;
- var _v2.encode_ie_in_8_0: int;
- var _v2.encode_ie_in_8_1: int;
- var _v2.encode_ie_in_8_2: int;
- var _v2.encode_ie_in_8_3: int;
- var _v2.encode_ie_in_8_4: int;
- var _v2.encode_ie_in_8_5: int;
- var _v2.encode_ie_in_8_6: int;
- var _v2.encode_ie_in_8_7: bool;
- var _v2.encode_ie_in_8_8: [int]int;
- var _v2.encode_ie_out_8_0: int;
- var _v2.encode_ie_out_8_1: bool;
- var _v2.encode_ie_out_8_2: [int]int;
- var _v2.__HAVOC_free_9_done: bool;
- var _v2.__HAVOC_free_in_9_0: int;
- var _v2.__HAVOC_free_in_9_1: int;
- var _v2.__HAVOC_free_in_9_2: bool;
- var _v2.__HAVOC_free_in_9_3: [int]int;
- var _v2.__HAVOC_free_10_done: bool;
- var _v2.__HAVOC_free_in_10_0: int;
- var _v2.__HAVOC_free_in_10_1: int;
- var _v2.__HAVOC_free_in_10_2: bool;
- var _v2.__HAVOC_free_in_10_3: [int]int;
- var store__0__v1.alloc: int;
- var store__0__v1.OK: bool;
- var store__0__v1.Mem_T.UCHAR: [int]int;
- var store__0__v2.alloc: int;
- var store__0__v2.OK: bool;
- var store__0__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_1_0_0: int;
- var out__v2.__HAVOC_det_malloc_out_6_0_0: int;
- var store__1__v1.alloc: int;
- var store__1__v1.OK: bool;
- var store__1__v1.Mem_T.UCHAR: [int]int;
- var store__1__v2.alloc: int;
- var store__1__v2.OK: bool;
- var store__1__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_1_0_1: int;
- var out__v2.__HAVOC_det_malloc_out_7_0_1: int;
- var store__2__v1.alloc: int;
- var store__2__v1.OK: bool;
- var store__2__v1.Mem_T.UCHAR: [int]int;
- var store__2__v2.alloc: int;
- var store__2__v2.OK: bool;
- var store__2__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_2_0_2: int;
- var out__v2.__HAVOC_det_malloc_out_6_0_2: int;
- var store__3__v1.alloc: int;
- var store__3__v1.OK: bool;
- var store__3__v1.Mem_T.UCHAR: [int]int;
- var store__3__v2.alloc: int;
- var store__3__v2.OK: bool;
- var store__3__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_2_0_3: int;
- var out__v2.__HAVOC_det_malloc_out_7_0_3: int;
- var store__4__v1.alloc: int;
- var store__4__v1.OK: bool;
- var store__4__v1.Mem_T.UCHAR: [int]int;
- var store__4__v2.alloc: int;
- var store__4__v2.OK: bool;
- var store__4__v2.Mem_T.UCHAR: [int]int;
- var out__v1.encode_ie_out_3_0_4: int;
- var out__v2.encode_ie_out_8_0_4: int;
- var store__5__v1.alloc: int;
- var store__5__v1.OK: bool;
- var store__5__v1.Mem_T.UCHAR: [int]int;
- var store__5__v2.alloc: int;
- var store__5__v2.OK: bool;
- var store__5__v2.Mem_T.UCHAR: [int]int;
- var store__6__v1.alloc: int;
- var store__6__v1.OK: bool;
- var store__6__v1.Mem_T.UCHAR: [int]int;
- var store__6__v2.alloc: int;
- var store__6__v2.OK: bool;
- var store__6__v2.Mem_T.UCHAR: [int]int;
- var store__7__v1.alloc: int;
- var store__7__v1.OK: bool;
- var store__7__v1.Mem_T.UCHAR: [int]int;
- var store__7__v2.alloc: int;
- var store__7__v2.OK: bool;
- var store__7__v2.Mem_T.UCHAR: [int]int;
- var store__8__v1.alloc: int;
- var store__8__v1.OK: bool;
- var store__8__v1.Mem_T.UCHAR: [int]int;
- var store__8__v2.alloc: int;
- var store__8__v2.OK: bool;
- var store__8__v2.Mem_T.UCHAR: [int]int;
-
- START:
- _v1.__HAVOC_det_malloc_1_done, _v1.__HAVOC_det_malloc_2_done, _v1.encode_ie_3_done, _v1.__HAVOC_free_4_done, _v1.__HAVOC_free_5_done, _v2.__HAVOC_det_malloc_6_done, _v2.__HAVOC_det_malloc_7_done, _v2.encode_ie_8_done, _v2.__HAVOC_free_9_done, _v2.__HAVOC_free_10_done := false, false, false, false, false, false, false, false, false, false;
- goto inline$_v1.giwscan_cb$0$Entry;
-
- inline$_v1.giwscan_cb$0$Entry:
- inline$_v1.giwscan_cb$0$se_.1 := _v1.se_.1;
- havoc inline$_v1.giwscan_cb$0$havoc_stringTemp, inline$_v1.giwscan_cb$0$condVal, inline$_v1.giwscan_cb$0$buf, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$result.encode_ie$2, inline$_v1.giwscan_cb$0$rsn_leader, inline$_v1.giwscan_cb$0$se, inline$_v1.giwscan_cb$0$tempBoogie0, inline$_v1.giwscan_cb$0$tempBoogie1, inline$_v1.giwscan_cb$0$tempBoogie2, inline$_v1.giwscan_cb$0$tempBoogie3, inline$_v1.giwscan_cb$0$tempBoogie4, inline$_v1.giwscan_cb$0$tempBoogie5, inline$_v1.giwscan_cb$0$tempBoogie6, inline$_v1.giwscan_cb$0$tempBoogie7, inline$_v1.giwscan_cb$0$tempBoogie8, inline$_v1.giwscan_cb$0$tempBoogie9, inline$_v1.giwscan_cb$0$tempBoogie10, inline$_v1.giwscan_cb$0$tempBoogie11, inline$_v1.giwscan_cb$0$tempBoogie12, inline$_v1.giwscan_cb$0$tempBoogie13, inline$_v1.giwscan_cb$0$tempBoogie14, inline$_v1.giwscan_cb$0$tempBoogie15, inline$_v1.giwscan_cb$0$tempBoogie16, inline$_v1.giwscan_cb$0$tempBoogie17, inline$_v1.giwscan_cb$0$tempBoogie18, inline$_v1.giwscan_cb$0$tempBoogie19, inline$_v1.giwscan_cb$0$__havoc_dummy_return, inline$_v1.giwscan_cb$0$result.giwscan_cb$1;
- inline$_v1.giwscan_cb$0$_v1.alloc := _v1.alloc;
- inline$_v1.giwscan_cb$0$_v1.OK := _v1.OK;
- inline$_v1.giwscan_cb$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
- goto inline$_v1.giwscan_cb$0$anon0#2;
-
- inline$_v1.giwscan_cb$0$anon0#2:
- inline$_v1.giwscan_cb$0$havoc_stringTemp := 0;
- goto inline$_v1.giwscan_cb$0$start#2;
-
- inline$_v1.giwscan_cb$0$start#2:
- assume _v2.INT_LT(inline$_v1.giwscan_cb$0$se_.1, _v1.alloc);
- _v1.__HAVOC_det_malloc_in_1_0, _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3 := 6, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- call inline$_v1.giwscan_cb$0$buf := _v1.__HAVOC_det_malloc(6);
- _v1.__HAVOC_det_malloc_1_done := true;
- _v1.__HAVOC_det_malloc_out_1_0, _v1.__HAVOC_det_malloc_out_1_1 := inline$_v1.giwscan_cb$0$buf, _v1.alloc;
- inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := 0;
- inline$_v1.giwscan_cb$0$result.encode_ie$2 := 0;
- inline$_v1.giwscan_cb$0$result.giwscan_cb$1 := 0;
- _v1.__HAVOC_det_malloc_in_2_0, _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3 := 1, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- call inline$_v1.giwscan_cb$0$rsn_leader := _v1.__HAVOC_det_malloc(1);
- _v1.__HAVOC_det_malloc_2_done := true;
- _v1.__HAVOC_det_malloc_out_2_0, _v1.__HAVOC_det_malloc_out_2_1 := inline$_v1.giwscan_cb$0$rsn_leader, _v1.alloc;
- inline$_v1.giwscan_cb$0$se := 0;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se_.1, 0);
- inline$_v1.giwscan_cb$0$se := inline$_v1.giwscan_cb$0$se_.1;
- goto inline$_v1.giwscan_cb$0$label_3#2;
-
- inline$_v1.giwscan_cb$0$label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 45} true;
- goto inline$_v1.giwscan_cb$0$label_4#2;
-
- inline$_v1.giwscan_cb$0$label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 46} true;
- goto inline$_v1.giwscan_cb$0$label_5#2;
-
- inline$_v1.giwscan_cb$0$label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 50} true;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
- == 1;
- assert true;
- goto inline$_v1.giwscan_cb$0$label_5_true#2, inline$_v1.giwscan_cb$0$label_5_false#2;
-
- inline$_v1.giwscan_cb$0$label_5_false#2:
- assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]
- == 0;
- assume _v2.value_is(_v1.__ctobpl_const_32, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_33,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- goto inline$_v1.giwscan_cb$0$label_6#2;
-
- inline$_v1.giwscan_cb$0$label_5_true#2:
- assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]
- != 0;
- assume _v2.value_is(_v1.__ctobpl_const_32, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_33,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- goto inline$_v1.giwscan_cb$0$label_7#2;
-
- inline$_v1.giwscan_cb$0$label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 51} true;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 0))
- == 1;
- assert true;
- goto inline$_v1.giwscan_cb$0$label_7_true#2, inline$_v1.giwscan_cb$0$label_7_false#2;
-
- inline$_v1.giwscan_cb$0$label_7_false#2:
- assume !_v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v1.__ctobpl_const_34, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_35,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_36,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 0)]);
- goto inline$_v1.giwscan_cb$0$label_6#2;
-
- inline$_v1.giwscan_cb$0$label_7_true#2:
- assume _v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v1.__ctobpl_const_34, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_35,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_36,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 0)]);
- goto inline$_v1.giwscan_cb$0$label_8#2;
-
- inline$_v1.giwscan_cb$0$label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 53} true;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 1))
- == 1;
- assert true;
- inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 1)],
- 1,
- 2);
- assume _v2.value_is(_v1.__ctobpl_const_37, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_38, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_39,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_40,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
- 1,
- 1)]);
- goto inline$_v1.giwscan_cb$0$label_9#2;
-
- inline$_v1.giwscan_cb$0$label_9#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 52} true;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
- == 1;
- assert true;
- _v1.encode_ie_in_3_0, _v1.encode_ie_in_3_1, _v1.encode_ie_in_3_2, _v1.encode_ie_in_3_3, _v1.encode_ie_in_3_4, _v1.encode_ie_in_3_5, _v1.encode_ie_in_3_6, _v1.encode_ie_in_3_7, _v1.encode_ie_in_3_8 := inline$_v1.giwscan_cb$0$buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)], inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$rsn_leader, 1, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- call inline$_v1.giwscan_cb$0$result.encode_ie$2 := _v1.encode_ie(inline$_v1.giwscan_cb$0$buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)], inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$rsn_leader, 1);
- _v1.encode_ie_3_done := true;
- _v1.encode_ie_out_3_0, _v1.encode_ie_out_3_1, _v1.encode_ie_out_3_2 := inline$_v1.giwscan_cb$0$result.encode_ie$2, _v1.OK, _v1.Mem_T.UCHAR;
- assume _v2.value_is(_v1.__ctobpl_const_41, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_42,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_43, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v1.__ctobpl_const_44, inline$_v1.giwscan_cb$0$se);
- assume _v2.value_is(_v1.__ctobpl_const_45,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_46, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- goto inline$_v1.giwscan_cb$0$label_6#2;
-
- inline$_v1.giwscan_cb$0$label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 57} true;
- inline$_v1.giwscan_cb$0$result.giwscan_cb$1 := 0;
- goto inline$_v1.giwscan_cb$0$label_1#2;
-
- inline$_v1.giwscan_cb$0$label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 58} true;
- _v1.__HAVOC_free_in_4_0, _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3 := inline$_v1.giwscan_cb$0$buf, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- call _v1.__HAVOC_free(inline$_v1.giwscan_cb$0$buf);
- _v1.__HAVOC_free_4_done := true;
- _v1.__HAVOC_free_in_5_0, _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3 := inline$_v1.giwscan_cb$0$rsn_leader, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- call _v1.__HAVOC_free(inline$_v1.giwscan_cb$0$rsn_leader);
- _v1.__HAVOC_free_5_done := true;
- goto inline$_v1.giwscan_cb$0$Return;
-
- inline$_v1.giwscan_cb$0$Return:
- assume true;
- _v1.result.giwscan_cb$1 := inline$_v1.giwscan_cb$0$result.giwscan_cb$1;
- goto START$1;
-
- START$1:
- goto inline$_v2.giwscan_cb$0$Entry;
-
- inline$_v2.giwscan_cb$0$Entry:
- inline$_v2.giwscan_cb$0$se_.1 := _v2.se_.1;
- havoc inline$_v2.giwscan_cb$0$havoc_stringTemp, inline$_v2.giwscan_cb$0$condVal, inline$_v2.giwscan_cb$0$buf, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$result.encode_ie$2, inline$_v2.giwscan_cb$0$rsn_leader, inline$_v2.giwscan_cb$0$se, inline$_v2.giwscan_cb$0$tempBoogie0, inline$_v2.giwscan_cb$0$tempBoogie1, inline$_v2.giwscan_cb$0$tempBoogie2, inline$_v2.giwscan_cb$0$tempBoogie3, inline$_v2.giwscan_cb$0$tempBoogie4, inline$_v2.giwscan_cb$0$tempBoogie5, inline$_v2.giwscan_cb$0$tempBoogie6, inline$_v2.giwscan_cb$0$tempBoogie7, inline$_v2.giwscan_cb$0$tempBoogie8, inline$_v2.giwscan_cb$0$tempBoogie9, inline$_v2.giwscan_cb$0$tempBoogie10, inline$_v2.giwscan_cb$0$tempBoogie11, inline$_v2.giwscan_cb$0$tempBoogie12, inline$_v2.giwscan_cb$0$tempBoogie13, inline$_v2.giwscan_cb$0$tempBoogie14, inline$_v2.giwscan_cb$0$tempBoogie15, inline$_v2.giwscan_cb$0$tempBoogie16, inline$_v2.giwscan_cb$0$tempBoogie17, inline$_v2.giwscan_cb$0$tempBoogie18, inline$_v2.giwscan_cb$0$tempBoogie19, inline$_v2.giwscan_cb$0$__havoc_dummy_return, inline$_v2.giwscan_cb$0$result.giwscan_cb$1;
- inline$_v2.giwscan_cb$0$_v2.alloc := _v2.alloc;
- inline$_v2.giwscan_cb$0$_v2.OK := _v2.OK;
- inline$_v2.giwscan_cb$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
- goto inline$_v2.giwscan_cb$0$anon0#2;
-
- inline$_v2.giwscan_cb$0$anon0#2:
- inline$_v2.giwscan_cb$0$havoc_stringTemp := 0;
- goto inline$_v2.giwscan_cb$0$start#2;
-
- inline$_v2.giwscan_cb$0$start#2:
- assume _v2.INT_LT(inline$_v2.giwscan_cb$0$se_.1, _v2.alloc);
- _v2.__HAVOC_det_malloc_in_6_0, _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3 := 6, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- call inline$_v2.giwscan_cb$0$buf := _v2.__HAVOC_det_malloc(6);
- _v2.__HAVOC_det_malloc_6_done := true;
- _v2.__HAVOC_det_malloc_out_6_0, _v2.__HAVOC_det_malloc_out_6_1 := inline$_v2.giwscan_cb$0$buf, _v2.alloc;
- inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := 0;
- inline$_v2.giwscan_cb$0$result.encode_ie$2 := 0;
- inline$_v2.giwscan_cb$0$result.giwscan_cb$1 := 0;
- _v2.__HAVOC_det_malloc_in_7_0, _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3 := 1, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- call inline$_v2.giwscan_cb$0$rsn_leader := _v2.__HAVOC_det_malloc(1);
- _v2.__HAVOC_det_malloc_7_done := true;
- _v2.__HAVOC_det_malloc_out_7_0, _v2.__HAVOC_det_malloc_out_7_1 := inline$_v2.giwscan_cb$0$rsn_leader, _v2.alloc;
- inline$_v2.giwscan_cb$0$se := 0;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se_.1, 0);
- inline$_v2.giwscan_cb$0$se := inline$_v2.giwscan_cb$0$se_.1;
- goto inline$_v2.giwscan_cb$0$label_3#2;
-
- inline$_v2.giwscan_cb$0$label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 46} true;
- goto inline$_v2.giwscan_cb$0$label_4#2;
-
- inline$_v2.giwscan_cb$0$label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 47} true;
- goto inline$_v2.giwscan_cb$0$label_5#2;
-
- inline$_v2.giwscan_cb$0$label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 51} true;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
- == 1;
- assert true;
- goto inline$_v2.giwscan_cb$0$label_5_true#2, inline$_v2.giwscan_cb$0$label_5_false#2;
-
- inline$_v2.giwscan_cb$0$label_5_false#2:
- assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]
- == 0;
- assume _v2.value_is(_v2.__ctobpl_const_33, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_34,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- goto inline$_v2.giwscan_cb$0$label_6#2;
-
- inline$_v2.giwscan_cb$0$label_5_true#2:
- assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]
- != 0;
- assume _v2.value_is(_v2.__ctobpl_const_33, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_34,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- goto inline$_v2.giwscan_cb$0$label_7#2;
-
- inline$_v2.giwscan_cb$0$label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 52} true;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 0))
- == 1;
- assert true;
- goto inline$_v2.giwscan_cb$0$label_7_true#2, inline$_v2.giwscan_cb$0$label_7_false#2;
-
- inline$_v2.giwscan_cb$0$label_7_false#2:
- assume !_v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v2.__ctobpl_const_35, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_36,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_37,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 0)]);
- goto inline$_v2.giwscan_cb$0$label_6#2;
-
- inline$_v2.giwscan_cb$0$label_7_true#2:
- assume _v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 0)],
- 200);
- assume _v2.value_is(_v2.__ctobpl_const_35, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_36,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_37,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 0)]);
- goto inline$_v2.giwscan_cb$0$label_8#2;
-
- inline$_v2.giwscan_cb$0$label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 54} true;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 1))
- == 1;
- assert true;
- inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 1)],
- 1,
- 2);
- assume _v2.value_is(_v2.__ctobpl_const_38, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_39, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_40,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_41,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
- 1,
- 1)]);
- goto inline$_v2.giwscan_cb$0$label_9#2;
-
- inline$_v2.giwscan_cb$0$label_9#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 53} true;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
- == 1;
- assert true;
- _v2.encode_ie_in_8_0, _v2.encode_ie_in_8_1, _v2.encode_ie_in_8_2, _v2.encode_ie_in_8_3, _v2.encode_ie_in_8_4, _v2.encode_ie_in_8_5, _v2.encode_ie_in_8_6, _v2.encode_ie_in_8_7, _v2.encode_ie_in_8_8 := inline$_v2.giwscan_cb$0$buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)], inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$rsn_leader, 1, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- call inline$_v2.giwscan_cb$0$result.encode_ie$2 := _v2.encode_ie(inline$_v2.giwscan_cb$0$buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)], inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$rsn_leader, 1);
- _v2.encode_ie_8_done := true;
- _v2.encode_ie_out_8_0, _v2.encode_ie_out_8_1, _v2.encode_ie_out_8_2 := inline$_v2.giwscan_cb$0$result.encode_ie$2, _v2.OK, _v2.Mem_T.UCHAR;
- assume _v2.value_is(_v2.__ctobpl_const_42, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_43,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_44, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- assume _v2.value_is(_v2.__ctobpl_const_45, inline$_v2.giwscan_cb$0$se);
- assume _v2.value_is(_v2.__ctobpl_const_46,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_47, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
- goto inline$_v2.giwscan_cb$0$label_6#2;
-
- inline$_v2.giwscan_cb$0$label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 58} true;
- inline$_v2.giwscan_cb$0$result.giwscan_cb$1 := 0;
- goto inline$_v2.giwscan_cb$0$label_1#2;
-
- inline$_v2.giwscan_cb$0$label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 59} true;
- _v2.__HAVOC_free_in_9_0, _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3 := inline$_v2.giwscan_cb$0$buf, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- call _v2.__HAVOC_free(inline$_v2.giwscan_cb$0$buf);
- _v2.__HAVOC_free_9_done := true;
- _v2.__HAVOC_free_in_10_0, _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3 := inline$_v2.giwscan_cb$0$rsn_leader, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- call _v2.__HAVOC_free(inline$_v2.giwscan_cb$0$rsn_leader);
- _v2.__HAVOC_free_10_done := true;
- goto inline$_v2.giwscan_cb$0$Return;
-
- inline$_v2.giwscan_cb$0$Return:
- assume true;
- _v2.result.giwscan_cb$1 := inline$_v2.giwscan_cb$0$result.giwscan_cb$1;
- goto START$2;
-
- START$2:
- goto MS_L_0_8;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done;
- store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3;
- call out__v1.__HAVOC_det_malloc_out_1_0_0, out__v2.__HAVOC_det_malloc_out_6_0_0 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_6_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
- assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_0
- && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_0;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.UCHAR;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-
- MS_L_0_1:
- goto MS_L_taken_1, MS_L_not_taken_1;
-
- MS_L_taken_1:
- assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done;
- store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3;
- call out__v1.__HAVOC_det_malloc_out_1_0_1, out__v2.__HAVOC_det_malloc_out_7_0_1 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_7_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
- assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_1
- && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_1;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.UCHAR;
- goto MS_L_meet_1;
-
- MS_L_not_taken_1:
- assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done);
- goto MS_L_meet_1;
-
- MS_L_meet_1:
- goto MS_L_0_0;
-
- MS_L_0_2:
- goto MS_L_taken_2, MS_L_not_taken_2;
-
- MS_L_taken_2:
- assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done;
- store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3;
- call out__v1.__HAVOC_det_malloc_out_2_0_2, out__v2.__HAVOC_det_malloc_out_6_0_2 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_6_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
- assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_2
- && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_2;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.UCHAR;
- goto MS_L_meet_2;
-
- MS_L_not_taken_2:
- assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done);
- goto MS_L_meet_2;
-
- MS_L_meet_2:
- goto MS_L_0_1;
-
- MS_L_0_3:
- goto MS_L_taken_3, MS_L_not_taken_3;
-
- MS_L_taken_3:
- assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done;
- store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3;
- call out__v1.__HAVOC_det_malloc_out_2_0_3, out__v2.__HAVOC_det_malloc_out_7_0_3 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_7_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
- assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_3
- && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_3;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.UCHAR;
- goto MS_L_meet_3;
-
- MS_L_not_taken_3:
- assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done);
- goto MS_L_meet_3;
-
- MS_L_meet_3:
- goto MS_L_0_2;
-
- MS_L_0_4:
- goto MS_L_taken_4, MS_L_not_taken_4;
-
- MS_L_taken_4:
- assume _v1.encode_ie_3_done && _v2.encode_ie_8_done;
- store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.encode_ie_in_3_6, _v1.encode_ie_in_3_7, _v1.encode_ie_in_3_8;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.encode_ie_in_8_6, _v2.encode_ie_in_8_7, _v2.encode_ie_in_8_8;
- call out__v1.encode_ie_out_3_0_4, out__v2.encode_ie_out_8_0_4 := MS_Check__v1.encode_ie___v2.encode_ie(_v1.encode_ie_in_3_0, _v1.encode_ie_in_3_1, _v1.encode_ie_in_3_2, _v1.encode_ie_in_3_3, _v1.encode_ie_in_3_4, _v1.encode_ie_in_3_5, _v2.encode_ie_in_8_0, _v2.encode_ie_in_8_1, _v2.encode_ie_in_8_2, _v2.encode_ie_in_8_3, _v2.encode_ie_in_8_4, _v2.encode_ie_in_8_5);
- assume (_v1.OK <==> _v1.encode_ie_out_3_1) && _v1.Mem_T.UCHAR == _v1.encode_ie_out_3_2;
- assume (_v2.OK <==> _v2.encode_ie_out_8_1) && _v2.Mem_T.UCHAR == _v2.encode_ie_out_8_2;
- assume _v1.encode_ie_out_3_0 == out__v1.encode_ie_out_3_0_4
- && _v2.encode_ie_out_8_0 == out__v2.encode_ie_out_8_0_4;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.UCHAR;
- goto MS_L_meet_4;
-
- MS_L_not_taken_4:
- assume !(_v1.encode_ie_3_done && _v2.encode_ie_8_done);
- goto MS_L_meet_4;
-
- MS_L_meet_4:
- goto MS_L_0_3;
-
- MS_L_0_5:
- goto MS_L_taken_5, MS_L_not_taken_5;
-
- MS_L_taken_5:
- assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done;
- store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_9_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.UCHAR;
- goto MS_L_meet_5;
-
- MS_L_not_taken_5:
- assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done);
- goto MS_L_meet_5;
-
- MS_L_meet_5:
- goto MS_L_0_4;
-
- MS_L_0_6:
- goto MS_L_taken_6, MS_L_not_taken_6;
-
- MS_L_taken_6:
- assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done;
- store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_10_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.UCHAR;
- goto MS_L_meet_6;
-
- MS_L_not_taken_6:
- assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done);
- goto MS_L_meet_6;
-
- MS_L_meet_6:
- goto MS_L_0_5;
-
- MS_L_0_7:
- goto MS_L_taken_7, MS_L_not_taken_7;
-
- MS_L_taken_7:
- assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done;
- store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_9_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.UCHAR;
- goto MS_L_meet_7;
-
- MS_L_not_taken_7:
- assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done);
- goto MS_L_meet_7;
-
- MS_L_meet_7:
- goto MS_L_0_6;
-
- MS_L_0_8:
- goto MS_L_taken_8, MS_L_not_taken_8;
-
- MS_L_taken_8:
- assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done;
- store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_10_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.UCHAR;
- goto MS_L_meet_8;
-
- MS_L_not_taken_8:
- assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done);
- goto MS_L_meet_8;
-
- MS_L_meet_8:
- goto MS_L_0_7;
-}
-
-
-
-function {:inline true} MS$_v1.main$_v2.main(_v1.OK_old: bool,
- _v1.Mem_old: [name][int]int,
- _v1.alloc_old: int,
- _v1.Mem_T.A1CHAR_old: [int]int,
- _v1.Mem_T.A5UCHAR_old: [int]int,
- _v1.Mem_T.A6UCHAR_old: [int]int,
- _v1.Mem_T.CHAR_old: [int]int,
- _v1.Mem_T.INT4_old: [int]int,
- _v1.Mem_T.PCHAR_old: [int]int,
- _v1.Mem_T.PUCHAR_old: [int]int,
- _v1.Mem_T.PVOID_old: [int]int,
- _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.UCHAR_old: [int]int,
- _v1.Mem_T.VOID_old: [int]int,
- _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v1.detChoiceCnt_old: int,
- _v1.Res_KERNEL_SOURCE_old: [int]int,
- _v1.Res_PROBED_old: [int]int,
- _v1.alloc_: int,
- _v1.OK_: bool,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_: [int]int,
- _v1.Mem_T.UCHAR_: [int]int,
- _v1.result.main$1: int,
- _v2.OK_old: bool,
- _v2.Mem_old: [name][int]int,
- _v2.alloc_old: int,
- _v2.Mem_T.A1CHAR_old: [int]int,
- _v2.Mem_T.A5UCHAR_old: [int]int,
- _v2.Mem_T.A6UCHAR_old: [int]int,
- _v2.Mem_T.CHAR_old: [int]int,
- _v2.Mem_T.INT4_old: [int]int,
- _v2.Mem_T.PCHAR_old: [int]int,
- _v2.Mem_T.PUCHAR_old: [int]int,
- _v2.Mem_T.PVOID_old: [int]int,
- _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.UCHAR_old: [int]int,
- _v2.Mem_T.VOID_old: [int]int,
- _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
- _v2.detChoiceCnt_old: int,
- _v2.Res_KERNEL_SOURCE_old: [int]int,
- _v2.Res_PROBED_old: [int]int,
- _v2.alloc_: int,
- _v2.OK_: bool,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_: [int]int,
- _v2.Mem_T.UCHAR_: [int]int,
- _v2.result.main$1: int)
- : bool
-{
- true
-}
-
-procedure MS_Check__v1.main___v2.main() returns (_v1.result.main$1: int, _v2.result.main$1: int);
- requires (_v1.OK <==> _v2.OK)
- && _v1.Mem == _v2.Mem
- && _v1.alloc == _v2.alloc
- && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
- && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
- && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
- && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
- && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
- && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
- && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
- && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
- && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
- && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
- && _v1.Mem_T.VOID == _v2.Mem_T.VOID
- && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
- && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
- == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
- && _v1.detChoiceCnt == _v2.detChoiceCnt
- && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
- && _v1.Res_PROBED == _v2.Res_PROBED;
- modifies _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- ensures MS$_v1.main$_v2.main(old(_v1.OK),
- old(_v1.Mem),
- old(_v1.alloc),
- old(_v1.Mem_T.A1CHAR),
- old(_v1.Mem_T.A5UCHAR),
- old(_v1.Mem_T.A6UCHAR),
- old(_v1.Mem_T.CHAR),
- old(_v1.Mem_T.INT4),
- old(_v1.Mem_T.PCHAR),
- old(_v1.Mem_T.PUCHAR),
- old(_v1.Mem_T.PVOID),
- old(_v1.Mem_T.Pieee80211_scan_entry),
- old(_v1.Mem_T.UCHAR),
- old(_v1.Mem_T.VOID),
- old(_v1.Mem_T.ieee80211_scan_entry),
- old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v1.detChoiceCnt),
- old(_v1.Res_KERNEL_SOURCE),
- old(_v1.Res_PROBED),
- _v1.alloc,
- _v1.OK,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry,
- _v1.Mem_T.UCHAR,
- _v1.result.main$1,
- old(_v2.OK),
- old(_v2.Mem),
- old(_v2.alloc),
- old(_v2.Mem_T.A1CHAR),
- old(_v2.Mem_T.A5UCHAR),
- old(_v2.Mem_T.A6UCHAR),
- old(_v2.Mem_T.CHAR),
- old(_v2.Mem_T.INT4),
- old(_v2.Mem_T.PCHAR),
- old(_v2.Mem_T.PUCHAR),
- old(_v2.Mem_T.PVOID),
- old(_v2.Mem_T.Pieee80211_scan_entry),
- old(_v2.Mem_T.UCHAR),
- old(_v2.Mem_T.VOID),
- old(_v2.Mem_T.ieee80211_scan_entry),
- old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
- old(_v2.detChoiceCnt),
- old(_v2.Res_KERNEL_SOURCE),
- old(_v2.Res_PROBED),
- _v2.alloc,
- _v2.OK,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry,
- _v2.Mem_T.UCHAR,
- _v2.result.main$1);
- ensures _v1.OK ==> _v2.OK;
-
-
-
-implementation MS_Check__v1.main___v2.main() returns (_v1.result.main$1: int, _v2.result.main$1: int)
-{
- var inline$_v1.main$0$havoc_stringTemp: int;
- var inline$_v1.main$0$condVal: int;
- var inline$_v1.main$0$ie: int;
- var inline$_v1.main$0$result.giwscan_cb$2: int;
- var inline$_v1.main$0$se: int;
- var inline$_v1.main$0$tempBoogie0: int;
- var inline$_v1.main$0$tempBoogie1: int;
- var inline$_v1.main$0$tempBoogie2: int;
- var inline$_v1.main$0$tempBoogie3: int;
- var inline$_v1.main$0$tempBoogie4: int;
- var inline$_v1.main$0$tempBoogie5: int;
- var inline$_v1.main$0$tempBoogie6: int;
- var inline$_v1.main$0$tempBoogie7: int;
- var inline$_v1.main$0$tempBoogie8: int;
- var inline$_v1.main$0$tempBoogie9: int;
- var inline$_v1.main$0$tempBoogie10: int;
- var inline$_v1.main$0$tempBoogie11: int;
- var inline$_v1.main$0$tempBoogie12: int;
- var inline$_v1.main$0$tempBoogie13: int;
- var inline$_v1.main$0$tempBoogie14: int;
- var inline$_v1.main$0$tempBoogie15: int;
- var inline$_v1.main$0$tempBoogie16: int;
- var inline$_v1.main$0$tempBoogie17: int;
- var inline$_v1.main$0$tempBoogie18: int;
- var inline$_v1.main$0$tempBoogie19: int;
- var inline$_v1.main$0$__havoc_dummy_return: int;
- var inline$_v1.main$0$result.main$1: int;
- var inline$_v1.main$0$_v1.alloc: int;
- var inline$_v1.main$0$_v1.OK: bool;
- var inline$_v1.main$0$_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var inline$_v1.main$0$_v1.Mem_T.UCHAR: [int]int;
- var inline$_v2.main$0$havoc_stringTemp: int;
- var inline$_v2.main$0$condVal: int;
- var inline$_v2.main$0$ie: int;
- var inline$_v2.main$0$result.giwscan_cb$2: int;
- var inline$_v2.main$0$se: int;
- var inline$_v2.main$0$tempBoogie0: int;
- var inline$_v2.main$0$tempBoogie1: int;
- var inline$_v2.main$0$tempBoogie2: int;
- var inline$_v2.main$0$tempBoogie3: int;
- var inline$_v2.main$0$tempBoogie4: int;
- var inline$_v2.main$0$tempBoogie5: int;
- var inline$_v2.main$0$tempBoogie6: int;
- var inline$_v2.main$0$tempBoogie7: int;
- var inline$_v2.main$0$tempBoogie8: int;
- var inline$_v2.main$0$tempBoogie9: int;
- var inline$_v2.main$0$tempBoogie10: int;
- var inline$_v2.main$0$tempBoogie11: int;
- var inline$_v2.main$0$tempBoogie12: int;
- var inline$_v2.main$0$tempBoogie13: int;
- var inline$_v2.main$0$tempBoogie14: int;
- var inline$_v2.main$0$tempBoogie15: int;
- var inline$_v2.main$0$tempBoogie16: int;
- var inline$_v2.main$0$tempBoogie17: int;
- var inline$_v2.main$0$tempBoogie18: int;
- var inline$_v2.main$0$tempBoogie19: int;
- var inline$_v2.main$0$__havoc_dummy_return: int;
- var inline$_v2.main$0$result.main$1: int;
- var inline$_v2.main$0$_v2.alloc: int;
- var inline$_v2.main$0$_v2.OK: bool;
- var inline$_v2.main$0$_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var inline$_v2.main$0$_v2.Mem_T.UCHAR: [int]int;
- var _v1.__HAVOC_det_malloc_1_done: bool;
- var _v1.__HAVOC_det_malloc_in_1_0: int;
- var _v1.__HAVOC_det_malloc_in_1_1: int;
- var _v1.__HAVOC_det_malloc_in_1_2: bool;
- var _v1.__HAVOC_det_malloc_in_1_3: [int]int;
- var _v1.__HAVOC_det_malloc_in_1_4: [int]int;
- var _v1.__HAVOC_det_malloc_out_1_0: int;
- var _v1.__HAVOC_det_malloc_out_1_1: int;
- var _v1.__HAVOC_det_malloc_2_done: bool;
- var _v1.__HAVOC_det_malloc_in_2_0: int;
- var _v1.__HAVOC_det_malloc_in_2_1: int;
- var _v1.__HAVOC_det_malloc_in_2_2: bool;
- var _v1.__HAVOC_det_malloc_in_2_3: [int]int;
- var _v1.__HAVOC_det_malloc_in_2_4: [int]int;
- var _v1.__HAVOC_det_malloc_out_2_0: int;
- var _v1.__HAVOC_det_malloc_out_2_1: int;
- var _v1.giwscan_cb_3_done: bool;
- var _v1.giwscan_cb_in_3_0: int;
- var _v1.giwscan_cb_in_3_1: int;
- var _v1.giwscan_cb_in_3_2: bool;
- var _v1.giwscan_cb_in_3_3: [int]int;
- var _v1.giwscan_cb_in_3_4: [int]int;
- var _v1.giwscan_cb_out_3_0: int;
- var _v1.giwscan_cb_out_3_1: int;
- var _v1.giwscan_cb_out_3_2: bool;
- var _v1.giwscan_cb_out_3_3: [int]int;
- var _v1.__HAVOC_free_4_done: bool;
- var _v1.__HAVOC_free_in_4_0: int;
- var _v1.__HAVOC_free_in_4_1: int;
- var _v1.__HAVOC_free_in_4_2: bool;
- var _v1.__HAVOC_free_in_4_3: [int]int;
- var _v1.__HAVOC_free_in_4_4: [int]int;
- var _v1.__HAVOC_free_5_done: bool;
- var _v1.__HAVOC_free_in_5_0: int;
- var _v1.__HAVOC_free_in_5_1: int;
- var _v1.__HAVOC_free_in_5_2: bool;
- var _v1.__HAVOC_free_in_5_3: [int]int;
- var _v1.__HAVOC_free_in_5_4: [int]int;
- var _v2.__HAVOC_det_malloc_6_done: bool;
- var _v2.__HAVOC_det_malloc_in_6_0: int;
- var _v2.__HAVOC_det_malloc_in_6_1: int;
- var _v2.__HAVOC_det_malloc_in_6_2: bool;
- var _v2.__HAVOC_det_malloc_in_6_3: [int]int;
- var _v2.__HAVOC_det_malloc_in_6_4: [int]int;
- var _v2.__HAVOC_det_malloc_out_6_0: int;
- var _v2.__HAVOC_det_malloc_out_6_1: int;
- var _v2.__HAVOC_det_malloc_7_done: bool;
- var _v2.__HAVOC_det_malloc_in_7_0: int;
- var _v2.__HAVOC_det_malloc_in_7_1: int;
- var _v2.__HAVOC_det_malloc_in_7_2: bool;
- var _v2.__HAVOC_det_malloc_in_7_3: [int]int;
- var _v2.__HAVOC_det_malloc_in_7_4: [int]int;
- var _v2.__HAVOC_det_malloc_out_7_0: int;
- var _v2.__HAVOC_det_malloc_out_7_1: int;
- var _v2.giwscan_cb_8_done: bool;
- var _v2.giwscan_cb_in_8_0: int;
- var _v2.giwscan_cb_in_8_1: int;
- var _v2.giwscan_cb_in_8_2: bool;
- var _v2.giwscan_cb_in_8_3: [int]int;
- var _v2.giwscan_cb_in_8_4: [int]int;
- var _v2.giwscan_cb_out_8_0: int;
- var _v2.giwscan_cb_out_8_1: int;
- var _v2.giwscan_cb_out_8_2: bool;
- var _v2.giwscan_cb_out_8_3: [int]int;
- var _v2.__HAVOC_free_9_done: bool;
- var _v2.__HAVOC_free_in_9_0: int;
- var _v2.__HAVOC_free_in_9_1: int;
- var _v2.__HAVOC_free_in_9_2: bool;
- var _v2.__HAVOC_free_in_9_3: [int]int;
- var _v2.__HAVOC_free_in_9_4: [int]int;
- var _v2.__HAVOC_free_10_done: bool;
- var _v2.__HAVOC_free_in_10_0: int;
- var _v2.__HAVOC_free_in_10_1: int;
- var _v2.__HAVOC_free_in_10_2: bool;
- var _v2.__HAVOC_free_in_10_3: [int]int;
- var _v2.__HAVOC_free_in_10_4: [int]int;
- var store__0__v1.alloc: int;
- var store__0__v1.OK: bool;
- var store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__0__v1.Mem_T.UCHAR: [int]int;
- var store__0__v2.alloc: int;
- var store__0__v2.OK: bool;
- var store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__0__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_1_0_0: int;
- var out__v2.__HAVOC_det_malloc_out_6_0_0: int;
- var store__1__v1.alloc: int;
- var store__1__v1.OK: bool;
- var store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__1__v1.Mem_T.UCHAR: [int]int;
- var store__1__v2.alloc: int;
- var store__1__v2.OK: bool;
- var store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__1__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_1_0_1: int;
- var out__v2.__HAVOC_det_malloc_out_7_0_1: int;
- var store__2__v1.alloc: int;
- var store__2__v1.OK: bool;
- var store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__2__v1.Mem_T.UCHAR: [int]int;
- var store__2__v2.alloc: int;
- var store__2__v2.OK: bool;
- var store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__2__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_2_0_2: int;
- var out__v2.__HAVOC_det_malloc_out_6_0_2: int;
- var store__3__v1.alloc: int;
- var store__3__v1.OK: bool;
- var store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__3__v1.Mem_T.UCHAR: [int]int;
- var store__3__v2.alloc: int;
- var store__3__v2.OK: bool;
- var store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__3__v2.Mem_T.UCHAR: [int]int;
- var out__v1.__HAVOC_det_malloc_out_2_0_3: int;
- var out__v2.__HAVOC_det_malloc_out_7_0_3: int;
- var store__4__v1.alloc: int;
- var store__4__v1.OK: bool;
- var store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__4__v1.Mem_T.UCHAR: [int]int;
- var store__4__v2.alloc: int;
- var store__4__v2.OK: bool;
- var store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__4__v2.Mem_T.UCHAR: [int]int;
- var out__v1.giwscan_cb_out_3_0_4: int;
- var out__v2.giwscan_cb_out_8_0_4: int;
- var store__5__v1.alloc: int;
- var store__5__v1.OK: bool;
- var store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__5__v1.Mem_T.UCHAR: [int]int;
- var store__5__v2.alloc: int;
- var store__5__v2.OK: bool;
- var store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__5__v2.Mem_T.UCHAR: [int]int;
- var store__6__v1.alloc: int;
- var store__6__v1.OK: bool;
- var store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__6__v1.Mem_T.UCHAR: [int]int;
- var store__6__v2.alloc: int;
- var store__6__v2.OK: bool;
- var store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__6__v2.Mem_T.UCHAR: [int]int;
- var store__7__v1.alloc: int;
- var store__7__v1.OK: bool;
- var store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__7__v1.Mem_T.UCHAR: [int]int;
- var store__7__v2.alloc: int;
- var store__7__v2.OK: bool;
- var store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__7__v2.Mem_T.UCHAR: [int]int;
- var store__8__v1.alloc: int;
- var store__8__v1.OK: bool;
- var store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__8__v1.Mem_T.UCHAR: [int]int;
- var store__8__v2.alloc: int;
- var store__8__v2.OK: bool;
- var store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
- var store__8__v2.Mem_T.UCHAR: [int]int;
-
- START:
- _v1.__HAVOC_det_malloc_1_done, _v1.__HAVOC_det_malloc_2_done, _v1.giwscan_cb_3_done, _v1.__HAVOC_free_4_done, _v1.__HAVOC_free_5_done, _v2.__HAVOC_det_malloc_6_done, _v2.__HAVOC_det_malloc_7_done, _v2.giwscan_cb_8_done, _v2.__HAVOC_free_9_done, _v2.__HAVOC_free_10_done := false, false, false, false, false, false, false, false, false, false;
- goto inline$_v1.main$0$Entry;
-
- inline$_v1.main$0$Entry:
- havoc inline$_v1.main$0$havoc_stringTemp, inline$_v1.main$0$condVal, inline$_v1.main$0$ie, inline$_v1.main$0$result.giwscan_cb$2, inline$_v1.main$0$se, inline$_v1.main$0$tempBoogie0, inline$_v1.main$0$tempBoogie1, inline$_v1.main$0$tempBoogie2, inline$_v1.main$0$tempBoogie3, inline$_v1.main$0$tempBoogie4, inline$_v1.main$0$tempBoogie5, inline$_v1.main$0$tempBoogie6, inline$_v1.main$0$tempBoogie7, inline$_v1.main$0$tempBoogie8, inline$_v1.main$0$tempBoogie9, inline$_v1.main$0$tempBoogie10, inline$_v1.main$0$tempBoogie11, inline$_v1.main$0$tempBoogie12, inline$_v1.main$0$tempBoogie13, inline$_v1.main$0$tempBoogie14, inline$_v1.main$0$tempBoogie15, inline$_v1.main$0$tempBoogie16, inline$_v1.main$0$tempBoogie17, inline$_v1.main$0$tempBoogie18, inline$_v1.main$0$tempBoogie19, inline$_v1.main$0$__havoc_dummy_return, inline$_v1.main$0$result.main$1;
- inline$_v1.main$0$_v1.alloc := _v1.alloc;
- inline$_v1.main$0$_v1.OK := _v1.OK;
- inline$_v1.main$0$_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- inline$_v1.main$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
- goto inline$_v1.main$0$anon0#2;
-
- inline$_v1.main$0$anon0#2:
- inline$_v1.main$0$havoc_stringTemp := 0;
- goto inline$_v1.main$0$start#2;
-
- inline$_v1.main$0$start#2:
- _v1.__HAVOC_det_malloc_in_1_0, _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4 := 5, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- call inline$_v1.main$0$ie := _v1.__HAVOC_det_malloc(5);
- _v1.__HAVOC_det_malloc_1_done := true;
- _v1.__HAVOC_det_malloc_out_1_0, _v1.__HAVOC_det_malloc_out_1_1 := inline$_v1.main$0$ie, _v1.alloc;
- inline$_v1.main$0$result.giwscan_cb$2 := 0;
- inline$_v1.main$0$result.main$1 := 0;
- _v1.__HAVOC_det_malloc_in_2_0, _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4 := 4, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- call inline$_v1.main$0$se := _v1.__HAVOC_det_malloc(4);
- _v1.__HAVOC_det_malloc_2_done := true;
- _v1.__HAVOC_det_malloc_out_2_0, _v1.__HAVOC_det_malloc_out_2_1 := inline$_v1.main$0$se, _v1.alloc;
- goto inline$_v1.main$0$label_3#2;
-
- inline$_v1.main$0$label_3#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 62} true;
- goto inline$_v1.main$0$label_4#2;
-
- inline$_v1.main$0$label_4#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 63} true;
- goto inline$_v1.main$0$label_5#2;
-
- inline$_v1.main$0$label_5#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 64} true;
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
- == 1;
- assert true;
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se) := inline$_v1.main$0$ie];
- assume _v2.value_is(_v1.__ctobpl_const_47,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
- goto inline$_v1.main$0$label_6#2;
-
- inline$_v1.main$0$label_6#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 65} true;
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 0))
- == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 0) := 200];
- assume _v2.value_is(_v1.__ctobpl_const_48,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_49,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 0)]);
- goto inline$_v1.main$0$label_7#2;
-
- inline$_v1.main$0$label_7#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 66} true;
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 0);
- _v1.OK := _v1.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 1))
- == 1;
- assert true;
- _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 1) := 3];
- assume _v2.value_is(_v1.__ctobpl_const_50,
- _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
- assume _v2.value_is(_v1.__ctobpl_const_51,
- _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
- 1,
- 1)]);
- goto inline$_v1.main$0$label_8#2;
-
- inline$_v1.main$0$label_8#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 68} true;
- assume _v2.INT_GT(inline$_v1.main$0$se, 0);
- assume _v2.INT_GT(inline$_v1.main$0$se, 0);
- _v1.giwscan_cb_in_3_0, _v1.giwscan_cb_in_3_1, _v1.giwscan_cb_in_3_2, _v1.giwscan_cb_in_3_3, _v1.giwscan_cb_in_3_4 := inline$_v1.main$0$se, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- call inline$_v1.main$0$result.giwscan_cb$2 := _v1.giwscan_cb(inline$_v1.main$0$se);
- _v1.giwscan_cb_3_done := true;
- _v1.giwscan_cb_out_3_0, _v1.giwscan_cb_out_3_1, _v1.giwscan_cb_out_3_2, _v1.giwscan_cb_out_3_3 := inline$_v1.main$0$result.giwscan_cb$2, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
- goto inline$_v1.main$0$label_11#2;
-
- inline$_v1.main$0$label_11#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 70} true;
- inline$_v1.main$0$result.main$1 := 0;
- goto inline$_v1.main$0$label_1#2;
-
- inline$_v1.main$0$label_1#2:
- _v1.OK := _v1.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 71} true;
- _v1.__HAVOC_free_in_4_0, _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4 := inline$_v1.main$0$ie, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- call _v1.__HAVOC_free(inline$_v1.main$0$ie);
- _v1.__HAVOC_free_4_done := true;
- _v1.__HAVOC_free_in_5_0, _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4 := inline$_v1.main$0$se, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- call _v1.__HAVOC_free(inline$_v1.main$0$se);
- _v1.__HAVOC_free_5_done := true;
- goto inline$_v1.main$0$Return;
-
- inline$_v1.main$0$Return:
- assume true;
- _v1.result.main$1 := inline$_v1.main$0$result.main$1;
- goto START$1;
-
- START$1:
- goto inline$_v2.main$0$Entry;
-
- inline$_v2.main$0$Entry:
- havoc inline$_v2.main$0$havoc_stringTemp, inline$_v2.main$0$condVal, inline$_v2.main$0$ie, inline$_v2.main$0$result.giwscan_cb$2, inline$_v2.main$0$se, inline$_v2.main$0$tempBoogie0, inline$_v2.main$0$tempBoogie1, inline$_v2.main$0$tempBoogie2, inline$_v2.main$0$tempBoogie3, inline$_v2.main$0$tempBoogie4, inline$_v2.main$0$tempBoogie5, inline$_v2.main$0$tempBoogie6, inline$_v2.main$0$tempBoogie7, inline$_v2.main$0$tempBoogie8, inline$_v2.main$0$tempBoogie9, inline$_v2.main$0$tempBoogie10, inline$_v2.main$0$tempBoogie11, inline$_v2.main$0$tempBoogie12, inline$_v2.main$0$tempBoogie13, inline$_v2.main$0$tempBoogie14, inline$_v2.main$0$tempBoogie15, inline$_v2.main$0$tempBoogie16, inline$_v2.main$0$tempBoogie17, inline$_v2.main$0$tempBoogie18, inline$_v2.main$0$tempBoogie19, inline$_v2.main$0$__havoc_dummy_return, inline$_v2.main$0$result.main$1;
- inline$_v2.main$0$_v2.alloc := _v2.alloc;
- inline$_v2.main$0$_v2.OK := _v2.OK;
- inline$_v2.main$0$_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
- inline$_v2.main$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
- goto inline$_v2.main$0$anon0#2;
-
- inline$_v2.main$0$anon0#2:
- inline$_v2.main$0$havoc_stringTemp := 0;
- goto inline$_v2.main$0$start#2;
-
- inline$_v2.main$0$start#2:
- _v2.__HAVOC_det_malloc_in_6_0, _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4 := 5, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- call inline$_v2.main$0$ie := _v2.__HAVOC_det_malloc(5);
- _v2.__HAVOC_det_malloc_6_done := true;
- _v2.__HAVOC_det_malloc_out_6_0, _v2.__HAVOC_det_malloc_out_6_1 := inline$_v2.main$0$ie, _v2.alloc;
- inline$_v2.main$0$result.giwscan_cb$2 := 0;
- inline$_v2.main$0$result.main$1 := 0;
- _v2.__HAVOC_det_malloc_in_7_0, _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4 := 4, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- call inline$_v2.main$0$se := _v2.__HAVOC_det_malloc(4);
- _v2.__HAVOC_det_malloc_7_done := true;
- _v2.__HAVOC_det_malloc_out_7_0, _v2.__HAVOC_det_malloc_out_7_1 := inline$_v2.main$0$se, _v2.alloc;
- goto inline$_v2.main$0$label_3#2;
-
- inline$_v2.main$0$label_3#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 63} true;
- goto inline$_v2.main$0$label_4#2;
-
- inline$_v2.main$0$label_4#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 64} true;
- goto inline$_v2.main$0$label_5#2;
-
- inline$_v2.main$0$label_5#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 65} true;
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
- == 1;
- assert true;
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se) := inline$_v2.main$0$ie];
- assume _v2.value_is(_v2.__ctobpl_const_48,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
- goto inline$_v2.main$0$label_6#2;
-
- inline$_v2.main$0$label_6#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 66} true;
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 0))
- == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 0) := 200];
- assume _v2.value_is(_v2.__ctobpl_const_49,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_50,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 0)]);
- goto inline$_v2.main$0$label_7#2;
-
- inline$_v2.main$0$label_7#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 67} true;
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
- == 1;
- assert true;
- assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 0);
- _v2.OK := _v2.OK
- && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 1))
- == 1;
- assert true;
- _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 1) := 3];
- assume _v2.value_is(_v2.__ctobpl_const_51,
- _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
- assume _v2.value_is(_v2.__ctobpl_const_52,
- _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
- 1,
- 1)]);
- goto inline$_v2.main$0$label_8#2;
-
- inline$_v2.main$0$label_8#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 69} true;
- assume _v2.INT_GT(inline$_v2.main$0$se, 0);
- assume _v2.INT_GT(inline$_v2.main$0$se, 0);
- _v2.giwscan_cb_in_8_0, _v2.giwscan_cb_in_8_1, _v2.giwscan_cb_in_8_2, _v2.giwscan_cb_in_8_3, _v2.giwscan_cb_in_8_4 := inline$_v2.main$0$se, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- call inline$_v2.main$0$result.giwscan_cb$2 := _v2.giwscan_cb(inline$_v2.main$0$se);
- _v2.giwscan_cb_8_done := true;
- _v2.giwscan_cb_out_8_0, _v2.giwscan_cb_out_8_1, _v2.giwscan_cb_out_8_2, _v2.giwscan_cb_out_8_3 := inline$_v2.main$0$result.giwscan_cb$2, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
- goto inline$_v2.main$0$label_11#2;
-
- inline$_v2.main$0$label_11#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 71} true;
- inline$_v2.main$0$result.main$1 := 0;
- goto inline$_v2.main$0$label_1#2;
-
- inline$_v2.main$0$label_1#2:
- _v2.OK := _v2.OK && true;
- assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 72} true;
- _v2.__HAVOC_free_in_9_0, _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4 := inline$_v2.main$0$ie, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- call _v2.__HAVOC_free(inline$_v2.main$0$ie);
- _v2.__HAVOC_free_9_done := true;
- _v2.__HAVOC_free_in_10_0, _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4 := inline$_v2.main$0$se, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- call _v2.__HAVOC_free(inline$_v2.main$0$se);
- _v2.__HAVOC_free_10_done := true;
- goto inline$_v2.main$0$Return;
-
- inline$_v2.main$0$Return:
- assume true;
- _v2.result.main$1 := inline$_v2.main$0$result.main$1;
- goto START$2;
-
- START$2:
- goto MS_L_0_8;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done;
- store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4;
- call out__v1.__HAVOC_det_malloc_out_1_0_0, out__v2.__HAVOC_det_malloc_out_6_0_0 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_6_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
- assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_0
- && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_0;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v2.Mem_T.UCHAR;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-
- MS_L_0_1:
- goto MS_L_taken_1, MS_L_not_taken_1;
-
- MS_L_taken_1:
- assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done;
- store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4;
- call out__v1.__HAVOC_det_malloc_out_1_0_1, out__v2.__HAVOC_det_malloc_out_7_0_1 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_7_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
- assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_1
- && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_1;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v2.Mem_T.UCHAR;
- goto MS_L_meet_1;
-
- MS_L_not_taken_1:
- assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done);
- goto MS_L_meet_1;
-
- MS_L_meet_1:
- goto MS_L_0_0;
-
- MS_L_0_2:
- goto MS_L_taken_2, MS_L_not_taken_2;
-
- MS_L_taken_2:
- assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done;
- store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4;
- call out__v1.__HAVOC_det_malloc_out_2_0_2, out__v2.__HAVOC_det_malloc_out_6_0_2 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_6_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
- assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_2
- && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_2;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v2.Mem_T.UCHAR;
- goto MS_L_meet_2;
-
- MS_L_not_taken_2:
- assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done);
- goto MS_L_meet_2;
-
- MS_L_meet_2:
- goto MS_L_0_1;
-
- MS_L_0_3:
- goto MS_L_taken_3, MS_L_not_taken_3;
-
- MS_L_taken_3:
- assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done;
- store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4;
- call out__v1.__HAVOC_det_malloc_out_2_0_3, out__v2.__HAVOC_det_malloc_out_7_0_3 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_7_0);
- assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
- assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
- assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_3
- && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_3;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v2.Mem_T.UCHAR;
- goto MS_L_meet_3;
-
- MS_L_not_taken_3:
- assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done);
- goto MS_L_meet_3;
-
- MS_L_meet_3:
- goto MS_L_0_2;
-
- MS_L_0_4:
- goto MS_L_taken_4, MS_L_not_taken_4;
-
- MS_L_taken_4:
- assume _v1.giwscan_cb_3_done && _v2.giwscan_cb_8_done;
- store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.giwscan_cb_in_3_1, _v1.giwscan_cb_in_3_2, _v1.giwscan_cb_in_3_3, _v1.giwscan_cb_in_3_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.giwscan_cb_in_8_1, _v2.giwscan_cb_in_8_2, _v2.giwscan_cb_in_8_3, _v2.giwscan_cb_in_8_4;
- call out__v1.giwscan_cb_out_3_0_4, out__v2.giwscan_cb_out_8_0_4 := MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.giwscan_cb_in_3_0, _v2.giwscan_cb_in_8_0);
- assume _v1.alloc == _v1.giwscan_cb_out_3_1
- && (_v1.OK <==> _v1.giwscan_cb_out_3_2)
- && _v1.Mem_T.UCHAR == _v1.giwscan_cb_out_3_3;
- assume _v2.alloc == _v2.giwscan_cb_out_8_1
- && (_v2.OK <==> _v2.giwscan_cb_out_8_2)
- && _v2.Mem_T.UCHAR == _v2.giwscan_cb_out_8_3;
- assume _v1.giwscan_cb_out_3_0 == out__v1.giwscan_cb_out_3_0_4
- && _v2.giwscan_cb_out_8_0 == out__v2.giwscan_cb_out_8_0_4;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v2.Mem_T.UCHAR;
- goto MS_L_meet_4;
-
- MS_L_not_taken_4:
- assume !(_v1.giwscan_cb_3_done && _v2.giwscan_cb_8_done);
- goto MS_L_meet_4;
-
- MS_L_meet_4:
- goto MS_L_0_3;
-
- MS_L_0_5:
- goto MS_L_taken_5, MS_L_not_taken_5;
-
- MS_L_taken_5:
- assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done;
- store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_9_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v2.Mem_T.UCHAR;
- goto MS_L_meet_5;
-
- MS_L_not_taken_5:
- assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done);
- goto MS_L_meet_5;
-
- MS_L_meet_5:
- goto MS_L_0_4;
-
- MS_L_0_6:
- goto MS_L_taken_6, MS_L_not_taken_6;
-
- MS_L_taken_6:
- assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done;
- store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_10_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v2.Mem_T.UCHAR;
- goto MS_L_meet_6;
-
- MS_L_not_taken_6:
- assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done);
- goto MS_L_meet_6;
-
- MS_L_meet_6:
- goto MS_L_0_5;
-
- MS_L_0_7:
- goto MS_L_taken_7, MS_L_not_taken_7;
-
- MS_L_taken_7:
- assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done;
- store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_9_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v2.Mem_T.UCHAR;
- goto MS_L_meet_7;
-
- MS_L_not_taken_7:
- assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done);
- goto MS_L_meet_7;
-
- MS_L_meet_7:
- goto MS_L_0_6;
-
- MS_L_0_8:
- goto MS_L_taken_8, MS_L_not_taken_8;
-
- MS_L_taken_8:
- assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done;
- store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
- store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4;
- call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_10_0);
- assume true;
- assume true;
- assume true;
- _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v1.Mem_T.UCHAR;
- _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v2.Mem_T.UCHAR;
- goto MS_L_meet_8;
-
- MS_L_not_taken_8:
- assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done);
- goto MS_L_meet_8;
-
- MS_L_meet_8:
- goto MS_L_0_7;
-}
-
-
+// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function _v2.ite(b: bool, x: int, y: int) : int;
+
+var _v2.OK: bool;
+
+var {:extern} _v2.Mem: [name][int]int;
+
+var {:extern} _v2.alloc: int;
+
+var {:extern} _v2.Mem_T.A1CHAR: [int]int;
+
+var {:extern} _v2.Mem_T.A5UCHAR: [int]int;
+
+var {:extern} _v2.Mem_T.A6UCHAR: [int]int;
+
+var {:extern} _v2.Mem_T.CHAR: [int]int;
+
+var {:extern} _v2.Mem_T.INT4: [int]int;
+
+var {:extern} _v2.Mem_T.PCHAR: [int]int;
+
+var {:extern} _v2.Mem_T.PUCHAR: [int]int;
+
+var {:extern} _v2.Mem_T.PVOID: [int]int;
+
+var {:extern} _v2.Mem_T.Pieee80211_scan_entry: [int]int;
+
+var {:extern} _v2.Mem_T.UCHAR: [int]int;
+
+var {:extern} _v2.Mem_T.VOID: [int]int;
+
+var {:extern} _v2.Mem_T.ieee80211_scan_entry: [int]int;
+
+var {:extern} _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+
+var {:extern} _v2.detChoiceCnt: int;
+
+var {:extern} _v2.Res_KERNEL_SOURCE: [int]int;
+
+var {:extern} _v2.Res_PROBED: [int]int;
+
+const {:extern} unique _v2.T.se_rsn_ie_ieee80211_scan_entry: name;
+
+const {:extern} unique _v2.T.A1CHAR: name;
+
+const {:extern} unique _v2.T.A5UCHAR: name;
+
+const {:extern} unique _v2.T.A6UCHAR: name;
+
+const {:extern} unique _v2.T.CHAR: name;
+
+const {:extern} unique _v2.T.INT4: name;
+
+const {:extern} unique _v2.T.PA1CHAR: name;
+
+const {:extern} unique _v2.T.PA5UCHAR: name;
+
+const {:extern} unique _v2.T.PA6UCHAR: name;
+
+const {:extern} unique _v2.T.PCHAR: name;
+
+const {:extern} unique _v2.T.PINT4: name;
+
+const {:extern} unique _v2.T.PPCHAR: name;
+
+const {:extern} unique _v2.T.PPUCHAR: name;
+
+const {:extern} unique _v2.T.PPVOID: name;
+
+const {:extern} unique _v2.T.PPieee80211_scan_entry: name;
+
+const {:extern} unique _v2.T.PUCHAR: name;
+
+const {:extern} unique _v2.T.PUINT4: name;
+
+const {:extern} unique _v2.T.PVOID: name;
+
+const {:extern} unique _v2.T.Pieee80211_scan_entry: name;
+
+const {:extern} unique _v2.T.UCHAR: name;
+
+const {:extern} unique _v2.T.UINT4: name;
+
+const {:extern} unique _v2.T.VOID: name;
+
+const {:extern} unique _v2.T.ieee80211_scan_entry: name;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_8: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 13} unique _v2.__ctobpl_const_2: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 15} unique _v2.__ctobpl_const_3: int;
+
+const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 15} unique _v2.__ctobpl_const_4: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_5: int;
+
+const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_6: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_7: int;
+
+const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_9: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 16} unique _v2.__ctobpl_const_10: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_44: int;
+
+const {:extern} {:model_const "(se.se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 66} unique _v2.__ctobpl_const_50: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 51} unique _v2.__ctobpl_const_34: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 67} unique _v2.__ctobpl_const_51: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_45: int;
+
+const {:extern} {:model_const "(se.se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 67} unique _v2.__ctobpl_const_52: int;
+
+const {:extern} {:model_const "(se->se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_37: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_42: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_39: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_47: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_40: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_38: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 66} unique _v2.__ctobpl_const_49: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_35: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_43: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 53} unique _v2.__ctobpl_const_46: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 65} unique _v2.__ctobpl_const_48: int;
+
+const {:extern} {:model_const "(se->se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 54} unique _v2.__ctobpl_const_41: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 52} unique _v2.__ctobpl_const_36: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 13} unique _v2.__ctobpl_const_1: int;
+
+const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_31: int;
+
+const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_17: int;
+
+const {:extern} {:model_const "*(p + 1)"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 32} unique _v2.__ctobpl_const_24: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_18: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 34} unique _v2.__ctobpl_const_26: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 18} unique _v2.__ctobpl_const_14: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_27: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 51} unique _v2.__ctobpl_const_33: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_28: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_15: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 17} unique _v2.__ctobpl_const_11: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_29: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 17} unique _v2.__ctobpl_const_12: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_32: int;
+
+const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_19: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 30} unique _v2.__ctobpl_const_21: int;
+
+const {:extern} {:model_const "*p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 30} unique _v2.__ctobpl_const_22: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 32} unique _v2.__ctobpl_const_23: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_16: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 20} unique _v2.__ctobpl_const_20: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 33} unique _v2.__ctobpl_const_25: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 18} unique _v2.__ctobpl_const_13: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceLine 39} unique _v2.__ctobpl_const_30: int;
+
+function {:extern} _v2.OneByteToInt(arg_0: byte) : int;
+
+function {:extern} _v2.TwoBytesToInt(arg_0: byte, arg_1: byte) : int;
+
+function {:extern} _v2.FourBytesToInt(arg_0: byte, arg_1: byte, arg_2: byte, arg_3: byte) : int;
+
+function {:extern} _v2.Field(arg_0: int) : name;
+
+function {:extern} _v2.Base(arg_0: int) : int;
+
+function {:extern} _v2.Match(a: int, t: name) : bool;
+
+function {:extern} _v2.MatchBase(b: int, a: int, t: name) : bool;
+
+function {:extern} _v2.HasType(v: int, t: name) : bool;
+
+function {:extern} _v2.T.Ptr(t: name) : name;
+
+function {:extern} _v2.se_rsn_ie_ieee80211_scan_entry(arg_0: int) : int;
+
+function {:extern} _v2.se_rsn_ie_ieee80211_scan_entryInv(arg_0: int) : int;
+
+function {:extern} _v2._S_se_rsn_ie_ieee80211_scan_entry(arg_0: [int]bool) : [int]bool;
+
+function {:extern} _v2._S_se_rsn_ie_ieee80211_scan_entryInv(arg_0: [int]bool) : [int]bool;
+
+function {:extern} _v2.INT_AND(a: int, b: int) : int;
+
+function {:extern} _v2.INT_OR(a: int, b: int) : int;
+
+function {:extern} _v2.INT_XOR(a: int, b: int) : int;
+
+function {:extern} _v2.INT_NOT(a: int) : int;
+
+function {:extern} _v2.POW2(a: int) : bool;
+
+function {:extern} _v2.INT_MINUS_LEFT_PTR(a: int, a_size: int, b: int) : int;
+
+function {:extern} _v2.INT_PLUS(a: int, a_size: int, b: int) : int;
+
+function {:extern} _v2.INT_MULT(a: int, b: int) : int;
+
+function {:extern} _v2.INT_DIV(a: int, b: int) : int;
+
+function {:extern} _v2.INT_BINARY_BOTH_INT(a: int, b: int) : int;
+
+function {:extern} _v2.BV32_EQ(x: bv32, y: bv32) : bool;
+
+function {:extern} _v2.BV32_NEQ(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvadd"} _v2.BV32_ADD(x: bv32, y: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvsub"} _v2.BV32_SUB(x: bv32, y: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvmul"} _v2.BV32_MULT(x: bv32, y: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvudiv"} _v2.BV32_DIV(x: bv32, y: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvult"} _v2.BV32_ULT(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvslt"} _v2.BV32_LT(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvule"} _v2.BV32_ULEQ(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvsle"} _v2.BV32_LEQ(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvugt"} _v2.BV32_UGT(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvsgt"} _v2.BV32_GT(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvuge"} _v2.BV32_UGEQ(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvsge"} _v2.BV32_GEQ(x: bv32, y: bv32) : bool;
+
+function {:extern} {:bvbuiltin "bvand"} _v2.BV32_AND(a: bv32, b: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvor"} _v2.BV32_OR(a: bv32, b: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvxor"} _v2.BV32_XOR(a: bv32, b: bv32) : bv32;
+
+function {:extern} {:bvbuiltin "bvnot"} _v2.BV32_NOT(a: bv32) : bv32;
+
+function {:extern} _v2.BV32_MINUS_BOTH_PTR_OR_BOTH_INT(a: bv32, b: bv32, size: bv32) : bv32;
+
+function {:extern} _v2.BV32_MINUS_LEFT_PTR(a: bv32, a_size: bv32, b: bv32) : bv32;
+
+function {:extern} _v2.BV32_PLUS(a: bv32, a_size: bv32, b: bv32) : bv32;
+
+function {:extern} _v2.BV32_BINARY_BOTH_INT(a: bv32, b: bv32) : bv32;
+
+function {:extern} _v2.bv32ToInt(arg_0: bv32) : int;
+
+function {:extern} _v2.intToBv32(arg_0: int) : bv32;
+
+function {:extern} _v2.choose(a: bool, b: int, c: int) : int;
+
+function {:extern} _v2.LIFT(a: bool) : int;
+
+function {:extern} _v2.PTR_NOT(a: int) : int;
+
+function {:extern} _v2.NULL_CHECK(a: int) : int;
+
+function {:extern} _v2.NewAlloc(x: int, y: int) : int;
+
+function {:extern} _v2.DetChoiceFunc(a: int) : int;
+
+function {:extern} _v2.Res_VALID_REGION(arg_0: int) : int;
+
+function {:extern} _v2.Equal(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function {:extern} _v2.Subset(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function {:extern} _v2.Disjoint(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function {:extern} _v2.Empty() : [int]bool;
+
+function {:extern} _v2.SetTrue() : [int]bool;
+
+function {:extern} _v2.Singleton(arg_0: int) : [int]bool;
+
+function {:extern} _v2.Reachable(arg_0: [int,int]bool, arg_1: int) : [int]bool;
+
+function {:extern} _v2.Union(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function {:extern} _v2.Intersection(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function {:extern} _v2.Difference(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function {:extern} _v2.Dereference(arg_0: [int]bool, arg_1: [int]int) : [int]bool;
+
+function {:extern} _v2.Inverse(f: [int]int, x: int) : [int]bool;
+
+function {:extern} _v2.AtLeast(arg_0: int, arg_1: int) : [int]bool;
+
+function {:extern} _v2.Rep(arg_0: int, arg_1: int) : int;
+
+function {:extern} _v2.Array(arg_0: int, arg_1: int, arg_2: int) : [int]bool;
+
+function {:extern} _v2.Unified(arg_0: [name][int]int) : [int]int;
+
+function {:extern} _v2.value_is(c: int, e: int) : bool;
+
+
+function {:inline true} _v2.se_rsn_ie_ieee80211_scan_entry(x : int) : int
+{
+_v2.INT_ADD(x, 0)
+}
+
+function {:inline true} _v2.INT_EQ(x : int, y : int): bool
+{
+x == y
+}
+
+function {:inline true} _v2.INT_NEQ(x : int, y: int): bool
+{
+x != y
+}
+
+function {:inline true} _v2.INT_ADD(x : int, y : int): int
+{
+x + y
+}
+
+function {:inline true} _v2.INT_SUB(x : int, y : int): int
+{
+ x - y
+}
+
+function {:inline true} _v2.INT_LT(x : int, y : int): bool
+{
+x < y
+}
+
+function {:inline true} _v2.INT_ULT(x : int, y : int): bool
+{
+x < y
+}
+
+function {:inline true} _v2.INT_LEQ(x : int, y : int): bool
+{
+x <= y
+}
+
+function {:inline true} _v2.INT_ULEQ(x : int, y : int): bool
+{
+x <= y
+}
+
+function {:inline true} _v2.INT_GT(x : int, y : int): bool
+{
+x > y
+}
+
+function {:inline true} _v2.INT_UGT(x : int, y : int): bool
+{
+x > y
+}
+
+function {:inline true} _v2.INT_GEQ(x : int, y : int): bool
+{
+x >= y
+}
+
+function {:inline true} _v2.INT_UGEQ(x : int, y : int): bool
+{
+x >= y
+}
+
+
+
+procedure _v2.havoc_assert(i: int);
+ /* free */ requires i != 0;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.havoc_assume(i: int);
+ /* free */ ensures i != 0;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.__HAVOC_free(a: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.__HAVOC_malloc(obj_size: int) returns (new: int);
+ /* free */ requires _v2.INT_GEQ(obj_size, 0);
+ modifies _v2.alloc;
+ /* free */ ensures new == old(_v2.alloc);
+ /* free */ ensures _v2.INT_GT(_v2.alloc, _v2.INT_ADD(new, obj_size));
+ /* free */ ensures _v2.Base(new) == new;
+ /* free */ ensures _v2.INT_GEQ(new, 0);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.__HAVOC_det_malloc(obj_size: int) returns (new: int);
+ /* free */ requires _v2.INT_GEQ(obj_size, 0);
+ modifies _v2.alloc;
+ /* free */ ensures new == old(_v2.alloc);
+ /* free */ ensures _v2.INT_GT(_v2.alloc, _v2.INT_ADD(new, obj_size));
+ /* free */ ensures _v2.Base(new) == new;
+ /* free */ ensures _v2.alloc == _v2.NewAlloc(old(_v2.alloc), obj_size);
+ /* free */ ensures _v2.INT_GEQ(new, 0);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+
+procedure _v2.nondet_choice() returns (x: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.det_choice() returns (x: int);
+ modifies _v2.detChoiceCnt;
+ /* free */ ensures _v2.detChoiceCnt == _v2.INT_ADD(old(_v2.detChoiceCnt), 1);
+ /* free */ ensures x == _v2.DetChoiceFunc(old(_v2.detChoiceCnt));
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2._strdup(str: int) returns (new: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2._xstrcasecmp(a0: int, a1: int) returns (ret: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2._xstrcmp(a0: int, a1: int) returns (ret: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.memcpy(a0: int, a1: int, a2: int) returns (ret: int);
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.encode_ie(buf_.1: int,
+ bufsize_.1: int,
+ ie_.1: int,
+ ielen_.1: int,
+ leader_.1: int,
+ leader_len_.1: int)
+ returns (result.encode_ie$1: int);
+ modifies _v2.OK, _v2.Mem_T.UCHAR;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int);
+ modifies _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.main() returns (result.main$1: int);
+ modifies _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+procedure _v2.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
+ returns (out_bufsize: int, out_i: int, out_p: int, out_tempBoogie0: int);
+ modifies _v2.Mem_T.UCHAR, _v2.OK;
+ /* free */ ensures _v2.OK ==> old(_v2.OK);
+
+
+
+implementation _v2.encode_ie(buf_.1: int,
+ bufsize_.1: int,
+ ie_.1: int,
+ ielen_.1: int,
+ leader_.1: int,
+ leader_len_.1: int)
+ returns (result.encode_ie$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} buf: int;
+ var {:extern} bufsize: int;
+ var {:extern} i: int;
+ var {:extern} ie: int;
+ var {:extern} ielen: int;
+ var {:extern} leader: int;
+ var {:extern} leader_len: int;
+ var {:extern} p: int;
+ var {:extern} result.memcpy$2: int;
+ var {:extern} $result.question.3.$$static$: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume _v2.INT_LT(buf_.1, _v2.alloc);
+ assume _v2.INT_LT(ie_.1, _v2.alloc);
+ assume _v2.INT_LT(leader_.1, _v2.alloc);
+ buf := 0;
+ assume _v2.INT_GEQ(buf_.1, 0);
+ bufsize := 0;
+ i := 0;
+ ie := 0;
+ assume _v2.INT_GEQ(ie_.1, 0);
+ ielen := 0;
+ leader := 0;
+ assume _v2.INT_GEQ(leader_.1, 0);
+ leader_len := 0;
+ p := 0;
+ result.encode_ie$1 := 0;
+ result.memcpy$2 := 0;
+ $result.question.3.$$static$ := 0;
+ buf := buf_.1;
+ bufsize := bufsize_.1;
+ ie := ie_.1;
+ ielen := ielen_.1;
+ leader := leader_.1;
+ leader_len := leader_len_.1;
+ goto label_3#2;
+
+ label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 9} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 10} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 13} true;
+ goto label_5_true#2, label_5_false#2;
+
+ label_5_false#2:
+ assume !_v2.INT_LT(bufsize, leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_1, bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_2, leader_len);
+ goto label_6#2;
+
+ label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 15} true;
+ p := buf;
+ assume _v2.value_is(_v2.__ctobpl_const_3, p);
+ assume _v2.value_is(_v2.__ctobpl_const_4, buf);
+ goto label_8#2;
+
+ label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 16} true;
+ call result.memcpy$2 := _v2.memcpy(p, leader, leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_5, p);
+ assume _v2.value_is(_v2.__ctobpl_const_6, leader);
+ assume _v2.value_is(_v2.__ctobpl_const_7, leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_8, p);
+ assume _v2.value_is(_v2.__ctobpl_const_9, leader);
+ assume _v2.value_is(_v2.__ctobpl_const_10, leader_len);
+ goto label_11#2;
+
+ label_11#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 17} true;
+ havoc tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, leader_len, 1, tempBoogie0);
+ bufsize := tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_11, bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_12, leader_len);
+ goto label_12#2;
+
+ label_12#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 18} true;
+ tempBoogie0 := _v2.INT_PLUS(p, 1, leader_len);
+ p := tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_13, p);
+ assume _v2.value_is(_v2.__ctobpl_const_14, leader_len);
+ goto label_13#2;
+
+ label_13#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ i := 0;
+ assume _v2.value_is(_v2.__ctobpl_const_15, i);
+ goto label_14#2;
+
+ label_14#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto label_14_head#2;
+
+ label_14_head#2:
+ call bufsize, i, p, tempBoogie0 := _v2.encode_ie_loop_label_14_head(bufsize, i, ielen, p, tempBoogie0);
+ goto label_14_head_last#2;
+
+ label_14_head_last#2:
+ goto label_14_true#2, label_14_false#2;
+
+ label_14_false#2:
+ assume !_v2.INT_LT(i, ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, ielen);
+ goto label_15#2;
+
+ label_14_true#2:
+ assume _v2.INT_LT(i, ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, ielen);
+ goto label_16#2;
+
+ label_16#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto label_16_true#2, label_16_false#2;
+
+ label_16_false#2:
+ assume !_v2.INT_LT(2, bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, bufsize);
+ goto label_15#2;
+
+ label_15#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ goto label_15_true#2, label_15_false#2;
+
+ label_15_false#2:
+ assume !_v2.INT_EQ(i, ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_18, i);
+ assume _v2.value_is(_v2.__ctobpl_const_19, ielen);
+ goto label_22#2;
+
+ label_22#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ $result.question.3.$$static$ := 0;
+ assume _v2.value_is(_v2.__ctobpl_const_28, $result.question.3.$$static$);
+ goto label_24#2;
+
+ label_15_true#2:
+ assume _v2.INT_EQ(i, ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_18, i);
+ assume _v2.value_is(_v2.__ctobpl_const_19, ielen);
+ goto label_23#2;
+
+ label_23#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ havoc $result.question.3.$$static$;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(p, buf, 1, $result.question.3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_29, $result.question.3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_30, p);
+ assume _v2.value_is(_v2.__ctobpl_const_31, buf);
+ goto label_24#2;
+
+ label_24#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ result.encode_ie$1 := $result.question.3.$$static$;
+ assume _v2.value_is(_v2.__ctobpl_const_32, $result.question.3.$$static$);
+ goto label_1#2;
+
+ label_16_true#2:
+ assume _v2.INT_LT(2, bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, bufsize);
+ goto label_17#2;
+
+ label_17#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(p, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(p) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[p := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_21, p);
+ assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[p]);
+ goto label_18#2;
+
+ label_18#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(p, 1, 1), 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(p, 1, 1)) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1) := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_23, p);
+ assume _v2.value_is(_v2.__ctobpl_const_24, _v2.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1)]);
+ goto label_19#2;
+
+ label_19#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
+ tempBoogie0 := _v2.INT_PLUS(p, 1, 2);
+ p := tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_25, p);
+ goto label_20#2;
+
+ label_20#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
+ havoc tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, 2, 1, tempBoogie0);
+ bufsize := tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_26, bufsize);
+ goto label_21#2;
+
+ label_21#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v2.__ctobpl_const_27, i);
+ i := _v2.INT_PLUS(i, 1, 1);
+ goto label_21_dummy#2;
+
+ label_21_dummy#2:
+ assume false;
+ return;
+
+ label_5_true#2:
+ assume _v2.INT_LT(bufsize, leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_1, bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_2, leader_len);
+ goto label_7#2;
+
+ label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 14} true;
+ result.encode_ie$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 40} true;
+ return;
+}
+
+
+
+implementation _v2.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} buf: int;
+ var {:extern} $encode_ie.arg.4$3.$$static$: int;
+ var {:extern} result.encode_ie$2: int;
+ var {:extern} rsn_leader: int;
+ var {:extern} se: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume _v2.INT_LT(se_.1, _v2.alloc);
+ call buf := _v2.__HAVOC_det_malloc(6);
+ $encode_ie.arg.4$3.$$static$ := 0;
+ result.encode_ie$2 := 0;
+ result.giwscan_cb$1 := 0;
+ call rsn_leader := _v2.__HAVOC_det_malloc(1);
+ se := 0;
+ assume _v2.INT_GEQ(se_.1, 0);
+ se := se_.1;
+ goto label_3#2;
+
+ label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 46} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 47} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 51} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ goto label_5_true#2, label_5_false#2;
+
+ label_5_false#2:
+ assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
+ == 0;
+ assume _v2.value_is(_v2.__ctobpl_const_33, se);
+ assume _v2.value_is(_v2.__ctobpl_const_34,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_6#2;
+
+ label_5_true#2:
+ assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
+ != 0;
+ assume _v2.value_is(_v2.__ctobpl_const_33, se);
+ assume _v2.value_is(_v2.__ctobpl_const_34,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_7#2;
+
+ label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 52} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ goto label_7_true#2, label_7_false#2;
+
+ label_7_false#2:
+ assume !_v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v2.__ctobpl_const_35, se);
+ assume _v2.value_is(_v2.__ctobpl_const_36,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_37,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_6#2;
+
+ label_7_true#2:
+ assume _v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v2.__ctobpl_const_35, se);
+ assume _v2.value_is(_v2.__ctobpl_const_36,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_37,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_8#2;
+
+ label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 54} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ $encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)],
+ 1,
+ 2);
+ assume _v2.value_is(_v2.__ctobpl_const_38, $encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_39, se);
+ assume _v2.value_is(_v2.__ctobpl_const_40,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_41,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)]);
+ goto label_9#2;
+
+ label_9#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 53} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(se, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ call result.encode_ie$2 := _v2.encode_ie(buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)], $encode_ie.arg.4$3.$$static$, rsn_leader, 1);
+ assume _v2.value_is(_v2.__ctobpl_const_42, se);
+ assume _v2.value_is(_v2.__ctobpl_const_43,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_44, $encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_45, se);
+ assume _v2.value_is(_v2.__ctobpl_const_46,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_47, $encode_ie.arg.4$3.$$static$);
+ goto label_6#2;
+
+ label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 58} true;
+ result.giwscan_cb$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 59} true;
+ call _v2.__HAVOC_free(buf);
+ call _v2.__HAVOC_free(rsn_leader);
+ return;
+}
+
+
+
+implementation _v2.main() returns (result.main$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} ie: int;
+ var {:extern} result.giwscan_cb$2: int;
+ var {:extern} se: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ call ie := _v2.__HAVOC_det_malloc(5);
+ result.giwscan_cb$2 := 0;
+ result.main$1 := 0;
+ call se := _v2.__HAVOC_det_malloc(4);
+ goto label_3#2;
+
+ label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 63} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 64} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 65} true;
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se) := ie];
+ assume _v2.value_is(_v2.__ctobpl_const_48,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_6#2;
+
+ label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 66} true;
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0) := 200];
+ assume _v2.value_is(_v2.__ctobpl_const_49,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_50,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_7#2;
+
+ label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 67} true;
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1) := 3];
+ assume _v2.value_is(_v2.__ctobpl_const_51,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_52,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)]);
+ goto label_8#2;
+
+ label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 69} true;
+ assume _v2.INT_GT(se, 0);
+ assume _v2.INT_GT(se, 0);
+ call result.giwscan_cb$2 := _v2.giwscan_cb(se);
+ goto label_11#2;
+
+ label_11#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 71} true;
+ result.main$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 72} true;
+ call _v2.__HAVOC_free(ie);
+ call _v2.__HAVOC_free(se);
+ return;
+}
+
+
+
+implementation _v2.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
+ returns (out_bufsize: int, out_i: int, out_p: int, out_tempBoogie0: int)
+{
+
+ entry#2:
+ out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
+ goto label_14_head#2;
+
+ label_14_head#2:
+ goto label_14_true#2, label_14_false#2;
+
+ label_14_false#2:
+ assume !_v2.INT_LT(out_i, in_ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, out_i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, in_ielen);
+ out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
+ _v2.Mem_T.UCHAR := old(_v2.Mem_T.UCHAR);
+ return;
+
+ label_14_true#2:
+ assume _v2.INT_LT(out_i, in_ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, out_i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, in_ielen);
+ goto label_16#2;
+
+ label_16#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto label_16_true#2, label_16_false#2;
+
+ label_16_false#2:
+ assume !_v2.INT_LT(2, out_bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, out_bufsize);
+ out_bufsize, out_i, out_p, out_tempBoogie0 := in_bufsize, in_i, in_p, in_tempBoogie0;
+ _v2.Mem_T.UCHAR := old(_v2.Mem_T.UCHAR);
+ return;
+
+ label_16_true#2:
+ assume _v2.INT_LT(2, out_bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, out_bufsize);
+ goto label_17#2;
+
+ label_17#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(out_p, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(out_p) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[out_p := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_21, out_p);
+ assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[out_p]);
+ goto label_18#2;
+
+ label_18#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(out_p, 1, 1), 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(out_p, 1, 1)) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1) := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_23, out_p);
+ assume _v2.value_is(_v2.__ctobpl_const_24, _v2.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1)]);
+ goto label_19#2;
+
+ label_19#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
+ out_tempBoogie0 := _v2.INT_PLUS(out_p, 1, 2);
+ out_p := out_tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_25, out_p);
+ goto label_20#2;
+
+ label_20#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
+ havoc out_tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(out_bufsize, 2, 1, out_tempBoogie0);
+ out_bufsize := out_tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_26, out_bufsize);
+ goto label_21#2;
+
+ label_21#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v2.__ctobpl_const_27, out_i);
+ out_i := _v2.INT_PLUS(out_i, 1, 1);
+ goto label_21_dummy#2;
+
+ label_21_dummy#2:
+ call out_bufsize, out_i, out_p, out_tempBoogie0 := _v2.encode_ie_loop_label_14_head(out_bufsize, out_i, in_ielen, out_p, out_tempBoogie0);
+ return;
+}
+
+
+
+function _v1.ite(b: bool, x: int, y: int) : int;
+
+
+var _v1.OK: bool;
+
+var {:extern} _v1.Mem: [name][int]int;
+
+var {:extern} _v1.alloc: int;
+
+var {:extern} _v1.Mem_T.A1CHAR: [int]int;
+
+var {:extern} _v1.Mem_T.A5UCHAR: [int]int;
+
+var {:extern} _v1.Mem_T.A6UCHAR: [int]int;
+
+var {:extern} _v1.Mem_T.CHAR: [int]int;
+
+var {:extern} _v1.Mem_T.INT4: [int]int;
+
+var {:extern} _v1.Mem_T.PCHAR: [int]int;
+
+var {:extern} _v1.Mem_T.PUCHAR: [int]int;
+
+var {:extern} _v1.Mem_T.PVOID: [int]int;
+
+var {:extern} _v1.Mem_T.Pieee80211_scan_entry: [int]int;
+
+var {:extern} _v1.Mem_T.UCHAR: [int]int;
+
+var {:extern} _v1.Mem_T.VOID: [int]int;
+
+var {:extern} _v1.Mem_T.ieee80211_scan_entry: [int]int;
+
+var {:extern} _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+
+var {:extern} _v1.detChoiceCnt: int;
+
+var {:extern} _v1.Res_KERNEL_SOURCE: [int]int;
+
+var {:extern} _v1.Res_PROBED: [int]int;
+
+const {:extern} unique _v1.T.se_rsn_ie_ieee80211_scan_entry: name;
+
+const {:extern} unique _v1.T.A1CHAR: name;
+
+const {:extern} unique _v1.T.A5UCHAR: name;
+
+const {:extern} unique _v1.T.A6UCHAR: name;
+
+const {:extern} unique _v1.T.CHAR: name;
+
+const {:extern} unique _v1.T.INT4: name;
+
+const {:extern} unique _v1.T.PA1CHAR: name;
+
+const {:extern} unique _v1.T.PA5UCHAR: name;
+
+const {:extern} unique _v1.T.PA6UCHAR: name;
+
+const {:extern} unique _v1.T.PCHAR: name;
+
+const {:extern} unique _v1.T.PINT4: name;
+
+const {:extern} unique _v1.T.PPCHAR: name;
+
+const {:extern} unique _v1.T.PPUCHAR: name;
+
+const {:extern} unique _v1.T.PPVOID: name;
+
+const {:extern} unique _v1.T.PPieee80211_scan_entry: name;
+
+const {:extern} unique _v1.T.PUCHAR: name;
+
+const {:extern} unique _v1.T.PUINT4: name;
+
+const {:extern} unique _v1.T.PVOID: name;
+
+const {:extern} unique _v1.T.Pieee80211_scan_entry: name;
+
+const {:extern} unique _v1.T.UCHAR: name;
+
+const {:extern} unique _v1.T.UINT4: name;
+
+const {:extern} unique _v1.T.VOID: name;
+
+const {:extern} unique _v1.T.ieee80211_scan_entry: name;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_5: int;
+
+const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_6: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_7: int;
+
+const {:extern} {:model_const "leader"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_9: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 13} unique _v1.__ctobpl_const_1: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_8: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 16} unique _v1.__ctobpl_const_10: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 13} unique _v1.__ctobpl_const_2: int;
+
+const {:extern} {:model_const "*(p + 1)"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 32} unique _v1.__ctobpl_const_24: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 33} unique _v1.__ctobpl_const_25: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_26: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_28: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 17} unique _v1.__ctobpl_const_11: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 32} unique _v1.__ctobpl_const_23: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 18} unique _v1.__ctobpl_const_13: int;
+
+const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_30: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 50} unique _v1.__ctobpl_const_32: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 17} unique _v1.__ctobpl_const_12: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 50} unique _v1.__ctobpl_const_33: int;
+
+const {:extern} {:model_const "bufsize"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_20: int;
+
+const {:extern} {:model_const "*p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 30} unique _v1.__ctobpl_const_22: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_18: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_31: int;
+
+const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_19: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_16: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 30} unique _v1.__ctobpl_const_21: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_29: int;
+
+const {:extern} {:model_const "result.question.3"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 38} unique _v1.__ctobpl_const_27: int;
+
+const {:extern} {:model_const "ielen"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_17: int;
+
+const {:extern} {:model_const "leader_len"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 18} unique _v1.__ctobpl_const_14: int;
+
+const {:extern} {:model_const "i"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 20} unique _v1.__ctobpl_const_15: int;
+
+const {:extern} {:model_const "p"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 15} unique _v1.__ctobpl_const_3: int;
+
+const {:extern} {:model_const "buf"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 15} unique _v1.__ctobpl_const_4: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 66} unique _v1.__ctobpl_const_50: int;
+
+const {:extern} {:model_const "(se.se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 65} unique _v1.__ctobpl_const_49: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 65} unique _v1.__ctobpl_const_48: int;
+
+const {:extern} {:model_const "(se.se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 66} unique _v1.__ctobpl_const_51: int;
+
+const {:extern} {:model_const "se.se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 64} unique _v1.__ctobpl_const_47: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_41: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_42: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_45: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_35: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_44: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_34: int;
+
+const {:extern} {:model_const "(se->se_rsn_ie)[1]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_40: int;
+
+const {:extern} {:model_const "se"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_38: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_43: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 52} unique _v1.__ctobpl_const_46: int;
+
+const {:extern} {:model_const "(se->se_rsn_ie)[0]"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 51} unique _v1.__ctobpl_const_36: int;
+
+const {:extern} {:model_const "encode_ie.arg.4"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_37: int;
+
+const {:extern} {:model_const "se->se_rsn_ie"} {:sourceFile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceLine 53} unique _v1.__ctobpl_const_39: int;
+
+function {:inline true} _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(a : int, b : int, size : int, result : int) : bool
+{
+ (size * result <= a - b) && (a - b < size * (result + 1))
+}
+
+
+
+procedure _v1.havoc_assert(i: int);
+ /* free */ requires i != 0;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.havoc_assume(i: int);
+ /* free */ ensures i != 0;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.__HAVOC_free(a: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.__HAVOC_malloc(obj_size: int) returns (new: int);
+ /* free */ requires _v2.INT_GEQ(obj_size, 0);
+ modifies _v1.alloc;
+ /* free */ ensures new == old(_v1.alloc);
+ /* free */ ensures _v2.INT_GT(_v1.alloc, _v2.INT_ADD(new, obj_size));
+ /* free */ ensures _v2.Base(new) == new;
+ /* free */ ensures _v2.INT_GEQ(new, 0);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.__HAVOC_det_malloc(obj_size: int) returns (new: int);
+ /* free */ requires _v2.INT_GEQ(obj_size, 0);
+ modifies _v1.alloc;
+ /* free */ ensures new == old(_v1.alloc);
+ /* free */ ensures _v2.INT_GT(_v1.alloc, _v2.INT_ADD(new, obj_size));
+ /* free */ ensures _v2.Base(new) == new;
+ /* free */ ensures _v1.alloc == _v2.NewAlloc(old(_v1.alloc), obj_size);
+ /* free */ ensures _v2.INT_GEQ(new, 0);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+
+procedure _v1.nondet_choice() returns (x: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.det_choice() returns (x: int);
+ modifies _v1.detChoiceCnt;
+ /* free */ ensures _v1.detChoiceCnt == _v2.INT_ADD(old(_v1.detChoiceCnt), 1);
+ /* free */ ensures x == _v2.DetChoiceFunc(old(_v1.detChoiceCnt));
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1._strdup(str: int) returns (new: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1._xstrcasecmp(a0: int, a1: int) returns (ret: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1._xstrcmp(a0: int, a1: int) returns (ret: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.memcpy(a0: int, a1: int, a2: int) returns (ret: int);
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.encode_ie(buf_.1: int,
+ bufsize_.1: int,
+ ie_.1: int,
+ ielen_.1: int,
+ leader_.1: int,
+ leader_len_.1: int)
+ returns (result.encode_ie$1: int);
+ modifies _v1.OK, _v1.Mem_T.UCHAR;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int);
+ modifies _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.main() returns (result.main$1: int);
+ modifies _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+procedure _v1.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
+ returns (out_i: int, out_p: int, out_tempBoogie0: int);
+ modifies _v1.Mem_T.UCHAR, _v1.OK;
+ /* free */ ensures _v1.OK ==> old(_v1.OK);
+
+
+
+implementation _v1.encode_ie(buf_.1: int,
+ bufsize_.1: int,
+ ie_.1: int,
+ ielen_.1: int,
+ leader_.1: int,
+ leader_len_.1: int)
+ returns (result.encode_ie$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} buf: int;
+ var {:extern} bufsize: int;
+ var {:extern} i: int;
+ var {:extern} ie: int;
+ var {:extern} ielen: int;
+ var {:extern} leader: int;
+ var {:extern} leader_len: int;
+ var {:extern} p: int;
+ var {:extern} result.memcpy$2: int;
+ var {:extern} $result.question.3.$$static$: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume _v2.INT_LT(buf_.1, _v1.alloc);
+ assume _v2.INT_LT(ie_.1, _v1.alloc);
+ assume _v2.INT_LT(leader_.1, _v1.alloc);
+ buf := 0;
+ assume _v2.INT_GEQ(buf_.1, 0);
+ bufsize := 0;
+ i := 0;
+ ie := 0;
+ assume _v2.INT_GEQ(ie_.1, 0);
+ ielen := 0;
+ leader := 0;
+ assume _v2.INT_GEQ(leader_.1, 0);
+ leader_len := 0;
+ p := 0;
+ result.encode_ie$1 := 0;
+ result.memcpy$2 := 0;
+ $result.question.3.$$static$ := 0;
+ buf := buf_.1;
+ bufsize := bufsize_.1;
+ ie := ie_.1;
+ ielen := ielen_.1;
+ leader := leader_.1;
+ leader_len := leader_len_.1;
+ goto label_3#2;
+
+ label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 9} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 10} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 13} true;
+ goto label_5_true#2, label_5_false#2;
+
+ label_5_false#2:
+ assume !_v2.INT_LT(bufsize, leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_1, bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_2, leader_len);
+ goto label_6#2;
+
+ label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 15} true;
+ p := buf;
+ assume _v2.value_is(_v1.__ctobpl_const_3, p);
+ assume _v2.value_is(_v1.__ctobpl_const_4, buf);
+ goto label_8#2;
+
+ label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 16} true;
+ call result.memcpy$2 := _v1.memcpy(p, leader, leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_5, p);
+ assume _v2.value_is(_v1.__ctobpl_const_6, leader);
+ assume _v2.value_is(_v1.__ctobpl_const_7, leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_8, p);
+ assume _v2.value_is(_v1.__ctobpl_const_9, leader);
+ assume _v2.value_is(_v1.__ctobpl_const_10, leader_len);
+ goto label_11#2;
+
+ label_11#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 17} true;
+ havoc tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(bufsize, leader_len, 1, tempBoogie0);
+ bufsize := tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_11, bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_12, leader_len);
+ goto label_12#2;
+
+ label_12#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 18} true;
+ tempBoogie0 := _v2.INT_PLUS(p, 1, leader_len);
+ p := tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_13, p);
+ assume _v2.value_is(_v1.__ctobpl_const_14, leader_len);
+ goto label_13#2;
+
+ label_13#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ i := 0;
+ assume _v2.value_is(_v1.__ctobpl_const_15, i);
+ goto label_14#2;
+
+ label_14#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto label_14_head#2;
+
+ label_14_head#2:
+ call i, p, tempBoogie0 := _v1.encode_ie_loop_label_14_head(bufsize, i, ielen, p, tempBoogie0);
+ goto label_14_head_last#2;
+
+ label_14_head_last#2:
+ goto label_14_true#2, label_14_false#2;
+
+ label_14_false#2:
+ assume !_v2.INT_LT(i, ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, ielen);
+ goto label_15#2;
+
+ label_14_true#2:
+ assume _v2.INT_LT(i, ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, ielen);
+ goto label_16#2;
+
+ label_16#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto label_16_true#2, label_16_false#2;
+
+ label_16_false#2:
+ assume !_v2.INT_LT(2, bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, bufsize);
+ goto label_15#2;
+
+ label_15#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ goto label_15_true#2, label_15_false#2;
+
+ label_15_false#2:
+ assume !_v2.INT_EQ(i, ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_18, i);
+ assume _v2.value_is(_v1.__ctobpl_const_19, ielen);
+ goto label_21#2;
+
+ label_21#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ $result.question.3.$$static$ := 0;
+ assume _v2.value_is(_v1.__ctobpl_const_27, $result.question.3.$$static$);
+ goto label_23#2;
+
+ label_15_true#2:
+ assume _v2.INT_EQ(i, ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_18, i);
+ assume _v2.value_is(_v1.__ctobpl_const_19, ielen);
+ goto label_22#2;
+
+ label_22#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ havoc $result.question.3.$$static$;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(p, buf, 1, $result.question.3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_28, $result.question.3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_29, p);
+ assume _v2.value_is(_v1.__ctobpl_const_30, buf);
+ goto label_23#2;
+
+ label_23#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ result.encode_ie$1 := $result.question.3.$$static$;
+ assume _v2.value_is(_v1.__ctobpl_const_31, $result.question.3.$$static$);
+ goto label_1#2;
+
+ label_16_true#2:
+ assume _v2.INT_LT(2, bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, bufsize);
+ goto label_17#2;
+
+ label_17#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(p, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(p) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[p := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_21, p);
+ assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[p]);
+ goto label_18#2;
+
+ label_18#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(p, 1, 1), 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(p, 1, 1)) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1) := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_23, p);
+ assume _v2.value_is(_v1.__ctobpl_const_24, _v1.Mem_T.UCHAR[_v2.INT_PLUS(p, 1, 1)]);
+ goto label_19#2;
+
+ label_19#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
+ tempBoogie0 := _v2.INT_PLUS(p, 1, 2);
+ p := tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_25, p);
+ goto label_20#2;
+
+ label_20#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v1.__ctobpl_const_26, i);
+ i := _v2.INT_PLUS(i, 1, 1);
+ goto label_20_dummy#2;
+
+ label_20_dummy#2:
+ assume false;
+ return;
+
+ label_5_true#2:
+ assume _v2.INT_LT(bufsize, leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_1, bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_2, leader_len);
+ goto label_7#2;
+
+ label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 14} true;
+ result.encode_ie$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 39} true;
+ return;
+}
+
+
+
+implementation _v1.giwscan_cb(se_.1: int) returns (result.giwscan_cb$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} buf: int;
+ var {:extern} $encode_ie.arg.4$3.$$static$: int;
+ var {:extern} result.encode_ie$2: int;
+ var {:extern} rsn_leader: int;
+ var {:extern} se: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume _v2.INT_LT(se_.1, _v1.alloc);
+ call buf := _v1.__HAVOC_det_malloc(6);
+ $encode_ie.arg.4$3.$$static$ := 0;
+ result.encode_ie$2 := 0;
+ result.giwscan_cb$1 := 0;
+ call rsn_leader := _v1.__HAVOC_det_malloc(1);
+ se := 0;
+ assume _v2.INT_GEQ(se_.1, 0);
+ se := se_.1;
+ goto label_3#2;
+
+ label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 45} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 46} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 50} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ goto label_5_true#2, label_5_false#2;
+
+ label_5_false#2:
+ assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
+ == 0;
+ assume _v2.value_is(_v1.__ctobpl_const_32, se);
+ assume _v2.value_is(_v1.__ctobpl_const_33,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_6#2;
+
+ label_5_true#2:
+ assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]
+ != 0;
+ assume _v2.value_is(_v1.__ctobpl_const_32, se);
+ assume _v2.value_is(_v1.__ctobpl_const_33,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_7#2;
+
+ label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 51} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ goto label_7_true#2, label_7_false#2;
+
+ label_7_false#2:
+ assume !_v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v1.__ctobpl_const_34, se);
+ assume _v2.value_is(_v1.__ctobpl_const_35,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_36,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_6#2;
+
+ label_7_true#2:
+ assume _v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v1.__ctobpl_const_34, se);
+ assume _v2.value_is(_v1.__ctobpl_const_35,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_36,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_8#2;
+
+ label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 53} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ $encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)],
+ 1,
+ 2);
+ assume _v2.value_is(_v1.__ctobpl_const_37, $encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_38, se);
+ assume _v2.value_is(_v1.__ctobpl_const_39,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_40,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)]);
+ goto label_9#2;
+
+ label_9#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 52} true;
+ assume _v2.INT_GEQ(se, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(se, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ call result.encode_ie$2 := _v1.encode_ie(buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)], $encode_ie.arg.4$3.$$static$, rsn_leader, 1);
+ assume _v2.value_is(_v1.__ctobpl_const_41, se);
+ assume _v2.value_is(_v1.__ctobpl_const_42,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_43, $encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_44, se);
+ assume _v2.value_is(_v1.__ctobpl_const_45,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_46, $encode_ie.arg.4$3.$$static$);
+ goto label_6#2;
+
+ label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 57} true;
+ result.giwscan_cb$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 58} true;
+ call _v1.__HAVOC_free(buf);
+ call _v1.__HAVOC_free(rsn_leader);
+ return;
+}
+
+
+
+implementation _v1.main() returns (result.main$1: int)
+{
+ var {:extern} havoc_stringTemp: int;
+ var {:extern} condVal: int;
+ var {:extern} ie: int;
+ var {:extern} result.giwscan_cb$2: int;
+ var {:extern} se: int;
+ var {:extern} tempBoogie0: int;
+ var {:extern} tempBoogie1: int;
+ var {:extern} tempBoogie2: int;
+ var {:extern} tempBoogie3: int;
+ var {:extern} tempBoogie4: int;
+ var {:extern} tempBoogie5: int;
+ var {:extern} tempBoogie6: int;
+ var {:extern} tempBoogie7: int;
+ var {:extern} tempBoogie8: int;
+ var {:extern} tempBoogie9: int;
+ var {:extern} tempBoogie10: int;
+ var {:extern} tempBoogie11: int;
+ var {:extern} tempBoogie12: int;
+ var {:extern} tempBoogie13: int;
+ var {:extern} tempBoogie14: int;
+ var {:extern} tempBoogie15: int;
+ var {:extern} tempBoogie16: int;
+ var {:extern} tempBoogie17: int;
+ var {:extern} tempBoogie18: int;
+ var {:extern} tempBoogie19: int;
+ var {:extern} __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ call ie := _v1.__HAVOC_det_malloc(5);
+ result.giwscan_cb$2 := 0;
+ result.main$1 := 0;
+ call se := _v1.__HAVOC_det_malloc(4);
+ goto label_3#2;
+
+ label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 62} true;
+ goto label_4#2;
+
+ label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 63} true;
+ goto label_5#2;
+
+ label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 64} true;
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se) := ie];
+ assume _v2.value_is(_v1.__ctobpl_const_47,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ goto label_6#2;
+
+ label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 65} true;
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0) := 200];
+ assume _v2.value_is(_v1.__ctobpl_const_48,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_49,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 0)]);
+ goto label_7#2;
+
+ label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 66} true;
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(se)) == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1) := 3];
+ assume _v2.value_is(_v1.__ctobpl_const_50,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_51,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(se)],
+ 1,
+ 1)]);
+ goto label_8#2;
+
+ label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 68} true;
+ assume _v2.INT_GT(se, 0);
+ assume _v2.INT_GT(se, 0);
+ call result.giwscan_cb$2 := _v1.giwscan_cb(se);
+ goto label_11#2;
+
+ label_11#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 70} true;
+ result.main$1 := 0;
+ goto label_1#2;
+
+ label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 71} true;
+ call _v1.__HAVOC_free(ie);
+ call _v1.__HAVOC_free(se);
+ return;
+}
+
+
+
+implementation _v1.encode_ie_loop_label_14_head(in_bufsize: int, in_i: int, in_ielen: int, in_p: int, in_tempBoogie0: int)
+ returns (out_i: int, out_p: int, out_tempBoogie0: int)
+{
+
+ entry#2:
+ out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
+ goto label_14_head#2;
+
+ label_14_head#2:
+ goto label_14_true#2, label_14_false#2;
+
+ label_14_false#2:
+ assume !_v2.INT_LT(out_i, in_ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, out_i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, in_ielen);
+ out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
+ _v1.Mem_T.UCHAR := old(_v1.Mem_T.UCHAR);
+ return;
+
+ label_14_true#2:
+ assume _v2.INT_LT(out_i, in_ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, out_i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, in_ielen);
+ goto label_16#2;
+
+ label_16#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto label_16_true#2, label_16_false#2;
+
+ label_16_false#2:
+ assume !_v2.INT_LT(2, in_bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, in_bufsize);
+ out_i, out_p, out_tempBoogie0 := in_i, in_p, in_tempBoogie0;
+ _v1.Mem_T.UCHAR := old(_v1.Mem_T.UCHAR);
+ return;
+
+ label_16_true#2:
+ assume _v2.INT_LT(2, in_bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, in_bufsize);
+ goto label_17#2;
+
+ label_17#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(out_p, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(out_p) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[out_p := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_21, out_p);
+ assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[out_p]);
+ goto label_18#2;
+
+ label_18#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(out_p, 1, 1), 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(_v2.INT_PLUS(out_p, 1, 1)) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1) := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_23, out_p);
+ assume _v2.value_is(_v1.__ctobpl_const_24, _v1.Mem_T.UCHAR[_v2.INT_PLUS(out_p, 1, 1)]);
+ goto label_19#2;
+
+ label_19#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
+ out_tempBoogie0 := _v2.INT_PLUS(out_p, 1, 2);
+ out_p := out_tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_25, out_p);
+ goto label_20#2;
+
+ label_20#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v1.__ctobpl_const_26, out_i);
+ out_i := _v2.INT_PLUS(out_i, 1, 1);
+ goto label_20_dummy#2;
+
+ label_20_dummy#2:
+ call out_i, out_p, out_tempBoogie0 := _v1.encode_ie_loop_label_14_head(in_bufsize, out_i, in_ielen, out_p, out_tempBoogie0);
+ return;
+}
+
+
+
+type {:extern} name;
+
+type {:extern} byte;
+
+function {:inline true} MS$_v1.havoc_assert$_v2.havoc_assert(_v1.i: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v2.i: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.havoc_assert___v2.havoc_assert(_v1.i: int, _v2.i: int);
+ requires _v1.i == _v2.i
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.havoc_assert$_v2.havoc_assert(_v1.i,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v2.i,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED));
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.havoc_assume$_v2.havoc_assume(_v1.i: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v2.i: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.havoc_assume___v2.havoc_assume(_v1.i: int, _v2.i: int);
+ requires _v1.i == _v2.i
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.havoc_assume$_v2.havoc_assume(_v1.i,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v2.i,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED));
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_free$_v2.__HAVOC_free(_v1.a: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v2.a: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_0: bool;
+
+const {:existential true} _houdini_1: bool;
+
+const {:existential true} _houdini_2: bool;
+
+const {:existential true} _houdini_3: bool;
+
+const {:existential true} _houdini_4: bool;
+
+const {:existential true} _houdini_5: bool;
+
+const {:existential true} _houdini_6: bool;
+
+const {:existential true} _houdini_7: bool;
+
+const {:existential true} _houdini_8: bool;
+
+const {:existential true} _houdini_9: bool;
+
+const {:existential true} _houdini_10: bool;
+
+const {:existential true} _houdini_11: bool;
+
+const {:existential true} _houdini_12: bool;
+
+const {:existential true} _houdini_13: bool;
+
+const {:existential true} _houdini_14: bool;
+
+const {:existential true} _houdini_15: bool;
+
+const {:existential true} _houdini_16: bool;
+
+const {:existential true} _houdini_17: bool;
+
+const {:existential true} _houdini_18: bool;
+
+const {:existential true} _houdini_19: bool;
+
+const {:existential true} _houdini_20: bool;
+
+const {:existential true} _houdini_21: bool;
+
+const {:existential true} _houdini_22: bool;
+
+const {:existential true} _houdini_23: bool;
+
+procedure MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.a: int, _v2.a: int);
+ requires _houdini_0 ==> _v1.a <= _v2.a;
+ requires _houdini_1 ==> _v2.a <= _v1.a;
+ requires _houdini_2 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_3 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_4 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_5 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_6 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_7 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_8 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_9 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_10 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_11 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_12 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_13 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_14 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_15
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_16 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_17 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_18 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_19
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_20 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_21 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_22 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_23 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.__HAVOC_free$_v2.__HAVOC_free(_v1.a,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v2.a,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED));
+ ensures old(_v1.a == _v2.a) ==> true;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_malloc$_v2.__HAVOC_malloc(_v1.obj_size: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.alloc_: int,
+ _v1.new: int,
+ _v2.obj_size: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.alloc_: int,
+ _v2.new: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.__HAVOC_malloc___v2.__HAVOC_malloc(_v1.obj_size: int, _v2.obj_size: int) returns (_v1.new: int, _v2.new: int);
+ requires _v1.obj_size == _v2.obj_size
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.alloc, _v2.alloc;
+ ensures MS$_v1.__HAVOC_malloc$_v2.__HAVOC_malloc(_v1.obj_size,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.alloc,
+ _v1.new,
+ _v2.obj_size,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.alloc,
+ _v2.new);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_det_malloc$_v2.__HAVOC_det_malloc(_v1.obj_size: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.alloc_: int,
+ _v1.new: int,
+ _v2.obj_size: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.alloc_: int,
+ _v2.new: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_24: bool;
+
+const {:existential true} _houdini_25: bool;
+
+const {:existential true} _houdini_26: bool;
+
+const {:existential true} _houdini_27: bool;
+
+const {:existential true} _houdini_28: bool;
+
+const {:existential true} _houdini_29: bool;
+
+const {:existential true} _houdini_30: bool;
+
+const {:existential true} _houdini_31: bool;
+
+const {:existential true} _houdini_32: bool;
+
+const {:existential true} _houdini_33: bool;
+
+const {:existential true} _houdini_34: bool;
+
+const {:existential true} _houdini_35: bool;
+
+const {:existential true} _houdini_36: bool;
+
+const {:existential true} _houdini_37: bool;
+
+const {:existential true} _houdini_38: bool;
+
+const {:existential true} _houdini_39: bool;
+
+const {:existential true} _houdini_40: bool;
+
+const {:existential true} _houdini_41: bool;
+
+const {:existential true} _houdini_42: bool;
+
+const {:existential true} _houdini_43: bool;
+
+const {:existential true} _houdini_44: bool;
+
+const {:existential true} _houdini_45: bool;
+
+const {:existential true} _houdini_46: bool;
+
+const {:existential true} _houdini_47: bool;
+
+procedure MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.obj_size: int, _v2.obj_size: int) returns (_v1.new: int, _v2.new: int);
+ requires _houdini_24 ==> _v1.obj_size <= _v2.obj_size;
+ requires _houdini_25 ==> _v2.obj_size <= _v1.obj_size;
+ requires _houdini_26 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_27 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_28 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_29 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_30 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_31 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_32 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_33 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_34 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_35 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_36 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_37 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_38 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_39
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_40 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_41 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_42 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_43
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_44 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_45 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_46 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_47 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.alloc, _v2.alloc;
+ ensures MS$_v1.__HAVOC_det_malloc$_v2.__HAVOC_det_malloc(_v1.obj_size,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.alloc,
+ _v1.new,
+ _v2.obj_size,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.alloc,
+ _v2.new);
+ ensures old(_v1.obj_size == _v2.obj_size && _v1.alloc == _v2.alloc)
+ ==> _v1.new <= _v2.new
+ && _v2.new <= _v1.new
+ && _v1.alloc <= _v2.alloc
+ && _v2.alloc <= _v1.alloc;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_memset_split_1$_v2.__HAVOC_memset_split_1(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: [int]int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: [int]int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.__HAVOC_memset_split_1___v2.__HAVOC_memset_split_1(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int)
+ returns (_v1.ret: [int]int, _v2.ret: [int]int);
+ requires _v1.A == _v2.A
+ && _v1.p == _v2.p
+ && _v1.c == _v2.c
+ && _v1.n == _v2.n
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.__HAVOC_memset_split_1$_v2.__HAVOC_memset_split_1(_v1.A,
+ _v1.p,
+ _v1.c,
+ _v1.n,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.A,
+ _v2.p,
+ _v2.c,
+ _v2.n,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_memset_split_2$_v2.__HAVOC_memset_split_2(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: [int]int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: [int]int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.__HAVOC_memset_split_2___v2.__HAVOC_memset_split_2(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int)
+ returns (_v1.ret: [int]int, _v2.ret: [int]int);
+ requires _v1.A == _v2.A
+ && _v1.p == _v2.p
+ && _v1.c == _v2.c
+ && _v1.n == _v2.n
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.__HAVOC_memset_split_2$_v2.__HAVOC_memset_split_2(_v1.A,
+ _v1.p,
+ _v1.c,
+ _v1.n,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.A,
+ _v2.p,
+ _v2.c,
+ _v2.n,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.__HAVOC_memset_split_4$_v2.__HAVOC_memset_split_4(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: [int]int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: [int]int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.__HAVOC_memset_split_4___v2.__HAVOC_memset_split_4(_v1.A: [int]int,
+ _v1.p: int,
+ _v1.c: int,
+ _v1.n: int,
+ _v2.A: [int]int,
+ _v2.p: int,
+ _v2.c: int,
+ _v2.n: int)
+ returns (_v1.ret: [int]int, _v2.ret: [int]int);
+ requires _v1.A == _v2.A
+ && _v1.p == _v2.p
+ && _v1.c == _v2.c
+ && _v1.n == _v2.n
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.__HAVOC_memset_split_4$_v2.__HAVOC_memset_split_4(_v1.A,
+ _v1.p,
+ _v1.c,
+ _v1.n,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.A,
+ _v2.p,
+ _v2.c,
+ _v2.n,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.nondet_choice$_v2.nondet_choice(_v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.x: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.x: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.nondet_choice___v2.nondet_choice() returns (_v1.x: int, _v2.x: int);
+ requires (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.nondet_choice$_v2.nondet_choice(old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.x,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.x);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.det_choice$_v2.det_choice(_v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.detChoiceCnt_: int,
+ _v1.x: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.detChoiceCnt_: int,
+ _v2.x: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.det_choice___v2.det_choice() returns (_v1.x: int, _v2.x: int);
+ requires (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.detChoiceCnt, _v2.detChoiceCnt;
+ ensures MS$_v1.det_choice$_v2.det_choice(old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.detChoiceCnt,
+ _v1.x,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.detChoiceCnt,
+ _v2.x);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1._strdup$_v2._strdup(_v1.str: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.new: int,
+ _v2.str: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.new: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1._strdup___v2._strdup(_v1.str: int, _v2.str: int) returns (_v1.new: int, _v2.new: int);
+ requires _v1.str == _v2.str
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1._strdup$_v2._strdup(_v1.str,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.new,
+ _v2.str,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.new);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1._xstrcasecmp$_v2._xstrcasecmp(_v1.a0: int,
+ _v1.a1: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: int,
+ _v2.a0: int,
+ _v2.a1: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1._xstrcasecmp___v2._xstrcasecmp(_v1.a0: int, _v1.a1: int, _v2.a0: int, _v2.a1: int)
+ returns (_v1.ret: int, _v2.ret: int);
+ requires _v1.a0 == _v2.a0
+ && _v1.a1 == _v2.a1
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1._xstrcasecmp$_v2._xstrcasecmp(_v1.a0,
+ _v1.a1,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.a0,
+ _v2.a1,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1._xstrcmp$_v2._xstrcmp(_v1.a0: int,
+ _v1.a1: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: int,
+ _v2.a0: int,
+ _v2.a1: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1._xstrcmp___v2._xstrcmp(_v1.a0: int, _v1.a1: int, _v2.a0: int, _v2.a1: int)
+ returns (_v1.ret: int, _v2.ret: int);
+ requires _v1.a0 == _v2.a0
+ && _v1.a1 == _v2.a1
+ &&
+ (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1._xstrcmp$_v2._xstrcmp(_v1.a0,
+ _v1.a1,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.a0,
+ _v2.a1,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+function {:inline true} MS$_v1.memcpy$_v2.memcpy(_v1.a0: int,
+ _v1.a1: int,
+ _v1.a2: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.ret: int,
+ _v2.a0: int,
+ _v2.a1: int,
+ _v2.a2: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.ret: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_48: bool;
+
+const {:existential true} _houdini_49: bool;
+
+const {:existential true} _houdini_50: bool;
+
+const {:existential true} _houdini_51: bool;
+
+const {:existential true} _houdini_52: bool;
+
+const {:existential true} _houdini_53: bool;
+
+const {:existential true} _houdini_54: bool;
+
+const {:existential true} _houdini_55: bool;
+
+const {:existential true} _houdini_56: bool;
+
+const {:existential true} _houdini_57: bool;
+
+const {:existential true} _houdini_58: bool;
+
+const {:existential true} _houdini_59: bool;
+
+const {:existential true} _houdini_60: bool;
+
+const {:existential true} _houdini_61: bool;
+
+const {:existential true} _houdini_62: bool;
+
+const {:existential true} _houdini_63: bool;
+
+const {:existential true} _houdini_64: bool;
+
+const {:existential true} _houdini_65: bool;
+
+const {:existential true} _houdini_66: bool;
+
+const {:existential true} _houdini_67: bool;
+
+const {:existential true} _houdini_68: bool;
+
+const {:existential true} _houdini_69: bool;
+
+const {:existential true} _houdini_70: bool;
+
+const {:existential true} _houdini_71: bool;
+
+const {:existential true} _houdini_72: bool;
+
+const {:existential true} _houdini_73: bool;
+
+const {:existential true} _houdini_74: bool;
+
+const {:existential true} _houdini_75: bool;
+
+procedure MS_Check__v1.memcpy___v2.memcpy(_v1.a0: int, _v1.a1: int, _v1.a2: int, _v2.a0: int, _v2.a1: int, _v2.a2: int)
+ returns (_v1.ret: int, _v2.ret: int);
+ requires _houdini_48 ==> _v1.a0 <= _v2.a0;
+ requires _houdini_49 ==> _v2.a0 <= _v1.a0;
+ requires _houdini_50 ==> _v1.a1 <= _v2.a1;
+ requires _houdini_51 ==> _v2.a1 <= _v1.a1;
+ requires _houdini_52 ==> _v1.a2 <= _v2.a2;
+ requires _houdini_53 ==> _v2.a2 <= _v1.a2;
+ requires _houdini_54 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_55 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_56 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_57 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_58 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_59 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_60 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_61 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_62 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_63 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_64 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_65 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_66 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_67
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_68 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_69 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_70 ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_71
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_72 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_73 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_74 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_75 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ ensures MS$_v1.memcpy$_v2.memcpy(_v1.a0,
+ _v1.a1,
+ _v1.a2,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.ret,
+ _v2.a0,
+ _v2.a1,
+ _v2.a2,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.ret);
+ ensures old(_v1.a0 == _v2.a0 && _v1.a1 == _v2.a1 && _v1.a2 == _v2.a2)
+ ==> _v1.ret <= _v2.ret && _v2.ret <= _v1.ret;
+
+
+
+function {:inline true} MS$_v1.encode_ie$_v2.encode_ie(_v1.buf_.1: int,
+ _v1.bufsize_.1: int,
+ _v1.ie_.1: int,
+ _v1.ielen_.1: int,
+ _v1.leader_.1: int,
+ _v1.leader_len_.1: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.OK_: bool,
+ _v1.Mem_T.UCHAR_: [int]int,
+ _v1.result.encode_ie$1: int,
+ _v2.buf_.1: int,
+ _v2.bufsize_.1: int,
+ _v2.ie_.1: int,
+ _v2.ielen_.1: int,
+ _v2.leader_.1: int,
+ _v2.leader_len_.1: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.OK_: bool,
+ _v2.Mem_T.UCHAR_: [int]int,
+ _v2.result.encode_ie$1: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_76: bool;
+
+const {:existential true} _houdini_77: bool;
+
+const {:existential true} _houdini_78: bool;
+
+const {:existential true} _houdini_79: bool;
+
+const {:existential true} _houdini_80: bool;
+
+const {:existential true} _houdini_81: bool;
+
+const {:existential true} _houdini_82: bool;
+
+const {:existential true} _houdini_83: bool;
+
+const {:existential true} _houdini_84: bool;
+
+const {:existential true} _houdini_85: bool;
+
+const {:existential true} _houdini_86: bool;
+
+const {:existential true} _houdini_87: bool;
+
+const {:existential true} _houdini_88: bool;
+
+const {:existential true} _houdini_89: bool;
+
+const {:existential true} _houdini_90: bool;
+
+const {:existential true} _houdini_91: bool;
+
+const {:existential true} _houdini_92: bool;
+
+const {:existential true} _houdini_93: bool;
+
+const {:existential true} _houdini_94: bool;
+
+const {:existential true} _houdini_95: bool;
+
+const {:existential true} _houdini_96: bool;
+
+const {:existential true} _houdini_97: bool;
+
+const {:existential true} _houdini_98: bool;
+
+const {:existential true} _houdini_99: bool;
+
+const {:existential true} _houdini_100: bool;
+
+const {:existential true} _houdini_101: bool;
+
+const {:existential true} _houdini_102: bool;
+
+const {:existential true} _houdini_103: bool;
+
+const {:existential true} _houdini_104: bool;
+
+const {:existential true} _houdini_105: bool;
+
+const {:existential true} _houdini_106: bool;
+
+const {:existential true} _houdini_107: bool;
+
+const {:existential true} _houdini_108: bool;
+
+const {:existential true} _houdini_109: bool;
+
+const {:existential true} _houdini_110: bool;
+
+const {:existential true} _houdini_111: bool;
+
+const {:existential true} _houdini_112: bool;
+
+const {:existential true} _houdini_113: bool;
+
+const {:existential true} _houdini_114: bool;
+
+procedure MS_Check__v1.encode_ie___v2.encode_ie(_v1.buf_.1: int,
+ _v1.bufsize_.1: int,
+ _v1.ie_.1: int,
+ _v1.ielen_.1: int,
+ _v1.leader_.1: int,
+ _v1.leader_len_.1: int,
+ _v2.buf_.1: int,
+ _v2.bufsize_.1: int,
+ _v2.ie_.1: int,
+ _v2.ielen_.1: int,
+ _v2.leader_.1: int,
+ _v2.leader_len_.1: int)
+ returns (_v1.result.encode_ie$1: int, _v2.result.encode_ie$1: int);
+ requires _houdini_81 ==> _v1.buf_.1 <= _v2.buf_.1;
+ requires _houdini_82 ==> _v2.buf_.1 <= _v1.buf_.1;
+ requires _houdini_83 ==> _v1.bufsize_.1 <= _v2.bufsize_.1;
+ requires _houdini_84 ==> _v2.bufsize_.1 <= _v1.bufsize_.1;
+ requires _houdini_85 ==> _v1.ie_.1 <= _v2.ie_.1;
+ requires _houdini_86 ==> _v2.ie_.1 <= _v1.ie_.1;
+ requires _houdini_87 ==> _v1.ielen_.1 <= _v2.ielen_.1;
+ requires _houdini_88 ==> _v2.ielen_.1 <= _v1.ielen_.1;
+ requires _houdini_89 ==> _v1.leader_.1 <= _v2.leader_.1;
+ requires _houdini_90 ==> _v2.leader_.1 <= _v1.leader_.1;
+ requires _houdini_91 ==> _v1.leader_len_.1 <= _v2.leader_len_.1;
+ requires _houdini_92 ==> _v2.leader_len_.1 <= _v1.leader_len_.1;
+ requires _houdini_93 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_94 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_95 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_96 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_97 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_98 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_99 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_100 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_101 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_102 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_103 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_104 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_105 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_106
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_107 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_108 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_109
+ ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_110
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_111 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_112 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_113 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_114 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.OK, _v1.Mem_T.UCHAR, _v2.OK, _v2.Mem_T.UCHAR;
+ ensures MS$_v1.encode_ie$_v2.encode_ie(_v1.buf_.1,
+ _v1.bufsize_.1,
+ _v1.ie_.1,
+ _v1.ielen_.1,
+ _v1.leader_.1,
+ _v1.leader_len_.1,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.OK,
+ _v1.Mem_T.UCHAR,
+ _v1.result.encode_ie$1,
+ _v2.buf_.1,
+ _v2.bufsize_.1,
+ _v2.ie_.1,
+ _v2.ielen_.1,
+ _v2.leader_.1,
+ _v2.leader_len_.1,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.OK,
+ _v2.Mem_T.UCHAR,
+ _v2.result.encode_ie$1);
+ ensures _houdini_76 ==> _v1.result.encode_ie$1 <= _v2.result.encode_ie$1;
+ ensures _houdini_77 ==> _v2.result.encode_ie$1 <= _v1.result.encode_ie$1;
+ ensures _houdini_78 ==> _v1.OK ==> _v2.OK;
+ ensures _houdini_79 ==> _v2.OK ==> _v1.OK;
+ ensures _houdini_80 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+
+
+
+implementation MS_Check__v1.encode_ie___v2.encode_ie(_v1.buf_.1: int,
+ _v1.bufsize_.1: int,
+ _v1.ie_.1: int,
+ _v1.ielen_.1: int,
+ _v1.leader_.1: int,
+ _v1.leader_len_.1: int,
+ _v2.buf_.1: int,
+ _v2.bufsize_.1: int,
+ _v2.ie_.1: int,
+ _v2.ielen_.1: int,
+ _v2.leader_.1: int,
+ _v2.leader_len_.1: int)
+ returns (_v1.result.encode_ie$1: int, _v2.result.encode_ie$1: int)
+{
+ var inline$_v1.encode_ie$0$havoc_stringTemp: int;
+ var inline$_v1.encode_ie$0$condVal: int;
+ var inline$_v1.encode_ie$0$buf: int;
+ var inline$_v1.encode_ie$0$bufsize: int;
+ var inline$_v1.encode_ie$0$i: int;
+ var inline$_v1.encode_ie$0$ie: int;
+ var inline$_v1.encode_ie$0$ielen: int;
+ var inline$_v1.encode_ie$0$leader: int;
+ var inline$_v1.encode_ie$0$leader_len: int;
+ var inline$_v1.encode_ie$0$p: int;
+ var inline$_v1.encode_ie$0$result.memcpy$2: int;
+ var inline$_v1.encode_ie$0$$result.question.3.$$static$: int;
+ var inline$_v1.encode_ie$0$tempBoogie0: int;
+ var inline$_v1.encode_ie$0$tempBoogie1: int;
+ var inline$_v1.encode_ie$0$tempBoogie2: int;
+ var inline$_v1.encode_ie$0$tempBoogie3: int;
+ var inline$_v1.encode_ie$0$tempBoogie4: int;
+ var inline$_v1.encode_ie$0$tempBoogie5: int;
+ var inline$_v1.encode_ie$0$tempBoogie6: int;
+ var inline$_v1.encode_ie$0$tempBoogie7: int;
+ var inline$_v1.encode_ie$0$tempBoogie8: int;
+ var inline$_v1.encode_ie$0$tempBoogie9: int;
+ var inline$_v1.encode_ie$0$tempBoogie10: int;
+ var inline$_v1.encode_ie$0$tempBoogie11: int;
+ var inline$_v1.encode_ie$0$tempBoogie12: int;
+ var inline$_v1.encode_ie$0$tempBoogie13: int;
+ var inline$_v1.encode_ie$0$tempBoogie14: int;
+ var inline$_v1.encode_ie$0$tempBoogie15: int;
+ var inline$_v1.encode_ie$0$tempBoogie16: int;
+ var inline$_v1.encode_ie$0$tempBoogie17: int;
+ var inline$_v1.encode_ie$0$tempBoogie18: int;
+ var inline$_v1.encode_ie$0$tempBoogie19: int;
+ var inline$_v1.encode_ie$0$__havoc_dummy_return: int;
+ var inline$_v1.encode_ie$0$buf_.1: int;
+ var inline$_v1.encode_ie$0$bufsize_.1: int;
+ var inline$_v1.encode_ie$0$ie_.1: int;
+ var inline$_v1.encode_ie$0$ielen_.1: int;
+ var inline$_v1.encode_ie$0$leader_.1: int;
+ var inline$_v1.encode_ie$0$leader_len_.1: int;
+ var inline$_v1.encode_ie$0$result.encode_ie$1: int;
+ var inline$_v1.encode_ie$0$_v1.OK: bool;
+ var inline$_v1.encode_ie$0$_v1.Mem_T.UCHAR: [int]int;
+ var inline$_v2.encode_ie$0$havoc_stringTemp: int;
+ var inline$_v2.encode_ie$0$condVal: int;
+ var inline$_v2.encode_ie$0$buf: int;
+ var inline$_v2.encode_ie$0$bufsize: int;
+ var inline$_v2.encode_ie$0$i: int;
+ var inline$_v2.encode_ie$0$ie: int;
+ var inline$_v2.encode_ie$0$ielen: int;
+ var inline$_v2.encode_ie$0$leader: int;
+ var inline$_v2.encode_ie$0$leader_len: int;
+ var inline$_v2.encode_ie$0$p: int;
+ var inline$_v2.encode_ie$0$result.memcpy$2: int;
+ var inline$_v2.encode_ie$0$$result.question.3.$$static$: int;
+ var inline$_v2.encode_ie$0$tempBoogie0: int;
+ var inline$_v2.encode_ie$0$tempBoogie1: int;
+ var inline$_v2.encode_ie$0$tempBoogie2: int;
+ var inline$_v2.encode_ie$0$tempBoogie3: int;
+ var inline$_v2.encode_ie$0$tempBoogie4: int;
+ var inline$_v2.encode_ie$0$tempBoogie5: int;
+ var inline$_v2.encode_ie$0$tempBoogie6: int;
+ var inline$_v2.encode_ie$0$tempBoogie7: int;
+ var inline$_v2.encode_ie$0$tempBoogie8: int;
+ var inline$_v2.encode_ie$0$tempBoogie9: int;
+ var inline$_v2.encode_ie$0$tempBoogie10: int;
+ var inline$_v2.encode_ie$0$tempBoogie11: int;
+ var inline$_v2.encode_ie$0$tempBoogie12: int;
+ var inline$_v2.encode_ie$0$tempBoogie13: int;
+ var inline$_v2.encode_ie$0$tempBoogie14: int;
+ var inline$_v2.encode_ie$0$tempBoogie15: int;
+ var inline$_v2.encode_ie$0$tempBoogie16: int;
+ var inline$_v2.encode_ie$0$tempBoogie17: int;
+ var inline$_v2.encode_ie$0$tempBoogie18: int;
+ var inline$_v2.encode_ie$0$tempBoogie19: int;
+ var inline$_v2.encode_ie$0$__havoc_dummy_return: int;
+ var inline$_v2.encode_ie$0$buf_.1: int;
+ var inline$_v2.encode_ie$0$bufsize_.1: int;
+ var inline$_v2.encode_ie$0$ie_.1: int;
+ var inline$_v2.encode_ie$0$ielen_.1: int;
+ var inline$_v2.encode_ie$0$leader_.1: int;
+ var inline$_v2.encode_ie$0$leader_len_.1: int;
+ var inline$_v2.encode_ie$0$result.encode_ie$1: int;
+ var inline$_v2.encode_ie$0$_v2.OK: bool;
+ var inline$_v2.encode_ie$0$_v2.Mem_T.UCHAR: [int]int;
+ var _v1.memcpy_1_done: bool;
+ var _v1.memcpy_in_1_0: int;
+ var _v1.memcpy_in_1_1: int;
+ var _v1.memcpy_in_1_2: int;
+ var _v1.memcpy_in_1_3: bool;
+ var _v1.memcpy_in_1_4: [int]int;
+ var _v1.memcpy_out_1_0: int;
+ var _v1.encode_ie_loop_label_14_head_2_done: bool;
+ var _v1.encode_ie_loop_label_14_head_in_2_0: int;
+ var _v1.encode_ie_loop_label_14_head_in_2_1: int;
+ var _v1.encode_ie_loop_label_14_head_in_2_2: int;
+ var _v1.encode_ie_loop_label_14_head_in_2_3: int;
+ var _v1.encode_ie_loop_label_14_head_in_2_4: int;
+ var _v1.encode_ie_loop_label_14_head_in_2_5: bool;
+ var _v1.encode_ie_loop_label_14_head_in_2_6: [int]int;
+ var _v1.encode_ie_loop_label_14_head_out_2_0: int;
+ var _v1.encode_ie_loop_label_14_head_out_2_1: int;
+ var _v1.encode_ie_loop_label_14_head_out_2_2: int;
+ var _v1.encode_ie_loop_label_14_head_out_2_3: [int]int;
+ var _v1.encode_ie_loop_label_14_head_out_2_4: bool;
+ var _v2.memcpy_3_done: bool;
+ var _v2.memcpy_in_3_0: int;
+ var _v2.memcpy_in_3_1: int;
+ var _v2.memcpy_in_3_2: int;
+ var _v2.memcpy_in_3_3: bool;
+ var _v2.memcpy_in_3_4: [int]int;
+ var _v2.memcpy_out_3_0: int;
+ var _v2.encode_ie_loop_label_14_head_4_done: bool;
+ var _v2.encode_ie_loop_label_14_head_in_4_0: int;
+ var _v2.encode_ie_loop_label_14_head_in_4_1: int;
+ var _v2.encode_ie_loop_label_14_head_in_4_2: int;
+ var _v2.encode_ie_loop_label_14_head_in_4_3: int;
+ var _v2.encode_ie_loop_label_14_head_in_4_4: int;
+ var _v2.encode_ie_loop_label_14_head_in_4_5: bool;
+ var _v2.encode_ie_loop_label_14_head_in_4_6: [int]int;
+ var _v2.encode_ie_loop_label_14_head_out_4_0: int;
+ var _v2.encode_ie_loop_label_14_head_out_4_1: int;
+ var _v2.encode_ie_loop_label_14_head_out_4_2: int;
+ var _v2.encode_ie_loop_label_14_head_out_4_3: int;
+ var _v2.encode_ie_loop_label_14_head_out_4_4: [int]int;
+ var _v2.encode_ie_loop_label_14_head_out_4_5: bool;
+ var store__0__v1.OK: bool;
+ var store__0__v1.Mem_T.UCHAR: [int]int;
+ var store__0__v2.OK: bool;
+ var store__0__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.memcpy_out_1_0_0: int;
+ var out__v2.memcpy_out_3_0_0: int;
+ var store__1__v1.OK: bool;
+ var store__1__v1.Mem_T.UCHAR: [int]int;
+ var store__1__v2.OK: bool;
+ var store__1__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.encode_ie_loop_label_14_head_out_2_0_1: int;
+ var out__v1.encode_ie_loop_label_14_head_out_2_1_1: int;
+ var out__v1.encode_ie_loop_label_14_head_out_2_2_1: int;
+ var out__v2.encode_ie_loop_label_14_head_out_4_0_1: int;
+ var out__v2.encode_ie_loop_label_14_head_out_4_1_1: int;
+ var out__v2.encode_ie_loop_label_14_head_out_4_2_1: int;
+ var out__v2.encode_ie_loop_label_14_head_out_4_3_1: int;
+
+ START:
+ _v1.memcpy_1_done, _v1.encode_ie_loop_label_14_head_2_done, _v2.memcpy_3_done, _v2.encode_ie_loop_label_14_head_4_done := false, false, false, false;
+ goto inline$_v1.encode_ie$0$Entry;
+
+ inline$_v1.encode_ie$0$Entry:
+ inline$_v1.encode_ie$0$buf_.1 := _v1.buf_.1;
+ inline$_v1.encode_ie$0$bufsize_.1 := _v1.bufsize_.1;
+ inline$_v1.encode_ie$0$ie_.1 := _v1.ie_.1;
+ inline$_v1.encode_ie$0$ielen_.1 := _v1.ielen_.1;
+ inline$_v1.encode_ie$0$leader_.1 := _v1.leader_.1;
+ inline$_v1.encode_ie$0$leader_len_.1 := _v1.leader_len_.1;
+ havoc inline$_v1.encode_ie$0$havoc_stringTemp, inline$_v1.encode_ie$0$condVal, inline$_v1.encode_ie$0$buf, inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ie, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$result.memcpy$2, inline$_v1.encode_ie$0$$result.question.3.$$static$, inline$_v1.encode_ie$0$tempBoogie0, inline$_v1.encode_ie$0$tempBoogie1, inline$_v1.encode_ie$0$tempBoogie2, inline$_v1.encode_ie$0$tempBoogie3, inline$_v1.encode_ie$0$tempBoogie4, inline$_v1.encode_ie$0$tempBoogie5, inline$_v1.encode_ie$0$tempBoogie6, inline$_v1.encode_ie$0$tempBoogie7, inline$_v1.encode_ie$0$tempBoogie8, inline$_v1.encode_ie$0$tempBoogie9, inline$_v1.encode_ie$0$tempBoogie10, inline$_v1.encode_ie$0$tempBoogie11, inline$_v1.encode_ie$0$tempBoogie12, inline$_v1.encode_ie$0$tempBoogie13, inline$_v1.encode_ie$0$tempBoogie14, inline$_v1.encode_ie$0$tempBoogie15, inline$_v1.encode_ie$0$tempBoogie16, inline$_v1.encode_ie$0$tempBoogie17, inline$_v1.encode_ie$0$tempBoogie18, inline$_v1.encode_ie$0$tempBoogie19, inline$_v1.encode_ie$0$__havoc_dummy_return, inline$_v1.encode_ie$0$result.encode_ie$1;
+ inline$_v1.encode_ie$0$_v1.OK := _v1.OK;
+ inline$_v1.encode_ie$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
+ goto inline$_v1.encode_ie$0$anon0#2;
+
+ inline$_v1.encode_ie$0$anon0#2:
+ inline$_v1.encode_ie$0$havoc_stringTemp := 0;
+ goto inline$_v1.encode_ie$0$start#2;
+
+ inline$_v1.encode_ie$0$start#2:
+ assume _v2.INT_LT(inline$_v1.encode_ie$0$buf_.1, _v1.alloc);
+ assume _v2.INT_LT(inline$_v1.encode_ie$0$ie_.1, _v1.alloc);
+ assume _v2.INT_LT(inline$_v1.encode_ie$0$leader_.1, _v1.alloc);
+ inline$_v1.encode_ie$0$buf := 0;
+ assume _v2.INT_GEQ(inline$_v1.encode_ie$0$buf_.1, 0);
+ inline$_v1.encode_ie$0$bufsize := 0;
+ inline$_v1.encode_ie$0$i := 0;
+ inline$_v1.encode_ie$0$ie := 0;
+ assume _v2.INT_GEQ(inline$_v1.encode_ie$0$ie_.1, 0);
+ inline$_v1.encode_ie$0$ielen := 0;
+ inline$_v1.encode_ie$0$leader := 0;
+ assume _v2.INT_GEQ(inline$_v1.encode_ie$0$leader_.1, 0);
+ inline$_v1.encode_ie$0$leader_len := 0;
+ inline$_v1.encode_ie$0$p := 0;
+ inline$_v1.encode_ie$0$result.encode_ie$1 := 0;
+ inline$_v1.encode_ie$0$result.memcpy$2 := 0;
+ inline$_v1.encode_ie$0$$result.question.3.$$static$ := 0;
+ inline$_v1.encode_ie$0$buf := inline$_v1.encode_ie$0$buf_.1;
+ inline$_v1.encode_ie$0$bufsize := inline$_v1.encode_ie$0$bufsize_.1;
+ inline$_v1.encode_ie$0$ie := inline$_v1.encode_ie$0$ie_.1;
+ inline$_v1.encode_ie$0$ielen := inline$_v1.encode_ie$0$ielen_.1;
+ inline$_v1.encode_ie$0$leader := inline$_v1.encode_ie$0$leader_.1;
+ inline$_v1.encode_ie$0$leader_len := inline$_v1.encode_ie$0$leader_len_.1;
+ goto inline$_v1.encode_ie$0$label_3#2;
+
+ inline$_v1.encode_ie$0$label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 9} true;
+ goto inline$_v1.encode_ie$0$label_4#2;
+
+ inline$_v1.encode_ie$0$label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 10} true;
+ goto inline$_v1.encode_ie$0$label_5#2;
+
+ inline$_v1.encode_ie$0$label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 13} true;
+ goto inline$_v1.encode_ie$0$label_5_true#2, inline$_v1.encode_ie$0$label_5_false#2;
+
+ inline$_v1.encode_ie$0$label_5_false#2:
+ assume !_v2.INT_LT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_1, inline$_v1.encode_ie$0$bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_2, inline$_v1.encode_ie$0$leader_len);
+ goto inline$_v1.encode_ie$0$label_6#2;
+
+ inline$_v1.encode_ie$0$label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 15} true;
+ inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$buf;
+ assume _v2.value_is(_v1.__ctobpl_const_3, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_4, inline$_v1.encode_ie$0$buf);
+ goto inline$_v1.encode_ie$0$label_8#2;
+
+ inline$_v1.encode_ie$0$label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 16} true;
+ _v1.memcpy_in_1_0, _v1.memcpy_in_1_1, _v1.memcpy_in_1_2, _v1.memcpy_in_1_3, _v1.memcpy_in_1_4 := inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len, _v1.OK, _v1.Mem_T.UCHAR;
+ call inline$_v1.encode_ie$0$result.memcpy$2 := _v1.memcpy(inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$leader, inline$_v1.encode_ie$0$leader_len);
+ _v1.memcpy_1_done := true;
+ _v1.memcpy_out_1_0 := inline$_v1.encode_ie$0$result.memcpy$2;
+ assume _v2.value_is(_v1.__ctobpl_const_5, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_6, inline$_v1.encode_ie$0$leader);
+ assume _v2.value_is(_v1.__ctobpl_const_7, inline$_v1.encode_ie$0$leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_8, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_9, inline$_v1.encode_ie$0$leader);
+ assume _v2.value_is(_v1.__ctobpl_const_10, inline$_v1.encode_ie$0$leader_len);
+ goto inline$_v1.encode_ie$0$label_11#2;
+
+ inline$_v1.encode_ie$0$label_11#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 17} true;
+ havoc inline$_v1.encode_ie$0$tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len, 1, inline$_v1.encode_ie$0$tempBoogie0);
+ inline$_v1.encode_ie$0$bufsize := inline$_v1.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_11, inline$_v1.encode_ie$0$bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_12, inline$_v1.encode_ie$0$leader_len);
+ goto inline$_v1.encode_ie$0$label_12#2;
+
+ inline$_v1.encode_ie$0$label_12#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 18} true;
+ inline$_v1.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, inline$_v1.encode_ie$0$leader_len);
+ inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_13, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_14, inline$_v1.encode_ie$0$leader_len);
+ goto inline$_v1.encode_ie$0$label_13#2;
+
+ inline$_v1.encode_ie$0$label_13#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ inline$_v1.encode_ie$0$i := 0;
+ assume _v2.value_is(_v1.__ctobpl_const_15, inline$_v1.encode_ie$0$i);
+ goto inline$_v1.encode_ie$0$label_14#2;
+
+ inline$_v1.encode_ie$0$label_14#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto inline$_v1.encode_ie$0$label_14_head#2;
+
+ inline$_v1.encode_ie$0$label_14_head#2:
+ _v1.encode_ie_loop_label_14_head_in_2_0, _v1.encode_ie_loop_label_14_head_in_2_1, _v1.encode_ie_loop_label_14_head_in_2_2, _v1.encode_ie_loop_label_14_head_in_2_3, _v1.encode_ie_loop_label_14_head_in_2_4, _v1.encode_ie_loop_label_14_head_in_2_5, _v1.encode_ie_loop_label_14_head_in_2_6 := inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0, _v1.OK, _v1.Mem_T.UCHAR;
+ call inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0 := _v1.encode_ie_loop_label_14_head(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0);
+ _v1.encode_ie_loop_label_14_head_2_done := true;
+ _v1.encode_ie_loop_label_14_head_out_2_0, _v1.encode_ie_loop_label_14_head_out_2_1, _v1.encode_ie_loop_label_14_head_out_2_2, _v1.encode_ie_loop_label_14_head_out_2_3, _v1.encode_ie_loop_label_14_head_out_2_4 := inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
+ goto inline$_v1.encode_ie$0$label_14_head_last#2;
+
+ inline$_v1.encode_ie$0$label_14_head_last#2:
+ goto inline$_v1.encode_ie$0$label_14_true#2, inline$_v1.encode_ie$0$label_14_false#2;
+
+ inline$_v1.encode_ie$0$label_14_false#2:
+ assume !_v2.INT_LT(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie$0$i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie$0$ielen);
+ goto inline$_v1.encode_ie$0$label_15#2;
+
+ inline$_v1.encode_ie$0$label_14_true#2:
+ assume _v2.INT_LT(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie$0$i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie$0$ielen);
+ goto inline$_v1.encode_ie$0$label_16#2;
+
+ inline$_v1.encode_ie$0$label_16#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto inline$_v1.encode_ie$0$label_16_true#2, inline$_v1.encode_ie$0$label_16_false#2;
+
+ inline$_v1.encode_ie$0$label_16_false#2:
+ assume !_v2.INT_LT(2, inline$_v1.encode_ie$0$bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie$0$bufsize);
+ goto inline$_v1.encode_ie$0$label_15#2;
+
+ inline$_v1.encode_ie$0$label_15#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ goto inline$_v1.encode_ie$0$label_15_true#2, inline$_v1.encode_ie$0$label_15_false#2;
+
+ inline$_v1.encode_ie$0$label_15_false#2:
+ assume !_v2.INT_EQ(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_18, inline$_v1.encode_ie$0$i);
+ assume _v2.value_is(_v1.__ctobpl_const_19, inline$_v1.encode_ie$0$ielen);
+ goto inline$_v1.encode_ie$0$label_21#2;
+
+ inline$_v1.encode_ie$0$label_21#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ inline$_v1.encode_ie$0$$result.question.3.$$static$ := 0;
+ assume _v2.value_is(_v1.__ctobpl_const_27, inline$_v1.encode_ie$0$$result.question.3.$$static$);
+ goto inline$_v1.encode_ie$0$label_23#2;
+
+ inline$_v1.encode_ie$0$label_15_true#2:
+ assume _v2.INT_EQ(inline$_v1.encode_ie$0$i, inline$_v1.encode_ie$0$ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_18, inline$_v1.encode_ie$0$i);
+ assume _v2.value_is(_v1.__ctobpl_const_19, inline$_v1.encode_ie$0$ielen);
+ goto inline$_v1.encode_ie$0$label_22#2;
+
+ inline$_v1.encode_ie$0$label_22#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ havoc inline$_v1.encode_ie$0$$result.question.3.$$static$;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v1.encode_ie$0$p, inline$_v1.encode_ie$0$buf, 1, inline$_v1.encode_ie$0$$result.question.3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_28, inline$_v1.encode_ie$0$$result.question.3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_29, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_30, inline$_v1.encode_ie$0$buf);
+ goto inline$_v1.encode_ie$0$label_23#2;
+
+ inline$_v1.encode_ie$0$label_23#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 38} true;
+ inline$_v1.encode_ie$0$result.encode_ie$1 := inline$_v1.encode_ie$0$$result.question.3.$$static$;
+ assume _v2.value_is(_v1.__ctobpl_const_31, inline$_v1.encode_ie$0$$result.question.3.$$static$);
+ goto inline$_v1.encode_ie$0$label_1#2;
+
+ inline$_v1.encode_ie$0$label_16_true#2:
+ assume _v2.INT_LT(2, inline$_v1.encode_ie$0$bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie$0$bufsize);
+ goto inline$_v1.encode_ie$0$label_17#2;
+
+ inline$_v1.encode_ie$0$label_17#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(inline$_v1.encode_ie$0$p, 0);
+ _v1.OK := _v1.OK && _v2.Res_VALID_REGION(inline$_v1.encode_ie$0$p) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[inline$_v1.encode_ie$0$p := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_21, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_22, _v1.Mem_T.UCHAR[inline$_v1.encode_ie$0$p]);
+ goto inline$_v1.encode_ie$0$label_18#2;
+
+ inline$_v1.encode_ie$0$label_18#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1), 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1)) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1) := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_23, inline$_v1.encode_ie$0$p);
+ assume _v2.value_is(_v1.__ctobpl_const_24,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 1)]);
+ goto inline$_v1.encode_ie$0$label_19#2;
+
+ inline$_v1.encode_ie$0$label_19#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
+ inline$_v1.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie$0$p, 1, 2);
+ inline$_v1.encode_ie$0$p := inline$_v1.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_25, inline$_v1.encode_ie$0$p);
+ goto inline$_v1.encode_ie$0$label_20#2;
+
+ inline$_v1.encode_ie$0$label_20#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v1.__ctobpl_const_26, inline$_v1.encode_ie$0$i);
+ inline$_v1.encode_ie$0$i := _v2.INT_PLUS(inline$_v1.encode_ie$0$i, 1, 1);
+ goto inline$_v1.encode_ie$0$label_20_dummy#2;
+
+ inline$_v1.encode_ie$0$label_20_dummy#2:
+ assume false;
+ goto inline$_v1.encode_ie$0$Return;
+
+ inline$_v1.encode_ie$0$label_5_true#2:
+ assume _v2.INT_LT(inline$_v1.encode_ie$0$bufsize, inline$_v1.encode_ie$0$leader_len);
+ assume _v2.value_is(_v1.__ctobpl_const_1, inline$_v1.encode_ie$0$bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_2, inline$_v1.encode_ie$0$leader_len);
+ goto inline$_v1.encode_ie$0$label_7#2;
+
+ inline$_v1.encode_ie$0$label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 14} true;
+ inline$_v1.encode_ie$0$result.encode_ie$1 := 0;
+ goto inline$_v1.encode_ie$0$label_1#2;
+
+ inline$_v1.encode_ie$0$label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 39} true;
+ goto inline$_v1.encode_ie$0$Return;
+
+ inline$_v1.encode_ie$0$Return:
+ assume true;
+ _v1.result.encode_ie$1 := inline$_v1.encode_ie$0$result.encode_ie$1;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.encode_ie$0$Entry;
+
+ inline$_v2.encode_ie$0$Entry:
+ inline$_v2.encode_ie$0$buf_.1 := _v2.buf_.1;
+ inline$_v2.encode_ie$0$bufsize_.1 := _v2.bufsize_.1;
+ inline$_v2.encode_ie$0$ie_.1 := _v2.ie_.1;
+ inline$_v2.encode_ie$0$ielen_.1 := _v2.ielen_.1;
+ inline$_v2.encode_ie$0$leader_.1 := _v2.leader_.1;
+ inline$_v2.encode_ie$0$leader_len_.1 := _v2.leader_len_.1;
+ havoc inline$_v2.encode_ie$0$havoc_stringTemp, inline$_v2.encode_ie$0$condVal, inline$_v2.encode_ie$0$buf, inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ie, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$result.memcpy$2, inline$_v2.encode_ie$0$$result.question.3.$$static$, inline$_v2.encode_ie$0$tempBoogie0, inline$_v2.encode_ie$0$tempBoogie1, inline$_v2.encode_ie$0$tempBoogie2, inline$_v2.encode_ie$0$tempBoogie3, inline$_v2.encode_ie$0$tempBoogie4, inline$_v2.encode_ie$0$tempBoogie5, inline$_v2.encode_ie$0$tempBoogie6, inline$_v2.encode_ie$0$tempBoogie7, inline$_v2.encode_ie$0$tempBoogie8, inline$_v2.encode_ie$0$tempBoogie9, inline$_v2.encode_ie$0$tempBoogie10, inline$_v2.encode_ie$0$tempBoogie11, inline$_v2.encode_ie$0$tempBoogie12, inline$_v2.encode_ie$0$tempBoogie13, inline$_v2.encode_ie$0$tempBoogie14, inline$_v2.encode_ie$0$tempBoogie15, inline$_v2.encode_ie$0$tempBoogie16, inline$_v2.encode_ie$0$tempBoogie17, inline$_v2.encode_ie$0$tempBoogie18, inline$_v2.encode_ie$0$tempBoogie19, inline$_v2.encode_ie$0$__havoc_dummy_return, inline$_v2.encode_ie$0$result.encode_ie$1;
+ inline$_v2.encode_ie$0$_v2.OK := _v2.OK;
+ inline$_v2.encode_ie$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
+ goto inline$_v2.encode_ie$0$anon0#2;
+
+ inline$_v2.encode_ie$0$anon0#2:
+ inline$_v2.encode_ie$0$havoc_stringTemp := 0;
+ goto inline$_v2.encode_ie$0$start#2;
+
+ inline$_v2.encode_ie$0$start#2:
+ assume _v2.INT_LT(inline$_v2.encode_ie$0$buf_.1, _v2.alloc);
+ assume _v2.INT_LT(inline$_v2.encode_ie$0$ie_.1, _v2.alloc);
+ assume _v2.INT_LT(inline$_v2.encode_ie$0$leader_.1, _v2.alloc);
+ inline$_v2.encode_ie$0$buf := 0;
+ assume _v2.INT_GEQ(inline$_v2.encode_ie$0$buf_.1, 0);
+ inline$_v2.encode_ie$0$bufsize := 0;
+ inline$_v2.encode_ie$0$i := 0;
+ inline$_v2.encode_ie$0$ie := 0;
+ assume _v2.INT_GEQ(inline$_v2.encode_ie$0$ie_.1, 0);
+ inline$_v2.encode_ie$0$ielen := 0;
+ inline$_v2.encode_ie$0$leader := 0;
+ assume _v2.INT_GEQ(inline$_v2.encode_ie$0$leader_.1, 0);
+ inline$_v2.encode_ie$0$leader_len := 0;
+ inline$_v2.encode_ie$0$p := 0;
+ inline$_v2.encode_ie$0$result.encode_ie$1 := 0;
+ inline$_v2.encode_ie$0$result.memcpy$2 := 0;
+ inline$_v2.encode_ie$0$$result.question.3.$$static$ := 0;
+ inline$_v2.encode_ie$0$buf := inline$_v2.encode_ie$0$buf_.1;
+ inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$bufsize_.1;
+ inline$_v2.encode_ie$0$ie := inline$_v2.encode_ie$0$ie_.1;
+ inline$_v2.encode_ie$0$ielen := inline$_v2.encode_ie$0$ielen_.1;
+ inline$_v2.encode_ie$0$leader := inline$_v2.encode_ie$0$leader_.1;
+ inline$_v2.encode_ie$0$leader_len := inline$_v2.encode_ie$0$leader_len_.1;
+ goto inline$_v2.encode_ie$0$label_3#2;
+
+ inline$_v2.encode_ie$0$label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 9} true;
+ goto inline$_v2.encode_ie$0$label_4#2;
+
+ inline$_v2.encode_ie$0$label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 10} true;
+ goto inline$_v2.encode_ie$0$label_5#2;
+
+ inline$_v2.encode_ie$0$label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 13} true;
+ goto inline$_v2.encode_ie$0$label_5_true#2, inline$_v2.encode_ie$0$label_5_false#2;
+
+ inline$_v2.encode_ie$0$label_5_false#2:
+ assume !_v2.INT_LT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_1, inline$_v2.encode_ie$0$bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_2, inline$_v2.encode_ie$0$leader_len);
+ goto inline$_v2.encode_ie$0$label_6#2;
+
+ inline$_v2.encode_ie$0$label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 15} true;
+ inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$buf;
+ assume _v2.value_is(_v2.__ctobpl_const_3, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_4, inline$_v2.encode_ie$0$buf);
+ goto inline$_v2.encode_ie$0$label_8#2;
+
+ inline$_v2.encode_ie$0$label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 16} true;
+ _v2.memcpy_in_3_0, _v2.memcpy_in_3_1, _v2.memcpy_in_3_2, _v2.memcpy_in_3_3, _v2.memcpy_in_3_4 := inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len, _v2.OK, _v2.Mem_T.UCHAR;
+ call inline$_v2.encode_ie$0$result.memcpy$2 := _v2.memcpy(inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$leader, inline$_v2.encode_ie$0$leader_len);
+ _v2.memcpy_3_done := true;
+ _v2.memcpy_out_3_0 := inline$_v2.encode_ie$0$result.memcpy$2;
+ assume _v2.value_is(_v2.__ctobpl_const_5, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_6, inline$_v2.encode_ie$0$leader);
+ assume _v2.value_is(_v2.__ctobpl_const_7, inline$_v2.encode_ie$0$leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_8, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_9, inline$_v2.encode_ie$0$leader);
+ assume _v2.value_is(_v2.__ctobpl_const_10, inline$_v2.encode_ie$0$leader_len);
+ goto inline$_v2.encode_ie$0$label_11#2;
+
+ inline$_v2.encode_ie$0$label_11#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 17} true;
+ havoc inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len, 1, inline$_v2.encode_ie$0$tempBoogie0);
+ inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_11, inline$_v2.encode_ie$0$bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_12, inline$_v2.encode_ie$0$leader_len);
+ goto inline$_v2.encode_ie$0$label_12#2;
+
+ inline$_v2.encode_ie$0$label_12#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 18} true;
+ inline$_v2.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, inline$_v2.encode_ie$0$leader_len);
+ inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_13, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_14, inline$_v2.encode_ie$0$leader_len);
+ goto inline$_v2.encode_ie$0$label_13#2;
+
+ inline$_v2.encode_ie$0$label_13#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ inline$_v2.encode_ie$0$i := 0;
+ assume _v2.value_is(_v2.__ctobpl_const_15, inline$_v2.encode_ie$0$i);
+ goto inline$_v2.encode_ie$0$label_14#2;
+
+ inline$_v2.encode_ie$0$label_14#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto inline$_v2.encode_ie$0$label_14_head#2;
+
+ inline$_v2.encode_ie$0$label_14_head#2:
+ _v2.encode_ie_loop_label_14_head_in_4_0, _v2.encode_ie_loop_label_14_head_in_4_1, _v2.encode_ie_loop_label_14_head_in_4_2, _v2.encode_ie_loop_label_14_head_in_4_3, _v2.encode_ie_loop_label_14_head_in_4_4, _v2.encode_ie_loop_label_14_head_in_4_5, _v2.encode_ie_loop_label_14_head_in_4_6 := inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0, _v2.OK, _v2.Mem_T.UCHAR;
+ call inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0 := _v2.encode_ie_loop_label_14_head(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0);
+ _v2.encode_ie_loop_label_14_head_4_done := true;
+ _v2.encode_ie_loop_label_14_head_out_4_0, _v2.encode_ie_loop_label_14_head_out_4_1, _v2.encode_ie_loop_label_14_head_out_4_2, _v2.encode_ie_loop_label_14_head_out_4_3, _v2.encode_ie_loop_label_14_head_out_4_4, _v2.encode_ie_loop_label_14_head_out_4_5 := inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
+ goto inline$_v2.encode_ie$0$label_14_head_last#2;
+
+ inline$_v2.encode_ie$0$label_14_head_last#2:
+ goto inline$_v2.encode_ie$0$label_14_true#2, inline$_v2.encode_ie$0$label_14_false#2;
+
+ inline$_v2.encode_ie$0$label_14_false#2:
+ assume !_v2.INT_LT(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie$0$i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie$0$ielen);
+ goto inline$_v2.encode_ie$0$label_15#2;
+
+ inline$_v2.encode_ie$0$label_14_true#2:
+ assume _v2.INT_LT(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie$0$i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie$0$ielen);
+ goto inline$_v2.encode_ie$0$label_16#2;
+
+ inline$_v2.encode_ie$0$label_16#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto inline$_v2.encode_ie$0$label_16_true#2, inline$_v2.encode_ie$0$label_16_false#2;
+
+ inline$_v2.encode_ie$0$label_16_false#2:
+ assume !_v2.INT_LT(2, inline$_v2.encode_ie$0$bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie$0$bufsize);
+ goto inline$_v2.encode_ie$0$label_15#2;
+
+ inline$_v2.encode_ie$0$label_15#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ goto inline$_v2.encode_ie$0$label_15_true#2, inline$_v2.encode_ie$0$label_15_false#2;
+
+ inline$_v2.encode_ie$0$label_15_false#2:
+ assume !_v2.INT_EQ(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_18, inline$_v2.encode_ie$0$i);
+ assume _v2.value_is(_v2.__ctobpl_const_19, inline$_v2.encode_ie$0$ielen);
+ goto inline$_v2.encode_ie$0$label_22#2;
+
+ inline$_v2.encode_ie$0$label_22#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ inline$_v2.encode_ie$0$$result.question.3.$$static$ := 0;
+ assume _v2.value_is(_v2.__ctobpl_const_28, inline$_v2.encode_ie$0$$result.question.3.$$static$);
+ goto inline$_v2.encode_ie$0$label_24#2;
+
+ inline$_v2.encode_ie$0$label_15_true#2:
+ assume _v2.INT_EQ(inline$_v2.encode_ie$0$i, inline$_v2.encode_ie$0$ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_18, inline$_v2.encode_ie$0$i);
+ assume _v2.value_is(_v2.__ctobpl_const_19, inline$_v2.encode_ie$0$ielen);
+ goto inline$_v2.encode_ie$0$label_23#2;
+
+ inline$_v2.encode_ie$0$label_23#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ havoc inline$_v2.encode_ie$0$$result.question.3.$$static$;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$p, inline$_v2.encode_ie$0$buf, 1, inline$_v2.encode_ie$0$$result.question.3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_29, inline$_v2.encode_ie$0$$result.question.3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_30, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_31, inline$_v2.encode_ie$0$buf);
+ goto inline$_v2.encode_ie$0$label_24#2;
+
+ inline$_v2.encode_ie$0$label_24#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 39} true;
+ inline$_v2.encode_ie$0$result.encode_ie$1 := inline$_v2.encode_ie$0$$result.question.3.$$static$;
+ assume _v2.value_is(_v2.__ctobpl_const_32, inline$_v2.encode_ie$0$$result.question.3.$$static$);
+ goto inline$_v2.encode_ie$0$label_1#2;
+
+ inline$_v2.encode_ie$0$label_16_true#2:
+ assume _v2.INT_LT(2, inline$_v2.encode_ie$0$bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie$0$bufsize);
+ goto inline$_v2.encode_ie$0$label_17#2;
+
+ inline$_v2.encode_ie$0$label_17#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(inline$_v2.encode_ie$0$p, 0);
+ _v2.OK := _v2.OK && _v2.Res_VALID_REGION(inline$_v2.encode_ie$0$p) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[inline$_v2.encode_ie$0$p := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_21, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_22, _v2.Mem_T.UCHAR[inline$_v2.encode_ie$0$p]);
+ goto inline$_v2.encode_ie$0$label_18#2;
+
+ inline$_v2.encode_ie$0$label_18#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1), 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1)) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1) := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_23, inline$_v2.encode_ie$0$p);
+ assume _v2.value_is(_v2.__ctobpl_const_24,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 1)]);
+ goto inline$_v2.encode_ie$0$label_19#2;
+
+ inline$_v2.encode_ie$0$label_19#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
+ inline$_v2.encode_ie$0$tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie$0$p, 1, 2);
+ inline$_v2.encode_ie$0$p := inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_25, inline$_v2.encode_ie$0$p);
+ goto inline$_v2.encode_ie$0$label_20#2;
+
+ inline$_v2.encode_ie$0$label_20#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
+ havoc inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie$0$bufsize, 2, 1, inline$_v2.encode_ie$0$tempBoogie0);
+ inline$_v2.encode_ie$0$bufsize := inline$_v2.encode_ie$0$tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_26, inline$_v2.encode_ie$0$bufsize);
+ goto inline$_v2.encode_ie$0$label_21#2;
+
+ inline$_v2.encode_ie$0$label_21#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v2.__ctobpl_const_27, inline$_v2.encode_ie$0$i);
+ inline$_v2.encode_ie$0$i := _v2.INT_PLUS(inline$_v2.encode_ie$0$i, 1, 1);
+ goto inline$_v2.encode_ie$0$label_21_dummy#2;
+
+ inline$_v2.encode_ie$0$label_21_dummy#2:
+ assume false;
+ goto inline$_v2.encode_ie$0$Return;
+
+ inline$_v2.encode_ie$0$label_5_true#2:
+ assume _v2.INT_LT(inline$_v2.encode_ie$0$bufsize, inline$_v2.encode_ie$0$leader_len);
+ assume _v2.value_is(_v2.__ctobpl_const_1, inline$_v2.encode_ie$0$bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_2, inline$_v2.encode_ie$0$leader_len);
+ goto inline$_v2.encode_ie$0$label_7#2;
+
+ inline$_v2.encode_ie$0$label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 14} true;
+ inline$_v2.encode_ie$0$result.encode_ie$1 := 0;
+ goto inline$_v2.encode_ie$0$label_1#2;
+
+ inline$_v2.encode_ie$0$label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 40} true;
+ goto inline$_v2.encode_ie$0$Return;
+
+ inline$_v2.encode_ie$0$Return:
+ assume true;
+ _v2.result.encode_ie$1 := inline$_v2.encode_ie$0$result.encode_ie$1;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_1;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.memcpy_1_done && _v2.memcpy_3_done;
+ store__0__v1.OK, store__0__v1.Mem_T.UCHAR := _v1.OK, _v1.Mem_T.UCHAR;
+ store__0__v2.OK, store__0__v2.Mem_T.UCHAR := _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.OK, _v1.Mem_T.UCHAR := _v1.memcpy_in_1_3, _v1.memcpy_in_1_4;
+ _v2.OK, _v2.Mem_T.UCHAR := _v2.memcpy_in_3_3, _v2.memcpy_in_3_4;
+ call out__v1.memcpy_out_1_0_0, out__v2.memcpy_out_3_0_0 := MS_Check__v1.memcpy___v2.memcpy(_v1.memcpy_in_1_0, _v1.memcpy_in_1_1, _v1.memcpy_in_1_2, _v2.memcpy_in_3_0, _v2.memcpy_in_3_1, _v2.memcpy_in_3_2);
+ assume true;
+ assume true;
+ assume _v1.memcpy_out_1_0 == out__v1.memcpy_out_1_0_0
+ && _v2.memcpy_out_3_0 == out__v2.memcpy_out_3_0_0;
+ _v1.OK, _v1.Mem_T.UCHAR := store__0__v1.OK, store__0__v1.Mem_T.UCHAR;
+ _v2.OK, _v2.Mem_T.UCHAR := store__0__v2.OK, store__0__v2.Mem_T.UCHAR;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.memcpy_1_done && _v2.memcpy_3_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+
+ MS_L_0_1:
+ goto MS_L_taken_1, MS_L_not_taken_1;
+
+ MS_L_taken_1:
+ assume _v1.encode_ie_loop_label_14_head_2_done
+ && _v2.encode_ie_loop_label_14_head_4_done;
+ store__1__v1.OK, store__1__v1.Mem_T.UCHAR := _v1.OK, _v1.Mem_T.UCHAR;
+ store__1__v2.OK, store__1__v2.Mem_T.UCHAR := _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.OK, _v1.Mem_T.UCHAR := _v1.encode_ie_loop_label_14_head_in_2_5, _v1.encode_ie_loop_label_14_head_in_2_6;
+ _v2.OK, _v2.Mem_T.UCHAR := _v2.encode_ie_loop_label_14_head_in_4_5, _v2.encode_ie_loop_label_14_head_in_4_6;
+ call out__v1.encode_ie_loop_label_14_head_out_2_0_1, out__v1.encode_ie_loop_label_14_head_out_2_1_1, out__v1.encode_ie_loop_label_14_head_out_2_2_1, out__v2.encode_ie_loop_label_14_head_out_4_0_1, out__v2.encode_ie_loop_label_14_head_out_4_1_1, out__v2.encode_ie_loop_label_14_head_out_4_2_1, out__v2.encode_ie_loop_label_14_head_out_4_3_1 := MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.encode_ie_loop_label_14_head_in_2_0, _v1.encode_ie_loop_label_14_head_in_2_1, _v1.encode_ie_loop_label_14_head_in_2_2, _v1.encode_ie_loop_label_14_head_in_2_3, _v1.encode_ie_loop_label_14_head_in_2_4, _v2.encode_ie_loop_label_14_head_in_4_0, _v2.encode_ie_loop_label_14_head_in_4_1, _v2.encode_ie_loop_label_14_head_in_4_2, _v2.encode_ie_loop_label_14_head_in_4_3, _v2.encode_ie_loop_label_14_head_in_4_4);
+ assume _v1.Mem_T.UCHAR == _v1.encode_ie_loop_label_14_head_out_2_3
+ && (_v1.OK <==> _v1.encode_ie_loop_label_14_head_out_2_4);
+ assume _v2.Mem_T.UCHAR == _v2.encode_ie_loop_label_14_head_out_4_4
+ && (_v2.OK <==> _v2.encode_ie_loop_label_14_head_out_4_5);
+ assume _v1.encode_ie_loop_label_14_head_out_2_0
+ == out__v1.encode_ie_loop_label_14_head_out_2_0_1
+ && _v1.encode_ie_loop_label_14_head_out_2_1
+ == out__v1.encode_ie_loop_label_14_head_out_2_1_1
+ && _v1.encode_ie_loop_label_14_head_out_2_2
+ == out__v1.encode_ie_loop_label_14_head_out_2_2_1
+ && _v2.encode_ie_loop_label_14_head_out_4_0
+ == out__v2.encode_ie_loop_label_14_head_out_4_0_1
+ && _v2.encode_ie_loop_label_14_head_out_4_1
+ == out__v2.encode_ie_loop_label_14_head_out_4_1_1
+ && _v2.encode_ie_loop_label_14_head_out_4_2
+ == out__v2.encode_ie_loop_label_14_head_out_4_2_1
+ && _v2.encode_ie_loop_label_14_head_out_4_3
+ == out__v2.encode_ie_loop_label_14_head_out_4_3_1;
+ _v1.OK, _v1.Mem_T.UCHAR := store__1__v1.OK, store__1__v1.Mem_T.UCHAR;
+ _v2.OK, _v2.Mem_T.UCHAR := store__1__v2.OK, store__1__v2.Mem_T.UCHAR;
+ goto MS_L_meet_1;
+
+ MS_L_not_taken_1:
+ assume !(_v1.encode_ie_loop_label_14_head_2_done
+ && _v2.encode_ie_loop_label_14_head_4_done);
+ goto MS_L_meet_1;
+
+ MS_L_meet_1:
+ goto MS_L_0_0;
+}
+
+
+
+function {:inline true} MS$_v1.encode_ie_loop_label_14_head$_v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
+ _v1.in_i: int,
+ _v1.in_ielen: int,
+ _v1.in_p: int,
+ _v1.in_tempBoogie0: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.Mem_T.UCHAR_: [int]int,
+ _v1.OK_: bool,
+ _v1.out_i: int,
+ _v1.out_p: int,
+ _v1.out_tempBoogie0: int,
+ _v2.in_bufsize: int,
+ _v2.in_i: int,
+ _v2.in_ielen: int,
+ _v2.in_p: int,
+ _v2.in_tempBoogie0: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.Mem_T.UCHAR_: [int]int,
+ _v2.OK_: bool,
+ _v2.out_bufsize: int,
+ _v2.out_i: int,
+ _v2.out_p: int,
+ _v2.out_tempBoogie0: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_115: bool;
+
+const {:existential true} _houdini_116: bool;
+
+const {:existential true} _houdini_117: bool;
+
+const {:existential true} _houdini_118: bool;
+
+const {:existential true} _houdini_119: bool;
+
+const {:existential true} _houdini_120: bool;
+
+const {:existential true} _houdini_121: bool;
+
+const {:existential true} _houdini_122: bool;
+
+const {:existential true} _houdini_123: bool;
+
+const {:existential true} _houdini_124: bool;
+
+const {:existential true} _houdini_125: bool;
+
+const {:existential true} _houdini_126: bool;
+
+const {:existential true} _houdini_127: bool;
+
+const {:existential true} _houdini_128: bool;
+
+const {:existential true} _houdini_129: bool;
+
+const {:existential true} _houdini_130: bool;
+
+const {:existential true} _houdini_131: bool;
+
+const {:existential true} _houdini_132: bool;
+
+const {:existential true} _houdini_133: bool;
+
+const {:existential true} _houdini_134: bool;
+
+const {:existential true} _houdini_135: bool;
+
+const {:existential true} _houdini_136: bool;
+
+const {:existential true} _houdini_137: bool;
+
+const {:existential true} _houdini_138: bool;
+
+const {:existential true} _houdini_139: bool;
+
+const {:existential true} _houdini_140: bool;
+
+const {:existential true} _houdini_141: bool;
+
+const {:existential true} _houdini_142: bool;
+
+const {:existential true} _houdini_143: bool;
+
+const {:existential true} _houdini_144: bool;
+
+const {:existential true} _houdini_145: bool;
+
+const {:existential true} _houdini_146: bool;
+
+const {:existential true} _houdini_147: bool;
+
+const {:existential true} _houdini_148: bool;
+
+const {:existential true} _houdini_149: bool;
+
+const {:existential true} _houdini_150: bool;
+
+const {:existential true} _houdini_151: bool;
+
+const {:existential true} _houdini_152: bool;
+
+const {:existential true} _houdini_153: bool;
+
+const {:existential true} _houdini_154: bool;
+
+const {:existential true} _houdini_155: bool;
+
+procedure MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
+ _v1.in_i: int,
+ _v1.in_ielen: int,
+ _v1.in_p: int,
+ _v1.in_tempBoogie0: int,
+ _v2.in_bufsize: int,
+ _v2.in_i: int,
+ _v2.in_ielen: int,
+ _v2.in_p: int,
+ _v2.in_tempBoogie0: int)
+ returns (_v1.out_i: int,
+ _v1.out_p: int,
+ _v1.out_tempBoogie0: int,
+ _v2.out_bufsize: int,
+ _v2.out_i: int,
+ _v2.out_p: int,
+ _v2.out_tempBoogie0: int);
+ requires _houdini_124 ==> _v1.in_bufsize <= _v2.in_bufsize;
+ requires _houdini_125 ==> _v2.in_bufsize <= _v1.in_bufsize;
+ requires _houdini_126 ==> _v1.in_i <= _v2.in_i;
+ requires _houdini_127 ==> _v2.in_i <= _v1.in_i;
+ requires _houdini_128 ==> _v1.in_ielen <= _v2.in_ielen;
+ requires _houdini_129 ==> _v2.in_ielen <= _v1.in_ielen;
+ requires _houdini_130 ==> _v1.in_p <= _v2.in_p;
+ requires _houdini_131 ==> _v2.in_p <= _v1.in_p;
+ requires _houdini_132 ==> _v1.in_tempBoogie0 <= _v2.in_tempBoogie0;
+ requires _houdini_133 ==> _v2.in_tempBoogie0 <= _v1.in_tempBoogie0;
+ requires _houdini_134 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_135 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_136 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_137 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_138 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_139 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_140 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_141 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_142 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_143 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_144 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_145 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_146 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_147
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_148 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_149 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_150
+ ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_151
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_152 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_153 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_154 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_155 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.Mem_T.UCHAR, _v1.OK, _v2.Mem_T.UCHAR, _v2.OK;
+ ensures MS$_v1.encode_ie_loop_label_14_head$_v2.encode_ie_loop_label_14_head(_v1.in_bufsize,
+ _v1.in_i,
+ _v1.in_ielen,
+ _v1.in_p,
+ _v1.in_tempBoogie0,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.Mem_T.UCHAR,
+ _v1.OK,
+ _v1.out_i,
+ _v1.out_p,
+ _v1.out_tempBoogie0,
+ _v2.in_bufsize,
+ _v2.in_i,
+ _v2.in_ielen,
+ _v2.in_p,
+ _v2.in_tempBoogie0,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.Mem_T.UCHAR,
+ _v2.OK,
+ _v2.out_bufsize,
+ _v2.out_i,
+ _v2.out_p,
+ _v2.out_tempBoogie0);
+ ensures _houdini_115 ==> _v1.out_i <= _v2.out_i;
+ ensures _houdini_116 ==> _v2.out_i <= _v1.out_i;
+ ensures _houdini_117 ==> _v1.out_p <= _v2.out_p;
+ ensures _houdini_118 ==> _v2.out_p <= _v1.out_p;
+ ensures _houdini_119 ==> _v1.out_tempBoogie0 <= _v2.out_tempBoogie0;
+ ensures _houdini_120 ==> _v2.out_tempBoogie0 <= _v1.out_tempBoogie0;
+ ensures _houdini_121 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ ensures _houdini_122 ==> _v1.OK ==> _v2.OK;
+ ensures _houdini_123 ==> _v2.OK ==> _v1.OK;
+
+
+
+implementation MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.in_bufsize: int,
+ _v1.in_i: int,
+ _v1.in_ielen: int,
+ _v1.in_p: int,
+ _v1.in_tempBoogie0: int,
+ _v2.in_bufsize: int,
+ _v2.in_i: int,
+ _v2.in_ielen: int,
+ _v2.in_p: int,
+ _v2.in_tempBoogie0: int)
+ returns (_v1.out_i: int,
+ _v1.out_p: int,
+ _v1.out_tempBoogie0: int,
+ _v2.out_bufsize: int,
+ _v2.out_i: int,
+ _v2.out_p: int,
+ _v2.out_tempBoogie0: int)
+{
+ var inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$in_i: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$in_ielen: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$in_p: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$out_i: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$out_p: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0: int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR: [int]int;
+ var inline$_v1.encode_ie_loop_label_14_head$0$_v1.OK: bool;
+ var inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$in_i: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$in_ielen: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$in_p: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$out_i: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$out_p: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0: int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR: [int]int;
+ var inline$_v2.encode_ie_loop_label_14_head$0$_v2.OK: bool;
+ var _v1.encode_ie_loop_label_14_head_1_done: bool;
+ var _v1.encode_ie_loop_label_14_head_in_1_0: int;
+ var _v1.encode_ie_loop_label_14_head_in_1_1: int;
+ var _v1.encode_ie_loop_label_14_head_in_1_2: int;
+ var _v1.encode_ie_loop_label_14_head_in_1_3: int;
+ var _v1.encode_ie_loop_label_14_head_in_1_4: int;
+ var _v1.encode_ie_loop_label_14_head_in_1_5: [int]int;
+ var _v1.encode_ie_loop_label_14_head_in_1_6: bool;
+ var _v1.encode_ie_loop_label_14_head_out_1_0: int;
+ var _v1.encode_ie_loop_label_14_head_out_1_1: int;
+ var _v1.encode_ie_loop_label_14_head_out_1_2: int;
+ var _v1.encode_ie_loop_label_14_head_out_1_3: [int]int;
+ var _v1.encode_ie_loop_label_14_head_out_1_4: bool;
+ var _v2.encode_ie_loop_label_14_head_2_done: bool;
+ var _v2.encode_ie_loop_label_14_head_in_2_0: int;
+ var _v2.encode_ie_loop_label_14_head_in_2_1: int;
+ var _v2.encode_ie_loop_label_14_head_in_2_2: int;
+ var _v2.encode_ie_loop_label_14_head_in_2_3: int;
+ var _v2.encode_ie_loop_label_14_head_in_2_4: int;
+ var _v2.encode_ie_loop_label_14_head_in_2_5: [int]int;
+ var _v2.encode_ie_loop_label_14_head_in_2_6: bool;
+ var _v2.encode_ie_loop_label_14_head_out_2_0: int;
+ var _v2.encode_ie_loop_label_14_head_out_2_1: int;
+ var _v2.encode_ie_loop_label_14_head_out_2_2: int;
+ var _v2.encode_ie_loop_label_14_head_out_2_3: int;
+ var _v2.encode_ie_loop_label_14_head_out_2_4: [int]int;
+ var _v2.encode_ie_loop_label_14_head_out_2_5: bool;
+ var store__0__v1.Mem_T.UCHAR: [int]int;
+ var store__0__v1.OK: bool;
+ var store__0__v2.Mem_T.UCHAR: [int]int;
+ var store__0__v2.OK: bool;
+ var out__v1.encode_ie_loop_label_14_head_out_1_0_0: int;
+ var out__v1.encode_ie_loop_label_14_head_out_1_1_0: int;
+ var out__v1.encode_ie_loop_label_14_head_out_1_2_0: int;
+ var out__v2.encode_ie_loop_label_14_head_out_2_0_0: int;
+ var out__v2.encode_ie_loop_label_14_head_out_2_1_0: int;
+ var out__v2.encode_ie_loop_label_14_head_out_2_2_0: int;
+ var out__v2.encode_ie_loop_label_14_head_out_2_3_0: int;
+
+ START:
+ _v1.encode_ie_loop_label_14_head_1_done, _v2.encode_ie_loop_label_14_head_2_done := false, false;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$Entry;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$Entry:
+ inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize := _v1.in_bufsize;
+ inline$_v1.encode_ie_loop_label_14_head$0$in_i := _v1.in_i;
+ inline$_v1.encode_ie_loop_label_14_head$0$in_ielen := _v1.in_ielen;
+ inline$_v1.encode_ie_loop_label_14_head$0$in_p := _v1.in_p;
+ inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0 := _v1.in_tempBoogie0;
+ havoc inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
+ inline$_v1.encode_ie_loop_label_14_head$0$_v1.OK := _v1.OK;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$entry#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$entry#2:
+ inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_14_head#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_14_head#2:
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_14_true#2, inline$_v1.encode_ie_loop_label_14_head$0$label_14_false#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_14_false#2:
+ assume !_v2.INT_LT(inline$_v1.encode_ie_loop_label_14_head$0$out_i,
+ inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
+ inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ _v1.Mem_T.UCHAR := inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_14_true#2:
+ assume _v2.INT_LT(inline$_v1.encode_ie_loop_label_14_head$0$out_i,
+ inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
+ assume _v2.value_is(_v1.__ctobpl_const_16, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
+ assume _v2.value_is(_v1.__ctobpl_const_17, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_16#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_16#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_16_true#2, inline$_v1.encode_ie_loop_label_14_head$0$label_16_false#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_16_false#2:
+ assume !_v2.INT_LT(2, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
+ inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$in_i, inline$_v1.encode_ie_loop_label_14_head$0$in_p, inline$_v1.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ _v1.Mem_T.UCHAR := inline$_v1.encode_ie_loop_label_14_head$0$_v1.Mem_T.UCHAR;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_16_true#2:
+ assume _v2.INT_LT(2, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
+ assume _v2.value_is(_v1.__ctobpl_const_20, inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_17#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_17#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(inline$_v1.encode_ie_loop_label_14_head$0$out_p) == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[inline$_v1.encode_ie_loop_label_14_head$0$out_p := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_21, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
+ assume _v2.value_is(_v1.__ctobpl_const_22,
+ _v1.Mem_T.UCHAR[inline$_v1.encode_ie_loop_label_14_head$0$out_p]);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_18#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_18#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1), 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1))
+ == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1) := 120];
+ assume _v2.value_is(_v1.__ctobpl_const_23, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
+ assume _v2.value_is(_v1.__ctobpl_const_24,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 1)]);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_19#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_19#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 33} true;
+ inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_p, 1, 2);
+ inline$_v1.encode_ie_loop_label_14_head$0$out_p := inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ assume _v2.value_is(_v1.__ctobpl_const_25, inline$_v1.encode_ie_loop_label_14_head$0$out_p);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_20#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_20#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v1.__ctobpl_const_26, inline$_v1.encode_ie_loop_label_14_head$0$out_i);
+ inline$_v1.encode_ie_loop_label_14_head$0$out_i := _v2.INT_PLUS(inline$_v1.encode_ie_loop_label_14_head$0$out_i, 1, 1);
+ goto inline$_v1.encode_ie_loop_label_14_head$0$label_20_dummy#2;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$label_20_dummy#2:
+ _v1.encode_ie_loop_label_14_head_in_1_0, _v1.encode_ie_loop_label_14_head_in_1_1, _v1.encode_ie_loop_label_14_head_in_1_2, _v1.encode_ie_loop_label_14_head_in_1_3, _v1.encode_ie_loop_label_14_head_in_1_4, _v1.encode_ie_loop_label_14_head_in_1_5, _v1.encode_ie_loop_label_14_head_in_1_6 := inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
+ call inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v1.encode_ie_loop_label_14_head(inline$_v1.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$in_ielen, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0);
+ _v1.encode_ie_loop_label_14_head_1_done := true;
+ _v1.encode_ie_loop_label_14_head_out_1_0, _v1.encode_ie_loop_label_14_head_out_1_1, _v1.encode_ie_loop_label_14_head_out_1_2, _v1.encode_ie_loop_label_14_head_out_1_3, _v1.encode_ie_loop_label_14_head_out_1_4 := inline$_v1.encode_ie_loop_label_14_head$0$out_i, inline$_v1.encode_ie_loop_label_14_head$0$out_p, inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v1.Mem_T.UCHAR, _v1.OK;
+ goto inline$_v1.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v1.encode_ie_loop_label_14_head$0$Return:
+ assume true;
+ _v1.out_i := inline$_v1.encode_ie_loop_label_14_head$0$out_i;
+ _v1.out_p := inline$_v1.encode_ie_loop_label_14_head$0$out_p;
+ _v1.out_tempBoogie0 := inline$_v1.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.encode_ie_loop_label_14_head$0$Entry;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$Entry:
+ inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize := _v2.in_bufsize;
+ inline$_v2.encode_ie_loop_label_14_head$0$in_i := _v2.in_i;
+ inline$_v2.encode_ie_loop_label_14_head$0$in_ielen := _v2.in_ielen;
+ inline$_v2.encode_ie_loop_label_14_head$0$in_p := _v2.in_p;
+ inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0 := _v2.in_tempBoogie0;
+ havoc inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
+ inline$_v2.encode_ie_loop_label_14_head$0$_v2.OK := _v2.OK;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$entry#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$entry#2:
+ inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_14_head#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_14_head#2:
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_14_true#2, inline$_v2.encode_ie_loop_label_14_head$0$label_14_false#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_14_false#2:
+ assume !_v2.INT_LT(inline$_v2.encode_ie_loop_label_14_head$0$out_i,
+ inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
+ inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ _v2.Mem_T.UCHAR := inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_14_true#2:
+ assume _v2.INT_LT(inline$_v2.encode_ie_loop_label_14_head$0$out_i,
+ inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
+ assume _v2.value_is(_v2.__ctobpl_const_16, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
+ assume _v2.value_is(_v2.__ctobpl_const_17, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_16#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_16#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_16_true#2, inline$_v2.encode_ie_loop_label_14_head$0$label_16_false#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_16_false#2:
+ assume !_v2.INT_LT(2, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
+ inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$in_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$in_i, inline$_v2.encode_ie_loop_label_14_head$0$in_p, inline$_v2.encode_ie_loop_label_14_head$0$in_tempBoogie0;
+ _v2.Mem_T.UCHAR := inline$_v2.encode_ie_loop_label_14_head$0$_v2.Mem_T.UCHAR;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_16_true#2:
+ assume _v2.INT_LT(2, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
+ assume _v2.value_is(_v2.__ctobpl_const_20, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_17#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_17#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 30} true;
+ assume _v2.INT_GEQ(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(inline$_v2.encode_ie_loop_label_14_head$0$out_p) == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[inline$_v2.encode_ie_loop_label_14_head$0$out_p := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_21, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
+ assume _v2.value_is(_v2.__ctobpl_const_22,
+ _v2.Mem_T.UCHAR[inline$_v2.encode_ie_loop_label_14_head$0$out_p]);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_18#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_18#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 32} true;
+ assume _v2.INT_GEQ(_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1), 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1))
+ == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1) := 120];
+ assume _v2.value_is(_v2.__ctobpl_const_23, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
+ assume _v2.value_is(_v2.__ctobpl_const_24,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 1)]);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_19#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_19#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 33} true;
+ inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_p, 1, 2);
+ inline$_v2.encode_ie_loop_label_14_head$0$out_p := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_25, inline$_v2.encode_ie_loop_label_14_head$0$out_p);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_20#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_20#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 34} true;
+ havoc inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ assume _v2.INT_MINUS_BOTH_PTR_OR_BOTH_INT(inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, 2, 1, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0);
+ inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ assume _v2.value_is(_v2.__ctobpl_const_26, inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_21#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_21#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 20} true;
+ assume _v2.value_is(_v2.__ctobpl_const_27, inline$_v2.encode_ie_loop_label_14_head$0$out_i);
+ inline$_v2.encode_ie_loop_label_14_head$0$out_i := _v2.INT_PLUS(inline$_v2.encode_ie_loop_label_14_head$0$out_i, 1, 1);
+ goto inline$_v2.encode_ie_loop_label_14_head$0$label_21_dummy#2;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$label_21_dummy#2:
+ _v2.encode_ie_loop_label_14_head_in_2_0, _v2.encode_ie_loop_label_14_head_in_2_1, _v2.encode_ie_loop_label_14_head_in_2_2, _v2.encode_ie_loop_label_14_head_in_2_3, _v2.encode_ie_loop_label_14_head_in_2_4, _v2.encode_ie_loop_label_14_head_in_2_5, _v2.encode_ie_loop_label_14_head_in_2_6 := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
+ call inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0 := _v2.encode_ie_loop_label_14_head(inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$in_ielen, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0);
+ _v2.encode_ie_loop_label_14_head_2_done := true;
+ _v2.encode_ie_loop_label_14_head_out_2_0, _v2.encode_ie_loop_label_14_head_out_2_1, _v2.encode_ie_loop_label_14_head_out_2_2, _v2.encode_ie_loop_label_14_head_out_2_3, _v2.encode_ie_loop_label_14_head_out_2_4, _v2.encode_ie_loop_label_14_head_out_2_5 := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize, inline$_v2.encode_ie_loop_label_14_head$0$out_i, inline$_v2.encode_ie_loop_label_14_head$0$out_p, inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0, _v2.Mem_T.UCHAR, _v2.OK;
+ goto inline$_v2.encode_ie_loop_label_14_head$0$Return;
+
+ inline$_v2.encode_ie_loop_label_14_head$0$Return:
+ assume true;
+ _v2.out_bufsize := inline$_v2.encode_ie_loop_label_14_head$0$out_bufsize;
+ _v2.out_i := inline$_v2.encode_ie_loop_label_14_head$0$out_i;
+ _v2.out_p := inline$_v2.encode_ie_loop_label_14_head$0$out_p;
+ _v2.out_tempBoogie0 := inline$_v2.encode_ie_loop_label_14_head$0$out_tempBoogie0;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_0;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.encode_ie_loop_label_14_head_1_done
+ && _v2.encode_ie_loop_label_14_head_2_done;
+ store__0__v1.Mem_T.UCHAR, store__0__v1.OK := _v1.Mem_T.UCHAR, _v1.OK;
+ store__0__v2.Mem_T.UCHAR, store__0__v2.OK := _v2.Mem_T.UCHAR, _v2.OK;
+ _v1.Mem_T.UCHAR, _v1.OK := _v1.encode_ie_loop_label_14_head_in_1_5, _v1.encode_ie_loop_label_14_head_in_1_6;
+ _v2.Mem_T.UCHAR, _v2.OK := _v2.encode_ie_loop_label_14_head_in_2_5, _v2.encode_ie_loop_label_14_head_in_2_6;
+ call out__v1.encode_ie_loop_label_14_head_out_1_0_0, out__v1.encode_ie_loop_label_14_head_out_1_1_0, out__v1.encode_ie_loop_label_14_head_out_1_2_0, out__v2.encode_ie_loop_label_14_head_out_2_0_0, out__v2.encode_ie_loop_label_14_head_out_2_1_0, out__v2.encode_ie_loop_label_14_head_out_2_2_0, out__v2.encode_ie_loop_label_14_head_out_2_3_0 := MS_Check__v1.encode_ie_loop_label_14_head___v2.encode_ie_loop_label_14_head(_v1.encode_ie_loop_label_14_head_in_1_0, _v1.encode_ie_loop_label_14_head_in_1_1, _v1.encode_ie_loop_label_14_head_in_1_2, _v1.encode_ie_loop_label_14_head_in_1_3, _v1.encode_ie_loop_label_14_head_in_1_4, _v2.encode_ie_loop_label_14_head_in_2_0, _v2.encode_ie_loop_label_14_head_in_2_1, _v2.encode_ie_loop_label_14_head_in_2_2, _v2.encode_ie_loop_label_14_head_in_2_3, _v2.encode_ie_loop_label_14_head_in_2_4);
+ assume _v1.Mem_T.UCHAR == _v1.encode_ie_loop_label_14_head_out_1_3
+ && (_v1.OK <==> _v1.encode_ie_loop_label_14_head_out_1_4);
+ assume _v2.Mem_T.UCHAR == _v2.encode_ie_loop_label_14_head_out_2_4
+ && (_v2.OK <==> _v2.encode_ie_loop_label_14_head_out_2_5);
+ assume _v1.encode_ie_loop_label_14_head_out_1_0
+ == out__v1.encode_ie_loop_label_14_head_out_1_0_0
+ && _v1.encode_ie_loop_label_14_head_out_1_1
+ == out__v1.encode_ie_loop_label_14_head_out_1_1_0
+ && _v1.encode_ie_loop_label_14_head_out_1_2
+ == out__v1.encode_ie_loop_label_14_head_out_1_2_0
+ && _v2.encode_ie_loop_label_14_head_out_2_0
+ == out__v2.encode_ie_loop_label_14_head_out_2_0_0
+ && _v2.encode_ie_loop_label_14_head_out_2_1
+ == out__v2.encode_ie_loop_label_14_head_out_2_1_0
+ && _v2.encode_ie_loop_label_14_head_out_2_2
+ == out__v2.encode_ie_loop_label_14_head_out_2_2_0
+ && _v2.encode_ie_loop_label_14_head_out_2_3
+ == out__v2.encode_ie_loop_label_14_head_out_2_3_0;
+ _v1.Mem_T.UCHAR, _v1.OK := store__0__v1.Mem_T.UCHAR, store__0__v1.OK;
+ _v2.Mem_T.UCHAR, _v2.OK := store__0__v2.Mem_T.UCHAR, store__0__v2.OK;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.encode_ie_loop_label_14_head_1_done
+ && _v2.encode_ie_loop_label_14_head_2_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+}
+
+
+
+function {:inline true} MS$_v1.giwscan_cb$_v2.giwscan_cb(_v1.se_.1: int,
+ _v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.alloc_: int,
+ _v1.OK_: bool,
+ _v1.Mem_T.UCHAR_: [int]int,
+ _v1.result.giwscan_cb$1: int,
+ _v2.se_.1: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.alloc_: int,
+ _v2.OK_: bool,
+ _v2.Mem_T.UCHAR_: [int]int,
+ _v2.result.giwscan_cb$1: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_156: bool;
+
+const {:existential true} _houdini_157: bool;
+
+const {:existential true} _houdini_158: bool;
+
+const {:existential true} _houdini_159: bool;
+
+const {:existential true} _houdini_160: bool;
+
+const {:existential true} _houdini_161: bool;
+
+const {:existential true} _houdini_162: bool;
+
+const {:existential true} _houdini_163: bool;
+
+const {:existential true} _houdini_164: bool;
+
+const {:existential true} _houdini_165: bool;
+
+const {:existential true} _houdini_166: bool;
+
+const {:existential true} _houdini_167: bool;
+
+const {:existential true} _houdini_168: bool;
+
+const {:existential true} _houdini_169: bool;
+
+const {:existential true} _houdini_170: bool;
+
+const {:existential true} _houdini_171: bool;
+
+const {:existential true} _houdini_172: bool;
+
+const {:existential true} _houdini_173: bool;
+
+const {:existential true} _houdini_174: bool;
+
+const {:existential true} _houdini_175: bool;
+
+const {:existential true} _houdini_176: bool;
+
+const {:existential true} _houdini_177: bool;
+
+const {:existential true} _houdini_178: bool;
+
+const {:existential true} _houdini_179: bool;
+
+const {:existential true} _houdini_180: bool;
+
+const {:existential true} _houdini_181: bool;
+
+const {:existential true} _houdini_182: bool;
+
+const {:existential true} _houdini_183: bool;
+
+const {:existential true} _houdini_184: bool;
+
+const {:existential true} _houdini_185: bool;
+
+const {:existential true} _houdini_186: bool;
+
+procedure MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.se_.1: int, _v2.se_.1: int)
+ returns (_v1.result.giwscan_cb$1: int, _v2.result.giwscan_cb$1: int);
+ requires _houdini_163 ==> _v1.se_.1 <= _v2.se_.1;
+ requires _houdini_164 ==> _v2.se_.1 <= _v1.se_.1;
+ requires _houdini_165 ==> _v1.OK ==> _v2.OK;
+ requires _houdini_166 ==> _v2.OK ==> _v1.OK;
+ requires _houdini_167 ==> _v1.Mem == _v2.Mem;
+ requires _houdini_168 ==> _v1.alloc <= _v2.alloc;
+ requires _houdini_169 ==> _v2.alloc <= _v1.alloc;
+ requires _houdini_170 ==> _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR;
+ requires _houdini_171 ==> _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR;
+ requires _houdini_172 ==> _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR;
+ requires _houdini_173 ==> _v1.Mem_T.CHAR == _v2.Mem_T.CHAR;
+ requires _houdini_174 ==> _v1.Mem_T.INT4 == _v2.Mem_T.INT4;
+ requires _houdini_175 ==> _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR;
+ requires _houdini_176 ==> _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR;
+ requires _houdini_177 ==> _v1.Mem_T.PVOID == _v2.Mem_T.PVOID;
+ requires _houdini_178
+ ==> _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry;
+ requires _houdini_179 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+ requires _houdini_180 ==> _v1.Mem_T.VOID == _v2.Mem_T.VOID;
+ requires _houdini_181
+ ==> _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry;
+ requires _houdini_182
+ ==> _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ requires _houdini_183 ==> _v1.detChoiceCnt <= _v2.detChoiceCnt;
+ requires _houdini_184 ==> _v2.detChoiceCnt <= _v1.detChoiceCnt;
+ requires _houdini_185 ==> _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE;
+ requires _houdini_186 ==> _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ ensures MS$_v1.giwscan_cb$_v2.giwscan_cb(_v1.se_.1,
+ old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.alloc,
+ _v1.OK,
+ _v1.Mem_T.UCHAR,
+ _v1.result.giwscan_cb$1,
+ _v2.se_.1,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.alloc,
+ _v2.OK,
+ _v2.Mem_T.UCHAR,
+ _v2.result.giwscan_cb$1);
+ ensures _houdini_156 ==> _v1.result.giwscan_cb$1 <= _v2.result.giwscan_cb$1;
+ ensures _houdini_157 ==> _v2.result.giwscan_cb$1 <= _v1.result.giwscan_cb$1;
+ ensures _houdini_158 ==> _v1.alloc <= _v2.alloc;
+ ensures _houdini_159 ==> _v2.alloc <= _v1.alloc;
+ ensures _houdini_160 ==> _v1.OK ==> _v2.OK;
+ ensures _houdini_161 ==> _v2.OK ==> _v1.OK;
+ ensures _houdini_162 ==> _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR;
+
+
+
+implementation MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.se_.1: int, _v2.se_.1: int)
+ returns (_v1.result.giwscan_cb$1: int, _v2.result.giwscan_cb$1: int)
+{
+ var inline$_v1.giwscan_cb$0$havoc_stringTemp: int;
+ var inline$_v1.giwscan_cb$0$condVal: int;
+ var inline$_v1.giwscan_cb$0$buf: int;
+ var inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$: int;
+ var inline$_v1.giwscan_cb$0$result.encode_ie$2: int;
+ var inline$_v1.giwscan_cb$0$rsn_leader: int;
+ var inline$_v1.giwscan_cb$0$se: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie0: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie1: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie2: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie3: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie4: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie5: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie6: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie7: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie8: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie9: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie10: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie11: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie12: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie13: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie14: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie15: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie16: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie17: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie18: int;
+ var inline$_v1.giwscan_cb$0$tempBoogie19: int;
+ var inline$_v1.giwscan_cb$0$__havoc_dummy_return: int;
+ var inline$_v1.giwscan_cb$0$se_.1: int;
+ var inline$_v1.giwscan_cb$0$result.giwscan_cb$1: int;
+ var inline$_v1.giwscan_cb$0$_v1.alloc: int;
+ var inline$_v1.giwscan_cb$0$_v1.OK: bool;
+ var inline$_v1.giwscan_cb$0$_v1.Mem_T.UCHAR: [int]int;
+ var inline$_v2.giwscan_cb$0$havoc_stringTemp: int;
+ var inline$_v2.giwscan_cb$0$condVal: int;
+ var inline$_v2.giwscan_cb$0$buf: int;
+ var inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$: int;
+ var inline$_v2.giwscan_cb$0$result.encode_ie$2: int;
+ var inline$_v2.giwscan_cb$0$rsn_leader: int;
+ var inline$_v2.giwscan_cb$0$se: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie0: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie1: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie2: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie3: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie4: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie5: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie6: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie7: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie8: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie9: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie10: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie11: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie12: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie13: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie14: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie15: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie16: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie17: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie18: int;
+ var inline$_v2.giwscan_cb$0$tempBoogie19: int;
+ var inline$_v2.giwscan_cb$0$__havoc_dummy_return: int;
+ var inline$_v2.giwscan_cb$0$se_.1: int;
+ var inline$_v2.giwscan_cb$0$result.giwscan_cb$1: int;
+ var inline$_v2.giwscan_cb$0$_v2.alloc: int;
+ var inline$_v2.giwscan_cb$0$_v2.OK: bool;
+ var inline$_v2.giwscan_cb$0$_v2.Mem_T.UCHAR: [int]int;
+ var _v1.__HAVOC_det_malloc_1_done: bool;
+ var _v1.__HAVOC_det_malloc_in_1_0: int;
+ var _v1.__HAVOC_det_malloc_in_1_1: int;
+ var _v1.__HAVOC_det_malloc_in_1_2: bool;
+ var _v1.__HAVOC_det_malloc_in_1_3: [int]int;
+ var _v1.__HAVOC_det_malloc_out_1_0: int;
+ var _v1.__HAVOC_det_malloc_out_1_1: int;
+ var _v1.__HAVOC_det_malloc_2_done: bool;
+ var _v1.__HAVOC_det_malloc_in_2_0: int;
+ var _v1.__HAVOC_det_malloc_in_2_1: int;
+ var _v1.__HAVOC_det_malloc_in_2_2: bool;
+ var _v1.__HAVOC_det_malloc_in_2_3: [int]int;
+ var _v1.__HAVOC_det_malloc_out_2_0: int;
+ var _v1.__HAVOC_det_malloc_out_2_1: int;
+ var _v1.encode_ie_3_done: bool;
+ var _v1.encode_ie_in_3_0: int;
+ var _v1.encode_ie_in_3_1: int;
+ var _v1.encode_ie_in_3_2: int;
+ var _v1.encode_ie_in_3_3: int;
+ var _v1.encode_ie_in_3_4: int;
+ var _v1.encode_ie_in_3_5: int;
+ var _v1.encode_ie_in_3_6: int;
+ var _v1.encode_ie_in_3_7: bool;
+ var _v1.encode_ie_in_3_8: [int]int;
+ var _v1.encode_ie_out_3_0: int;
+ var _v1.encode_ie_out_3_1: bool;
+ var _v1.encode_ie_out_3_2: [int]int;
+ var _v1.__HAVOC_free_4_done: bool;
+ var _v1.__HAVOC_free_in_4_0: int;
+ var _v1.__HAVOC_free_in_4_1: int;
+ var _v1.__HAVOC_free_in_4_2: bool;
+ var _v1.__HAVOC_free_in_4_3: [int]int;
+ var _v1.__HAVOC_free_5_done: bool;
+ var _v1.__HAVOC_free_in_5_0: int;
+ var _v1.__HAVOC_free_in_5_1: int;
+ var _v1.__HAVOC_free_in_5_2: bool;
+ var _v1.__HAVOC_free_in_5_3: [int]int;
+ var _v2.__HAVOC_det_malloc_6_done: bool;
+ var _v2.__HAVOC_det_malloc_in_6_0: int;
+ var _v2.__HAVOC_det_malloc_in_6_1: int;
+ var _v2.__HAVOC_det_malloc_in_6_2: bool;
+ var _v2.__HAVOC_det_malloc_in_6_3: [int]int;
+ var _v2.__HAVOC_det_malloc_out_6_0: int;
+ var _v2.__HAVOC_det_malloc_out_6_1: int;
+ var _v2.__HAVOC_det_malloc_7_done: bool;
+ var _v2.__HAVOC_det_malloc_in_7_0: int;
+ var _v2.__HAVOC_det_malloc_in_7_1: int;
+ var _v2.__HAVOC_det_malloc_in_7_2: bool;
+ var _v2.__HAVOC_det_malloc_in_7_3: [int]int;
+ var _v2.__HAVOC_det_malloc_out_7_0: int;
+ var _v2.__HAVOC_det_malloc_out_7_1: int;
+ var _v2.encode_ie_8_done: bool;
+ var _v2.encode_ie_in_8_0: int;
+ var _v2.encode_ie_in_8_1: int;
+ var _v2.encode_ie_in_8_2: int;
+ var _v2.encode_ie_in_8_3: int;
+ var _v2.encode_ie_in_8_4: int;
+ var _v2.encode_ie_in_8_5: int;
+ var _v2.encode_ie_in_8_6: int;
+ var _v2.encode_ie_in_8_7: bool;
+ var _v2.encode_ie_in_8_8: [int]int;
+ var _v2.encode_ie_out_8_0: int;
+ var _v2.encode_ie_out_8_1: bool;
+ var _v2.encode_ie_out_8_2: [int]int;
+ var _v2.__HAVOC_free_9_done: bool;
+ var _v2.__HAVOC_free_in_9_0: int;
+ var _v2.__HAVOC_free_in_9_1: int;
+ var _v2.__HAVOC_free_in_9_2: bool;
+ var _v2.__HAVOC_free_in_9_3: [int]int;
+ var _v2.__HAVOC_free_10_done: bool;
+ var _v2.__HAVOC_free_in_10_0: int;
+ var _v2.__HAVOC_free_in_10_1: int;
+ var _v2.__HAVOC_free_in_10_2: bool;
+ var _v2.__HAVOC_free_in_10_3: [int]int;
+ var store__0__v1.alloc: int;
+ var store__0__v1.OK: bool;
+ var store__0__v1.Mem_T.UCHAR: [int]int;
+ var store__0__v2.alloc: int;
+ var store__0__v2.OK: bool;
+ var store__0__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_1_0_0: int;
+ var out__v2.__HAVOC_det_malloc_out_6_0_0: int;
+ var store__1__v1.alloc: int;
+ var store__1__v1.OK: bool;
+ var store__1__v1.Mem_T.UCHAR: [int]int;
+ var store__1__v2.alloc: int;
+ var store__1__v2.OK: bool;
+ var store__1__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_1_0_1: int;
+ var out__v2.__HAVOC_det_malloc_out_7_0_1: int;
+ var store__2__v1.alloc: int;
+ var store__2__v1.OK: bool;
+ var store__2__v1.Mem_T.UCHAR: [int]int;
+ var store__2__v2.alloc: int;
+ var store__2__v2.OK: bool;
+ var store__2__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_2_0_2: int;
+ var out__v2.__HAVOC_det_malloc_out_6_0_2: int;
+ var store__3__v1.alloc: int;
+ var store__3__v1.OK: bool;
+ var store__3__v1.Mem_T.UCHAR: [int]int;
+ var store__3__v2.alloc: int;
+ var store__3__v2.OK: bool;
+ var store__3__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_2_0_3: int;
+ var out__v2.__HAVOC_det_malloc_out_7_0_3: int;
+ var store__4__v1.alloc: int;
+ var store__4__v1.OK: bool;
+ var store__4__v1.Mem_T.UCHAR: [int]int;
+ var store__4__v2.alloc: int;
+ var store__4__v2.OK: bool;
+ var store__4__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.encode_ie_out_3_0_4: int;
+ var out__v2.encode_ie_out_8_0_4: int;
+ var store__5__v1.alloc: int;
+ var store__5__v1.OK: bool;
+ var store__5__v1.Mem_T.UCHAR: [int]int;
+ var store__5__v2.alloc: int;
+ var store__5__v2.OK: bool;
+ var store__5__v2.Mem_T.UCHAR: [int]int;
+ var store__6__v1.alloc: int;
+ var store__6__v1.OK: bool;
+ var store__6__v1.Mem_T.UCHAR: [int]int;
+ var store__6__v2.alloc: int;
+ var store__6__v2.OK: bool;
+ var store__6__v2.Mem_T.UCHAR: [int]int;
+ var store__7__v1.alloc: int;
+ var store__7__v1.OK: bool;
+ var store__7__v1.Mem_T.UCHAR: [int]int;
+ var store__7__v2.alloc: int;
+ var store__7__v2.OK: bool;
+ var store__7__v2.Mem_T.UCHAR: [int]int;
+ var store__8__v1.alloc: int;
+ var store__8__v1.OK: bool;
+ var store__8__v1.Mem_T.UCHAR: [int]int;
+ var store__8__v2.alloc: int;
+ var store__8__v2.OK: bool;
+ var store__8__v2.Mem_T.UCHAR: [int]int;
+
+ START:
+ _v1.__HAVOC_det_malloc_1_done, _v1.__HAVOC_det_malloc_2_done, _v1.encode_ie_3_done, _v1.__HAVOC_free_4_done, _v1.__HAVOC_free_5_done, _v2.__HAVOC_det_malloc_6_done, _v2.__HAVOC_det_malloc_7_done, _v2.encode_ie_8_done, _v2.__HAVOC_free_9_done, _v2.__HAVOC_free_10_done := false, false, false, false, false, false, false, false, false, false;
+ goto inline$_v1.giwscan_cb$0$Entry;
+
+ inline$_v1.giwscan_cb$0$Entry:
+ inline$_v1.giwscan_cb$0$se_.1 := _v1.se_.1;
+ havoc inline$_v1.giwscan_cb$0$havoc_stringTemp, inline$_v1.giwscan_cb$0$condVal, inline$_v1.giwscan_cb$0$buf, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$result.encode_ie$2, inline$_v1.giwscan_cb$0$rsn_leader, inline$_v1.giwscan_cb$0$se, inline$_v1.giwscan_cb$0$tempBoogie0, inline$_v1.giwscan_cb$0$tempBoogie1, inline$_v1.giwscan_cb$0$tempBoogie2, inline$_v1.giwscan_cb$0$tempBoogie3, inline$_v1.giwscan_cb$0$tempBoogie4, inline$_v1.giwscan_cb$0$tempBoogie5, inline$_v1.giwscan_cb$0$tempBoogie6, inline$_v1.giwscan_cb$0$tempBoogie7, inline$_v1.giwscan_cb$0$tempBoogie8, inline$_v1.giwscan_cb$0$tempBoogie9, inline$_v1.giwscan_cb$0$tempBoogie10, inline$_v1.giwscan_cb$0$tempBoogie11, inline$_v1.giwscan_cb$0$tempBoogie12, inline$_v1.giwscan_cb$0$tempBoogie13, inline$_v1.giwscan_cb$0$tempBoogie14, inline$_v1.giwscan_cb$0$tempBoogie15, inline$_v1.giwscan_cb$0$tempBoogie16, inline$_v1.giwscan_cb$0$tempBoogie17, inline$_v1.giwscan_cb$0$tempBoogie18, inline$_v1.giwscan_cb$0$tempBoogie19, inline$_v1.giwscan_cb$0$__havoc_dummy_return, inline$_v1.giwscan_cb$0$result.giwscan_cb$1;
+ inline$_v1.giwscan_cb$0$_v1.alloc := _v1.alloc;
+ inline$_v1.giwscan_cb$0$_v1.OK := _v1.OK;
+ inline$_v1.giwscan_cb$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
+ goto inline$_v1.giwscan_cb$0$anon0#2;
+
+ inline$_v1.giwscan_cb$0$anon0#2:
+ inline$_v1.giwscan_cb$0$havoc_stringTemp := 0;
+ goto inline$_v1.giwscan_cb$0$start#2;
+
+ inline$_v1.giwscan_cb$0$start#2:
+ assume _v2.INT_LT(inline$_v1.giwscan_cb$0$se_.1, _v1.alloc);
+ _v1.__HAVOC_det_malloc_in_1_0, _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3 := 6, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ call inline$_v1.giwscan_cb$0$buf := _v1.__HAVOC_det_malloc(6);
+ _v1.__HAVOC_det_malloc_1_done := true;
+ _v1.__HAVOC_det_malloc_out_1_0, _v1.__HAVOC_det_malloc_out_1_1 := inline$_v1.giwscan_cb$0$buf, _v1.alloc;
+ inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := 0;
+ inline$_v1.giwscan_cb$0$result.encode_ie$2 := 0;
+ inline$_v1.giwscan_cb$0$result.giwscan_cb$1 := 0;
+ _v1.__HAVOC_det_malloc_in_2_0, _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3 := 1, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ call inline$_v1.giwscan_cb$0$rsn_leader := _v1.__HAVOC_det_malloc(1);
+ _v1.__HAVOC_det_malloc_2_done := true;
+ _v1.__HAVOC_det_malloc_out_2_0, _v1.__HAVOC_det_malloc_out_2_1 := inline$_v1.giwscan_cb$0$rsn_leader, _v1.alloc;
+ inline$_v1.giwscan_cb$0$se := 0;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se_.1, 0);
+ inline$_v1.giwscan_cb$0$se := inline$_v1.giwscan_cb$0$se_.1;
+ goto inline$_v1.giwscan_cb$0$label_3#2;
+
+ inline$_v1.giwscan_cb$0$label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 45} true;
+ goto inline$_v1.giwscan_cb$0$label_4#2;
+
+ inline$_v1.giwscan_cb$0$label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 46} true;
+ goto inline$_v1.giwscan_cb$0$label_5#2;
+
+ inline$_v1.giwscan_cb$0$label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 50} true;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ goto inline$_v1.giwscan_cb$0$label_5_true#2, inline$_v1.giwscan_cb$0$label_5_false#2;
+
+ inline$_v1.giwscan_cb$0$label_5_false#2:
+ assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]
+ == 0;
+ assume _v2.value_is(_v1.__ctobpl_const_32, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_33,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ goto inline$_v1.giwscan_cb$0$label_6#2;
+
+ inline$_v1.giwscan_cb$0$label_5_true#2:
+ assume _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]
+ != 0;
+ assume _v2.value_is(_v1.__ctobpl_const_32, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_33,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ goto inline$_v1.giwscan_cb$0$label_7#2;
+
+ inline$_v1.giwscan_cb$0$label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 51} true;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ goto inline$_v1.giwscan_cb$0$label_7_true#2, inline$_v1.giwscan_cb$0$label_7_false#2;
+
+ inline$_v1.giwscan_cb$0$label_7_false#2:
+ assume !_v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v1.__ctobpl_const_34, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_35,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_36,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v1.giwscan_cb$0$label_6#2;
+
+ inline$_v1.giwscan_cb$0$label_7_true#2:
+ assume _v2.INT_EQ(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v1.__ctobpl_const_34, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_35,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_36,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v1.giwscan_cb$0$label_8#2;
+
+ inline$_v1.giwscan_cb$0$label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 53} true;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 1)],
+ 1,
+ 2);
+ assume _v2.value_is(_v1.__ctobpl_const_37, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_38, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_39,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_40,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)],
+ 1,
+ 1)]);
+ goto inline$_v1.giwscan_cb$0$label_9#2;
+
+ inline$_v1.giwscan_cb$0$label_9#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 52} true;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(inline$_v1.giwscan_cb$0$se, 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ _v1.encode_ie_in_3_0, _v1.encode_ie_in_3_1, _v1.encode_ie_in_3_2, _v1.encode_ie_in_3_3, _v1.encode_ie_in_3_4, _v1.encode_ie_in_3_5, _v1.encode_ie_in_3_6, _v1.encode_ie_in_3_7, _v1.encode_ie_in_3_8 := inline$_v1.giwscan_cb$0$buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)], inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$rsn_leader, 1, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ call inline$_v1.giwscan_cb$0$result.encode_ie$2 := _v1.encode_ie(inline$_v1.giwscan_cb$0$buf, 6, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)], inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v1.giwscan_cb$0$rsn_leader, 1);
+ _v1.encode_ie_3_done := true;
+ _v1.encode_ie_out_3_0, _v1.encode_ie_out_3_1, _v1.encode_ie_out_3_2 := inline$_v1.giwscan_cb$0$result.encode_ie$2, _v1.OK, _v1.Mem_T.UCHAR;
+ assume _v2.value_is(_v1.__ctobpl_const_41, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_42,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_43, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v1.__ctobpl_const_44, inline$_v1.giwscan_cb$0$se);
+ assume _v2.value_is(_v1.__ctobpl_const_45,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_46, inline$_v1.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ goto inline$_v1.giwscan_cb$0$label_6#2;
+
+ inline$_v1.giwscan_cb$0$label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 57} true;
+ inline$_v1.giwscan_cb$0$result.giwscan_cb$1 := 0;
+ goto inline$_v1.giwscan_cb$0$label_1#2;
+
+ inline$_v1.giwscan_cb$0$label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 58} true;
+ _v1.__HAVOC_free_in_4_0, _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3 := inline$_v1.giwscan_cb$0$buf, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ call _v1.__HAVOC_free(inline$_v1.giwscan_cb$0$buf);
+ _v1.__HAVOC_free_4_done := true;
+ _v1.__HAVOC_free_in_5_0, _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3 := inline$_v1.giwscan_cb$0$rsn_leader, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ call _v1.__HAVOC_free(inline$_v1.giwscan_cb$0$rsn_leader);
+ _v1.__HAVOC_free_5_done := true;
+ goto inline$_v1.giwscan_cb$0$Return;
+
+ inline$_v1.giwscan_cb$0$Return:
+ assume true;
+ _v1.result.giwscan_cb$1 := inline$_v1.giwscan_cb$0$result.giwscan_cb$1;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.giwscan_cb$0$Entry;
+
+ inline$_v2.giwscan_cb$0$Entry:
+ inline$_v2.giwscan_cb$0$se_.1 := _v2.se_.1;
+ havoc inline$_v2.giwscan_cb$0$havoc_stringTemp, inline$_v2.giwscan_cb$0$condVal, inline$_v2.giwscan_cb$0$buf, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$result.encode_ie$2, inline$_v2.giwscan_cb$0$rsn_leader, inline$_v2.giwscan_cb$0$se, inline$_v2.giwscan_cb$0$tempBoogie0, inline$_v2.giwscan_cb$0$tempBoogie1, inline$_v2.giwscan_cb$0$tempBoogie2, inline$_v2.giwscan_cb$0$tempBoogie3, inline$_v2.giwscan_cb$0$tempBoogie4, inline$_v2.giwscan_cb$0$tempBoogie5, inline$_v2.giwscan_cb$0$tempBoogie6, inline$_v2.giwscan_cb$0$tempBoogie7, inline$_v2.giwscan_cb$0$tempBoogie8, inline$_v2.giwscan_cb$0$tempBoogie9, inline$_v2.giwscan_cb$0$tempBoogie10, inline$_v2.giwscan_cb$0$tempBoogie11, inline$_v2.giwscan_cb$0$tempBoogie12, inline$_v2.giwscan_cb$0$tempBoogie13, inline$_v2.giwscan_cb$0$tempBoogie14, inline$_v2.giwscan_cb$0$tempBoogie15, inline$_v2.giwscan_cb$0$tempBoogie16, inline$_v2.giwscan_cb$0$tempBoogie17, inline$_v2.giwscan_cb$0$tempBoogie18, inline$_v2.giwscan_cb$0$tempBoogie19, inline$_v2.giwscan_cb$0$__havoc_dummy_return, inline$_v2.giwscan_cb$0$result.giwscan_cb$1;
+ inline$_v2.giwscan_cb$0$_v2.alloc := _v2.alloc;
+ inline$_v2.giwscan_cb$0$_v2.OK := _v2.OK;
+ inline$_v2.giwscan_cb$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
+ goto inline$_v2.giwscan_cb$0$anon0#2;
+
+ inline$_v2.giwscan_cb$0$anon0#2:
+ inline$_v2.giwscan_cb$0$havoc_stringTemp := 0;
+ goto inline$_v2.giwscan_cb$0$start#2;
+
+ inline$_v2.giwscan_cb$0$start#2:
+ assume _v2.INT_LT(inline$_v2.giwscan_cb$0$se_.1, _v2.alloc);
+ _v2.__HAVOC_det_malloc_in_6_0, _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3 := 6, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ call inline$_v2.giwscan_cb$0$buf := _v2.__HAVOC_det_malloc(6);
+ _v2.__HAVOC_det_malloc_6_done := true;
+ _v2.__HAVOC_det_malloc_out_6_0, _v2.__HAVOC_det_malloc_out_6_1 := inline$_v2.giwscan_cb$0$buf, _v2.alloc;
+ inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := 0;
+ inline$_v2.giwscan_cb$0$result.encode_ie$2 := 0;
+ inline$_v2.giwscan_cb$0$result.giwscan_cb$1 := 0;
+ _v2.__HAVOC_det_malloc_in_7_0, _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3 := 1, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ call inline$_v2.giwscan_cb$0$rsn_leader := _v2.__HAVOC_det_malloc(1);
+ _v2.__HAVOC_det_malloc_7_done := true;
+ _v2.__HAVOC_det_malloc_out_7_0, _v2.__HAVOC_det_malloc_out_7_1 := inline$_v2.giwscan_cb$0$rsn_leader, _v2.alloc;
+ inline$_v2.giwscan_cb$0$se := 0;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se_.1, 0);
+ inline$_v2.giwscan_cb$0$se := inline$_v2.giwscan_cb$0$se_.1;
+ goto inline$_v2.giwscan_cb$0$label_3#2;
+
+ inline$_v2.giwscan_cb$0$label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 46} true;
+ goto inline$_v2.giwscan_cb$0$label_4#2;
+
+ inline$_v2.giwscan_cb$0$label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 47} true;
+ goto inline$_v2.giwscan_cb$0$label_5#2;
+
+ inline$_v2.giwscan_cb$0$label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 51} true;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ goto inline$_v2.giwscan_cb$0$label_5_true#2, inline$_v2.giwscan_cb$0$label_5_false#2;
+
+ inline$_v2.giwscan_cb$0$label_5_false#2:
+ assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]
+ == 0;
+ assume _v2.value_is(_v2.__ctobpl_const_33, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_34,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ goto inline$_v2.giwscan_cb$0$label_6#2;
+
+ inline$_v2.giwscan_cb$0$label_5_true#2:
+ assume _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]
+ != 0;
+ assume _v2.value_is(_v2.__ctobpl_const_33, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_34,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ goto inline$_v2.giwscan_cb$0$label_7#2;
+
+ inline$_v2.giwscan_cb$0$label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 52} true;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ goto inline$_v2.giwscan_cb$0$label_7_true#2, inline$_v2.giwscan_cb$0$label_7_false#2;
+
+ inline$_v2.giwscan_cb$0$label_7_false#2:
+ assume !_v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v2.__ctobpl_const_35, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_36,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_37,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v2.giwscan_cb$0$label_6#2;
+
+ inline$_v2.giwscan_cb$0$label_7_true#2:
+ assume _v2.INT_EQ(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 0)],
+ 200);
+ assume _v2.value_is(_v2.__ctobpl_const_35, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_36,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_37,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v2.giwscan_cb$0$label_8#2;
+
+ inline$_v2.giwscan_cb$0$label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 54} true;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$ := _v2.INT_PLUS(_v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 1)],
+ 1,
+ 2);
+ assume _v2.value_is(_v2.__ctobpl_const_38, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_39, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_40,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_41,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)],
+ 1,
+ 1)]);
+ goto inline$_v2.giwscan_cb$0$label_9#2;
+
+ inline$_v2.giwscan_cb$0$label_9#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 53} true;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(inline$_v2.giwscan_cb$0$se, 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se))
+ == 1;
+ assert true;
+ _v2.encode_ie_in_8_0, _v2.encode_ie_in_8_1, _v2.encode_ie_in_8_2, _v2.encode_ie_in_8_3, _v2.encode_ie_in_8_4, _v2.encode_ie_in_8_5, _v2.encode_ie_in_8_6, _v2.encode_ie_in_8_7, _v2.encode_ie_in_8_8 := inline$_v2.giwscan_cb$0$buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)], inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$rsn_leader, 1, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ call inline$_v2.giwscan_cb$0$result.encode_ie$2 := _v2.encode_ie(inline$_v2.giwscan_cb$0$buf, 6, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)], inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$, inline$_v2.giwscan_cb$0$rsn_leader, 1);
+ _v2.encode_ie_8_done := true;
+ _v2.encode_ie_out_8_0, _v2.encode_ie_out_8_1, _v2.encode_ie_out_8_2 := inline$_v2.giwscan_cb$0$result.encode_ie$2, _v2.OK, _v2.Mem_T.UCHAR;
+ assume _v2.value_is(_v2.__ctobpl_const_42, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_43,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_44, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ assume _v2.value_is(_v2.__ctobpl_const_45, inline$_v2.giwscan_cb$0$se);
+ assume _v2.value_is(_v2.__ctobpl_const_46,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.giwscan_cb$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_47, inline$_v2.giwscan_cb$0$$encode_ie.arg.4$3.$$static$);
+ goto inline$_v2.giwscan_cb$0$label_6#2;
+
+ inline$_v2.giwscan_cb$0$label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 58} true;
+ inline$_v2.giwscan_cb$0$result.giwscan_cb$1 := 0;
+ goto inline$_v2.giwscan_cb$0$label_1#2;
+
+ inline$_v2.giwscan_cb$0$label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 59} true;
+ _v2.__HAVOC_free_in_9_0, _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3 := inline$_v2.giwscan_cb$0$buf, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ call _v2.__HAVOC_free(inline$_v2.giwscan_cb$0$buf);
+ _v2.__HAVOC_free_9_done := true;
+ _v2.__HAVOC_free_in_10_0, _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3 := inline$_v2.giwscan_cb$0$rsn_leader, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ call _v2.__HAVOC_free(inline$_v2.giwscan_cb$0$rsn_leader);
+ _v2.__HAVOC_free_10_done := true;
+ goto inline$_v2.giwscan_cb$0$Return;
+
+ inline$_v2.giwscan_cb$0$Return:
+ assume true;
+ _v2.result.giwscan_cb$1 := inline$_v2.giwscan_cb$0$result.giwscan_cb$1;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_8;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done;
+ store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3;
+ call out__v1.__HAVOC_det_malloc_out_1_0_0, out__v2.__HAVOC_det_malloc_out_6_0_0 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_6_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
+ assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_0
+ && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_0;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.UCHAR;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+
+ MS_L_0_1:
+ goto MS_L_taken_1, MS_L_not_taken_1;
+
+ MS_L_taken_1:
+ assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done;
+ store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3;
+ call out__v1.__HAVOC_det_malloc_out_1_0_1, out__v2.__HAVOC_det_malloc_out_7_0_1 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_7_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
+ assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_1
+ && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_1;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.UCHAR;
+ goto MS_L_meet_1;
+
+ MS_L_not_taken_1:
+ assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done);
+ goto MS_L_meet_1;
+
+ MS_L_meet_1:
+ goto MS_L_0_0;
+
+ MS_L_0_2:
+ goto MS_L_taken_2, MS_L_not_taken_2;
+
+ MS_L_taken_2:
+ assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done;
+ store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3;
+ call out__v1.__HAVOC_det_malloc_out_2_0_2, out__v2.__HAVOC_det_malloc_out_6_0_2 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_6_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
+ assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_2
+ && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_2;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.UCHAR;
+ goto MS_L_meet_2;
+
+ MS_L_not_taken_2:
+ assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done);
+ goto MS_L_meet_2;
+
+ MS_L_meet_2:
+ goto MS_L_0_1;
+
+ MS_L_0_3:
+ goto MS_L_taken_3, MS_L_not_taken_3;
+
+ MS_L_taken_3:
+ assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done;
+ store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3;
+ call out__v1.__HAVOC_det_malloc_out_2_0_3, out__v2.__HAVOC_det_malloc_out_7_0_3 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_7_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
+ assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_3
+ && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_3;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.UCHAR;
+ goto MS_L_meet_3;
+
+ MS_L_not_taken_3:
+ assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done);
+ goto MS_L_meet_3;
+
+ MS_L_meet_3:
+ goto MS_L_0_2;
+
+ MS_L_0_4:
+ goto MS_L_taken_4, MS_L_not_taken_4;
+
+ MS_L_taken_4:
+ assume _v1.encode_ie_3_done && _v2.encode_ie_8_done;
+ store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.encode_ie_in_3_6, _v1.encode_ie_in_3_7, _v1.encode_ie_in_3_8;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.encode_ie_in_8_6, _v2.encode_ie_in_8_7, _v2.encode_ie_in_8_8;
+ call out__v1.encode_ie_out_3_0_4, out__v2.encode_ie_out_8_0_4 := MS_Check__v1.encode_ie___v2.encode_ie(_v1.encode_ie_in_3_0, _v1.encode_ie_in_3_1, _v1.encode_ie_in_3_2, _v1.encode_ie_in_3_3, _v1.encode_ie_in_3_4, _v1.encode_ie_in_3_5, _v2.encode_ie_in_8_0, _v2.encode_ie_in_8_1, _v2.encode_ie_in_8_2, _v2.encode_ie_in_8_3, _v2.encode_ie_in_8_4, _v2.encode_ie_in_8_5);
+ assume (_v1.OK <==> _v1.encode_ie_out_3_1) && _v1.Mem_T.UCHAR == _v1.encode_ie_out_3_2;
+ assume (_v2.OK <==> _v2.encode_ie_out_8_1) && _v2.Mem_T.UCHAR == _v2.encode_ie_out_8_2;
+ assume _v1.encode_ie_out_3_0 == out__v1.encode_ie_out_3_0_4
+ && _v2.encode_ie_out_8_0 == out__v2.encode_ie_out_8_0_4;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.UCHAR;
+ goto MS_L_meet_4;
+
+ MS_L_not_taken_4:
+ assume !(_v1.encode_ie_3_done && _v2.encode_ie_8_done);
+ goto MS_L_meet_4;
+
+ MS_L_meet_4:
+ goto MS_L_0_3;
+
+ MS_L_0_5:
+ goto MS_L_taken_5, MS_L_not_taken_5;
+
+ MS_L_taken_5:
+ assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done;
+ store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_9_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.UCHAR;
+ goto MS_L_meet_5;
+
+ MS_L_not_taken_5:
+ assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done);
+ goto MS_L_meet_5;
+
+ MS_L_meet_5:
+ goto MS_L_0_4;
+
+ MS_L_0_6:
+ goto MS_L_taken_6, MS_L_not_taken_6;
+
+ MS_L_taken_6:
+ assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done;
+ store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_10_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.UCHAR;
+ goto MS_L_meet_6;
+
+ MS_L_not_taken_6:
+ assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done);
+ goto MS_L_meet_6;
+
+ MS_L_meet_6:
+ goto MS_L_0_5;
+
+ MS_L_0_7:
+ goto MS_L_taken_7, MS_L_not_taken_7;
+
+ MS_L_taken_7:
+ assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done;
+ store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_9_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.UCHAR;
+ goto MS_L_meet_7;
+
+ MS_L_not_taken_7:
+ assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done);
+ goto MS_L_meet_7;
+
+ MS_L_meet_7:
+ goto MS_L_0_6;
+
+ MS_L_0_8:
+ goto MS_L_taken_8, MS_L_not_taken_8;
+
+ MS_L_taken_8:
+ assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done;
+ store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_10_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR := store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR := store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.UCHAR;
+ goto MS_L_meet_8;
+
+ MS_L_not_taken_8:
+ assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done);
+ goto MS_L_meet_8;
+
+ MS_L_meet_8:
+ goto MS_L_0_7;
+}
+
+
+
+function {:inline true} MS$_v1.main$_v2.main(_v1.OK_old: bool,
+ _v1.Mem_old: [name][int]int,
+ _v1.alloc_old: int,
+ _v1.Mem_T.A1CHAR_old: [int]int,
+ _v1.Mem_T.A5UCHAR_old: [int]int,
+ _v1.Mem_T.A6UCHAR_old: [int]int,
+ _v1.Mem_T.CHAR_old: [int]int,
+ _v1.Mem_T.INT4_old: [int]int,
+ _v1.Mem_T.PCHAR_old: [int]int,
+ _v1.Mem_T.PUCHAR_old: [int]int,
+ _v1.Mem_T.PVOID_old: [int]int,
+ _v1.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.UCHAR_old: [int]int,
+ _v1.Mem_T.VOID_old: [int]int,
+ _v1.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v1.detChoiceCnt_old: int,
+ _v1.Res_KERNEL_SOURCE_old: [int]int,
+ _v1.Res_PROBED_old: [int]int,
+ _v1.alloc_: int,
+ _v1.OK_: bool,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry_: [int]int,
+ _v1.Mem_T.UCHAR_: [int]int,
+ _v1.result.main$1: int,
+ _v2.OK_old: bool,
+ _v2.Mem_old: [name][int]int,
+ _v2.alloc_old: int,
+ _v2.Mem_T.A1CHAR_old: [int]int,
+ _v2.Mem_T.A5UCHAR_old: [int]int,
+ _v2.Mem_T.A6UCHAR_old: [int]int,
+ _v2.Mem_T.CHAR_old: [int]int,
+ _v2.Mem_T.INT4_old: [int]int,
+ _v2.Mem_T.PCHAR_old: [int]int,
+ _v2.Mem_T.PUCHAR_old: [int]int,
+ _v2.Mem_T.PVOID_old: [int]int,
+ _v2.Mem_T.Pieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.UCHAR_old: [int]int,
+ _v2.Mem_T.VOID_old: [int]int,
+ _v2.Mem_T.ieee80211_scan_entry_old: [int]int,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_old: [int]int,
+ _v2.detChoiceCnt_old: int,
+ _v2.Res_KERNEL_SOURCE_old: [int]int,
+ _v2.Res_PROBED_old: [int]int,
+ _v2.alloc_: int,
+ _v2.OK_: bool,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry_: [int]int,
+ _v2.Mem_T.UCHAR_: [int]int,
+ _v2.result.main$1: int)
+ : bool
+{
+ true
+}
+
+procedure MS_Check__v1.main___v2.main() returns (_v1.result.main$1: int, _v2.result.main$1: int);
+ requires (_v1.OK <==> _v2.OK)
+ && _v1.Mem == _v2.Mem
+ && _v1.alloc == _v2.alloc
+ && _v1.Mem_T.A1CHAR == _v2.Mem_T.A1CHAR
+ && _v1.Mem_T.A5UCHAR == _v2.Mem_T.A5UCHAR
+ && _v1.Mem_T.A6UCHAR == _v2.Mem_T.A6UCHAR
+ && _v1.Mem_T.CHAR == _v2.Mem_T.CHAR
+ && _v1.Mem_T.INT4 == _v2.Mem_T.INT4
+ && _v1.Mem_T.PCHAR == _v2.Mem_T.PCHAR
+ && _v1.Mem_T.PUCHAR == _v2.Mem_T.PUCHAR
+ && _v1.Mem_T.PVOID == _v2.Mem_T.PVOID
+ && _v1.Mem_T.Pieee80211_scan_entry == _v2.Mem_T.Pieee80211_scan_entry
+ && _v1.Mem_T.UCHAR == _v2.Mem_T.UCHAR
+ && _v1.Mem_T.VOID == _v2.Mem_T.VOID
+ && _v1.Mem_T.ieee80211_scan_entry == _v2.Mem_T.ieee80211_scan_entry
+ && _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ == _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry
+ && _v1.detChoiceCnt == _v2.detChoiceCnt
+ && _v1.Res_KERNEL_SOURCE == _v2.Res_KERNEL_SOURCE
+ && _v1.Res_PROBED == _v2.Res_PROBED;
+ modifies _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ ensures MS$_v1.main$_v2.main(old(_v1.OK),
+ old(_v1.Mem),
+ old(_v1.alloc),
+ old(_v1.Mem_T.A1CHAR),
+ old(_v1.Mem_T.A5UCHAR),
+ old(_v1.Mem_T.A6UCHAR),
+ old(_v1.Mem_T.CHAR),
+ old(_v1.Mem_T.INT4),
+ old(_v1.Mem_T.PCHAR),
+ old(_v1.Mem_T.PUCHAR),
+ old(_v1.Mem_T.PVOID),
+ old(_v1.Mem_T.Pieee80211_scan_entry),
+ old(_v1.Mem_T.UCHAR),
+ old(_v1.Mem_T.VOID),
+ old(_v1.Mem_T.ieee80211_scan_entry),
+ old(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v1.detChoiceCnt),
+ old(_v1.Res_KERNEL_SOURCE),
+ old(_v1.Res_PROBED),
+ _v1.alloc,
+ _v1.OK,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry,
+ _v1.Mem_T.UCHAR,
+ _v1.result.main$1,
+ old(_v2.OK),
+ old(_v2.Mem),
+ old(_v2.alloc),
+ old(_v2.Mem_T.A1CHAR),
+ old(_v2.Mem_T.A5UCHAR),
+ old(_v2.Mem_T.A6UCHAR),
+ old(_v2.Mem_T.CHAR),
+ old(_v2.Mem_T.INT4),
+ old(_v2.Mem_T.PCHAR),
+ old(_v2.Mem_T.PUCHAR),
+ old(_v2.Mem_T.PVOID),
+ old(_v2.Mem_T.Pieee80211_scan_entry),
+ old(_v2.Mem_T.UCHAR),
+ old(_v2.Mem_T.VOID),
+ old(_v2.Mem_T.ieee80211_scan_entry),
+ old(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry),
+ old(_v2.detChoiceCnt),
+ old(_v2.Res_KERNEL_SOURCE),
+ old(_v2.Res_PROBED),
+ _v2.alloc,
+ _v2.OK,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry,
+ _v2.Mem_T.UCHAR,
+ _v2.result.main$1);
+ ensures _v1.OK ==> _v2.OK;
+
+
+
+implementation MS_Check__v1.main___v2.main() returns (_v1.result.main$1: int, _v2.result.main$1: int)
+{
+ var inline$_v1.main$0$havoc_stringTemp: int;
+ var inline$_v1.main$0$condVal: int;
+ var inline$_v1.main$0$ie: int;
+ var inline$_v1.main$0$result.giwscan_cb$2: int;
+ var inline$_v1.main$0$se: int;
+ var inline$_v1.main$0$tempBoogie0: int;
+ var inline$_v1.main$0$tempBoogie1: int;
+ var inline$_v1.main$0$tempBoogie2: int;
+ var inline$_v1.main$0$tempBoogie3: int;
+ var inline$_v1.main$0$tempBoogie4: int;
+ var inline$_v1.main$0$tempBoogie5: int;
+ var inline$_v1.main$0$tempBoogie6: int;
+ var inline$_v1.main$0$tempBoogie7: int;
+ var inline$_v1.main$0$tempBoogie8: int;
+ var inline$_v1.main$0$tempBoogie9: int;
+ var inline$_v1.main$0$tempBoogie10: int;
+ var inline$_v1.main$0$tempBoogie11: int;
+ var inline$_v1.main$0$tempBoogie12: int;
+ var inline$_v1.main$0$tempBoogie13: int;
+ var inline$_v1.main$0$tempBoogie14: int;
+ var inline$_v1.main$0$tempBoogie15: int;
+ var inline$_v1.main$0$tempBoogie16: int;
+ var inline$_v1.main$0$tempBoogie17: int;
+ var inline$_v1.main$0$tempBoogie18: int;
+ var inline$_v1.main$0$tempBoogie19: int;
+ var inline$_v1.main$0$__havoc_dummy_return: int;
+ var inline$_v1.main$0$result.main$1: int;
+ var inline$_v1.main$0$_v1.alloc: int;
+ var inline$_v1.main$0$_v1.OK: bool;
+ var inline$_v1.main$0$_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var inline$_v1.main$0$_v1.Mem_T.UCHAR: [int]int;
+ var inline$_v2.main$0$havoc_stringTemp: int;
+ var inline$_v2.main$0$condVal: int;
+ var inline$_v2.main$0$ie: int;
+ var inline$_v2.main$0$result.giwscan_cb$2: int;
+ var inline$_v2.main$0$se: int;
+ var inline$_v2.main$0$tempBoogie0: int;
+ var inline$_v2.main$0$tempBoogie1: int;
+ var inline$_v2.main$0$tempBoogie2: int;
+ var inline$_v2.main$0$tempBoogie3: int;
+ var inline$_v2.main$0$tempBoogie4: int;
+ var inline$_v2.main$0$tempBoogie5: int;
+ var inline$_v2.main$0$tempBoogie6: int;
+ var inline$_v2.main$0$tempBoogie7: int;
+ var inline$_v2.main$0$tempBoogie8: int;
+ var inline$_v2.main$0$tempBoogie9: int;
+ var inline$_v2.main$0$tempBoogie10: int;
+ var inline$_v2.main$0$tempBoogie11: int;
+ var inline$_v2.main$0$tempBoogie12: int;
+ var inline$_v2.main$0$tempBoogie13: int;
+ var inline$_v2.main$0$tempBoogie14: int;
+ var inline$_v2.main$0$tempBoogie15: int;
+ var inline$_v2.main$0$tempBoogie16: int;
+ var inline$_v2.main$0$tempBoogie17: int;
+ var inline$_v2.main$0$tempBoogie18: int;
+ var inline$_v2.main$0$tempBoogie19: int;
+ var inline$_v2.main$0$__havoc_dummy_return: int;
+ var inline$_v2.main$0$result.main$1: int;
+ var inline$_v2.main$0$_v2.alloc: int;
+ var inline$_v2.main$0$_v2.OK: bool;
+ var inline$_v2.main$0$_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var inline$_v2.main$0$_v2.Mem_T.UCHAR: [int]int;
+ var _v1.__HAVOC_det_malloc_1_done: bool;
+ var _v1.__HAVOC_det_malloc_in_1_0: int;
+ var _v1.__HAVOC_det_malloc_in_1_1: int;
+ var _v1.__HAVOC_det_malloc_in_1_2: bool;
+ var _v1.__HAVOC_det_malloc_in_1_3: [int]int;
+ var _v1.__HAVOC_det_malloc_in_1_4: [int]int;
+ var _v1.__HAVOC_det_malloc_out_1_0: int;
+ var _v1.__HAVOC_det_malloc_out_1_1: int;
+ var _v1.__HAVOC_det_malloc_2_done: bool;
+ var _v1.__HAVOC_det_malloc_in_2_0: int;
+ var _v1.__HAVOC_det_malloc_in_2_1: int;
+ var _v1.__HAVOC_det_malloc_in_2_2: bool;
+ var _v1.__HAVOC_det_malloc_in_2_3: [int]int;
+ var _v1.__HAVOC_det_malloc_in_2_4: [int]int;
+ var _v1.__HAVOC_det_malloc_out_2_0: int;
+ var _v1.__HAVOC_det_malloc_out_2_1: int;
+ var _v1.giwscan_cb_3_done: bool;
+ var _v1.giwscan_cb_in_3_0: int;
+ var _v1.giwscan_cb_in_3_1: int;
+ var _v1.giwscan_cb_in_3_2: bool;
+ var _v1.giwscan_cb_in_3_3: [int]int;
+ var _v1.giwscan_cb_in_3_4: [int]int;
+ var _v1.giwscan_cb_out_3_0: int;
+ var _v1.giwscan_cb_out_3_1: int;
+ var _v1.giwscan_cb_out_3_2: bool;
+ var _v1.giwscan_cb_out_3_3: [int]int;
+ var _v1.__HAVOC_free_4_done: bool;
+ var _v1.__HAVOC_free_in_4_0: int;
+ var _v1.__HAVOC_free_in_4_1: int;
+ var _v1.__HAVOC_free_in_4_2: bool;
+ var _v1.__HAVOC_free_in_4_3: [int]int;
+ var _v1.__HAVOC_free_in_4_4: [int]int;
+ var _v1.__HAVOC_free_5_done: bool;
+ var _v1.__HAVOC_free_in_5_0: int;
+ var _v1.__HAVOC_free_in_5_1: int;
+ var _v1.__HAVOC_free_in_5_2: bool;
+ var _v1.__HAVOC_free_in_5_3: [int]int;
+ var _v1.__HAVOC_free_in_5_4: [int]int;
+ var _v2.__HAVOC_det_malloc_6_done: bool;
+ var _v2.__HAVOC_det_malloc_in_6_0: int;
+ var _v2.__HAVOC_det_malloc_in_6_1: int;
+ var _v2.__HAVOC_det_malloc_in_6_2: bool;
+ var _v2.__HAVOC_det_malloc_in_6_3: [int]int;
+ var _v2.__HAVOC_det_malloc_in_6_4: [int]int;
+ var _v2.__HAVOC_det_malloc_out_6_0: int;
+ var _v2.__HAVOC_det_malloc_out_6_1: int;
+ var _v2.__HAVOC_det_malloc_7_done: bool;
+ var _v2.__HAVOC_det_malloc_in_7_0: int;
+ var _v2.__HAVOC_det_malloc_in_7_1: int;
+ var _v2.__HAVOC_det_malloc_in_7_2: bool;
+ var _v2.__HAVOC_det_malloc_in_7_3: [int]int;
+ var _v2.__HAVOC_det_malloc_in_7_4: [int]int;
+ var _v2.__HAVOC_det_malloc_out_7_0: int;
+ var _v2.__HAVOC_det_malloc_out_7_1: int;
+ var _v2.giwscan_cb_8_done: bool;
+ var _v2.giwscan_cb_in_8_0: int;
+ var _v2.giwscan_cb_in_8_1: int;
+ var _v2.giwscan_cb_in_8_2: bool;
+ var _v2.giwscan_cb_in_8_3: [int]int;
+ var _v2.giwscan_cb_in_8_4: [int]int;
+ var _v2.giwscan_cb_out_8_0: int;
+ var _v2.giwscan_cb_out_8_1: int;
+ var _v2.giwscan_cb_out_8_2: bool;
+ var _v2.giwscan_cb_out_8_3: [int]int;
+ var _v2.__HAVOC_free_9_done: bool;
+ var _v2.__HAVOC_free_in_9_0: int;
+ var _v2.__HAVOC_free_in_9_1: int;
+ var _v2.__HAVOC_free_in_9_2: bool;
+ var _v2.__HAVOC_free_in_9_3: [int]int;
+ var _v2.__HAVOC_free_in_9_4: [int]int;
+ var _v2.__HAVOC_free_10_done: bool;
+ var _v2.__HAVOC_free_in_10_0: int;
+ var _v2.__HAVOC_free_in_10_1: int;
+ var _v2.__HAVOC_free_in_10_2: bool;
+ var _v2.__HAVOC_free_in_10_3: [int]int;
+ var _v2.__HAVOC_free_in_10_4: [int]int;
+ var store__0__v1.alloc: int;
+ var store__0__v1.OK: bool;
+ var store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__0__v1.Mem_T.UCHAR: [int]int;
+ var store__0__v2.alloc: int;
+ var store__0__v2.OK: bool;
+ var store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__0__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_1_0_0: int;
+ var out__v2.__HAVOC_det_malloc_out_6_0_0: int;
+ var store__1__v1.alloc: int;
+ var store__1__v1.OK: bool;
+ var store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__1__v1.Mem_T.UCHAR: [int]int;
+ var store__1__v2.alloc: int;
+ var store__1__v2.OK: bool;
+ var store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__1__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_1_0_1: int;
+ var out__v2.__HAVOC_det_malloc_out_7_0_1: int;
+ var store__2__v1.alloc: int;
+ var store__2__v1.OK: bool;
+ var store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__2__v1.Mem_T.UCHAR: [int]int;
+ var store__2__v2.alloc: int;
+ var store__2__v2.OK: bool;
+ var store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__2__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_2_0_2: int;
+ var out__v2.__HAVOC_det_malloc_out_6_0_2: int;
+ var store__3__v1.alloc: int;
+ var store__3__v1.OK: bool;
+ var store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__3__v1.Mem_T.UCHAR: [int]int;
+ var store__3__v2.alloc: int;
+ var store__3__v2.OK: bool;
+ var store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__3__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.__HAVOC_det_malloc_out_2_0_3: int;
+ var out__v2.__HAVOC_det_malloc_out_7_0_3: int;
+ var store__4__v1.alloc: int;
+ var store__4__v1.OK: bool;
+ var store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__4__v1.Mem_T.UCHAR: [int]int;
+ var store__4__v2.alloc: int;
+ var store__4__v2.OK: bool;
+ var store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__4__v2.Mem_T.UCHAR: [int]int;
+ var out__v1.giwscan_cb_out_3_0_4: int;
+ var out__v2.giwscan_cb_out_8_0_4: int;
+ var store__5__v1.alloc: int;
+ var store__5__v1.OK: bool;
+ var store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__5__v1.Mem_T.UCHAR: [int]int;
+ var store__5__v2.alloc: int;
+ var store__5__v2.OK: bool;
+ var store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__5__v2.Mem_T.UCHAR: [int]int;
+ var store__6__v1.alloc: int;
+ var store__6__v1.OK: bool;
+ var store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__6__v1.Mem_T.UCHAR: [int]int;
+ var store__6__v2.alloc: int;
+ var store__6__v2.OK: bool;
+ var store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__6__v2.Mem_T.UCHAR: [int]int;
+ var store__7__v1.alloc: int;
+ var store__7__v1.OK: bool;
+ var store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__7__v1.Mem_T.UCHAR: [int]int;
+ var store__7__v2.alloc: int;
+ var store__7__v2.OK: bool;
+ var store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__7__v2.Mem_T.UCHAR: [int]int;
+ var store__8__v1.alloc: int;
+ var store__8__v1.OK: bool;
+ var store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__8__v1.Mem_T.UCHAR: [int]int;
+ var store__8__v2.alloc: int;
+ var store__8__v2.OK: bool;
+ var store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry: [int]int;
+ var store__8__v2.Mem_T.UCHAR: [int]int;
+
+ START:
+ _v1.__HAVOC_det_malloc_1_done, _v1.__HAVOC_det_malloc_2_done, _v1.giwscan_cb_3_done, _v1.__HAVOC_free_4_done, _v1.__HAVOC_free_5_done, _v2.__HAVOC_det_malloc_6_done, _v2.__HAVOC_det_malloc_7_done, _v2.giwscan_cb_8_done, _v2.__HAVOC_free_9_done, _v2.__HAVOC_free_10_done := false, false, false, false, false, false, false, false, false, false;
+ goto inline$_v1.main$0$Entry;
+
+ inline$_v1.main$0$Entry:
+ havoc inline$_v1.main$0$havoc_stringTemp, inline$_v1.main$0$condVal, inline$_v1.main$0$ie, inline$_v1.main$0$result.giwscan_cb$2, inline$_v1.main$0$se, inline$_v1.main$0$tempBoogie0, inline$_v1.main$0$tempBoogie1, inline$_v1.main$0$tempBoogie2, inline$_v1.main$0$tempBoogie3, inline$_v1.main$0$tempBoogie4, inline$_v1.main$0$tempBoogie5, inline$_v1.main$0$tempBoogie6, inline$_v1.main$0$tempBoogie7, inline$_v1.main$0$tempBoogie8, inline$_v1.main$0$tempBoogie9, inline$_v1.main$0$tempBoogie10, inline$_v1.main$0$tempBoogie11, inline$_v1.main$0$tempBoogie12, inline$_v1.main$0$tempBoogie13, inline$_v1.main$0$tempBoogie14, inline$_v1.main$0$tempBoogie15, inline$_v1.main$0$tempBoogie16, inline$_v1.main$0$tempBoogie17, inline$_v1.main$0$tempBoogie18, inline$_v1.main$0$tempBoogie19, inline$_v1.main$0$__havoc_dummy_return, inline$_v1.main$0$result.main$1;
+ inline$_v1.main$0$_v1.alloc := _v1.alloc;
+ inline$_v1.main$0$_v1.OK := _v1.OK;
+ inline$_v1.main$0$_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ inline$_v1.main$0$_v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR;
+ goto inline$_v1.main$0$anon0#2;
+
+ inline$_v1.main$0$anon0#2:
+ inline$_v1.main$0$havoc_stringTemp := 0;
+ goto inline$_v1.main$0$start#2;
+
+ inline$_v1.main$0$start#2:
+ _v1.__HAVOC_det_malloc_in_1_0, _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4 := 5, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ call inline$_v1.main$0$ie := _v1.__HAVOC_det_malloc(5);
+ _v1.__HAVOC_det_malloc_1_done := true;
+ _v1.__HAVOC_det_malloc_out_1_0, _v1.__HAVOC_det_malloc_out_1_1 := inline$_v1.main$0$ie, _v1.alloc;
+ inline$_v1.main$0$result.giwscan_cb$2 := 0;
+ inline$_v1.main$0$result.main$1 := 0;
+ _v1.__HAVOC_det_malloc_in_2_0, _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4 := 4, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ call inline$_v1.main$0$se := _v1.__HAVOC_det_malloc(4);
+ _v1.__HAVOC_det_malloc_2_done := true;
+ _v1.__HAVOC_det_malloc_out_2_0, _v1.__HAVOC_det_malloc_out_2_1 := inline$_v1.main$0$se, _v1.alloc;
+ goto inline$_v1.main$0$label_3#2;
+
+ inline$_v1.main$0$label_3#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 62} true;
+ goto inline$_v1.main$0$label_4#2;
+
+ inline$_v1.main$0$label_4#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 63} true;
+ goto inline$_v1.main$0$label_5#2;
+
+ inline$_v1.main$0$label_5#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 64} true;
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
+ == 1;
+ assert true;
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se) := inline$_v1.main$0$ie];
+ assume _v2.value_is(_v1.__ctobpl_const_47,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
+ goto inline$_v1.main$0$label_6#2;
+
+ inline$_v1.main$0$label_6#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 65} true;
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 0) := 200];
+ assume _v2.value_is(_v1.__ctobpl_const_48,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_49,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v1.main$0$label_7#2;
+
+ inline$_v1.main$0$label_7#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 66} true;
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 0);
+ _v1.OK := _v1.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ _v1.Mem_T.UCHAR := _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 1) := 3];
+ assume _v2.value_is(_v1.__ctobpl_const_50,
+ _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)]);
+ assume _v2.value_is(_v1.__ctobpl_const_51,
+ _v1.Mem_T.UCHAR[_v2.INT_PLUS(_v1.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v1.main$0$se)],
+ 1,
+ 1)]);
+ goto inline$_v1.main$0$label_8#2;
+
+ inline$_v1.main$0$label_8#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 68} true;
+ assume _v2.INT_GT(inline$_v1.main$0$se, 0);
+ assume _v2.INT_GT(inline$_v1.main$0$se, 0);
+ _v1.giwscan_cb_in_3_0, _v1.giwscan_cb_in_3_1, _v1.giwscan_cb_in_3_2, _v1.giwscan_cb_in_3_3, _v1.giwscan_cb_in_3_4 := inline$_v1.main$0$se, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ call inline$_v1.main$0$result.giwscan_cb$2 := _v1.giwscan_cb(inline$_v1.main$0$se);
+ _v1.giwscan_cb_3_done := true;
+ _v1.giwscan_cb_out_3_0, _v1.giwscan_cb_out_3_1, _v1.giwscan_cb_out_3_2, _v1.giwscan_cb_out_3_3 := inline$_v1.main$0$result.giwscan_cb$2, _v1.alloc, _v1.OK, _v1.Mem_T.UCHAR;
+ goto inline$_v1.main$0$label_11#2;
+
+ inline$_v1.main$0$label_11#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 70} true;
+ inline$_v1.main$0$result.main$1 := 0;
+ goto inline$_v1.main$0$label_1#2;
+
+ inline$_v1.main$0$label_1#2:
+ _v1.OK := _v1.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\bad\interproc_bad.c"} {:sourceline 71} true;
+ _v1.__HAVOC_free_in_4_0, _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4 := inline$_v1.main$0$ie, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ call _v1.__HAVOC_free(inline$_v1.main$0$ie);
+ _v1.__HAVOC_free_4_done := true;
+ _v1.__HAVOC_free_in_5_0, _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4 := inline$_v1.main$0$se, _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ call _v1.__HAVOC_free(inline$_v1.main$0$se);
+ _v1.__HAVOC_free_5_done := true;
+ goto inline$_v1.main$0$Return;
+
+ inline$_v1.main$0$Return:
+ assume true;
+ _v1.result.main$1 := inline$_v1.main$0$result.main$1;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.main$0$Entry;
+
+ inline$_v2.main$0$Entry:
+ havoc inline$_v2.main$0$havoc_stringTemp, inline$_v2.main$0$condVal, inline$_v2.main$0$ie, inline$_v2.main$0$result.giwscan_cb$2, inline$_v2.main$0$se, inline$_v2.main$0$tempBoogie0, inline$_v2.main$0$tempBoogie1, inline$_v2.main$0$tempBoogie2, inline$_v2.main$0$tempBoogie3, inline$_v2.main$0$tempBoogie4, inline$_v2.main$0$tempBoogie5, inline$_v2.main$0$tempBoogie6, inline$_v2.main$0$tempBoogie7, inline$_v2.main$0$tempBoogie8, inline$_v2.main$0$tempBoogie9, inline$_v2.main$0$tempBoogie10, inline$_v2.main$0$tempBoogie11, inline$_v2.main$0$tempBoogie12, inline$_v2.main$0$tempBoogie13, inline$_v2.main$0$tempBoogie14, inline$_v2.main$0$tempBoogie15, inline$_v2.main$0$tempBoogie16, inline$_v2.main$0$tempBoogie17, inline$_v2.main$0$tempBoogie18, inline$_v2.main$0$tempBoogie19, inline$_v2.main$0$__havoc_dummy_return, inline$_v2.main$0$result.main$1;
+ inline$_v2.main$0$_v2.alloc := _v2.alloc;
+ inline$_v2.main$0$_v2.OK := _v2.OK;
+ inline$_v2.main$0$_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry;
+ inline$_v2.main$0$_v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR;
+ goto inline$_v2.main$0$anon0#2;
+
+ inline$_v2.main$0$anon0#2:
+ inline$_v2.main$0$havoc_stringTemp := 0;
+ goto inline$_v2.main$0$start#2;
+
+ inline$_v2.main$0$start#2:
+ _v2.__HAVOC_det_malloc_in_6_0, _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4 := 5, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ call inline$_v2.main$0$ie := _v2.__HAVOC_det_malloc(5);
+ _v2.__HAVOC_det_malloc_6_done := true;
+ _v2.__HAVOC_det_malloc_out_6_0, _v2.__HAVOC_det_malloc_out_6_1 := inline$_v2.main$0$ie, _v2.alloc;
+ inline$_v2.main$0$result.giwscan_cb$2 := 0;
+ inline$_v2.main$0$result.main$1 := 0;
+ _v2.__HAVOC_det_malloc_in_7_0, _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4 := 4, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ call inline$_v2.main$0$se := _v2.__HAVOC_det_malloc(4);
+ _v2.__HAVOC_det_malloc_7_done := true;
+ _v2.__HAVOC_det_malloc_out_7_0, _v2.__HAVOC_det_malloc_out_7_1 := inline$_v2.main$0$se, _v2.alloc;
+ goto inline$_v2.main$0$label_3#2;
+
+ inline$_v2.main$0$label_3#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 63} true;
+ goto inline$_v2.main$0$label_4#2;
+
+ inline$_v2.main$0$label_4#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 64} true;
+ goto inline$_v2.main$0$label_5#2;
+
+ inline$_v2.main$0$label_5#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 65} true;
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
+ == 1;
+ assert true;
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry := _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se) := inline$_v2.main$0$ie];
+ assume _v2.value_is(_v2.__ctobpl_const_48,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
+ goto inline$_v2.main$0$label_6#2;
+
+ inline$_v2.main$0$label_6#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 66} true;
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 0))
+ == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 0) := 200];
+ assume _v2.value_is(_v2.__ctobpl_const_49,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_50,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 0)]);
+ goto inline$_v2.main$0$label_7#2;
+
+ inline$_v2.main$0$label_7#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 67} true;
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se))
+ == 1;
+ assert true;
+ assume _v2.INT_GEQ(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 0);
+ _v2.OK := _v2.OK
+ && _v2.Res_VALID_REGION(_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 1))
+ == 1;
+ assert true;
+ _v2.Mem_T.UCHAR := _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 1) := 3];
+ assume _v2.value_is(_v2.__ctobpl_const_51,
+ _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)]);
+ assume _v2.value_is(_v2.__ctobpl_const_52,
+ _v2.Mem_T.UCHAR[_v2.INT_PLUS(_v2.Mem_T.se_rsn_ie_ieee80211_scan_entry[_v2.se_rsn_ie_ieee80211_scan_entry(inline$_v2.main$0$se)],
+ 1,
+ 1)]);
+ goto inline$_v2.main$0$label_8#2;
+
+ inline$_v2.main$0$label_8#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 69} true;
+ assume _v2.INT_GT(inline$_v2.main$0$se, 0);
+ assume _v2.INT_GT(inline$_v2.main$0$se, 0);
+ _v2.giwscan_cb_in_8_0, _v2.giwscan_cb_in_8_1, _v2.giwscan_cb_in_8_2, _v2.giwscan_cb_in_8_3, _v2.giwscan_cb_in_8_4 := inline$_v2.main$0$se, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ call inline$_v2.main$0$result.giwscan_cb$2 := _v2.giwscan_cb(inline$_v2.main$0$se);
+ _v2.giwscan_cb_8_done := true;
+ _v2.giwscan_cb_out_8_0, _v2.giwscan_cb_out_8_1, _v2.giwscan_cb_out_8_2, _v2.giwscan_cb_out_8_3 := inline$_v2.main$0$result.giwscan_cb$2, _v2.alloc, _v2.OK, _v2.Mem_T.UCHAR;
+ goto inline$_v2.main$0$label_11#2;
+
+ inline$_v2.main$0$label_11#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 71} true;
+ inline$_v2.main$0$result.main$1 := 0;
+ goto inline$_v2.main$0$label_1#2;
+
+ inline$_v2.main$0$label_1#2:
+ _v2.OK := _v2.OK && true;
+ assert {:sourcefile "d:\tvm\projects\symb_diff\benchmarks\verisec-suite\programs\apps\madwifi\cve-2006-6332\ok\interproc_ok.c"} {:sourceline 72} true;
+ _v2.__HAVOC_free_in_9_0, _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4 := inline$_v2.main$0$ie, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ call _v2.__HAVOC_free(inline$_v2.main$0$ie);
+ _v2.__HAVOC_free_9_done := true;
+ _v2.__HAVOC_free_in_10_0, _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4 := inline$_v2.main$0$se, _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ call _v2.__HAVOC_free(inline$_v2.main$0$se);
+ _v2.__HAVOC_free_10_done := true;
+ goto inline$_v2.main$0$Return;
+
+ inline$_v2.main$0$Return:
+ assume true;
+ _v2.result.main$1 := inline$_v2.main$0$result.main$1;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_8;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done;
+ store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4;
+ call out__v1.__HAVOC_det_malloc_out_1_0_0, out__v2.__HAVOC_det_malloc_out_6_0_0 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_6_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
+ assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_0
+ && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_0;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__0__v1.alloc, store__0__v1.OK, store__0__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__0__v2.alloc, store__0__v2.OK, store__0__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__0__v2.Mem_T.UCHAR;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_6_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+
+ MS_L_0_1:
+ goto MS_L_taken_1, MS_L_not_taken_1;
+
+ MS_L_taken_1:
+ assume _v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done;
+ store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_1_1, _v1.__HAVOC_det_malloc_in_1_2, _v1.__HAVOC_det_malloc_in_1_3, _v1.__HAVOC_det_malloc_in_1_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4;
+ call out__v1.__HAVOC_det_malloc_out_1_0_1, out__v2.__HAVOC_det_malloc_out_7_0_1 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_1_0, _v2.__HAVOC_det_malloc_in_7_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_1_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
+ assume _v1.__HAVOC_det_malloc_out_1_0 == out__v1.__HAVOC_det_malloc_out_1_0_1
+ && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_1;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__1__v1.alloc, store__1__v1.OK, store__1__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__1__v2.alloc, store__1__v2.OK, store__1__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__1__v2.Mem_T.UCHAR;
+ goto MS_L_meet_1;
+
+ MS_L_not_taken_1:
+ assume !(_v1.__HAVOC_det_malloc_1_done && _v2.__HAVOC_det_malloc_7_done);
+ goto MS_L_meet_1;
+
+ MS_L_meet_1:
+ goto MS_L_0_0;
+
+ MS_L_0_2:
+ goto MS_L_taken_2, MS_L_not_taken_2;
+
+ MS_L_taken_2:
+ assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done;
+ store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_6_1, _v2.__HAVOC_det_malloc_in_6_2, _v2.__HAVOC_det_malloc_in_6_3, _v2.__HAVOC_det_malloc_in_6_4;
+ call out__v1.__HAVOC_det_malloc_out_2_0_2, out__v2.__HAVOC_det_malloc_out_6_0_2 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_6_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_6_1;
+ assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_2
+ && _v2.__HAVOC_det_malloc_out_6_0 == out__v2.__HAVOC_det_malloc_out_6_0_2;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__2__v1.alloc, store__2__v1.OK, store__2__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__2__v2.alloc, store__2__v2.OK, store__2__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__2__v2.Mem_T.UCHAR;
+ goto MS_L_meet_2;
+
+ MS_L_not_taken_2:
+ assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_6_done);
+ goto MS_L_meet_2;
+
+ MS_L_meet_2:
+ goto MS_L_0_1;
+
+ MS_L_0_3:
+ goto MS_L_taken_3, MS_L_not_taken_3;
+
+ MS_L_taken_3:
+ assume _v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done;
+ store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_det_malloc_in_2_1, _v1.__HAVOC_det_malloc_in_2_2, _v1.__HAVOC_det_malloc_in_2_3, _v1.__HAVOC_det_malloc_in_2_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_det_malloc_in_7_1, _v2.__HAVOC_det_malloc_in_7_2, _v2.__HAVOC_det_malloc_in_7_3, _v2.__HAVOC_det_malloc_in_7_4;
+ call out__v1.__HAVOC_det_malloc_out_2_0_3, out__v2.__HAVOC_det_malloc_out_7_0_3 := MS_Check__v1.__HAVOC_det_malloc___v2.__HAVOC_det_malloc(_v1.__HAVOC_det_malloc_in_2_0, _v2.__HAVOC_det_malloc_in_7_0);
+ assume _v1.alloc == _v1.__HAVOC_det_malloc_out_2_1;
+ assume _v2.alloc == _v2.__HAVOC_det_malloc_out_7_1;
+ assume _v1.__HAVOC_det_malloc_out_2_0 == out__v1.__HAVOC_det_malloc_out_2_0_3
+ && _v2.__HAVOC_det_malloc_out_7_0 == out__v2.__HAVOC_det_malloc_out_7_0_3;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__3__v1.alloc, store__3__v1.OK, store__3__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__3__v2.alloc, store__3__v2.OK, store__3__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__3__v2.Mem_T.UCHAR;
+ goto MS_L_meet_3;
+
+ MS_L_not_taken_3:
+ assume !(_v1.__HAVOC_det_malloc_2_done && _v2.__HAVOC_det_malloc_7_done);
+ goto MS_L_meet_3;
+
+ MS_L_meet_3:
+ goto MS_L_0_2;
+
+ MS_L_0_4:
+ goto MS_L_taken_4, MS_L_not_taken_4;
+
+ MS_L_taken_4:
+ assume _v1.giwscan_cb_3_done && _v2.giwscan_cb_8_done;
+ store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.giwscan_cb_in_3_1, _v1.giwscan_cb_in_3_2, _v1.giwscan_cb_in_3_3, _v1.giwscan_cb_in_3_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.giwscan_cb_in_8_1, _v2.giwscan_cb_in_8_2, _v2.giwscan_cb_in_8_3, _v2.giwscan_cb_in_8_4;
+ call out__v1.giwscan_cb_out_3_0_4, out__v2.giwscan_cb_out_8_0_4 := MS_Check__v1.giwscan_cb___v2.giwscan_cb(_v1.giwscan_cb_in_3_0, _v2.giwscan_cb_in_8_0);
+ assume _v1.alloc == _v1.giwscan_cb_out_3_1
+ && (_v1.OK <==> _v1.giwscan_cb_out_3_2)
+ && _v1.Mem_T.UCHAR == _v1.giwscan_cb_out_3_3;
+ assume _v2.alloc == _v2.giwscan_cb_out_8_1
+ && (_v2.OK <==> _v2.giwscan_cb_out_8_2)
+ && _v2.Mem_T.UCHAR == _v2.giwscan_cb_out_8_3;
+ assume _v1.giwscan_cb_out_3_0 == out__v1.giwscan_cb_out_3_0_4
+ && _v2.giwscan_cb_out_8_0 == out__v2.giwscan_cb_out_8_0_4;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__4__v1.alloc, store__4__v1.OK, store__4__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__4__v2.alloc, store__4__v2.OK, store__4__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__4__v2.Mem_T.UCHAR;
+ goto MS_L_meet_4;
+
+ MS_L_not_taken_4:
+ assume !(_v1.giwscan_cb_3_done && _v2.giwscan_cb_8_done);
+ goto MS_L_meet_4;
+
+ MS_L_meet_4:
+ goto MS_L_0_3;
+
+ MS_L_0_5:
+ goto MS_L_taken_5, MS_L_not_taken_5;
+
+ MS_L_taken_5:
+ assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done;
+ store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_9_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__5__v1.alloc, store__5__v1.OK, store__5__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__5__v2.alloc, store__5__v2.OK, store__5__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__5__v2.Mem_T.UCHAR;
+ goto MS_L_meet_5;
+
+ MS_L_not_taken_5:
+ assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_9_done);
+ goto MS_L_meet_5;
+
+ MS_L_meet_5:
+ goto MS_L_0_4;
+
+ MS_L_0_6:
+ goto MS_L_taken_6, MS_L_not_taken_6;
+
+ MS_L_taken_6:
+ assume _v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done;
+ store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_4_1, _v1.__HAVOC_free_in_4_2, _v1.__HAVOC_free_in_4_3, _v1.__HAVOC_free_in_4_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_4_0, _v2.__HAVOC_free_in_10_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__6__v1.alloc, store__6__v1.OK, store__6__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__6__v2.alloc, store__6__v2.OK, store__6__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__6__v2.Mem_T.UCHAR;
+ goto MS_L_meet_6;
+
+ MS_L_not_taken_6:
+ assume !(_v1.__HAVOC_free_4_done && _v2.__HAVOC_free_10_done);
+ goto MS_L_meet_6;
+
+ MS_L_meet_6:
+ goto MS_L_0_5;
+
+ MS_L_0_7:
+ goto MS_L_taken_7, MS_L_not_taken_7;
+
+ MS_L_taken_7:
+ assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done;
+ store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_9_1, _v2.__HAVOC_free_in_9_2, _v2.__HAVOC_free_in_9_3, _v2.__HAVOC_free_in_9_4;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_9_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__7__v1.alloc, store__7__v1.OK, store__7__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__7__v2.alloc, store__7__v2.OK, store__7__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__7__v2.Mem_T.UCHAR;
+ goto MS_L_meet_7;
+
+ MS_L_not_taken_7:
+ assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_9_done);
+ goto MS_L_meet_7;
+
+ MS_L_meet_7:
+ goto MS_L_0_6;
+
+ MS_L_0_8:
+ goto MS_L_taken_8, MS_L_not_taken_8;
+
+ MS_L_taken_8:
+ assume _v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done;
+ store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v1.Mem_T.UCHAR := _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR;
+ store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v2.Mem_T.UCHAR := _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := _v1.__HAVOC_free_in_5_1, _v1.__HAVOC_free_in_5_2, _v1.__HAVOC_free_in_5_3, _v1.__HAVOC_free_in_5_4;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := _v2.__HAVOC_free_in_10_1, _v2.__HAVOC_free_in_10_2, _v2.__HAVOC_free_in_10_3, _v2.__HAVOC_free_in_10_4;
+ call MS_Check__v1.__HAVOC_free___v2.__HAVOC_free(_v1.__HAVOC_free_in_5_0, _v2.__HAVOC_free_in_10_0);
+ assume true;
+ assume true;
+ assume true;
+ _v1.alloc, _v1.OK, _v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v1.Mem_T.UCHAR := store__8__v1.alloc, store__8__v1.OK, store__8__v1.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v1.Mem_T.UCHAR;
+ _v2.alloc, _v2.OK, _v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, _v2.Mem_T.UCHAR := store__8__v2.alloc, store__8__v2.OK, store__8__v2.Mem_T.se_rsn_ie_ieee80211_scan_entry, store__8__v2.Mem_T.UCHAR;
+ goto MS_L_meet_8;
+
+ MS_L_not_taken_8:
+ assume !(_v1.__HAVOC_free_5_done && _v2.__HAVOC_free_10_done);
+ goto MS_L_meet_8;
+
+ MS_L_meet_8:
+ goto MS_L_0_7;
+}
+
+
diff --git a/Test/houdini/mergedProgSingle_dac.bpl.expect b/Test/houdini/mergedProgSingle_dac.bpl.expect
index 75750967..e9e7034a 100644
--- a/Test/houdini/mergedProgSingle_dac.bpl.expect
+++ b/Test/houdini/mergedProgSingle_dac.bpl.expect
@@ -1,190 +1,190 @@
-Assignment computed by Houdini:
-_houdini_0 = False
-_houdini_1 = False
-_houdini_2 = False
-_houdini_3 = False
-_houdini_4 = True
-_houdini_5 = True
-_houdini_6 = True
-_houdini_7 = True
-_houdini_8 = True
-_houdini_9 = True
-_houdini_10 = True
-_houdini_11 = True
-_houdini_12 = True
-_houdini_13 = True
-_houdini_14 = True
-_houdini_15 = True
-_houdini_16 = False
-_houdini_17 = True
-_houdini_18 = True
-_houdini_19 = True
-_houdini_20 = True
-_houdini_21 = True
-_houdini_22 = True
-_houdini_23 = True
-_houdini_24 = False
-_houdini_25 = False
-_houdini_26 = True
-_houdini_27 = True
-_houdini_28 = True
-_houdini_29 = False
-_houdini_30 = False
-_houdini_31 = True
-_houdini_32 = True
-_houdini_33 = True
-_houdini_34 = True
-_houdini_35 = True
-_houdini_36 = True
-_houdini_37 = True
-_houdini_38 = True
-_houdini_39 = True
-_houdini_40 = True
-_houdini_41 = True
-_houdini_42 = True
-_houdini_43 = True
-_houdini_44 = True
-_houdini_45 = True
-_houdini_46 = True
-_houdini_47 = True
-_houdini_48 = True
-_houdini_49 = True
-_houdini_50 = True
-_houdini_51 = True
-_houdini_52 = True
-_houdini_53 = True
-_houdini_54 = True
-_houdini_55 = True
-_houdini_56 = True
-_houdini_57 = True
-_houdini_58 = True
-_houdini_59 = True
-_houdini_60 = True
-_houdini_61 = True
-_houdini_62 = True
-_houdini_63 = True
-_houdini_64 = True
-_houdini_65 = True
-_houdini_66 = True
-_houdini_67 = True
-_houdini_68 = True
-_houdini_69 = True
-_houdini_70 = True
-_houdini_71 = True
-_houdini_72 = True
-_houdini_73 = True
-_houdini_74 = True
-_houdini_75 = True
-_houdini_76 = False
-_houdini_77 = False
-_houdini_78 = True
-_houdini_79 = False
-_houdini_80 = False
-_houdini_81 = True
-_houdini_82 = True
-_houdini_83 = True
-_houdini_84 = True
-_houdini_85 = True
-_houdini_86 = True
-_houdini_87 = True
-_houdini_88 = True
-_houdini_89 = True
-_houdini_90 = True
-_houdini_91 = True
-_houdini_92 = True
-_houdini_93 = True
-_houdini_94 = True
-_houdini_95 = True
-_houdini_96 = True
-_houdini_97 = True
-_houdini_98 = True
-_houdini_99 = True
-_houdini_100 = True
-_houdini_101 = True
-_houdini_102 = True
-_houdini_103 = True
-_houdini_104 = True
-_houdini_105 = True
-_houdini_106 = True
-_houdini_107 = True
-_houdini_108 = True
-_houdini_109 = True
-_houdini_110 = True
-_houdini_111 = True
-_houdini_112 = True
-_houdini_113 = True
-_houdini_114 = True
-_houdini_115 = False
-_houdini_116 = False
-_houdini_117 = False
-_houdini_118 = False
-_houdini_119 = False
-_houdini_120 = False
-_houdini_121 = False
-_houdini_122 = True
-_houdini_123 = False
-_houdini_124 = False
-_houdini_125 = True
-_houdini_126 = True
-_houdini_127 = True
-_houdini_128 = True
-_houdini_129 = True
-_houdini_130 = True
-_houdini_131 = True
-_houdini_132 = False
-_houdini_133 = False
-_houdini_134 = True
-_houdini_135 = True
-_houdini_136 = True
-_houdini_137 = True
-_houdini_138 = True
-_houdini_139 = True
-_houdini_140 = True
-_houdini_141 = True
-_houdini_142 = True
-_houdini_143 = True
-_houdini_144 = True
-_houdini_145 = True
-_houdini_146 = True
-_houdini_147 = True
-_houdini_148 = True
-_houdini_149 = True
-_houdini_150 = True
-_houdini_151 = True
-_houdini_152 = True
-_houdini_153 = True
-_houdini_154 = True
-_houdini_155 = True
-_houdini_156 = True
-_houdini_157 = True
-_houdini_158 = True
-_houdini_159 = True
-_houdini_160 = True
-_houdini_161 = False
-_houdini_162 = False
-_houdini_163 = True
-_houdini_164 = True
-_houdini_165 = True
-_houdini_166 = True
-_houdini_167 = True
-_houdini_168 = True
-_houdini_169 = True
-_houdini_170 = True
-_houdini_171 = True
-_houdini_172 = True
-_houdini_173 = True
-_houdini_174 = True
-_houdini_175 = True
-_houdini_176 = True
-_houdini_177 = True
-_houdini_178 = True
-_houdini_179 = True
-_houdini_180 = True
-_houdini_181 = True
-_houdini_182 = True
-_houdini_183 = True
-_houdini_184 = True
-_houdini_185 = True
-_houdini_186 = True
-
-Boogie program verifier finished with 12 verified, 0 errors
+Assignment computed by Houdini:
+_houdini_0 = False
+_houdini_1 = False
+_houdini_2 = False
+_houdini_3 = False
+_houdini_4 = True
+_houdini_5 = True
+_houdini_6 = True
+_houdini_7 = True
+_houdini_8 = True
+_houdini_9 = True
+_houdini_10 = True
+_houdini_11 = True
+_houdini_12 = True
+_houdini_13 = True
+_houdini_14 = True
+_houdini_15 = True
+_houdini_16 = False
+_houdini_17 = True
+_houdini_18 = True
+_houdini_19 = True
+_houdini_20 = True
+_houdini_21 = True
+_houdini_22 = True
+_houdini_23 = True
+_houdini_24 = False
+_houdini_25 = False
+_houdini_26 = True
+_houdini_27 = True
+_houdini_28 = True
+_houdini_29 = False
+_houdini_30 = False
+_houdini_31 = True
+_houdini_32 = True
+_houdini_33 = True
+_houdini_34 = True
+_houdini_35 = True
+_houdini_36 = True
+_houdini_37 = True
+_houdini_38 = True
+_houdini_39 = True
+_houdini_40 = True
+_houdini_41 = True
+_houdini_42 = True
+_houdini_43 = True
+_houdini_44 = True
+_houdini_45 = True
+_houdini_46 = True
+_houdini_47 = True
+_houdini_48 = True
+_houdini_49 = True
+_houdini_50 = True
+_houdini_51 = True
+_houdini_52 = True
+_houdini_53 = True
+_houdini_54 = True
+_houdini_55 = True
+_houdini_56 = True
+_houdini_57 = True
+_houdini_58 = True
+_houdini_59 = True
+_houdini_60 = True
+_houdini_61 = True
+_houdini_62 = True
+_houdini_63 = True
+_houdini_64 = True
+_houdini_65 = True
+_houdini_66 = True
+_houdini_67 = True
+_houdini_68 = True
+_houdini_69 = True
+_houdini_70 = True
+_houdini_71 = True
+_houdini_72 = True
+_houdini_73 = True
+_houdini_74 = True
+_houdini_75 = True
+_houdini_76 = False
+_houdini_77 = False
+_houdini_78 = True
+_houdini_79 = False
+_houdini_80 = False
+_houdini_81 = True
+_houdini_82 = True
+_houdini_83 = True
+_houdini_84 = True
+_houdini_85 = True
+_houdini_86 = True
+_houdini_87 = True
+_houdini_88 = True
+_houdini_89 = True
+_houdini_90 = True
+_houdini_91 = True
+_houdini_92 = True
+_houdini_93 = True
+_houdini_94 = True
+_houdini_95 = True
+_houdini_96 = True
+_houdini_97 = True
+_houdini_98 = True
+_houdini_99 = True
+_houdini_100 = True
+_houdini_101 = True
+_houdini_102 = True
+_houdini_103 = True
+_houdini_104 = True
+_houdini_105 = True
+_houdini_106 = True
+_houdini_107 = True
+_houdini_108 = True
+_houdini_109 = True
+_houdini_110 = True
+_houdini_111 = True
+_houdini_112 = True
+_houdini_113 = True
+_houdini_114 = True
+_houdini_115 = False
+_houdini_116 = False
+_houdini_117 = False
+_houdini_118 = False
+_houdini_119 = False
+_houdini_120 = False
+_houdini_121 = False
+_houdini_122 = True
+_houdini_123 = False
+_houdini_124 = False
+_houdini_125 = True
+_houdini_126 = True
+_houdini_127 = True
+_houdini_128 = True
+_houdini_129 = True
+_houdini_130 = True
+_houdini_131 = True
+_houdini_132 = False
+_houdini_133 = False
+_houdini_134 = True
+_houdini_135 = True
+_houdini_136 = True
+_houdini_137 = True
+_houdini_138 = True
+_houdini_139 = True
+_houdini_140 = True
+_houdini_141 = True
+_houdini_142 = True
+_houdini_143 = True
+_houdini_144 = True
+_houdini_145 = True
+_houdini_146 = True
+_houdini_147 = True
+_houdini_148 = True
+_houdini_149 = True
+_houdini_150 = True
+_houdini_151 = True
+_houdini_152 = True
+_houdini_153 = True
+_houdini_154 = True
+_houdini_155 = True
+_houdini_156 = True
+_houdini_157 = True
+_houdini_158 = True
+_houdini_159 = True
+_houdini_160 = True
+_houdini_161 = False
+_houdini_162 = False
+_houdini_163 = True
+_houdini_164 = True
+_houdini_165 = True
+_houdini_166 = True
+_houdini_167 = True
+_houdini_168 = True
+_houdini_169 = True
+_houdini_170 = True
+_houdini_171 = True
+_houdini_172 = True
+_houdini_173 = True
+_houdini_174 = True
+_houdini_175 = True
+_houdini_176 = True
+_houdini_177 = True
+_houdini_178 = True
+_houdini_179 = True
+_houdini_180 = True
+_houdini_181 = True
+_houdini_182 = True
+_houdini_183 = True
+_houdini_184 = True
+_houdini_185 = True
+_houdini_186 = True
+
+Boogie program verifier finished with 12 verified, 0 errors
diff --git a/Test/houdini/mergedProgSingle_res_ex1.bpl b/Test/houdini/mergedProgSingle_res_ex1.bpl
index 9313075a..eaaa9945 100644
--- a/Test/houdini/mergedProgSingle_res_ex1.bpl
+++ b/Test/houdini/mergedProgSingle_res_ex1.bpl
@@ -1,621 +1,621 @@
-// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var _v2.control_flag: int;
-
-function _v2.control_UIF(arg_0: int, arg_1: int) : int;
-
-procedure _v2.Eval(x: int) returns (result: int);
- modifies _v2.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
-
-
-
-procedure _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
- modifies _v2.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
-
-
-
-implementation _v2.Eval(x.1: int) returns (result: int)
-{
- var x: int;
-
- anon0:
- x := x.1;
- result := 0;
- _v2.control_flag := 0;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call result, x := _v2.Eval_loop_anon3_LoopHead(result, x);
- goto anon3_LoopHead_last;
-
- anon3_LoopHead_last:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- result := result + x;
- havoc result;
- x := x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- assume false;
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= x;
- goto anon2;
-
- anon2:
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
- return;
-}
-
-
-
-implementation _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
-{
-
- entry:
- out_result, out_x := in_result, in_x;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} out_x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- out_result := out_result + out_x;
- havoc out_result;
- out_x := out_x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- call out_result, out_x := _v2.Eval_loop_anon3_LoopHead(out_result, out_x);
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= out_x;
- out_result, out_x := in_result, in_x;
- _v2.control_flag := old(_v2.control_flag);
- return;
-}
-
-
-
-var _v1.control_flag: int;
-
-procedure _v1.Eval(x: int) returns (result: int);
- modifies _v1.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
-
-
-
-procedure _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
- modifies _v1.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
-
-
-
-implementation _v1.Eval(x.1: int) returns (result: int)
-{
- var x: int;
-
- anon0:
- x := x.1;
- result := 0;
- _v1.control_flag := 0;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call result, x := _v1.Eval_loop_anon3_LoopHead(result, x);
- goto anon3_LoopHead_last;
-
- anon3_LoopHead_last:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- result := result + x;
- x := x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- assume false;
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= x;
- goto anon2;
-
- anon2:
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
- return;
-}
-
-
-
-implementation _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
-{
-
- entry:
- out_result, out_x := in_result, in_x;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} out_x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- out_result := out_result + out_x;
- out_x := out_x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- call out_result, out_x := _v1.Eval_loop_anon3_LoopHead(out_result, out_x);
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= out_x;
- out_result, out_x := in_result, in_x;
- _v1.control_flag := old(_v1.control_flag);
- return;
-}
-
-
-
-function {:inline true} MS$_v1.Eval$_v2.Eval(_v1.x: int,
- _v1.control_flag_old: int,
- _v1.control_flag_: int,
- _v1.result: int,
- _v2.x: int,
- _v2.control_flag_old: int,
- _v2.control_flag_: int,
- _v2.result: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_Eval_control_flag_0: bool;
-
-const {:existential true} _houdini_Eval_result_1: bool;
-
-procedure MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int);
- modifies _v1.control_flag, _v2.control_flag;
- ensures MS$_v1.Eval$_v2.Eval(_v1.x,
- old(_v1.control_flag),
- _v1.control_flag,
- _v1.result,
- _v2.x,
- old(_v2.control_flag),
- _v2.control_flag,
- _v2.result);
- ensures _houdini_Eval_control_flag_0
- ==>
- old(_v1.control_flag == _v2.control_flag && _v1.x == _v2.x)
- ==> _v1.control_flag == _v2.control_flag;
- ensures _houdini_Eval_result_1 ==> old(_v1.x == _v2.x) ==> _v1.result == _v2.result;
-
-
-
-implementation MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int)
-{
- var inline$_v1.Eval$0$x: int;
- var inline$_v1.Eval$0$x.1: int;
- var inline$_v1.Eval$0$result: int;
- var inline$_v1.Eval$0$_v1.control_flag: int;
- var inline$_v2.Eval$0$x: int;
- var inline$_v2.Eval$0$x.1: int;
- var inline$_v2.Eval$0$result: int;
- var inline$_v2.Eval$0$_v2.control_flag: int;
- var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
- var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
- var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
- var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
- var store__0__v1.control_flag: int;
- var store__0__v2.control_flag: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
-
- START:
- _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
- goto inline$_v1.Eval$0$Entry;
-
- inline$_v1.Eval$0$Entry:
- inline$_v1.Eval$0$x.1 := _v1.x;
- havoc inline$_v1.Eval$0$x, inline$_v1.Eval$0$result;
- inline$_v1.Eval$0$_v1.control_flag := _v1.control_flag;
- goto inline$_v1.Eval$0$anon0;
-
- inline$_v1.Eval$0$anon0:
- inline$_v1.Eval$0$x := inline$_v1.Eval$0$x.1;
- inline$_v1.Eval$0$result := 0;
- _v1.control_flag := 0;
- goto inline$_v1.Eval$0$anon3_LoopHead;
-
- inline$_v1.Eval$0$anon3_LoopHead:
- _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
- call inline$_v1.Eval$0$result, inline$_v1.Eval$0$x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval$0$result, inline$_v1.Eval$0$x);
- _v1.Eval_loop_anon3_LoopHead_1_done := true;
- _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
- goto inline$_v1.Eval$0$anon3_LoopHead_last;
-
- inline$_v1.Eval$0$anon3_LoopHead_last:
- goto inline$_v1.Eval$0$anon3_LoopDone, inline$_v1.Eval$0$anon3_LoopBody;
-
- inline$_v1.Eval$0$anon3_LoopBody:
- assume {:partition} inline$_v1.Eval$0$x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- inline$_v1.Eval$0$result := inline$_v1.Eval$0$result + inline$_v1.Eval$0$x;
- inline$_v1.Eval$0$x := inline$_v1.Eval$0$x - 1;
- goto inline$_v1.Eval$0$anon3_LoopBody_dummy;
-
- inline$_v1.Eval$0$anon3_LoopBody_dummy:
- assume false;
- goto inline$_v1.Eval$0$Return;
-
- inline$_v1.Eval$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v1.Eval$0$x;
- goto inline$_v1.Eval$0$anon2;
-
- inline$_v1.Eval$0$anon2:
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
- goto inline$_v1.Eval$0$Return;
-
- inline$_v1.Eval$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- _v1.result := inline$_v1.Eval$0$result;
- goto START$1;
-
- START$1:
- goto inline$_v2.Eval$0$Entry;
-
- inline$_v2.Eval$0$Entry:
- inline$_v2.Eval$0$x.1 := _v2.x;
- havoc inline$_v2.Eval$0$x, inline$_v2.Eval$0$result;
- inline$_v2.Eval$0$_v2.control_flag := _v2.control_flag;
- goto inline$_v2.Eval$0$anon0;
-
- inline$_v2.Eval$0$anon0:
- inline$_v2.Eval$0$x := inline$_v2.Eval$0$x.1;
- inline$_v2.Eval$0$result := 0;
- _v2.control_flag := 0;
- goto inline$_v2.Eval$0$anon3_LoopHead;
-
- inline$_v2.Eval$0$anon3_LoopHead:
- _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
- call inline$_v2.Eval$0$result, inline$_v2.Eval$0$x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval$0$result, inline$_v2.Eval$0$x);
- _v2.Eval_loop_anon3_LoopHead_2_done := true;
- _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
- goto inline$_v2.Eval$0$anon3_LoopHead_last;
-
- inline$_v2.Eval$0$anon3_LoopHead_last:
- goto inline$_v2.Eval$0$anon3_LoopDone, inline$_v2.Eval$0$anon3_LoopBody;
-
- inline$_v2.Eval$0$anon3_LoopBody:
- assume {:partition} inline$_v2.Eval$0$x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- inline$_v2.Eval$0$result := inline$_v2.Eval$0$result + inline$_v2.Eval$0$x;
- havoc inline$_v2.Eval$0$result;
- inline$_v2.Eval$0$x := inline$_v2.Eval$0$x - 1;
- goto inline$_v2.Eval$0$anon3_LoopBody_dummy;
-
- inline$_v2.Eval$0$anon3_LoopBody_dummy:
- assume false;
- goto inline$_v2.Eval$0$Return;
-
- inline$_v2.Eval$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v2.Eval$0$x;
- goto inline$_v2.Eval$0$anon2;
-
- inline$_v2.Eval$0$anon2:
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
- goto inline$_v2.Eval$0$Return;
-
- inline$_v2.Eval$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- _v2.result := inline$_v2.Eval$0$result;
- goto START$2;
-
- START$2:
- goto MS_L_0_0;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
- store__0__v1.control_flag := _v1.control_flag;
- store__0__v2.control_flag := _v2.control_flag;
- _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
- _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
- call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
- assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
- assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
- assume _v1.Eval_loop_anon3_LoopHead_out_1_0
- == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
- && _v1.Eval_loop_anon3_LoopHead_out_1_1
- == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_0
- == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_1
- == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
- _v1.control_flag := store__0__v1.control_flag;
- _v2.control_flag := store__0__v2.control_flag;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-}
-
-
-
-function {:inline true} MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result: int,
- _v1.in_x: int,
- _v1.control_flag_old: int,
- _v1.control_flag_: int,
- _v1.out_result: int,
- _v1.out_x: int,
- _v2.in_result: int,
- _v2.in_x: int,
- _v2.control_flag_old: int,
- _v2.control_flag_: int,
- _v2.out_result: int,
- _v2.out_x: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_control_flag_2: bool;
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_result_3: bool;
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_x_4: bool;
-
-procedure MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
- returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int);
- modifies _v1.control_flag, _v2.control_flag;
- ensures MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result,
- _v1.in_x,
- old(_v1.control_flag),
- _v1.control_flag,
- _v1.out_result,
- _v1.out_x,
- _v2.in_result,
- _v2.in_x,
- old(_v2.control_flag),
- _v2.control_flag,
- _v2.out_result,
- _v2.out_x);
- ensures _houdini_Eval_loop_anon3_LoopHead_control_flag_2
- ==>
- old(_v1.control_flag == _v2.control_flag && _v1.in_x == _v2.in_x)
- ==> _v1.control_flag == _v2.control_flag;
- ensures _houdini_Eval_loop_anon3_LoopHead_out_result_3
- ==>
- old(_v1.in_result == _v2.in_result && _v1.in_x == _v2.in_x)
- ==> _v1.out_result == _v2.out_result;
- ensures _houdini_Eval_loop_anon3_LoopHead_out_x_4
- ==>
- old(_v1.in_x == _v2.in_x)
- ==> _v1.out_x == _v2.out_x;
-
-
-
-implementation MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
- returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int)
-{
- var inline$_v1.Eval_loop_anon3_LoopHead$0$in_result: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$in_x: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$out_result: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$out_x: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$in_result: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$in_x: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$out_result: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$out_x: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag: int;
- var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
- var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
- var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
- var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
- var store__0__v1.control_flag: int;
- var store__0__v2.control_flag: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
-
- START:
- _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Entry;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$Entry:
- inline$_v1.Eval_loop_anon3_LoopHead$0$in_result := _v1.in_result;
- inline$_v1.Eval_loop_anon3_LoopHead$0$in_x := _v1.in_x;
- havoc inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag := _v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$entry;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$entry:
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
- assume {:partition} inline$_v1.Eval_loop_anon3_LoopHead$0$out_x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result
- + inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x - 1;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
- _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
- call inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x);
- _v1.Eval_loop_anon3_LoopHead_1_done := true;
- _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
- _v1.control_flag := inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- _v1.out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result;
- _v1.out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- goto START$1;
-
- START$1:
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Entry;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$Entry:
- inline$_v2.Eval_loop_anon3_LoopHead$0$in_result := _v2.in_result;
- inline$_v2.Eval_loop_anon3_LoopHead$0$in_x := _v2.in_x;
- havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag := _v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$entry;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$entry:
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
- assume {:partition} inline$_v2.Eval_loop_anon3_LoopHead$0$out_x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result
- + inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x - 1;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
- _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
- call inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x);
- _v2.Eval_loop_anon3_LoopHead_2_done := true;
- _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
- _v2.control_flag := inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- _v2.out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
- _v2.out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- goto START$2;
-
- START$2:
- goto MS_L_0_0;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
- store__0__v1.control_flag := _v1.control_flag;
- store__0__v2.control_flag := _v2.control_flag;
- _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
- _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
- call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
- assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
- assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
- assume _v1.Eval_loop_anon3_LoopHead_out_1_0
- == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
- && _v1.Eval_loop_anon3_LoopHead_out_1_1
- == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_0
- == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_1
- == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
- _v1.control_flag := store__0__v1.control_flag;
- _v2.control_flag := store__0__v2.control_flag;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-}
-
-
+// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var _v2.control_flag: int;
+
+function _v2.control_UIF(arg_0: int, arg_1: int) : int;
+
+procedure _v2.Eval(x: int) returns (result: int);
+ modifies _v2.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+
+
+
+procedure _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
+ modifies _v2.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+
+
+
+implementation _v2.Eval(x.1: int) returns (result: int)
+{
+ var x: int;
+
+ anon0:
+ x := x.1;
+ result := 0;
+ _v2.control_flag := 0;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call result, x := _v2.Eval_loop_anon3_LoopHead(result, x);
+ goto anon3_LoopHead_last;
+
+ anon3_LoopHead_last:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ result := result + x;
+ havoc result;
+ x := x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ assume false;
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= x;
+ goto anon2;
+
+ anon2:
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
+ return;
+}
+
+
+
+implementation _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
+{
+
+ entry:
+ out_result, out_x := in_result, in_x;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} out_x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ out_result := out_result + out_x;
+ havoc out_result;
+ out_x := out_x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ call out_result, out_x := _v2.Eval_loop_anon3_LoopHead(out_result, out_x);
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= out_x;
+ out_result, out_x := in_result, in_x;
+ _v2.control_flag := old(_v2.control_flag);
+ return;
+}
+
+
+
+var _v1.control_flag: int;
+
+procedure _v1.Eval(x: int) returns (result: int);
+ modifies _v1.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+
+
+
+procedure _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
+ modifies _v1.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+
+
+
+implementation _v1.Eval(x.1: int) returns (result: int)
+{
+ var x: int;
+
+ anon0:
+ x := x.1;
+ result := 0;
+ _v1.control_flag := 0;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call result, x := _v1.Eval_loop_anon3_LoopHead(result, x);
+ goto anon3_LoopHead_last;
+
+ anon3_LoopHead_last:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ result := result + x;
+ x := x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ assume false;
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= x;
+ goto anon2;
+
+ anon2:
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
+ return;
+}
+
+
+
+implementation _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
+{
+
+ entry:
+ out_result, out_x := in_result, in_x;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} out_x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ out_result := out_result + out_x;
+ out_x := out_x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ call out_result, out_x := _v1.Eval_loop_anon3_LoopHead(out_result, out_x);
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= out_x;
+ out_result, out_x := in_result, in_x;
+ _v1.control_flag := old(_v1.control_flag);
+ return;
+}
+
+
+
+function {:inline true} MS$_v1.Eval$_v2.Eval(_v1.x: int,
+ _v1.control_flag_old: int,
+ _v1.control_flag_: int,
+ _v1.result: int,
+ _v2.x: int,
+ _v2.control_flag_old: int,
+ _v2.control_flag_: int,
+ _v2.result: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_Eval_control_flag_0: bool;
+
+const {:existential true} _houdini_Eval_result_1: bool;
+
+procedure MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int);
+ modifies _v1.control_flag, _v2.control_flag;
+ ensures MS$_v1.Eval$_v2.Eval(_v1.x,
+ old(_v1.control_flag),
+ _v1.control_flag,
+ _v1.result,
+ _v2.x,
+ old(_v2.control_flag),
+ _v2.control_flag,
+ _v2.result);
+ ensures _houdini_Eval_control_flag_0
+ ==>
+ old(_v1.control_flag == _v2.control_flag && _v1.x == _v2.x)
+ ==> _v1.control_flag == _v2.control_flag;
+ ensures _houdini_Eval_result_1 ==> old(_v1.x == _v2.x) ==> _v1.result == _v2.result;
+
+
+
+implementation MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int)
+{
+ var inline$_v1.Eval$0$x: int;
+ var inline$_v1.Eval$0$x.1: int;
+ var inline$_v1.Eval$0$result: int;
+ var inline$_v1.Eval$0$_v1.control_flag: int;
+ var inline$_v2.Eval$0$x: int;
+ var inline$_v2.Eval$0$x.1: int;
+ var inline$_v2.Eval$0$result: int;
+ var inline$_v2.Eval$0$_v2.control_flag: int;
+ var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
+ var store__0__v1.control_flag: int;
+ var store__0__v2.control_flag: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
+
+ START:
+ _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
+ goto inline$_v1.Eval$0$Entry;
+
+ inline$_v1.Eval$0$Entry:
+ inline$_v1.Eval$0$x.1 := _v1.x;
+ havoc inline$_v1.Eval$0$x, inline$_v1.Eval$0$result;
+ inline$_v1.Eval$0$_v1.control_flag := _v1.control_flag;
+ goto inline$_v1.Eval$0$anon0;
+
+ inline$_v1.Eval$0$anon0:
+ inline$_v1.Eval$0$x := inline$_v1.Eval$0$x.1;
+ inline$_v1.Eval$0$result := 0;
+ _v1.control_flag := 0;
+ goto inline$_v1.Eval$0$anon3_LoopHead;
+
+ inline$_v1.Eval$0$anon3_LoopHead:
+ _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
+ call inline$_v1.Eval$0$result, inline$_v1.Eval$0$x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval$0$result, inline$_v1.Eval$0$x);
+ _v1.Eval_loop_anon3_LoopHead_1_done := true;
+ _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
+ goto inline$_v1.Eval$0$anon3_LoopHead_last;
+
+ inline$_v1.Eval$0$anon3_LoopHead_last:
+ goto inline$_v1.Eval$0$anon3_LoopDone, inline$_v1.Eval$0$anon3_LoopBody;
+
+ inline$_v1.Eval$0$anon3_LoopBody:
+ assume {:partition} inline$_v1.Eval$0$x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ inline$_v1.Eval$0$result := inline$_v1.Eval$0$result + inline$_v1.Eval$0$x;
+ inline$_v1.Eval$0$x := inline$_v1.Eval$0$x - 1;
+ goto inline$_v1.Eval$0$anon3_LoopBody_dummy;
+
+ inline$_v1.Eval$0$anon3_LoopBody_dummy:
+ assume false;
+ goto inline$_v1.Eval$0$Return;
+
+ inline$_v1.Eval$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v1.Eval$0$x;
+ goto inline$_v1.Eval$0$anon2;
+
+ inline$_v1.Eval$0$anon2:
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
+ goto inline$_v1.Eval$0$Return;
+
+ inline$_v1.Eval$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v1.result := inline$_v1.Eval$0$result;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.Eval$0$Entry;
+
+ inline$_v2.Eval$0$Entry:
+ inline$_v2.Eval$0$x.1 := _v2.x;
+ havoc inline$_v2.Eval$0$x, inline$_v2.Eval$0$result;
+ inline$_v2.Eval$0$_v2.control_flag := _v2.control_flag;
+ goto inline$_v2.Eval$0$anon0;
+
+ inline$_v2.Eval$0$anon0:
+ inline$_v2.Eval$0$x := inline$_v2.Eval$0$x.1;
+ inline$_v2.Eval$0$result := 0;
+ _v2.control_flag := 0;
+ goto inline$_v2.Eval$0$anon3_LoopHead;
+
+ inline$_v2.Eval$0$anon3_LoopHead:
+ _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
+ call inline$_v2.Eval$0$result, inline$_v2.Eval$0$x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval$0$result, inline$_v2.Eval$0$x);
+ _v2.Eval_loop_anon3_LoopHead_2_done := true;
+ _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
+ goto inline$_v2.Eval$0$anon3_LoopHead_last;
+
+ inline$_v2.Eval$0$anon3_LoopHead_last:
+ goto inline$_v2.Eval$0$anon3_LoopDone, inline$_v2.Eval$0$anon3_LoopBody;
+
+ inline$_v2.Eval$0$anon3_LoopBody:
+ assume {:partition} inline$_v2.Eval$0$x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ inline$_v2.Eval$0$result := inline$_v2.Eval$0$result + inline$_v2.Eval$0$x;
+ havoc inline$_v2.Eval$0$result;
+ inline$_v2.Eval$0$x := inline$_v2.Eval$0$x - 1;
+ goto inline$_v2.Eval$0$anon3_LoopBody_dummy;
+
+ inline$_v2.Eval$0$anon3_LoopBody_dummy:
+ assume false;
+ goto inline$_v2.Eval$0$Return;
+
+ inline$_v2.Eval$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v2.Eval$0$x;
+ goto inline$_v2.Eval$0$anon2;
+
+ inline$_v2.Eval$0$anon2:
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
+ goto inline$_v2.Eval$0$Return;
+
+ inline$_v2.Eval$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v2.result := inline$_v2.Eval$0$result;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_0;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
+ store__0__v1.control_flag := _v1.control_flag;
+ store__0__v2.control_flag := _v2.control_flag;
+ _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
+ _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
+ call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
+ assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
+ assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
+ assume _v1.Eval_loop_anon3_LoopHead_out_1_0
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
+ && _v1.Eval_loop_anon3_LoopHead_out_1_1
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_0
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_1
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
+ _v1.control_flag := store__0__v1.control_flag;
+ _v2.control_flag := store__0__v2.control_flag;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+}
+
+
+
+function {:inline true} MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result: int,
+ _v1.in_x: int,
+ _v1.control_flag_old: int,
+ _v1.control_flag_: int,
+ _v1.out_result: int,
+ _v1.out_x: int,
+ _v2.in_result: int,
+ _v2.in_x: int,
+ _v2.control_flag_old: int,
+ _v2.control_flag_: int,
+ _v2.out_result: int,
+ _v2.out_x: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_control_flag_2: bool;
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_result_3: bool;
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_x_4: bool;
+
+procedure MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
+ returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int);
+ modifies _v1.control_flag, _v2.control_flag;
+ ensures MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result,
+ _v1.in_x,
+ old(_v1.control_flag),
+ _v1.control_flag,
+ _v1.out_result,
+ _v1.out_x,
+ _v2.in_result,
+ _v2.in_x,
+ old(_v2.control_flag),
+ _v2.control_flag,
+ _v2.out_result,
+ _v2.out_x);
+ ensures _houdini_Eval_loop_anon3_LoopHead_control_flag_2
+ ==>
+ old(_v1.control_flag == _v2.control_flag && _v1.in_x == _v2.in_x)
+ ==> _v1.control_flag == _v2.control_flag;
+ ensures _houdini_Eval_loop_anon3_LoopHead_out_result_3
+ ==>
+ old(_v1.in_result == _v2.in_result && _v1.in_x == _v2.in_x)
+ ==> _v1.out_result == _v2.out_result;
+ ensures _houdini_Eval_loop_anon3_LoopHead_out_x_4
+ ==>
+ old(_v1.in_x == _v2.in_x)
+ ==> _v1.out_x == _v2.out_x;
+
+
+
+implementation MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
+ returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int)
+{
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$in_result: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$in_x: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$out_result: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$out_x: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$in_result: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$in_x: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$out_result: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$out_x: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag: int;
+ var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
+ var store__0__v1.control_flag: int;
+ var store__0__v2.control_flag: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
+
+ START:
+ _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Entry;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$Entry:
+ inline$_v1.Eval_loop_anon3_LoopHead$0$in_result := _v1.in_result;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$in_x := _v1.in_x;
+ havoc inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag := _v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$entry;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$entry:
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
+ assume {:partition} inline$_v1.Eval_loop_anon3_LoopHead$0$out_x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result
+ + inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x - 1;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
+ _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
+ call inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x);
+ _v1.Eval_loop_anon3_LoopHead_1_done := true;
+ _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
+ _v1.control_flag := inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v1.out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result;
+ _v1.out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Entry;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$Entry:
+ inline$_v2.Eval_loop_anon3_LoopHead$0$in_result := _v2.in_result;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$in_x := _v2.in_x;
+ havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag := _v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$entry;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$entry:
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
+ assume {:partition} inline$_v2.Eval_loop_anon3_LoopHead$0$out_x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result
+ + inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x - 1;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
+ _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
+ call inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x);
+ _v2.Eval_loop_anon3_LoopHead_2_done := true;
+ _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
+ _v2.control_flag := inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v2.out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
+ _v2.out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_0;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
+ store__0__v1.control_flag := _v1.control_flag;
+ store__0__v2.control_flag := _v2.control_flag;
+ _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
+ _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
+ call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
+ assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
+ assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
+ assume _v1.Eval_loop_anon3_LoopHead_out_1_0
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
+ && _v1.Eval_loop_anon3_LoopHead_out_1_1
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_0
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_1
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
+ _v1.control_flag := store__0__v1.control_flag;
+ _v2.control_flag := store__0__v2.control_flag;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+}
+
+
diff --git a/Test/houdini/mergedProgSingle_res_ex1.bpl.expect b/Test/houdini/mergedProgSingle_res_ex1.bpl.expect
index ead49d31..f7d607c3 100644
--- a/Test/houdini/mergedProgSingle_res_ex1.bpl.expect
+++ b/Test/houdini/mergedProgSingle_res_ex1.bpl.expect
@@ -1,8 +1,8 @@
-Assignment computed by Houdini:
-_houdini_Eval_control_flag_0 = True
-_houdini_Eval_result_1 = False
-_houdini_Eval_loop_anon3_LoopHead_control_flag_2 = True
-_houdini_Eval_loop_anon3_LoopHead_out_result_3 = False
-_houdini_Eval_loop_anon3_LoopHead_out_x_4 = True
-
-Boogie program verifier finished with 6 verified, 0 errors
+Assignment computed by Houdini:
+_houdini_Eval_control_flag_0 = True
+_houdini_Eval_result_1 = False
+_houdini_Eval_loop_anon3_LoopHead_control_flag_2 = True
+_houdini_Eval_loop_anon3_LoopHead_out_result_3 = False
+_houdini_Eval_loop_anon3_LoopHead_out_x_4 = True
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/houdini/mergedProgSingle_res_ex2.bpl b/Test/houdini/mergedProgSingle_res_ex2.bpl
index 2337d434..ae5688aa 100644
--- a/Test/houdini/mergedProgSingle_res_ex2.bpl
+++ b/Test/houdini/mergedProgSingle_res_ex2.bpl
@@ -1,621 +1,621 @@
-// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var _v2.control_flag: int;
-
-function _v2.control_UIF(arg_0: int, arg_1: int) : int;
-
-procedure _v2.Eval(x: int) returns (result: int);
- modifies _v2.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
-
-
-
-procedure _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
- modifies _v2.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
-
-
-
-implementation _v2.Eval(x.1: int) returns (result: int)
-{
- var x: int;
-
- anon0:
- x := x.1;
- result := 0;
- _v2.control_flag := 0;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call result, x := _v2.Eval_loop_anon3_LoopHead(result, x);
- goto anon3_LoopHead_last;
-
- anon3_LoopHead_last:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- result := result + x;
- x := x - 1;
- havoc x;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- assume false;
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= x;
- goto anon2;
-
- anon2:
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
- return;
-}
-
-
-
-implementation _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
-{
-
- entry:
- out_result, out_x := in_result, in_x;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} out_x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- out_result := out_result + out_x;
- out_x := out_x - 1;
- havoc out_x;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- call out_result, out_x := _v2.Eval_loop_anon3_LoopHead(out_result, out_x);
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= out_x;
- out_result, out_x := in_result, in_x;
- _v2.control_flag := old(_v2.control_flag);
- return;
-}
-
-
-
-var _v1.control_flag: int;
-
-procedure _v1.Eval(x: int) returns (result: int);
- modifies _v1.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
- free ensures {:io_dependency "result", "x"} true;
-
-
-
-procedure _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
- modifies _v1.control_flag;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
- free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
- free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
- free ensures {:io_dependency "out_x", "in_x"} true;
-
-
-
-implementation _v1.Eval(x.1: int) returns (result: int)
-{
- var x: int;
-
- anon0:
- x := x.1;
- result := 0;
- _v1.control_flag := 0;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- call result, x := _v1.Eval_loop_anon3_LoopHead(result, x);
- goto anon3_LoopHead_last;
-
- anon3_LoopHead_last:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- result := result + x;
- x := x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- assume false;
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= x;
- goto anon2;
-
- anon2:
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
- return;
-}
-
-
-
-implementation _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
-{
-
- entry:
- out_result, out_x := in_result, in_x;
- goto anon3_LoopHead;
-
- anon3_LoopHead:
- goto anon3_LoopDone, anon3_LoopBody;
-
- anon3_LoopBody:
- assume {:partition} out_x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- out_result := out_result + out_x;
- out_x := out_x - 1;
- goto anon3_LoopBody_dummy;
-
- anon3_LoopBody_dummy:
- call out_result, out_x := _v1.Eval_loop_anon3_LoopHead(out_result, out_x);
- return;
-
- anon3_LoopDone:
- assume {:partition} 0 >= out_x;
- out_result, out_x := in_result, in_x;
- _v1.control_flag := old(_v1.control_flag);
- return;
-}
-
-
-
-function {:inline true} MS$_v1.Eval$_v2.Eval(_v1.x: int,
- _v1.control_flag_old: int,
- _v1.control_flag_: int,
- _v1.result: int,
- _v2.x: int,
- _v2.control_flag_old: int,
- _v2.control_flag_: int,
- _v2.result: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_Eval_control_flag_0: bool;
-
-const {:existential true} _houdini_Eval_result_1: bool;
-
-procedure MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int);
- modifies _v1.control_flag, _v2.control_flag;
- ensures MS$_v1.Eval$_v2.Eval(_v1.x,
- old(_v1.control_flag),
- _v1.control_flag,
- _v1.result,
- _v2.x,
- old(_v2.control_flag),
- _v2.control_flag,
- _v2.result);
- ensures _houdini_Eval_control_flag_0
- ==>
- old(_v1.control_flag == _v2.control_flag && _v1.x == _v2.x)
- ==> _v1.control_flag == _v2.control_flag;
- ensures _houdini_Eval_result_1 ==> old(_v1.x == _v2.x) ==> _v1.result == _v2.result;
-
-
-
-implementation MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int)
-{
- var inline$_v1.Eval$0$x: int;
- var inline$_v1.Eval$0$x.1: int;
- var inline$_v1.Eval$0$result: int;
- var inline$_v1.Eval$0$_v1.control_flag: int;
- var inline$_v2.Eval$0$x: int;
- var inline$_v2.Eval$0$x.1: int;
- var inline$_v2.Eval$0$result: int;
- var inline$_v2.Eval$0$_v2.control_flag: int;
- var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
- var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
- var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
- var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
- var store__0__v1.control_flag: int;
- var store__0__v2.control_flag: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
-
- START:
- _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
- goto inline$_v1.Eval$0$Entry;
-
- inline$_v1.Eval$0$Entry:
- inline$_v1.Eval$0$x.1 := _v1.x;
- havoc inline$_v1.Eval$0$x, inline$_v1.Eval$0$result;
- inline$_v1.Eval$0$_v1.control_flag := _v1.control_flag;
- goto inline$_v1.Eval$0$anon0;
-
- inline$_v1.Eval$0$anon0:
- inline$_v1.Eval$0$x := inline$_v1.Eval$0$x.1;
- inline$_v1.Eval$0$result := 0;
- _v1.control_flag := 0;
- goto inline$_v1.Eval$0$anon3_LoopHead;
-
- inline$_v1.Eval$0$anon3_LoopHead:
- _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
- call inline$_v1.Eval$0$result, inline$_v1.Eval$0$x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval$0$result, inline$_v1.Eval$0$x);
- _v1.Eval_loop_anon3_LoopHead_1_done := true;
- _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
- goto inline$_v1.Eval$0$anon3_LoopHead_last;
-
- inline$_v1.Eval$0$anon3_LoopHead_last:
- goto inline$_v1.Eval$0$anon3_LoopDone, inline$_v1.Eval$0$anon3_LoopBody;
-
- inline$_v1.Eval$0$anon3_LoopBody:
- assume {:partition} inline$_v1.Eval$0$x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- inline$_v1.Eval$0$result := inline$_v1.Eval$0$result + inline$_v1.Eval$0$x;
- inline$_v1.Eval$0$x := inline$_v1.Eval$0$x - 1;
- goto inline$_v1.Eval$0$anon3_LoopBody_dummy;
-
- inline$_v1.Eval$0$anon3_LoopBody_dummy:
- assume false;
- goto inline$_v1.Eval$0$Return;
-
- inline$_v1.Eval$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v1.Eval$0$x;
- goto inline$_v1.Eval$0$anon2;
-
- inline$_v1.Eval$0$anon2:
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
- goto inline$_v1.Eval$0$Return;
-
- inline$_v1.Eval$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- _v1.result := inline$_v1.Eval$0$result;
- goto START$1;
-
- START$1:
- goto inline$_v2.Eval$0$Entry;
-
- inline$_v2.Eval$0$Entry:
- inline$_v2.Eval$0$x.1 := _v2.x;
- havoc inline$_v2.Eval$0$x, inline$_v2.Eval$0$result;
- inline$_v2.Eval$0$_v2.control_flag := _v2.control_flag;
- goto inline$_v2.Eval$0$anon0;
-
- inline$_v2.Eval$0$anon0:
- inline$_v2.Eval$0$x := inline$_v2.Eval$0$x.1;
- inline$_v2.Eval$0$result := 0;
- _v2.control_flag := 0;
- goto inline$_v2.Eval$0$anon3_LoopHead;
-
- inline$_v2.Eval$0$anon3_LoopHead:
- _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
- call inline$_v2.Eval$0$result, inline$_v2.Eval$0$x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval$0$result, inline$_v2.Eval$0$x);
- _v2.Eval_loop_anon3_LoopHead_2_done := true;
- _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
- goto inline$_v2.Eval$0$anon3_LoopHead_last;
-
- inline$_v2.Eval$0$anon3_LoopHead_last:
- goto inline$_v2.Eval$0$anon3_LoopDone, inline$_v2.Eval$0$anon3_LoopBody;
-
- inline$_v2.Eval$0$anon3_LoopBody:
- assume {:partition} inline$_v2.Eval$0$x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- inline$_v2.Eval$0$result := inline$_v2.Eval$0$result + inline$_v2.Eval$0$x;
- inline$_v2.Eval$0$x := inline$_v2.Eval$0$x - 1;
- havoc inline$_v2.Eval$0$x;
- goto inline$_v2.Eval$0$anon3_LoopBody_dummy;
-
- inline$_v2.Eval$0$anon3_LoopBody_dummy:
- assume false;
- goto inline$_v2.Eval$0$Return;
-
- inline$_v2.Eval$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v2.Eval$0$x;
- goto inline$_v2.Eval$0$anon2;
-
- inline$_v2.Eval$0$anon2:
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
- goto inline$_v2.Eval$0$Return;
-
- inline$_v2.Eval$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- _v2.result := inline$_v2.Eval$0$result;
- goto START$2;
-
- START$2:
- goto MS_L_0_0;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
- store__0__v1.control_flag := _v1.control_flag;
- store__0__v2.control_flag := _v2.control_flag;
- _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
- _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
- call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
- assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
- assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
- assume _v1.Eval_loop_anon3_LoopHead_out_1_0
- == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
- && _v1.Eval_loop_anon3_LoopHead_out_1_1
- == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_0
- == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_1
- == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
- _v1.control_flag := store__0__v1.control_flag;
- _v2.control_flag := store__0__v2.control_flag;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-}
-
-
-
-function {:inline true} MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result: int,
- _v1.in_x: int,
- _v1.control_flag_old: int,
- _v1.control_flag_: int,
- _v1.out_result: int,
- _v1.out_x: int,
- _v2.in_result: int,
- _v2.in_x: int,
- _v2.control_flag_old: int,
- _v2.control_flag_: int,
- _v2.out_result: int,
- _v2.out_x: int)
- : bool
-{
- true
-}
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_control_flag_2: bool;
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_result_3: bool;
-
-const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_x_4: bool;
-
-procedure MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
- returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int);
- modifies _v1.control_flag, _v2.control_flag;
- ensures MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result,
- _v1.in_x,
- old(_v1.control_flag),
- _v1.control_flag,
- _v1.out_result,
- _v1.out_x,
- _v2.in_result,
- _v2.in_x,
- old(_v2.control_flag),
- _v2.control_flag,
- _v2.out_result,
- _v2.out_x);
- ensures _houdini_Eval_loop_anon3_LoopHead_control_flag_2
- ==>
- old(_v1.control_flag == _v2.control_flag && _v1.in_x == _v2.in_x)
- ==> _v1.control_flag == _v2.control_flag;
- ensures _houdini_Eval_loop_anon3_LoopHead_out_result_3
- ==>
- old(_v1.in_result == _v2.in_result && _v1.in_x == _v2.in_x)
- ==> _v1.out_result == _v2.out_result;
- ensures _houdini_Eval_loop_anon3_LoopHead_out_x_4
- ==>
- old(_v1.in_x == _v2.in_x)
- ==> _v1.out_x == _v2.out_x;
-
-
-
-implementation MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
- returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int)
-{
- var inline$_v1.Eval_loop_anon3_LoopHead$0$in_result: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$in_x: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$out_result: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$out_x: int;
- var inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$in_result: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$in_x: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$out_result: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$out_x: int;
- var inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag: int;
- var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
- var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
- var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
- var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
- var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
- var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
- var store__0__v1.control_flag: int;
- var store__0__v2.control_flag: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
- var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
- var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
-
- START:
- _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Entry;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$Entry:
- inline$_v1.Eval_loop_anon3_LoopHead$0$in_result := _v1.in_result;
- inline$_v1.Eval_loop_anon3_LoopHead$0$in_x := _v1.in_x;
- havoc inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag := _v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$entry;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$entry:
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
- assume {:partition} inline$_v1.Eval_loop_anon3_LoopHead$0$out_x > 0;
- _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result
- + inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x - 1;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
- _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
- call inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x);
- _v1.Eval_loop_anon3_LoopHead_1_done := true;
- _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
- _v1.control_flag := inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag;
- goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v1.Eval_loop_anon3_LoopHead$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- _v1.out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result;
- _v1.out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
- goto START$1;
-
- START$1:
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Entry;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$Entry:
- inline$_v2.Eval_loop_anon3_LoopHead$0$in_result := _v2.in_result;
- inline$_v2.Eval_loop_anon3_LoopHead$0$in_x := _v2.in_x;
- havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag := _v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$entry;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$entry:
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
- assume {:partition} inline$_v2.Eval_loop_anon3_LoopHead$0$out_x > 0;
- _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result
- + inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x - 1;
- havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
- _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
- call inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x);
- _v2.Eval_loop_anon3_LoopHead_2_done := true;
- _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
- assume {:partition} 0 >= inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
- _v2.control_flag := inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag;
- goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
-
- inline$_v2.Eval_loop_anon3_LoopHead$0$Return:
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- assume true;
- _v2.out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
- _v2.out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
- goto START$2;
-
- START$2:
- goto MS_L_0_0;
-
- MS_L_0_0:
- goto MS_L_taken_0, MS_L_not_taken_0;
-
- MS_L_taken_0:
- assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
- store__0__v1.control_flag := _v1.control_flag;
- store__0__v2.control_flag := _v2.control_flag;
- _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
- _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
- call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
- assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
- assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
- assume _v1.Eval_loop_anon3_LoopHead_out_1_0
- == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
- && _v1.Eval_loop_anon3_LoopHead_out_1_1
- == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_0
- == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
- && _v2.Eval_loop_anon3_LoopHead_out_2_1
- == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
- _v1.control_flag := store__0__v1.control_flag;
- _v2.control_flag := store__0__v2.control_flag;
- goto MS_L_meet_0;
-
- MS_L_not_taken_0:
- assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
- goto MS_L_meet_0;
-
- MS_L_meet_0:
- return;
-}
-
-
+// RUN: %boogie /nologo /contractInfer /inlineDepth:1 /printAssignment /noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var _v2.control_flag: int;
+
+function _v2.control_UIF(arg_0: int, arg_1: int) : int;
+
+procedure _v2.Eval(x: int) returns (result: int);
+ modifies _v2.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+
+
+
+procedure _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
+ modifies _v2.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+
+
+
+implementation _v2.Eval(x.1: int) returns (result: int)
+{
+ var x: int;
+
+ anon0:
+ x := x.1;
+ result := 0;
+ _v2.control_flag := 0;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call result, x := _v2.Eval_loop_anon3_LoopHead(result, x);
+ goto anon3_LoopHead_last;
+
+ anon3_LoopHead_last:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ result := result + x;
+ x := x - 1;
+ havoc x;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ assume false;
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= x;
+ goto anon2;
+
+ anon2:
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
+ return;
+}
+
+
+
+implementation _v2.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
+{
+
+ entry:
+ out_result, out_x := in_result, in_x;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} out_x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ out_result := out_result + out_x;
+ out_x := out_x - 1;
+ havoc out_x;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ call out_result, out_x := _v2.Eval_loop_anon3_LoopHead(out_result, out_x);
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= out_x;
+ out_result, out_x := in_result, in_x;
+ _v2.control_flag := old(_v2.control_flag);
+ return;
+}
+
+
+
+var _v1.control_flag: int;
+
+procedure _v1.Eval(x: int) returns (result: int);
+ modifies _v1.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "x"} true;
+ free ensures {:io_dependency "result", "x"} true;
+
+
+
+procedure _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int);
+ modifies _v1.control_flag;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+ free ensures {:io_dependency "control_flag", "control_flag", "in_x"} true;
+ free ensures {:io_dependency "out_result", "in_result", "in_x"} true;
+ free ensures {:io_dependency "out_x", "in_x"} true;
+
+
+
+implementation _v1.Eval(x.1: int) returns (result: int)
+{
+ var x: int;
+
+ anon0:
+ x := x.1;
+ result := 0;
+ _v1.control_flag := 0;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ call result, x := _v1.Eval_loop_anon3_LoopHead(result, x);
+ goto anon3_LoopHead_last;
+
+ anon3_LoopHead_last:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ result := result + x;
+ x := x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ assume false;
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= x;
+ goto anon2;
+
+ anon2:
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
+ return;
+}
+
+
+
+implementation _v1.Eval_loop_anon3_LoopHead(in_result: int, in_x: int) returns (out_result: int, out_x: int)
+{
+
+ entry:
+ out_result, out_x := in_result, in_x;
+ goto anon3_LoopHead;
+
+ anon3_LoopHead:
+ goto anon3_LoopDone, anon3_LoopBody;
+
+ anon3_LoopBody:
+ assume {:partition} out_x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ out_result := out_result + out_x;
+ out_x := out_x - 1;
+ goto anon3_LoopBody_dummy;
+
+ anon3_LoopBody_dummy:
+ call out_result, out_x := _v1.Eval_loop_anon3_LoopHead(out_result, out_x);
+ return;
+
+ anon3_LoopDone:
+ assume {:partition} 0 >= out_x;
+ out_result, out_x := in_result, in_x;
+ _v1.control_flag := old(_v1.control_flag);
+ return;
+}
+
+
+
+function {:inline true} MS$_v1.Eval$_v2.Eval(_v1.x: int,
+ _v1.control_flag_old: int,
+ _v1.control_flag_: int,
+ _v1.result: int,
+ _v2.x: int,
+ _v2.control_flag_old: int,
+ _v2.control_flag_: int,
+ _v2.result: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_Eval_control_flag_0: bool;
+
+const {:existential true} _houdini_Eval_result_1: bool;
+
+procedure MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int);
+ modifies _v1.control_flag, _v2.control_flag;
+ ensures MS$_v1.Eval$_v2.Eval(_v1.x,
+ old(_v1.control_flag),
+ _v1.control_flag,
+ _v1.result,
+ _v2.x,
+ old(_v2.control_flag),
+ _v2.control_flag,
+ _v2.result);
+ ensures _houdini_Eval_control_flag_0
+ ==>
+ old(_v1.control_flag == _v2.control_flag && _v1.x == _v2.x)
+ ==> _v1.control_flag == _v2.control_flag;
+ ensures _houdini_Eval_result_1 ==> old(_v1.x == _v2.x) ==> _v1.result == _v2.result;
+
+
+
+implementation MS_Check__v1.Eval___v2.Eval(_v1.x: int, _v2.x: int) returns (_v1.result: int, _v2.result: int)
+{
+ var inline$_v1.Eval$0$x: int;
+ var inline$_v1.Eval$0$x.1: int;
+ var inline$_v1.Eval$0$result: int;
+ var inline$_v1.Eval$0$_v1.control_flag: int;
+ var inline$_v2.Eval$0$x: int;
+ var inline$_v2.Eval$0$x.1: int;
+ var inline$_v2.Eval$0$result: int;
+ var inline$_v2.Eval$0$_v2.control_flag: int;
+ var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
+ var store__0__v1.control_flag: int;
+ var store__0__v2.control_flag: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
+
+ START:
+ _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
+ goto inline$_v1.Eval$0$Entry;
+
+ inline$_v1.Eval$0$Entry:
+ inline$_v1.Eval$0$x.1 := _v1.x;
+ havoc inline$_v1.Eval$0$x, inline$_v1.Eval$0$result;
+ inline$_v1.Eval$0$_v1.control_flag := _v1.control_flag;
+ goto inline$_v1.Eval$0$anon0;
+
+ inline$_v1.Eval$0$anon0:
+ inline$_v1.Eval$0$x := inline$_v1.Eval$0$x.1;
+ inline$_v1.Eval$0$result := 0;
+ _v1.control_flag := 0;
+ goto inline$_v1.Eval$0$anon3_LoopHead;
+
+ inline$_v1.Eval$0$anon3_LoopHead:
+ _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
+ call inline$_v1.Eval$0$result, inline$_v1.Eval$0$x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval$0$result, inline$_v1.Eval$0$x);
+ _v1.Eval_loop_anon3_LoopHead_1_done := true;
+ _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval$0$result, inline$_v1.Eval$0$x, _v1.control_flag;
+ goto inline$_v1.Eval$0$anon3_LoopHead_last;
+
+ inline$_v1.Eval$0$anon3_LoopHead_last:
+ goto inline$_v1.Eval$0$anon3_LoopDone, inline$_v1.Eval$0$anon3_LoopBody;
+
+ inline$_v1.Eval$0$anon3_LoopBody:
+ assume {:partition} inline$_v1.Eval$0$x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ inline$_v1.Eval$0$result := inline$_v1.Eval$0$result + inline$_v1.Eval$0$x;
+ inline$_v1.Eval$0$x := inline$_v1.Eval$0$x - 1;
+ goto inline$_v1.Eval$0$anon3_LoopBody_dummy;
+
+ inline$_v1.Eval$0$anon3_LoopBody_dummy:
+ assume false;
+ goto inline$_v1.Eval$0$Return;
+
+ inline$_v1.Eval$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v1.Eval$0$x;
+ goto inline$_v1.Eval$0$anon2;
+
+ inline$_v1.Eval$0$anon2:
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 2);
+ goto inline$_v1.Eval$0$Return;
+
+ inline$_v1.Eval$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v1.result := inline$_v1.Eval$0$result;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.Eval$0$Entry;
+
+ inline$_v2.Eval$0$Entry:
+ inline$_v2.Eval$0$x.1 := _v2.x;
+ havoc inline$_v2.Eval$0$x, inline$_v2.Eval$0$result;
+ inline$_v2.Eval$0$_v2.control_flag := _v2.control_flag;
+ goto inline$_v2.Eval$0$anon0;
+
+ inline$_v2.Eval$0$anon0:
+ inline$_v2.Eval$0$x := inline$_v2.Eval$0$x.1;
+ inline$_v2.Eval$0$result := 0;
+ _v2.control_flag := 0;
+ goto inline$_v2.Eval$0$anon3_LoopHead;
+
+ inline$_v2.Eval$0$anon3_LoopHead:
+ _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
+ call inline$_v2.Eval$0$result, inline$_v2.Eval$0$x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval$0$result, inline$_v2.Eval$0$x);
+ _v2.Eval_loop_anon3_LoopHead_2_done := true;
+ _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval$0$result, inline$_v2.Eval$0$x, _v2.control_flag;
+ goto inline$_v2.Eval$0$anon3_LoopHead_last;
+
+ inline$_v2.Eval$0$anon3_LoopHead_last:
+ goto inline$_v2.Eval$0$anon3_LoopDone, inline$_v2.Eval$0$anon3_LoopBody;
+
+ inline$_v2.Eval$0$anon3_LoopBody:
+ assume {:partition} inline$_v2.Eval$0$x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ inline$_v2.Eval$0$result := inline$_v2.Eval$0$result + inline$_v2.Eval$0$x;
+ inline$_v2.Eval$0$x := inline$_v2.Eval$0$x - 1;
+ havoc inline$_v2.Eval$0$x;
+ goto inline$_v2.Eval$0$anon3_LoopBody_dummy;
+
+ inline$_v2.Eval$0$anon3_LoopBody_dummy:
+ assume false;
+ goto inline$_v2.Eval$0$Return;
+
+ inline$_v2.Eval$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v2.Eval$0$x;
+ goto inline$_v2.Eval$0$anon2;
+
+ inline$_v2.Eval$0$anon2:
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 2);
+ goto inline$_v2.Eval$0$Return;
+
+ inline$_v2.Eval$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v2.result := inline$_v2.Eval$0$result;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_0;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
+ store__0__v1.control_flag := _v1.control_flag;
+ store__0__v2.control_flag := _v2.control_flag;
+ _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
+ _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
+ call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
+ assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
+ assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
+ assume _v1.Eval_loop_anon3_LoopHead_out_1_0
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
+ && _v1.Eval_loop_anon3_LoopHead_out_1_1
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_0
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_1
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
+ _v1.control_flag := store__0__v1.control_flag;
+ _v2.control_flag := store__0__v2.control_flag;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+}
+
+
+
+function {:inline true} MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result: int,
+ _v1.in_x: int,
+ _v1.control_flag_old: int,
+ _v1.control_flag_: int,
+ _v1.out_result: int,
+ _v1.out_x: int,
+ _v2.in_result: int,
+ _v2.in_x: int,
+ _v2.control_flag_old: int,
+ _v2.control_flag_: int,
+ _v2.out_result: int,
+ _v2.out_x: int)
+ : bool
+{
+ true
+}
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_control_flag_2: bool;
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_result_3: bool;
+
+const {:existential true} _houdini_Eval_loop_anon3_LoopHead_out_x_4: bool;
+
+procedure MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
+ returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int);
+ modifies _v1.control_flag, _v2.control_flag;
+ ensures MS$_v1.Eval_loop_anon3_LoopHead$_v2.Eval_loop_anon3_LoopHead(_v1.in_result,
+ _v1.in_x,
+ old(_v1.control_flag),
+ _v1.control_flag,
+ _v1.out_result,
+ _v1.out_x,
+ _v2.in_result,
+ _v2.in_x,
+ old(_v2.control_flag),
+ _v2.control_flag,
+ _v2.out_result,
+ _v2.out_x);
+ ensures _houdini_Eval_loop_anon3_LoopHead_control_flag_2
+ ==>
+ old(_v1.control_flag == _v2.control_flag && _v1.in_x == _v2.in_x)
+ ==> _v1.control_flag == _v2.control_flag;
+ ensures _houdini_Eval_loop_anon3_LoopHead_out_result_3
+ ==>
+ old(_v1.in_result == _v2.in_result && _v1.in_x == _v2.in_x)
+ ==> _v1.out_result == _v2.out_result;
+ ensures _houdini_Eval_loop_anon3_LoopHead_out_x_4
+ ==>
+ old(_v1.in_x == _v2.in_x)
+ ==> _v1.out_x == _v2.out_x;
+
+
+
+implementation MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.in_result: int, _v1.in_x: int, _v2.in_result: int, _v2.in_x: int)
+ returns (_v1.out_result: int, _v1.out_x: int, _v2.out_result: int, _v2.out_x: int)
+{
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$in_result: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$in_x: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$out_result: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$out_x: int;
+ var inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$in_result: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$in_x: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$out_result: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$out_x: int;
+ var inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag: int;
+ var _v1.Eval_loop_anon3_LoopHead_1_done: bool;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_in_1_2: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_0: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_1: int;
+ var _v1.Eval_loop_anon3_LoopHead_out_1_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_2_done: bool;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_in_2_2: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_0: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_1: int;
+ var _v2.Eval_loop_anon3_LoopHead_out_2_2: int;
+ var store__0__v1.control_flag: int;
+ var store__0__v2.control_flag: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_0_0: int;
+ var out__v1.Eval_loop_anon3_LoopHead_out_1_1_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_0_0: int;
+ var out__v2.Eval_loop_anon3_LoopHead_out_2_1_0: int;
+
+ START:
+ _v1.Eval_loop_anon3_LoopHead_1_done, _v2.Eval_loop_anon3_LoopHead_2_done := false, false;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Entry;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$Entry:
+ inline$_v1.Eval_loop_anon3_LoopHead$0$in_result := _v1.in_result;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$in_x := _v1.in_x;
+ havoc inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag := _v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$entry;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$entry:
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
+ assume {:partition} inline$_v1.Eval_loop_anon3_LoopHead$0$out_x > 0;
+ _v1.control_flag := _v2.control_UIF(_v1.control_flag, 1);
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result
+ + inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x - 1;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
+ _v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v1.Eval_loop_anon3_LoopHead_in_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
+ call inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := _v1.Eval_loop_anon3_LoopHead(inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x);
+ _v1.Eval_loop_anon3_LoopHead_1_done := true;
+ _v1.Eval_loop_anon3_LoopHead_out_1_0, _v1.Eval_loop_anon3_LoopHead_out_1_1, _v1.Eval_loop_anon3_LoopHead_out_1_2 := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x, _v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v1.Eval_loop_anon3_LoopHead$0$out_result, inline$_v1.Eval_loop_anon3_LoopHead$0$out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$in_result, inline$_v1.Eval_loop_anon3_LoopHead$0$in_x;
+ _v1.control_flag := inline$_v1.Eval_loop_anon3_LoopHead$0$_v1.control_flag;
+ goto inline$_v1.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v1.Eval_loop_anon3_LoopHead$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v1.out_result := inline$_v1.Eval_loop_anon3_LoopHead$0$out_result;
+ _v1.out_x := inline$_v1.Eval_loop_anon3_LoopHead$0$out_x;
+ goto START$1;
+
+ START$1:
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Entry;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$Entry:
+ inline$_v2.Eval_loop_anon3_LoopHead$0$in_result := _v2.in_result;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$in_x := _v2.in_x;
+ havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag := _v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$entry;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$entry:
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopHead:
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone, inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody:
+ assume {:partition} inline$_v2.Eval_loop_anon3_LoopHead$0$out_x > 0;
+ _v2.control_flag := _v2.control_UIF(_v2.control_flag, 1);
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result
+ + inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x - 1;
+ havoc inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopBody_dummy:
+ _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1, _v2.Eval_loop_anon3_LoopHead_in_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
+ call inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := _v2.Eval_loop_anon3_LoopHead(inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x);
+ _v2.Eval_loop_anon3_LoopHead_2_done := true;
+ _v2.Eval_loop_anon3_LoopHead_out_2_0, _v2.Eval_loop_anon3_LoopHead_out_2_1, _v2.Eval_loop_anon3_LoopHead_out_2_2 := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x, _v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$anon3_LoopDone:
+ assume {:partition} 0 >= inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ inline$_v2.Eval_loop_anon3_LoopHead$0$out_result, inline$_v2.Eval_loop_anon3_LoopHead$0$out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$in_result, inline$_v2.Eval_loop_anon3_LoopHead$0$in_x;
+ _v2.control_flag := inline$_v2.Eval_loop_anon3_LoopHead$0$_v2.control_flag;
+ goto inline$_v2.Eval_loop_anon3_LoopHead$0$Return;
+
+ inline$_v2.Eval_loop_anon3_LoopHead$0$Return:
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ assume true;
+ _v2.out_result := inline$_v2.Eval_loop_anon3_LoopHead$0$out_result;
+ _v2.out_x := inline$_v2.Eval_loop_anon3_LoopHead$0$out_x;
+ goto START$2;
+
+ START$2:
+ goto MS_L_0_0;
+
+ MS_L_0_0:
+ goto MS_L_taken_0, MS_L_not_taken_0;
+
+ MS_L_taken_0:
+ assume _v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done;
+ store__0__v1.control_flag := _v1.control_flag;
+ store__0__v2.control_flag := _v2.control_flag;
+ _v1.control_flag := _v1.Eval_loop_anon3_LoopHead_in_1_2;
+ _v2.control_flag := _v2.Eval_loop_anon3_LoopHead_in_2_2;
+ call out__v1.Eval_loop_anon3_LoopHead_out_1_0_0, out__v1.Eval_loop_anon3_LoopHead_out_1_1_0, out__v2.Eval_loop_anon3_LoopHead_out_2_0_0, out__v2.Eval_loop_anon3_LoopHead_out_2_1_0 := MS_Check__v1.Eval_loop_anon3_LoopHead___v2.Eval_loop_anon3_LoopHead(_v1.Eval_loop_anon3_LoopHead_in_1_0, _v1.Eval_loop_anon3_LoopHead_in_1_1, _v2.Eval_loop_anon3_LoopHead_in_2_0, _v2.Eval_loop_anon3_LoopHead_in_2_1);
+ assume _v1.control_flag == _v1.Eval_loop_anon3_LoopHead_out_1_2;
+ assume _v2.control_flag == _v2.Eval_loop_anon3_LoopHead_out_2_2;
+ assume _v1.Eval_loop_anon3_LoopHead_out_1_0
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_0_0
+ && _v1.Eval_loop_anon3_LoopHead_out_1_1
+ == out__v1.Eval_loop_anon3_LoopHead_out_1_1_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_0
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_0_0
+ && _v2.Eval_loop_anon3_LoopHead_out_2_1
+ == out__v2.Eval_loop_anon3_LoopHead_out_2_1_0;
+ _v1.control_flag := store__0__v1.control_flag;
+ _v2.control_flag := store__0__v2.control_flag;
+ goto MS_L_meet_0;
+
+ MS_L_not_taken_0:
+ assume !(_v1.Eval_loop_anon3_LoopHead_1_done && _v2.Eval_loop_anon3_LoopHead_2_done);
+ goto MS_L_meet_0;
+
+ MS_L_meet_0:
+ return;
+}
+
+
diff --git a/Test/houdini/mergedProgSingle_res_ex2.bpl.expect b/Test/houdini/mergedProgSingle_res_ex2.bpl.expect
index f551fc90..aff2f6ec 100644
--- a/Test/houdini/mergedProgSingle_res_ex2.bpl.expect
+++ b/Test/houdini/mergedProgSingle_res_ex2.bpl.expect
@@ -1,8 +1,8 @@
-Assignment computed by Houdini:
-_houdini_Eval_control_flag_0 = False
-_houdini_Eval_result_1 = False
-_houdini_Eval_loop_anon3_LoopHead_control_flag_2 = False
-_houdini_Eval_loop_anon3_LoopHead_out_result_3 = False
-_houdini_Eval_loop_anon3_LoopHead_out_x_4 = False
-
-Boogie program verifier finished with 6 verified, 0 errors
+Assignment computed by Houdini:
+_houdini_Eval_control_flag_0 = False
+_houdini_Eval_result_1 = False
+_houdini_Eval_loop_anon3_LoopHead_control_flag_2 = False
+_houdini_Eval_loop_anon3_LoopHead_out_result_3 = False
+_houdini_Eval_loop_anon3_LoopHead_out_x_4 = False
+
+Boogie program verifier finished with 6 verified, 0 errors
diff --git a/Test/houdini/test1.bpl b/Test/houdini/test1.bpl
index 7a8db5e8..72c4fb65 100644
--- a/Test/houdini/test1.bpl
+++ b/Test/houdini/test1.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: bool;
-
-procedure foo()
-modifies g;
-ensures b0 ==> (!old(g) ==> old(g) == g);
-{
- call AcquireLock();
- call ReleaseLock();
-}
-
-procedure AcquireLock()
-modifies g;
-ensures b1 ==> old(g) == g;
-{
- g := true;
-}
-
-procedure ReleaseLock()
-modifies g;
-ensures b2 ==> old(g) == g;
-{
- g := false;
-}
-
-procedure main()
-modifies g;
-{
- g := false;
- call foo();
- assert !g;
-}
-
-const {:existential true} b0: bool;
-const {:existential true} b1: bool;
-const {:existential true } b2: bool;
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: bool;
+
+procedure foo()
+modifies g;
+ensures b0 ==> (!old(g) ==> old(g) == g);
+{
+ call AcquireLock();
+ call ReleaseLock();
+}
+
+procedure AcquireLock()
+modifies g;
+ensures b1 ==> old(g) == g;
+{
+ g := true;
+}
+
+procedure ReleaseLock()
+modifies g;
+ensures b2 ==> old(g) == g;
+{
+ g := false;
+}
+
+procedure main()
+modifies g;
+{
+ g := false;
+ call foo();
+ assert !g;
+}
+
+const {:existential true} b0: bool;
+const {:existential true} b1: bool;
+const {:existential true } b2: bool;
+
diff --git a/Test/houdini/test10.bpl b/Test/houdini/test10.bpl
index f77d0c87..7c1c7e11 100644
--- a/Test/houdini/test10.bpl
+++ b/Test/houdini/test10.bpl
@@ -1,49 +1,49 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var sdv_7: int;
-var sdv_21: int;
-const {:existential true} b1: bool;
-const{:existential true} b2: bool;
-const{:existential true} b3: bool;
-const{:existential true} b4: bool;
-
-procedure push(a:int)
-modifies sdv_7, sdv_21;
-{
- sdv_21 := sdv_7;
- sdv_7 := a;
-}
-
-procedure pop()
-modifies sdv_7, sdv_21;
-{
- sdv_7 := sdv_21;
- havoc sdv_21;
-}
-
-procedure foo()
-modifies sdv_7, sdv_21;
-requires {:candidate} b1 ==> (sdv_7 == 0);
-ensures{:candidate} b2 ==> (sdv_7 == old(sdv_7));
-{
- call push(2);
- call pop();
- call bar();
-}
-
-procedure bar()
-requires{:candidate} b3 ==> (sdv_7 == 0);
-ensures{:candidate} b4 ==> (sdv_7 == old(sdv_7));
-modifies sdv_7, sdv_21;
-{
- call push(1);
- call pop();
-}
-
-procedure main()
-modifies sdv_7, sdv_21;
-{
- sdv_7 := 0;
- call foo();
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var sdv_7: int;
+var sdv_21: int;
+const {:existential true} b1: bool;
+const{:existential true} b2: bool;
+const{:existential true} b3: bool;
+const{:existential true} b4: bool;
+
+procedure push(a:int)
+modifies sdv_7, sdv_21;
+{
+ sdv_21 := sdv_7;
+ sdv_7 := a;
+}
+
+procedure pop()
+modifies sdv_7, sdv_21;
+{
+ sdv_7 := sdv_21;
+ havoc sdv_21;
+}
+
+procedure foo()
+modifies sdv_7, sdv_21;
+requires {:candidate} b1 ==> (sdv_7 == 0);
+ensures{:candidate} b2 ==> (sdv_7 == old(sdv_7));
+{
+ call push(2);
+ call pop();
+ call bar();
+}
+
+procedure bar()
+requires{:candidate} b3 ==> (sdv_7 == 0);
+ensures{:candidate} b4 ==> (sdv_7 == old(sdv_7));
+modifies sdv_7, sdv_21;
+{
+ call push(1);
+ call pop();
+}
+
+procedure main()
+modifies sdv_7, sdv_21;
+{
+ sdv_7 := 0;
+ call foo();
+}
+
diff --git a/Test/houdini/test10.bpl.expect b/Test/houdini/test10.bpl.expect
index d6c787d1..9ebabc6f 100644
--- a/Test/houdini/test10.bpl.expect
+++ b/Test/houdini/test10.bpl.expect
@@ -1,7 +1,7 @@
-Assignment computed by Houdini:
-b1 = True
-b2 = True
-b3 = False
-b4 = True
-
-Boogie program verifier finished with 5 verified, 0 errors
+Assignment computed by Houdini:
+b1 = True
+b2 = True
+b3 = False
+b4 = True
+
+Boogie program verifier finished with 5 verified, 0 errors
diff --git a/Test/houdini/test2.bpl b/Test/houdini/test2.bpl
index 5639dc61..e89e40be 100644
--- a/Test/houdini/test2.bpl
+++ b/Test/houdini/test2.bpl
@@ -1,40 +1,40 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-var h: int;
-
-procedure foo()
-modifies g, h;
-ensures b0 ==> old(g) == g;
-{
- call AcquireLock();
- call ReleaseLock();
-}
-
-procedure AcquireLock()
-modifies g, h;
-ensures b1 ==> old(g) == g;
-{
- h := g;
- g := 1;
-}
-
-procedure ReleaseLock()
-modifies g, h;
-ensures b2 ==> old(g) == g;
-{
- g := h;
-}
-
-procedure main()
-modifies g, h;
-{
- g := 0;
- call foo();
- assert g == 0;
-}
-
-const {:existential true} b0: bool;
-const {:existential true} b1: bool;
-const {:existential true } b2: bool;
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+var h: int;
+
+procedure foo()
+modifies g, h;
+ensures b0 ==> old(g) == g;
+{
+ call AcquireLock();
+ call ReleaseLock();
+}
+
+procedure AcquireLock()
+modifies g, h;
+ensures b1 ==> old(g) == g;
+{
+ h := g;
+ g := 1;
+}
+
+procedure ReleaseLock()
+modifies g, h;
+ensures b2 ==> old(g) == g;
+{
+ g := h;
+}
+
+procedure main()
+modifies g, h;
+{
+ g := 0;
+ call foo();
+ assert g == 0;
+}
+
+const {:existential true} b0: bool;
+const {:existential true} b1: bool;
+const {:existential true } b2: bool;
+
diff --git a/Test/houdini/test7.bpl b/Test/houdini/test7.bpl
index 4f0a832d..dc3e350f 100644
--- a/Test/houdini/test7.bpl
+++ b/Test/houdini/test7.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-
-procedure main()
-modifies g;
-{
- g := 0;
- call foo();
- assert g == 1;
-}
-
-procedure foo()
-modifies g;
-{
- g := g + 1;
-}
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ call foo();
+ assert g == 1;
+}
+
+procedure foo()
+modifies g;
+{
+ g := g + 1;
+}
diff --git a/Test/houdini/test8.bpl b/Test/houdini/test8.bpl
index 79738a9b..d8de97a3 100644
--- a/Test/houdini/test8.bpl
+++ b/Test/houdini/test8.bpl
@@ -1,23 +1,23 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-
-procedure main()
-modifies g;
-{
- g := 0;
- call foo();
- assert g == 1;
-}
-
-procedure {:inline 1} foo()
-modifies g;
-{
- call bar();
-}
-
-procedure bar()
-modifies g;
-{
- g := g + 1;
-}
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+
+procedure main()
+modifies g;
+{
+ g := 0;
+ call foo();
+ assert g == 1;
+}
+
+procedure {:inline 1} foo()
+modifies g;
+{
+ call bar();
+}
+
+procedure bar()
+modifies g;
+{
+ g := g + 1;
+}
diff --git a/Test/houdini/test9.bpl b/Test/houdini/test9.bpl
index 68404a8f..a56443bf 100644
--- a/Test/houdini/test9.bpl
+++ b/Test/houdini/test9.bpl
@@ -1,75 +1,75 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var v1: int;
-var v2: int;
-var v3: int;
-const{:existential true} b1: bool;
-const{:existential true} b2: bool;
-const{:existential true} b3: bool;
-const{:existential true} b4: bool;
-const{:existential true} b5: bool;
-const{:existential true} b6: bool;
-const{:existential true} b7: bool;
-const{:existential true} b8: bool;
-const{:existential true} b9: bool;
-const{:existential true} b10: bool;
-const{:existential true} b11: bool;
-const{:existential true} b12: bool;
-const{:existential true} b13: bool;
-const{:existential true} b14: bool;
-const{:existential true} b15: bool;
-const{:existential true} b16: bool;
-
-procedure push()
-requires {:candidate} b1 ==> v1 == 0;
-requires {:candidate} b2 ==> v1 == 1;
-ensures {:candidate} b3 ==> v1 == 0;
-ensures {:candidate} b4 ==> v1 == 1;
-modifies v1,v2;
-{
- v2 := v1;
- v1 := 1;
-}
-
-procedure pop()
-modifies v1,v2;
-requires {:candidate} b5 ==> v1 == 0;
-requires {:candidate} b6 ==> v1 == 1;
-ensures {:candidate} b7 ==> v1 == 0;
-ensures {:candidate} b8 ==> v1 == 1;
-{
- v1 := v2;
- havoc v2;
-}
-
-procedure foo()
-modifies v1,v2;
-requires {:candidate} b9 ==> v1 == 0;
-requires {:candidate} b10 ==> v1 == 1;
-ensures {:candidate} b11 ==> v1 == 0;
-ensures {:candidate} b12 ==> v1 == 1;
-{
- call push();
- call pop();
-}
-
-procedure bar()
-modifies v1,v2;
-requires {:candidate} b13 ==> v1 == 0;
-requires {:candidate} b14 ==> v1 == 1;
-ensures {:candidate} b15 ==> v1 == 0;
-ensures {:candidate} b16 ==> v1 == 1;
-{
- call push();
- call pop();
-}
-
-procedure main()
-modifies v1,v2;
-{
- v1 := 1;
- call foo();
- havoc v1;
- call bar();
-}
-
+// RUN: %boogie -noinfer -contractInfer -printAssignment -inlineDepth:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var v1: int;
+var v2: int;
+var v3: int;
+const{:existential true} b1: bool;
+const{:existential true} b2: bool;
+const{:existential true} b3: bool;
+const{:existential true} b4: bool;
+const{:existential true} b5: bool;
+const{:existential true} b6: bool;
+const{:existential true} b7: bool;
+const{:existential true} b8: bool;
+const{:existential true} b9: bool;
+const{:existential true} b10: bool;
+const{:existential true} b11: bool;
+const{:existential true} b12: bool;
+const{:existential true} b13: bool;
+const{:existential true} b14: bool;
+const{:existential true} b15: bool;
+const{:existential true} b16: bool;
+
+procedure push()
+requires {:candidate} b1 ==> v1 == 0;
+requires {:candidate} b2 ==> v1 == 1;
+ensures {:candidate} b3 ==> v1 == 0;
+ensures {:candidate} b4 ==> v1 == 1;
+modifies v1,v2;
+{
+ v2 := v1;
+ v1 := 1;
+}
+
+procedure pop()
+modifies v1,v2;
+requires {:candidate} b5 ==> v1 == 0;
+requires {:candidate} b6 ==> v1 == 1;
+ensures {:candidate} b7 ==> v1 == 0;
+ensures {:candidate} b8 ==> v1 == 1;
+{
+ v1 := v2;
+ havoc v2;
+}
+
+procedure foo()
+modifies v1,v2;
+requires {:candidate} b9 ==> v1 == 0;
+requires {:candidate} b10 ==> v1 == 1;
+ensures {:candidate} b11 ==> v1 == 0;
+ensures {:candidate} b12 ==> v1 == 1;
+{
+ call push();
+ call pop();
+}
+
+procedure bar()
+modifies v1,v2;
+requires {:candidate} b13 ==> v1 == 0;
+requires {:candidate} b14 ==> v1 == 1;
+ensures {:candidate} b15 ==> v1 == 0;
+ensures {:candidate} b16 ==> v1 == 1;
+{
+ call push();
+ call pop();
+}
+
+procedure main()
+modifies v1,v2;
+{
+ v1 := 1;
+ call foo();
+ havoc v1;
+ call bar();
+}
+
diff --git a/Test/houdini/testUnsatCore.bpl b/Test/houdini/testUnsatCore.bpl
index 19066269..0ac1b9e8 100644
--- a/Test/houdini/testUnsatCore.bpl
+++ b/Test/houdini/testUnsatCore.bpl
@@ -1,37 +1,37 @@
-// RUN: %boogie -noinfer -contractInfer -printAssignment -useUnsatCoreForContractInfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-// Example to exercise the unsatcore to optimize houdini
-
-procedure foo(x:int, y:int, z:int)
-//requires
-requires br0 ==> x == 1;
-requires br1 ==> y == 1;
-requires br2 ==> z == 1;
-//ensures
-ensures be0 ==> x == 1;
-{
-
-}
-
-procedure bar()
-{
- call foo(1, 2, 3);
-
-}
-
-const {:existential true} br0: bool;
-const {:existential true} br1: bool;
-const {:existential true} br2: bool;
-const {:existential true} be0: bool;
-
-
-// The output does not have any details to illustrate the flag (its an optimization)
-// One way to make sure it works is to run with -trace
-//
-// $boogie_codeplex\binaries\boogie.exe -noinfer -contractInfer -printAssignment -trace testUnsatCore.bpl
-//
-// and lookout for the following lines
-//
-// Number of unsat core prover queries = 2
+// RUN: %boogie -noinfer -contractInfer -printAssignment -useUnsatCoreForContractInfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+// Example to exercise the unsatcore to optimize houdini
+
+procedure foo(x:int, y:int, z:int)
+//requires
+requires br0 ==> x == 1;
+requires br1 ==> y == 1;
+requires br2 ==> z == 1;
+//ensures
+ensures be0 ==> x == 1;
+{
+
+}
+
+procedure bar()
+{
+ call foo(1, 2, 3);
+
+}
+
+const {:existential true} br0: bool;
+const {:existential true} br1: bool;
+const {:existential true} br2: bool;
+const {:existential true} be0: bool;
+
+
+// The output does not have any details to illustrate the flag (its an optimization)
+// One way to make sure it works is to run with -trace
+//
+// $boogie_codeplex\binaries\boogie.exe -noinfer -contractInfer -printAssignment -trace testUnsatCore.bpl
+//
+// and lookout for the following lines
+//
+// Number of unsat core prover queries = 2
// Number of unsat core prunings = 2 \ No newline at end of file
diff --git a/Test/houdini/testUnsatCore.bpl.expect b/Test/houdini/testUnsatCore.bpl.expect
index cff391b2..dd748334 100644
--- a/Test/houdini/testUnsatCore.bpl.expect
+++ b/Test/houdini/testUnsatCore.bpl.expect
@@ -1,7 +1,7 @@
-Assignment computed by Houdini:
-br0 = True
-br1 = False
-br2 = False
-be0 = True
-
-Boogie program verifier finished with 2 verified, 0 errors
+Assignment computed by Houdini:
+br0 = True
+br1 = False
+br2 = False
+be0 = True
+
+Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/inline/Elevator.asml b/Test/inline/Elevator.asml
index 02a58d10..e2d4bdf1 100644
--- a/Test/inline/Elevator.asml
+++ b/Test/inline/Elevator.asml
@@ -1,56 +1,56 @@
-var floors as Set of Integer
-var DoorsOpen as Set of Integer = {}
-var liftDoorOpen as Boolean = false
-var liftLevel as Integer = 1
-var moving as Boolean = false
-var headingTo as Integer = 0
-
-[Action]
-ButtonPress(i as Integer)
- require i in floors
- headingTo := i
-
-[Action]
-MoveUp()
- require liftDoorOpen = false and liftLevel < headingTo
- require not (liftLevel in DoorsOpen)
- moving := true
- liftLevel:= liftLevel + 1
-
-[Action]
-MoveDown()
- //bug, should require that liftDoorOpen = false
- require liftLevel > headingTo and headingTo > 0
- require not (liftLevel in DoorsOpen)
- moving := true
- liftLevel := liftLevel - 1
-
-[Action]
-Stop()
- require liftLevel = headingTo
- moving := false
-
-[Action]
-OpenLiftDoor()
- require moving = false
- liftDoorOpen := true
-
-[Action]
-CloseLiftDoor()
- liftDoorOpen := false
-
-[Action]
-OpenFloorDoor(i as Integer)
- require liftLevel = i
- DoorsOpen := DoorsOpen union {i}
-
-[Action]
-CloseFloorDoor(i as Integer)
- DoorsOpen := DoorsOpen - {i}
-
-
-Invariant ()
- require not (liftDoorOpen = true and moving = true)
-
-
+var floors as Set of Integer
+var DoorsOpen as Set of Integer = {}
+var liftDoorOpen as Boolean = false
+var liftLevel as Integer = 1
+var moving as Boolean = false
+var headingTo as Integer = 0
+
+[Action]
+ButtonPress(i as Integer)
+ require i in floors
+ headingTo := i
+
+[Action]
+MoveUp()
+ require liftDoorOpen = false and liftLevel < headingTo
+ require not (liftLevel in DoorsOpen)
+ moving := true
+ liftLevel:= liftLevel + 1
+
+[Action]
+MoveDown()
+ //bug, should require that liftDoorOpen = false
+ require liftLevel > headingTo and headingTo > 0
+ require not (liftLevel in DoorsOpen)
+ moving := true
+ liftLevel := liftLevel - 1
+
+[Action]
+Stop()
+ require liftLevel = headingTo
+ moving := false
+
+[Action]
+OpenLiftDoor()
+ require moving = false
+ liftDoorOpen := true
+
+[Action]
+CloseLiftDoor()
+ liftDoorOpen := false
+
+[Action]
+OpenFloorDoor(i as Integer)
+ require liftLevel = i
+ DoorsOpen := DoorsOpen union {i}
+
+[Action]
+CloseFloorDoor(i as Integer)
+ DoorsOpen := DoorsOpen - {i}
+
+
+Invariant ()
+ require not (liftDoorOpen = true and moving = true)
+
+
\ No newline at end of file
diff --git a/Test/inline/Elevator.bpl b/Test/inline/Elevator.bpl
index 2e146643..dc364ce2 100644
--- a/Test/inline/Elevator.bpl
+++ b/Test/inline/Elevator.bpl
@@ -1,156 +1,156 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %boogie -removeEmptyBlocks:0 "%s" >> "%t"
-// RUN: %diff "%s.expect" "%t"
-// A Boogie version of Elevator.asml (see Boogie/Test/inline/Elevator.asml)
-
-var floors: [int]bool; // set of integer
-var DoorsOpen: [int]bool;
-var liftDoorOpen: bool;
-var liftLevel: int;
-var moving: bool;
-var headingTo: int;
-
-procedure Main_Error()
- modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
-{
- var i: int;
-
- call Initialize();
- while (true)
- invariant !(liftDoorOpen && moving);
- {
- if (*) {
- havoc i; call ButtonPress(i);
- } else if (*) {
- call MoveUp();
- } else if (*) {
- call MoveDown_Error();
- } else if (*) {
- call Stop();
- } else if (*) {
- call OpenLiftDoor();
- } else if (*) {
- call CloseLiftDoor();
- } else if (*) {
- havoc i; call OpenFloorDoor(i);
- } else {
- havoc i; call CloseFloorDoor(i);
- }
- }
-}
-
-procedure Main_Correct()
- modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
-{
- var i: int;
-
- call Initialize();
- while (true)
- invariant !(liftDoorOpen && moving);
- {
- if (*) {
- havoc i; call ButtonPress(i);
- } else if (*) {
- call MoveUp();
- } else if (*) {
- call MoveDown_Correct();
- } else if (*) {
- call Stop();
- } else if (*) {
- call OpenLiftDoor();
- } else if (*) {
- call CloseLiftDoor();
- } else if (*) {
- havoc i; call OpenFloorDoor(i);
- } else {
- havoc i; call CloseFloorDoor(i);
- }
- }
-}
-
-procedure {:inline 1} Initialize()
- modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
-{
- DoorsOpen := EmptySet;
- liftDoorOpen := false;
- liftLevel := 1;
- moving := false;
- headingTo := 0;
-}
-
-procedure {:inline 1} ButtonPress(i: int)
- modifies headingTo;
-{
- assume floors[i];
- headingTo := i;
-}
-
-procedure {:inline 1} MoveUp()
- modifies moving, liftLevel;
-{
- assume !liftDoorOpen && liftLevel < headingTo;
- assume !DoorsOpen[liftLevel];
- moving := true;
- liftLevel:= liftLevel + 1;
-}
-
-procedure {:inline 1} MoveDown_Error()
- modifies moving, liftLevel;
-{
- //bug, should require that liftDoorOpen = false
- // assume !liftDoorOpen;
- assume liftLevel > headingTo && headingTo > 0;
- assume !DoorsOpen[liftLevel];
- moving := true;
- liftLevel := liftLevel - 1;
-}
-
-procedure {:inline 1} MoveDown_Correct()
- modifies moving, liftLevel;
-{
- assume !liftDoorOpen;
- assume liftLevel > headingTo && headingTo > 0;
- assume !DoorsOpen[liftLevel];
- moving := true;
- liftLevel := liftLevel - 1;
-}
-
-procedure {:inline 1} Stop()
- modifies moving;
-{
- assume liftLevel == headingTo;
- moving := false;
-}
-
-procedure {:inline 1} OpenLiftDoor()
- modifies liftDoorOpen;
-{
- assume !moving;
- liftDoorOpen := true;
-}
-
-procedure {:inline 1} CloseLiftDoor()
- modifies liftDoorOpen;
-{
- liftDoorOpen := false;
-}
-
-procedure {:inline 1} OpenFloorDoor(i: int)
- modifies DoorsOpen;
-{
- assume liftLevel == i;
- DoorsOpen[i] := true; // DoorsOpen := DoorsOpen union {i};
-}
-
-procedure {:inline 1} CloseFloorDoor(i: int)
- modifies DoorsOpen;
-{
- DoorsOpen[i] := false; // DoorsOpen := DoorsOpen - {i}
-}
-
-// ---------------------------------------------------------------
-
-const EmptySet: [int]bool;
-axiom (forall o: int :: { EmptySet[o] } !EmptySet[o]);
-
-// ---------------------------------------------------------------
+// RUN: %boogie "%s" > "%t"
+// RUN: %boogie -removeEmptyBlocks:0 "%s" >> "%t"
+// RUN: %diff "%s.expect" "%t"
+// A Boogie version of Elevator.asml (see Boogie/Test/inline/Elevator.asml)
+
+var floors: [int]bool; // set of integer
+var DoorsOpen: [int]bool;
+var liftDoorOpen: bool;
+var liftLevel: int;
+var moving: bool;
+var headingTo: int;
+
+procedure Main_Error()
+ modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
+{
+ var i: int;
+
+ call Initialize();
+ while (true)
+ invariant !(liftDoorOpen && moving);
+ {
+ if (*) {
+ havoc i; call ButtonPress(i);
+ } else if (*) {
+ call MoveUp();
+ } else if (*) {
+ call MoveDown_Error();
+ } else if (*) {
+ call Stop();
+ } else if (*) {
+ call OpenLiftDoor();
+ } else if (*) {
+ call CloseLiftDoor();
+ } else if (*) {
+ havoc i; call OpenFloorDoor(i);
+ } else {
+ havoc i; call CloseFloorDoor(i);
+ }
+ }
+}
+
+procedure Main_Correct()
+ modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
+{
+ var i: int;
+
+ call Initialize();
+ while (true)
+ invariant !(liftDoorOpen && moving);
+ {
+ if (*) {
+ havoc i; call ButtonPress(i);
+ } else if (*) {
+ call MoveUp();
+ } else if (*) {
+ call MoveDown_Correct();
+ } else if (*) {
+ call Stop();
+ } else if (*) {
+ call OpenLiftDoor();
+ } else if (*) {
+ call CloseLiftDoor();
+ } else if (*) {
+ havoc i; call OpenFloorDoor(i);
+ } else {
+ havoc i; call CloseFloorDoor(i);
+ }
+ }
+}
+
+procedure {:inline 1} Initialize()
+ modifies floors, DoorsOpen, liftDoorOpen, liftLevel, moving, headingTo;
+{
+ DoorsOpen := EmptySet;
+ liftDoorOpen := false;
+ liftLevel := 1;
+ moving := false;
+ headingTo := 0;
+}
+
+procedure {:inline 1} ButtonPress(i: int)
+ modifies headingTo;
+{
+ assume floors[i];
+ headingTo := i;
+}
+
+procedure {:inline 1} MoveUp()
+ modifies moving, liftLevel;
+{
+ assume !liftDoorOpen && liftLevel < headingTo;
+ assume !DoorsOpen[liftLevel];
+ moving := true;
+ liftLevel:= liftLevel + 1;
+}
+
+procedure {:inline 1} MoveDown_Error()
+ modifies moving, liftLevel;
+{
+ //bug, should require that liftDoorOpen = false
+ // assume !liftDoorOpen;
+ assume liftLevel > headingTo && headingTo > 0;
+ assume !DoorsOpen[liftLevel];
+ moving := true;
+ liftLevel := liftLevel - 1;
+}
+
+procedure {:inline 1} MoveDown_Correct()
+ modifies moving, liftLevel;
+{
+ assume !liftDoorOpen;
+ assume liftLevel > headingTo && headingTo > 0;
+ assume !DoorsOpen[liftLevel];
+ moving := true;
+ liftLevel := liftLevel - 1;
+}
+
+procedure {:inline 1} Stop()
+ modifies moving;
+{
+ assume liftLevel == headingTo;
+ moving := false;
+}
+
+procedure {:inline 1} OpenLiftDoor()
+ modifies liftDoorOpen;
+{
+ assume !moving;
+ liftDoorOpen := true;
+}
+
+procedure {:inline 1} CloseLiftDoor()
+ modifies liftDoorOpen;
+{
+ liftDoorOpen := false;
+}
+
+procedure {:inline 1} OpenFloorDoor(i: int)
+ modifies DoorsOpen;
+{
+ assume liftLevel == i;
+ DoorsOpen[i] := true; // DoorsOpen := DoorsOpen union {i};
+}
+
+procedure {:inline 1} CloseFloorDoor(i: int)
+ modifies DoorsOpen;
+{
+ DoorsOpen[i] := false; // DoorsOpen := DoorsOpen - {i}
+}
+
+// ---------------------------------------------------------------
+
+const EmptySet: [int]bool;
+axiom (forall o: int :: { EmptySet[o] } !EmptySet[o]);
+
+// ---------------------------------------------------------------
diff --git a/Test/inline/InliningAndLoops.bpl b/Test/inline/InliningAndLoops.bpl
index 74b20913..52901480 100644
--- a/Test/inline/InliningAndLoops.bpl
+++ b/Test/inline/InliningAndLoops.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -loopUnroll:3 -soundLoopUnrolling "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo(N: int)
- requires N == 2;
-{
- var n, sum, recent: int;
- n, sum := 0, 0;
- while (n < N)
- {
- call recent := bar();
- sum, n := sum + recent, n + 1;
- }
- if (n == 2) {
- assert sum == recent + recent; // no reason to believe this always to be true
- }
-}
-
-procedure {:inline 1} bar() returns (r: int)
-{
- var x: int;
- r := x;
-}
+// RUN: %boogie -loopUnroll:3 -soundLoopUnrolling "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo(N: int)
+ requires N == 2;
+{
+ var n, sum, recent: int;
+ n, sum := 0, 0;
+ while (n < N)
+ {
+ call recent := bar();
+ sum, n := sum + recent, n + 1;
+ }
+ if (n == 2) {
+ assert sum == recent + recent; // no reason to believe this always to be true
+ }
+}
+
+procedure {:inline 1} bar() returns (r: int)
+{
+ var x: int;
+ r := x;
+}
diff --git a/Test/inline/codeexpr.bpl b/Test/inline/codeexpr.bpl
index 0b4ebeb6..185b518d 100644
--- a/Test/inline/codeexpr.bpl
+++ b/Test/inline/codeexpr.bpl
@@ -1,62 +1,62 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: bool;
-
-procedure {:inline 1} bar() returns (l: bool)
-{
- l := g;
-}
-
-procedure {:inline 1} baz() returns (l: bool)
-{
- call l := bar();
-}
-
-procedure A1()
-modifies g;
-{
- g := true;
- assert |{ var l: bool; A: call l := bar(); return l; }|;
- assert (exists p: bool :: |{ var l: bool; A: call l := bar(); return l; }|);
- assert (forall p: bool :: |{ var l: bool; A: call l := bar(); return l; }|);
-}
-
-procedure A2()
-{
- assert |{ var l: bool; A: assume g; call l := bar(); return l; }|;
- assert g ==> |{ var l: bool; A: call l := bar(); return l; }|;
- assert (exists p: bool :: g ==> |{ var l: bool; A: call l := bar(); return l; }|);
- assert (forall p: bool :: g ==> |{ var l: bool; A: call l := bar(); return l; }|);
-}
-
-procedure A3()
-{
- assume |{ var l: bool; A: call l := bar(); return l; }|;
- assert |{ var l: bool; A: call l := bar(); return l; }|;
-}
-
-procedure A4()
-modifies g;
-{
- g := true;
- assert |{ var l: bool; A: call l := bar(); return !l; }|;
-}
-
-procedure A5()
-modifies g;
-{
- var m: bool;
-
- g := true;
- m := |{ var l: bool; A: call l := bar(); return l; }|;
- assert m;
-}
-
-procedure A6()
-modifies g;
-{
- g := true;
- assert |{ var l: bool; A: call l := baz(); return l; }|;
- assert (exists p: bool :: |{ var l: bool; A: call l := baz(); return l; }|);
- assert (forall p: bool :: |{ var l: bool; A: call l := baz(); return l; }|);
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: bool;
+
+procedure {:inline 1} bar() returns (l: bool)
+{
+ l := g;
+}
+
+procedure {:inline 1} baz() returns (l: bool)
+{
+ call l := bar();
+}
+
+procedure A1()
+modifies g;
+{
+ g := true;
+ assert |{ var l: bool; A: call l := bar(); return l; }|;
+ assert (exists p: bool :: |{ var l: bool; A: call l := bar(); return l; }|);
+ assert (forall p: bool :: |{ var l: bool; A: call l := bar(); return l; }|);
+}
+
+procedure A2()
+{
+ assert |{ var l: bool; A: assume g; call l := bar(); return l; }|;
+ assert g ==> |{ var l: bool; A: call l := bar(); return l; }|;
+ assert (exists p: bool :: g ==> |{ var l: bool; A: call l := bar(); return l; }|);
+ assert (forall p: bool :: g ==> |{ var l: bool; A: call l := bar(); return l; }|);
+}
+
+procedure A3()
+{
+ assume |{ var l: bool; A: call l := bar(); return l; }|;
+ assert |{ var l: bool; A: call l := bar(); return l; }|;
+}
+
+procedure A4()
+modifies g;
+{
+ g := true;
+ assert |{ var l: bool; A: call l := bar(); return !l; }|;
+}
+
+procedure A5()
+modifies g;
+{
+ var m: bool;
+
+ g := true;
+ m := |{ var l: bool; A: call l := bar(); return l; }|;
+ assert m;
+}
+
+procedure A6()
+modifies g;
+{
+ g := true;
+ assert |{ var l: bool; A: call l := baz(); return l; }|;
+ assert (exists p: bool :: |{ var l: bool; A: call l := baz(); return l; }|);
+ assert (forall p: bool :: |{ var l: bool; A: call l := baz(); return l; }|);
+}
diff --git a/Test/inline/expansion2.bpl b/Test/inline/expansion2.bpl
index 9883ce83..18eaef33 100644
--- a/Test/inline/expansion2.bpl
+++ b/Test/inline/expansion2.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie "-proverLog:%T/expand2.sx" "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// RUN: %OutputCheck "--file-to-check=%T/expand2.sx" "%s"
-function {:inline true} xxgz(x:int) returns(bool)
- { x > 0 }
-function {:inline true} xxf1(x:int,y:bool) returns(int)
- { x + 1 }
-
-axiom (forall z:int :: z>12 ==> xxgz(z));
-axiom (forall y:int, x:bool :: xxf1(y, x) > 1 ==> y > 0);
-
-procedure foo()
-{
- // CHECK-NOT-L: xxgz
- assert xxgz(12);
- // CHECK-NOT-L: xxf1
- assert xxf1(3,true) == 4;
-}
-
+// RUN: %boogie "-proverLog:%T/expand2.sx" "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// RUN: %OutputCheck "--file-to-check=%T/expand2.sx" "%s"
+function {:inline true} xxgz(x:int) returns(bool)
+ { x > 0 }
+function {:inline true} xxf1(x:int,y:bool) returns(int)
+ { x + 1 }
+
+axiom (forall z:int :: z>12 ==> xxgz(z));
+axiom (forall y:int, x:bool :: xxf1(y, x) > 1 ==> y > 0);
+
+procedure foo()
+{
+ // CHECK-NOT-L: xxgz
+ assert xxgz(12);
+ // CHECK-NOT-L: xxf1
+ assert xxf1(3,true) == 4;
+}
+
diff --git a/Test/inline/expansion3.bpl b/Test/inline/expansion3.bpl
index bfb8b0fa..a6cbb411 100644
--- a/Test/inline/expansion3.bpl
+++ b/Test/inline/expansion3.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:inline true} foo3(x:int, y:bool) returns(bool)
- { foo3(x,y) }
-
-axiom foo3(1,false);
-
-procedure baz1()
- requires foo3(2,false);
-{
- assume foo3(1,true);
-}
-
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:inline true} foo3(x:int, y:bool) returns(bool)
+ { foo3(x,y) }
+
+axiom foo3(1,false);
+
+procedure baz1()
+ requires foo3(2,false);
+{
+ assume foo3(1,true);
+}
+
diff --git a/Test/inline/expansion4.bpl b/Test/inline/expansion4.bpl
index 1c1ff51c..cfd0672d 100644
--- a/Test/inline/expansion4.bpl
+++ b/Test/inline/expansion4.bpl
@@ -1,11 +1,11 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function foo(x:int) : int
- { if x <= 0 then 1 else foo(x - 1) + 2 }
-
-procedure bar()
-{
- assert foo(0) == 1;
- assert foo(1) == 3;
- assert foo(2) == 5;
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function foo(x:int) : int
+ { if x <= 0 then 1 else foo(x - 1) + 2 }
+
+procedure bar()
+{
+ assert foo(0) == 1;
+ assert foo(1) == 3;
+ assert foo(2) == 5;
+}
diff --git a/Test/inline/fundef.bpl b/Test/inline/fundef.bpl
index 9c5b2cfd..1d2dd50d 100644
--- a/Test/inline/fundef.bpl
+++ b/Test/inline/fundef.bpl
@@ -1,8 +1,8 @@
-// RUN: %boogie -print:- -env:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:inline true} foo(x:int) returns(bool)
- { x > 0 }
-function {:inline false} foo2(x:int) returns(bool)
- { x > 0 }
-function foo3(x:int) returns(bool)
- { x > 0 }
+// RUN: %boogie -print:- -env:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:inline true} foo(x:int) returns(bool)
+ { x > 0 }
+function {:inline false} foo2(x:int) returns(bool)
+ { x > 0 }
+function foo3(x:int) returns(bool)
+ { x > 0 }
diff --git a/Test/inline/fundef2.bpl b/Test/inline/fundef2.bpl
index 39453453..9e0f9fab 100644
--- a/Test/inline/fundef2.bpl
+++ b/Test/inline/fundef2.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:inline true} foo(x:int) returns(bool)
- { x > 0 }
-
-procedure P() {
- assert foo(13);
- assert foo(-5); // error
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:inline true} foo(x:int) returns(bool)
+ { x > 0 }
+
+procedure P() {
+ assert foo(13);
+ assert foo(-5); // error
+}
diff --git a/Test/inline/polyInline.bpl b/Test/inline/polyInline.bpl
index ed404867..709b8b41 100644
--- a/Test/inline/polyInline.bpl
+++ b/Test/inline/polyInline.bpl
@@ -1,43 +1,43 @@
-// RUN: %boogie /typeEncoding:predicates /logPrefix:p "%s" > "%t"
-// RUN: %boogie /typeEncoding:arguments /logPrefix:a "%s" >> "%t"
-// RUN: %diff "%s.expect" "%t"
-
-const C:int;
-const D:bool;
-
-function empty<alpha>() returns (alpha);
-
-function eqC<alpha>(x:alpha) returns (bool) { x == C }
-function giveEmpty<alpha>() returns (alpha) { empty() }
-
-function {:inline true} eqC2<alpha>(x:alpha) returns (bool) { x == C }
-function {:inline true} giveEmpty2<alpha>() returns (alpha) { empty() }
-
-function eqC3<alpha>(x:alpha) returns (bool);
-axiom {:inline true} (forall<alpha> x:alpha :: eqC3(x) == (x == C));
-
-function giveEmpty3<alpha>() returns (alpha);
-axiom {:inline true} (forall<alpha> :: giveEmpty3():alpha == empty());
-
-procedure P() {
- assert eqC(C);
- assert eqC2(C);
- assert eqC3(C);
- assert eqC2(D); // should not be provable
-}
-
-procedure Q() {
- assert giveEmpty() == empty();
- assert giveEmpty() == empty():int;
- assert giveEmpty():bool == empty();
-
- assert giveEmpty2() == empty();
- assert giveEmpty2() == empty():int;
- assert giveEmpty2():bool == empty();
-
- assert giveEmpty3() == empty();
- assert giveEmpty3() == empty():int;
- assert giveEmpty3():bool == empty();
-
- assert giveEmpty3() == C; // should not be provable
-}
+// RUN: %boogie /typeEncoding:predicates /logPrefix:p "%s" > "%t"
+// RUN: %boogie /typeEncoding:arguments /logPrefix:a "%s" >> "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const C:int;
+const D:bool;
+
+function empty<alpha>() returns (alpha);
+
+function eqC<alpha>(x:alpha) returns (bool) { x == C }
+function giveEmpty<alpha>() returns (alpha) { empty() }
+
+function {:inline true} eqC2<alpha>(x:alpha) returns (bool) { x == C }
+function {:inline true} giveEmpty2<alpha>() returns (alpha) { empty() }
+
+function eqC3<alpha>(x:alpha) returns (bool);
+axiom {:inline true} (forall<alpha> x:alpha :: eqC3(x) == (x == C));
+
+function giveEmpty3<alpha>() returns (alpha);
+axiom {:inline true} (forall<alpha> :: giveEmpty3():alpha == empty());
+
+procedure P() {
+ assert eqC(C);
+ assert eqC2(C);
+ assert eqC3(C);
+ assert eqC2(D); // should not be provable
+}
+
+procedure Q() {
+ assert giveEmpty() == empty();
+ assert giveEmpty() == empty():int;
+ assert giveEmpty():bool == empty();
+
+ assert giveEmpty2() == empty();
+ assert giveEmpty2() == empty():int;
+ assert giveEmpty2():bool == empty();
+
+ assert giveEmpty3() == empty();
+ assert giveEmpty3() == empty():int;
+ assert giveEmpty3():bool == empty();
+
+ assert giveEmpty3() == C; // should not be provable
+}
diff --git a/Test/inline/test0.bpl b/Test/inline/test0.bpl
index 6a2d9640..52006767 100644
--- a/Test/inline/test0.bpl
+++ b/Test/inline/test0.bpl
@@ -1,50 +1,50 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// inlined functions
-
-function Twice(x: int) returns (int)
-{
- x + x
-}
-
-function {:inline} Double(x: int) returns (int)
-{
- 3 * x - x
-}
-
-function f(int) returns (int);
-function g(int) returns (int);
-function h(int) returns (int);
-function k(int) returns (int);
-axiom (forall x: int :: Twice(x) == f(x)); // here, Twice(x) and f(x) are both triggers
-axiom (forall x: int :: Double(x) == g(x)); // since Double is inlined, the trigger here is just g(x)
-axiom (forall x: int :: { f(x) } f(x) < h(x) );
-axiom (forall x: int :: { g(x) } g(x) < k(x) );
-
-procedure P(a: int, b: int, c: int)
-{
- // The following is provable, because Twice triggers its definition and the resulting f(a)
- // triggers the relation to h(a).
- assert Twice(a) < h(a);
- if (*) {
- // The following is NOT provable, because Double is inlined and thus no g(b) term is ever
- // created
- assert Double(b) < k(b); // error
- } else {
- // The following IS provable, because the explicit g(c) will cause both of the necessary
- // quantifiers to trigger
- assert g(c) == 2*c;
- assert Double(c) < k(c);
- }
-}
-
-// nullary functions
-
-function Five() returns (int) { 5 }
-
-function {:inline} Eight() returns (e: int) { 8 }
-
-procedure Q()
-{
- assert 8 * Five() == 5 * Eight();
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// inlined functions
+
+function Twice(x: int) returns (int)
+{
+ x + x
+}
+
+function {:inline} Double(x: int) returns (int)
+{
+ 3 * x - x
+}
+
+function f(int) returns (int);
+function g(int) returns (int);
+function h(int) returns (int);
+function k(int) returns (int);
+axiom (forall x: int :: Twice(x) == f(x)); // here, Twice(x) and f(x) are both triggers
+axiom (forall x: int :: Double(x) == g(x)); // since Double is inlined, the trigger here is just g(x)
+axiom (forall x: int :: { f(x) } f(x) < h(x) );
+axiom (forall x: int :: { g(x) } g(x) < k(x) );
+
+procedure P(a: int, b: int, c: int)
+{
+ // The following is provable, because Twice triggers its definition and the resulting f(a)
+ // triggers the relation to h(a).
+ assert Twice(a) < h(a);
+ if (*) {
+ // The following is NOT provable, because Double is inlined and thus no g(b) term is ever
+ // created
+ assert Double(b) < k(b); // error
+ } else {
+ // The following IS provable, because the explicit g(c) will cause both of the necessary
+ // quantifiers to trigger
+ assert g(c) == 2*c;
+ assert Double(c) < k(c);
+ }
+}
+
+// nullary functions
+
+function Five() returns (int) { 5 }
+
+function {:inline} Eight() returns (e: int) { 8 }
+
+procedure Q()
+{
+ assert 8 * Five() == 5 * Eight();
+}
diff --git a/Test/inline/test1.bpl b/Test/inline/test1.bpl
index 11ce6b4f..f9166965 100644
--- a/Test/inline/test1.bpl
+++ b/Test/inline/test1.bpl
@@ -1,47 +1,47 @@
-// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure Main()
-{
-
- var x:int;
- var y:int;
-
- x := 1;
- y := 0;
-
- call x := inc(x, 5);
- call y := incdec(x, 2);
-
- assert(x - 1 == y);
-
-}
-
-procedure {:inline 1} incdec(x:int, y:int) returns (z:int)
- ensures z == x + 1 - y;
-{
- z := x;
- z := x + 1;
- call z := dec(z, y);
-
- return;
-
-}
-
-procedure {:inline 1} inc(x:int, i:int) returns (y:int)
- ensures y == x + i;
-{
- y := x;
- y := x + i;
- return;
-
-}
-
-procedure {:inline 1} dec(x:int, i:int) returns (y:int)
- ensures y == x - i;
-{
- y := x;
- y := x - i;
- return;
-
+// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure Main()
+{
+
+ var x:int;
+ var y:int;
+
+ x := 1;
+ y := 0;
+
+ call x := inc(x, 5);
+ call y := incdec(x, 2);
+
+ assert(x - 1 == y);
+
+}
+
+procedure {:inline 1} incdec(x:int, y:int) returns (z:int)
+ ensures z == x + 1 - y;
+{
+ z := x;
+ z := x + 1;
+ call z := dec(z, y);
+
+ return;
+
+}
+
+procedure {:inline 1} inc(x:int, i:int) returns (y:int)
+ ensures y == x + i;
+{
+ y := x;
+ y := x + i;
+ return;
+
+}
+
+procedure {:inline 1} dec(x:int, i:int) returns (y:int)
+ ensures y == x - i;
+{
+ y := x;
+ y := x - i;
+ return;
+
} \ No newline at end of file
diff --git a/Test/inline/test2.bpl b/Test/inline/test2.bpl
index 981d7604..6c16d342 100644
--- a/Test/inline/test2.bpl
+++ b/Test/inline/test2.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var glb:int;
-
-procedure calculate()
-modifies glb;
-{
- var x:int;
- var y:int;
-
- y := 5;
-
- call x := increase(y);
-
- return;
-}
-
-
-procedure {:inline 1} increase (i:int) returns (k:int)
-modifies glb;
-{
- var j:int;
-
- j := i;
- j := j + 1;
-
- glb := glb + j;
-
- k := j;
-
- return;
-}
+// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var glb:int;
+
+procedure calculate()
+modifies glb;
+{
+ var x:int;
+ var y:int;
+
+ y := 5;
+
+ call x := increase(y);
+
+ return;
+}
+
+
+procedure {:inline 1} increase (i:int) returns (k:int)
+modifies glb;
+{
+ var j:int;
+
+ j := i;
+ j := j + 1;
+
+ glb := glb + j;
+
+ k := j;
+
+ return;
+}
diff --git a/Test/inline/test3.bpl b/Test/inline/test3.bpl
index 2f8b1749..1af4485a 100644
--- a/Test/inline/test3.bpl
+++ b/Test/inline/test3.bpl
@@ -1,30 +1,30 @@
-// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var glb:int;
-
-procedure recursivetest()
-modifies glb;
-{
- glb := 5;
- call glb := recursive(glb);
-
- return;
-
-}
-
-procedure {:inline 3} recursive(x:int) returns (y:int)
-{
-
- var k: int;
-
- if(x == 0) {
- y := 1;
- return;
- }
-
- call k := recursive(x-1);
- y := y + k;
- return;
-
+// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var glb:int;
+
+procedure recursivetest()
+modifies glb;
+{
+ glb := 5;
+ call glb := recursive(glb);
+
+ return;
+
+}
+
+procedure {:inline 3} recursive(x:int) returns (y:int)
+{
+
+ var k: int;
+
+ if(x == 0) {
+ y := 1;
+ return;
+ }
+
+ call k := recursive(x-1);
+ y := y + k;
+ return;
+
} \ No newline at end of file
diff --git a/Test/inline/test4.bpl b/Test/inline/test4.bpl
index 7743c498..2a646b58 100644
--- a/Test/inline/test4.bpl
+++ b/Test/inline/test4.bpl
@@ -1,55 +1,55 @@
-// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure main(x:int)
-{
- var A:[int]int;
- var i:int;
- var b:bool;
- var size:int;
-
- call i,b := find(A, size, x);
-
- if(b) {
- assert(i > 0 && A[i] == x);
- }
-
- return;
-}
-
-procedure {:inline 1} find(A:[int]int, size:int, x:int) returns (ret:int, found:bool)
-{
- var i:int;
- var b:bool;
-
- ret := -1;
- b := false;
- found := b;
- i := 0;
-
- while(i < size) {
- call b := check(A, i, x);
- if(b) {
- ret := i;
- found := b;
- break;
- }
-
- }
-
- return;
-
-}
-
-
-procedure {:inline 3} check (A:[int]int, i:int, c:int) returns (ret:bool)
- requires i >= 0;
- ensures (old(A[i]) > c) ==> ret == true;
-{
- if(A[i] == c) {
- ret := true;
- } else {
- ret := false;
- }
- return;
+// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure main(x:int)
+{
+ var A:[int]int;
+ var i:int;
+ var b:bool;
+ var size:int;
+
+ call i,b := find(A, size, x);
+
+ if(b) {
+ assert(i > 0 && A[i] == x);
+ }
+
+ return;
+}
+
+procedure {:inline 1} find(A:[int]int, size:int, x:int) returns (ret:int, found:bool)
+{
+ var i:int;
+ var b:bool;
+
+ ret := -1;
+ b := false;
+ found := b;
+ i := 0;
+
+ while(i < size) {
+ call b := check(A, i, x);
+ if(b) {
+ ret := i;
+ found := b;
+ break;
+ }
+
+ }
+
+ return;
+
+}
+
+
+procedure {:inline 3} check (A:[int]int, i:int, c:int) returns (ret:bool)
+ requires i >= 0;
+ ensures (old(A[i]) > c) ==> ret == true;
+{
+ if(A[i] == c) {
+ ret := true;
+ } else {
+ ret := false;
+ }
+ return;
} \ No newline at end of file
diff --git a/Test/inline/test5.bpl b/Test/inline/test5.bpl
index d7a80737..a0a25faf 100644
--- a/Test/inline/test5.bpl
+++ b/Test/inline/test5.bpl
@@ -1,81 +1,81 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// test a case, where the inlined proc comes before the caller
-
-procedure {:inline 2} foo()
- modifies x;
-{
- x := x + 1;
-}
-
-var x:int;
-
-procedure bar()
- modifies x;
-{
- x := 3;
- call foo();
- assert x == 4;
- call foo();
- assert x == 5;
-}
-
-// -------------------------------------------------
-
-var Mem : [int]int;
-
-procedure {:inline 1} P(x:int)
- modifies Mem;
-{
- Mem[x] := 1;
-}
-
-procedure mainA()
- modifies Mem;
-{
- Mem[1] := 0;
- call P(0);
- call P(1);
- assert Mem[1] == 0; // error
-}
-
-procedure mainB()
- modifies Mem;
-{
- Mem[1] := 0;
- call P(0);
- call P(1);
- assert Mem[1] == 1; // good
-}
-
-procedure mainC()
- modifies Mem;
-{
- Mem[1] := 0;
- call P(0);
- call P(1);
- assert Mem[1] == 1; // good
-}
-
-// -------------------------------------------------
-
-type ref;
-var xyz: ref;
-
-procedure xyzA();
- modifies xyz;
- ensures old(xyz) == xyz;
-
-procedure {:inline 1} xyzB()
- modifies xyz;
-{
- call xyzA();
-}
-
-procedure xyzMain()
- modifies xyz;
-{
- call xyzA();
- assert old(xyz) == xyz;
- call xyzB();
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// test a case, where the inlined proc comes before the caller
+
+procedure {:inline 2} foo()
+ modifies x;
+{
+ x := x + 1;
+}
+
+var x:int;
+
+procedure bar()
+ modifies x;
+{
+ x := 3;
+ call foo();
+ assert x == 4;
+ call foo();
+ assert x == 5;
+}
+
+// -------------------------------------------------
+
+var Mem : [int]int;
+
+procedure {:inline 1} P(x:int)
+ modifies Mem;
+{
+ Mem[x] := 1;
+}
+
+procedure mainA()
+ modifies Mem;
+{
+ Mem[1] := 0;
+ call P(0);
+ call P(1);
+ assert Mem[1] == 0; // error
+}
+
+procedure mainB()
+ modifies Mem;
+{
+ Mem[1] := 0;
+ call P(0);
+ call P(1);
+ assert Mem[1] == 1; // good
+}
+
+procedure mainC()
+ modifies Mem;
+{
+ Mem[1] := 0;
+ call P(0);
+ call P(1);
+ assert Mem[1] == 1; // good
+}
+
+// -------------------------------------------------
+
+type ref;
+var xyz: ref;
+
+procedure xyzA();
+ modifies xyz;
+ ensures old(xyz) == xyz;
+
+procedure {:inline 1} xyzB()
+ modifies xyz;
+{
+ call xyzA();
+}
+
+procedure xyzMain()
+ modifies xyz;
+{
+ call xyzA();
+ assert old(xyz) == xyz;
+ call xyzB();
+}
diff --git a/Test/inline/test6.bpl b/Test/inline/test6.bpl
index d2e034fc..386c8d94 100644
--- a/Test/inline/test6.bpl
+++ b/Test/inline/test6.bpl
@@ -1,39 +1,39 @@
-// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure {:inline 2} foo()
- modifies x;
-{
- x := x + 1;
- call foo();
-}
-
-procedure {:inline 2} foo1()
- modifies x;
-{
- x := x + 1;
- call foo2();
-}
-
-procedure {:inline 2} foo2()
- modifies x;
-{
- x := x + 1;
- call foo3();
-}
-
-procedure {:inline 2} foo3()
- modifies x;
-{
- x := x + 1;
- call foo1();
-}
-
-var x:int;
-
-procedure bar()
- modifies x;
-{
- call foo();
- call foo1();
-}
-
+// RUN: %boogie -inline:spec -print:- -env:0 -printInlined -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure {:inline 2} foo()
+ modifies x;
+{
+ x := x + 1;
+ call foo();
+}
+
+procedure {:inline 2} foo1()
+ modifies x;
+{
+ x := x + 1;
+ call foo2();
+}
+
+procedure {:inline 2} foo2()
+ modifies x;
+{
+ x := x + 1;
+ call foo3();
+}
+
+procedure {:inline 2} foo3()
+ modifies x;
+{
+ x := x + 1;
+ call foo1();
+}
+
+var x:int;
+
+procedure bar()
+ modifies x;
+{
+ call foo();
+ call foo1();
+}
+
diff --git a/Test/linear/allocator.bpl b/Test/linear/allocator.bpl
index 147d700f..fcd79b26 100644
--- a/Test/linear/allocator.bpl
+++ b/Test/linear/allocator.bpl
@@ -1,12 +1,12 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure A({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int);
- ensures i == i';
-
-procedure B({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
-{
- i := i';
- call i := A(i);
- assert false;
-}
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure A({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int);
+ ensures i == i';
+
+procedure B({:linear_in "tid"} i': int) returns ({:linear "tid"} i: int)
+{
+ i := i';
+ call i := A(i);
+ assert false;
+}
+
diff --git a/Test/linear/async-bug.bpl b/Test/linear/async-bug.bpl
index ad7020ad..4692f267 100644
--- a/Test/linear/async-bug.bpl
+++ b/Test/linear/async-bug.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const GcTid:int;
-
-procedure {:yields} {:layer 100} Initialize({:linear "tid"} tid:int)
-requires{:layer 100} tid == GcTid;
-{
- yield;
- assert{:layer 100} tid == GcTid;
-
- call GarbageCollect(tid);
-
- yield;
- assert{:layer 100} tid == GcTid;
-
- async call GarbageCollect(tid);
-
- yield;
- assert{:layer 100} tid == GcTid;
-
- async call GarbageCollect(tid);
-
- yield;
- assert{:layer 100} tid == GcTid;
-
- yield;
- assert{:layer 100} tid == GcTid;
-}
-
-procedure {:yields} {:layer 100} GarbageCollect({:linear "tid"} tid:int)
-requires{:layer 100} tid == GcTid;
-{
- yield;
- assert{:layer 100} tid == GcTid;
-}
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const GcTid:int;
+
+procedure {:yields} {:layer 100} Initialize({:linear "tid"} tid:int)
+requires{:layer 100} tid == GcTid;
+{
+ yield;
+ assert{:layer 100} tid == GcTid;
+
+ call GarbageCollect(tid);
+
+ yield;
+ assert{:layer 100} tid == GcTid;
+
+ async call GarbageCollect(tid);
+
+ yield;
+ assert{:layer 100} tid == GcTid;
+
+ async call GarbageCollect(tid);
+
+ yield;
+ assert{:layer 100} tid == GcTid;
+
+ yield;
+ assert{:layer 100} tid == GcTid;
+}
+
+procedure {:yields} {:layer 100} GarbageCollect({:linear "tid"} tid:int)
+requires{:layer 100} tid == GcTid;
+{
+ yield;
+ assert{:layer 100} tid == GcTid;
+}
+
diff --git a/Test/linear/async-bug.bpl.expect b/Test/linear/async-bug.bpl.expect
index 73a5eaee..b27eace7 100644
--- a/Test/linear/async-bug.bpl.expect
+++ b/Test/linear/async-bug.bpl.expect
@@ -1,3 +1,3 @@
-async-bug.bpl(21,30): Error: unavailable source for a linear read
-async-bug.bpl(28,0): Error: Input variable tid must be available at a return
-2 type checking errors detected in async-bug.bpl
+async-bug.bpl(21,30): Error: unavailable source for a linear read
+async-bug.bpl(28,0): Error: Input variable tid must be available at a return
+2 type checking errors detected in async-bug.bpl
diff --git a/Test/linear/bug.bpl b/Test/linear/bug.bpl
index 9177e2ca..4757b5b9 100644
--- a/Test/linear/bug.bpl
+++ b/Test/linear/bug.bpl
@@ -1,16 +1,16 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
-function {:inline} {:linear ""} LinearIntDistinctness(x:int) : [int]bool { MapConstBool(false)[x := true] }
-
-var {:linear ""} g:int;
-
-procedure A()
-{
-}
-
-procedure B()
-{
- call A();
- assert false;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} MapConstBool(bool) : [int]bool;
+function {:inline} {:linear ""} LinearIntDistinctness(x:int) : [int]bool { MapConstBool(false)[x := true] }
+
+var {:linear ""} g:int;
+
+procedure A()
+{
+}
+
+procedure B()
+{
+ call A();
+ assert false;
+}
diff --git a/Test/linear/f1.bpl b/Test/linear/f1.bpl
index 0d255149..cf786143 100644
--- a/Test/linear/f1.bpl
+++ b/Test/linear/f1.bpl
@@ -1,48 +1,48 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
-const {:existential true} b0: bool;
-const {:existential true} b1: bool;
-const {:existential true} b2: bool;
-const {:existential true} b3: bool;
-const {:existential true} b4: bool;
-const {:existential true} b5: bool;
-const {:existential true} b6: bool;
-const {:existential true} b7: bool;
-const {:existential true} b8: bool;
-
-axiom(b0);
-axiom(b1);
-axiom(b2);
-axiom(b3);
-axiom(b4);
-axiom(b5);
-axiom(!b6);
-axiom(!b7);
-axiom(b8);
-
-procedure main({:linear_in "1"} x_in: [int]bool)
- requires b0 ==> x_in == mapconstbool(true);
- requires b1 ==> x_in != mapconstbool(false);
-{
- var {:linear "1"} x: [int] bool;
- x := x_in;
-
- call foo(x);
-
- assert b6 ==> x == mapconstbool(true);
- assert b7 ==> x != mapconstbool(false);
- assert b8 ==> x == mapconstbool(false);
-}
-
-procedure foo({:linear_in "1"} x_in: [int]bool)
- requires b2 ==> x_in == mapconstbool(true);
- requires b3 ==> x_in != mapconstbool(false);
-{
- var {:linear "1"} x: [int] bool;
- x := x_in;
-
- assert b4 ==> x == mapconstbool(true);
- assert b5 ==> x != mapconstbool(false);
-
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
+const {:existential true} b0: bool;
+const {:existential true} b1: bool;
+const {:existential true} b2: bool;
+const {:existential true} b3: bool;
+const {:existential true} b4: bool;
+const {:existential true} b5: bool;
+const {:existential true} b6: bool;
+const {:existential true} b7: bool;
+const {:existential true} b8: bool;
+
+axiom(b0);
+axiom(b1);
+axiom(b2);
+axiom(b3);
+axiom(b4);
+axiom(b5);
+axiom(!b6);
+axiom(!b7);
+axiom(b8);
+
+procedure main({:linear_in "1"} x_in: [int]bool)
+ requires b0 ==> x_in == mapconstbool(true);
+ requires b1 ==> x_in != mapconstbool(false);
+{
+ var {:linear "1"} x: [int] bool;
+ x := x_in;
+
+ call foo(x);
+
+ assert b6 ==> x == mapconstbool(true);
+ assert b7 ==> x != mapconstbool(false);
+ assert b8 ==> x == mapconstbool(false);
+}
+
+procedure foo({:linear_in "1"} x_in: [int]bool)
+ requires b2 ==> x_in == mapconstbool(true);
+ requires b3 ==> x_in != mapconstbool(false);
+{
+ var {:linear "1"} x: [int] bool;
+ x := x_in;
+
+ assert b4 ==> x == mapconstbool(true);
+ assert b5 ==> x != mapconstbool(false);
+
+}
diff --git a/Test/linear/f2.bpl b/Test/linear/f2.bpl
index 18f518da..f6c67873 100644
--- a/Test/linear/f2.bpl
+++ b/Test/linear/f2.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
-function {:builtin "MapOr"} mapunion([int]bool, [int]bool) : [int]bool;
-
-procedure Split({:linear_in "1"} xls: [int]bool) returns ({:linear "1"} xls1: [int]bool, {:linear "1"} xls2: [int]bool);
-ensures xls == mapunion(xls1, xls2) && xls1 != mapconstbool(false) && xls2 != mapconstbool(false);
-
-procedure Allocate() returns ({:linear "1"} x: [int]bool);
-
-procedure main()
-{
- var {:linear "1"} x: [int] bool;
- var {:linear "1"} x1: [int] bool;
- var {:linear "1"} x2: [int] bool;
-
- call x := Allocate();
- assume x == mapconstbool(true);
-
- call x1, x2 := Split(x);
- assert false;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:builtin "MapConst"} mapconstbool(bool) : [int]bool;
+function {:builtin "MapOr"} mapunion([int]bool, [int]bool) : [int]bool;
+
+procedure Split({:linear_in "1"} xls: [int]bool) returns ({:linear "1"} xls1: [int]bool, {:linear "1"} xls2: [int]bool);
+ensures xls == mapunion(xls1, xls2) && xls1 != mapconstbool(false) && xls2 != mapconstbool(false);
+
+procedure Allocate() returns ({:linear "1"} x: [int]bool);
+
+procedure main()
+{
+ var {:linear "1"} x: [int] bool;
+ var {:linear "1"} x1: [int] bool;
+ var {:linear "1"} x2: [int] bool;
+
+ call x := Allocate();
+ assume x == mapconstbool(true);
+
+ call x1, x2 := Split(x);
+ assert false;
+}
diff --git a/Test/linear/f3.bpl b/Test/linear/f3.bpl
index 3a0e855c..954b4a7a 100644
--- a/Test/linear/f3.bpl
+++ b/Test/linear/f3.bpl
@@ -1,10 +1,10 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure A() {}
-
-procedure B({:linear_in ""} tid:int) returns({:linear ""} tid':int)
-{
- tid' := tid;
- call A();
-}
-
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure A() {}
+
+procedure B({:linear_in ""} tid:int) returns({:linear ""} tid':int)
+{
+ tid' := tid;
+ call A();
+}
+
diff --git a/Test/linear/list.bpl b/Test/linear/list.bpl
index 804cb7e2..e4fa23f6 100644
--- a/Test/linear/list.bpl
+++ b/Test/linear/list.bpl
@@ -1,50 +1,50 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
-function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
-
-function {:inline} {:linear "Mem"} MemCollector(xs: [X]bool) : [X]bool
-{
- xs
-}
-
-var head: X;
-var tail: X;
-var {:linear "Mem"} D: [X]bool;
-var Next:[X]X;
-const nil: X;
-
-procedure malloc() returns (x: X, {:linear "Mem"} M: [X]bool);
-ensures M == MapConstBool(false)[x := true];
-
-procedure Join({:linear_in "Mem"} A: [X]bool);
-modifies D;
-ensures MapOr(old(D), A) == D;
-
-procedure one()
-requires D[head] && D[tail];
-requires (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
-ensures D[head] && D[tail];
-ensures (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
-ensures head != tail;
-{
- var x: X;
- var {:linear "Mem"} M: [X]bool;
-
- call x, M := malloc();
- call Join(M);
- Next[tail] := x;
- tail := x;
- Next[tail] := nil;
-}
-
-procedure two()
-requires head != tail;
-requires D[head] && D[tail];
-requires (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
-ensures (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
-ensures D[head] && D[tail];
-{
- head := Next[head];
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory -doModSetAnalysis "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+function {:builtin "MapConst"} MapConstBool(bool) : [X]bool;
+function {:builtin "MapOr"} MapOr([X]bool, [X]bool) : [X]bool;
+
+function {:inline} {:linear "Mem"} MemCollector(xs: [X]bool) : [X]bool
+{
+ xs
+}
+
+var head: X;
+var tail: X;
+var {:linear "Mem"} D: [X]bool;
+var Next:[X]X;
+const nil: X;
+
+procedure malloc() returns (x: X, {:linear "Mem"} M: [X]bool);
+ensures M == MapConstBool(false)[x := true];
+
+procedure Join({:linear_in "Mem"} A: [X]bool);
+modifies D;
+ensures MapOr(old(D), A) == D;
+
+procedure one()
+requires D[head] && D[tail];
+requires (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
+ensures D[head] && D[tail];
+ensures (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
+ensures head != tail;
+{
+ var x: X;
+ var {:linear "Mem"} M: [X]bool;
+
+ call x, M := malloc();
+ call Join(M);
+ Next[tail] := x;
+ tail := x;
+ Next[tail] := nil;
+}
+
+procedure two()
+requires head != tail;
+requires D[head] && D[tail];
+requires (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
+ensures (forall d: X :: {D[d]} D[d] ==> D[Next[d]] || d == tail);
+ensures D[head] && D[tail];
+{
+ head := Next[head];
+}
diff --git a/Test/linear/typecheck.bpl b/Test/linear/typecheck.bpl
index 5c936dd0..c3c294c9 100644
--- a/Test/linear/typecheck.bpl
+++ b/Test/linear/typecheck.bpl
@@ -1,115 +1,118 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type X;
-
-procedure A()
-{
- var {:linear "A"} a: X;
- var {:linear "A"} b: int;
-}
-
-procedure B()
-{
- var {:linear "B"} a: X;
- var {:linear "B"} b: [X]bool;
-}
-
-procedure C()
-{
- var {:linear "C"} a: X;
- var {:linear "C"} c: [X]int;
-}
-
-function f(X): X;
-
-procedure {:yields} {:layer 1} D()
-{
- var {:linear "D"} a: X;
- var {:linear "D"} x: X;
- var {:linear "D"} b: [X]bool;
- var c: X;
- var {:linear "D2"} d: X;
-
- b[a] := true;
-
- a := f(a);
-
- a := c;
-
- c := a;
-
- a := d;
-
- a := a;
-
- a, x := x, a;
-
- a, x := x, x;
-
- call a, x := E(a, x);
-
- call a, x := E(a, a);
-
- call a, x := E(a, f(a));
-
- call a, x := E(a, d);
-
- call d, x := E(a, x);
-
- call a, x := E(c, x);
-
- call c, x := E(a, x);
-
- yield;
- par a := F(a) | x := F(a);
- yield;
-}
-
-procedure {:yields} {:layer 1} E({:linear_in "D"} a: X, {:linear_in "D"} b: X) returns ({:linear "D"} c: X, {:linear "D"} d: X)
-{
- yield;
- c := a;
- yield;
-}
-
-procedure {:yields} {:layer 0} F({:linear_in "D"} a: X) returns ({:linear "D"} c: X);
-
-var {:linear "x"} g:int;
-
-procedure G(i:int) returns({:linear "x"} r:int)
-{
- r := g;
-}
-
-procedure H(i:int) returns({:linear "x"} r:int)
-modifies g;
-{
- g := r;
-}
-
-procedure {:yields} {:layer 0} I({:linear_in ""} x:int) returns({:linear ""} x':int)
-{
- x' := x;
-}
-
-procedure {:yields} {:layer 0} J()
-{
-}
-
-procedure {:yields} {:layer 1} P1({:linear_in ""} x:int) returns({:linear ""} x':int)
-{
- yield;
- par x' := I(x) | J();
- yield;
- call x' := I(x');
- yield;
-}
-
-procedure {:yields} {:layer 1} P2({:linear_in ""} x:int) returns({:linear ""} x':int)
-{
- yield;
- call x' := I(x);
- yield;
- par x' := I(x') | J();
- yield;
-}
+// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type X;
+
+procedure A()
+{
+ var {:linear "A"} a: X;
+ var {:linear "A"} b: int;
+}
+
+procedure B()
+{
+ var {:linear "B"} a: X;
+ var {:linear "B"} b: [X]bool;
+}
+
+procedure C()
+{
+ var {:linear "C"} a: X;
+ var {:linear "C"} c: [X]int;
+}
+
+function f(X): X;
+
+procedure {:yields} {:layer 1} D()
+{
+ var {:linear "D"} a: X;
+ var {:linear "D"} x: X;
+ var {:linear "D"} b: [X]bool;
+ var c: X;
+ var {:linear "D2"} d: X;
+
+ b[a] := true;
+
+ a := f(a);
+
+ a := c;
+
+ c := a;
+
+ a := d;
+
+ a := a;
+
+ a, x := x, a;
+
+ a, x := x, x;
+
+ call a, x := E(a, x);
+
+ call a, x := E(a, a);
+
+ call a, x := E(a, f(a));
+
+ call a, x := E(a, d);
+
+ call d, x := E(a, x);
+
+ call a, x := E(c, x);
+
+ call c, x := E(a, x);
+
+ yield;
+ par a := F(a) | x := F(a);
+ yield;
+}
+
+procedure {:yields} {:layer 1} E({:linear_in "D"} a: X, {:linear_in "D"} b: X) returns ({:linear "D"} c: X, {:linear "D"} d: X)
+{
+ yield;
+ c := a;
+ yield;
+}
+
+procedure {:yields} {:layer 0} F({:linear_in "D"} a: X) returns ({:linear "D"} c: X);
+
+var {:linear "x"} g:int;
+
+procedure G(i:int) returns({:linear "x"} r:int)
+{
+ r := g;
+}
+
+procedure H(i:int) returns({:linear "x"} r:int)
+modifies g;
+{
+ g := r;
+}
+
+procedure {:yields} {:layer 0} I({:linear_in ""} x:int) returns({:linear ""} x':int)
+{
+ yield;
+ x' := x;
+ yield;
+}
+
+procedure {:yields} {:layer 0} J()
+{
+ yield;
+}
+
+procedure {:yields} {:layer 1} P1({:linear_in ""} x:int) returns({:linear ""} x':int)
+{
+ yield;
+ par x' := I(x) | J();
+ yield;
+ call x' := I(x');
+ yield;
+}
+
+procedure {:yields} {:layer 1} P2({:linear_in ""} x:int) returns({:linear ""} x':int)
+{
+ yield;
+ call x' := I(x);
+ yield;
+ par x' := I(x') | J();
+ yield;
+}
diff --git a/Test/linear/typecheck.bpl.expect b/Test/linear/typecheck.bpl.expect
index 5466fe62..04575093 100644
--- a/Test/linear/typecheck.bpl.expect
+++ b/Test/linear/typecheck.bpl.expect
@@ -1,16 +1,16 @@
-typecheck.bpl(33,9): Error: Only simple assignment allowed on linear variable b
-typecheck.bpl(35,6): Error: Only variable can be assigned to linear variable a
-typecheck.bpl(37,6): Error: Only linear variable can be assigned to linear variable a
-typecheck.bpl(41,6): Error: Linear variable of domain D2 cannot be assigned to linear variable of domain D
-typecheck.bpl(47,9): Error: Linear variable x can occur only once in the right-hand-side of an assignment
-typecheck.bpl(51,4): Error: Linear variable a can occur only once as an input parameter
-typecheck.bpl(53,4): Error: Only variable can be passed to linear parameter b
-typecheck.bpl(55,4): Error: The domains of formal and actual parameters must be the same
-typecheck.bpl(57,4): Error: The domains of formal and actual parameters must be the same
-typecheck.bpl(59,4): Error: Only a linear argument can be passed to linear parameter a
-typecheck.bpl(64,4): Error: Linear variable a can occur only once as an input parameter of a parallel call
-typecheck.bpl(73,0): Error: Output variable d must be available at a return
-typecheck.bpl(82,0): Error: Global variable g must be available at a return
-typecheck.bpl(87,7): Error: unavailable source for a linear read
-typecheck.bpl(88,0): Error: Output variable r must be available at a return
-15 type checking errors detected in typecheck.bpl
+typecheck.bpl(33,9): Error: Only simple assignment allowed on linear variable b
+typecheck.bpl(35,6): Error: Only variable can be assigned to linear variable a
+typecheck.bpl(37,6): Error: Only linear variable can be assigned to linear variable a
+typecheck.bpl(41,6): Error: Linear variable of domain D2 cannot be assigned to linear variable of domain D
+typecheck.bpl(47,9): Error: Linear variable x can occur only once in the right-hand-side of an assignment
+typecheck.bpl(51,4): Error: Linear variable a can occur only once as an input parameter
+typecheck.bpl(53,4): Error: Only variable can be passed to linear parameter b
+typecheck.bpl(55,4): Error: The domains of formal and actual parameters must be the same
+typecheck.bpl(57,4): Error: The domains of formal and actual parameters must be the same
+typecheck.bpl(59,4): Error: Only a linear argument can be passed to linear parameter a
+typecheck.bpl(64,4): Error: Linear variable a can occur only once as an input parameter of a parallel call
+typecheck.bpl(73,0): Error: Output variable d must be available at a return
+typecheck.bpl(82,0): Error: Global variable g must be available at a return
+typecheck.bpl(87,7): Error: unavailable source for a linear read
+typecheck.bpl(88,0): Error: Output variable r must be available at a return
+15 type checking errors detected in typecheck.bpl
diff --git a/Test/livevars/NestedOneDimensionalMap.bpl b/Test/livevars/NestedOneDimensionalMap.bpl
index 5f67f352..dce3ece9 100644
--- a/Test/livevars/NestedOneDimensionalMap.bpl
+++ b/Test/livevars/NestedOneDimensionalMap.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var k: int;
-var AllMaps__1: [int][int]int;
-
-procedure PoirotMain.Main_trace_1_trace_1()
-modifies k, AllMaps__1;
-{
- var $tmp4: int;
- var local_0: int;
-
- lab0:
- k := 1;
- goto lab1, lab2;
-
-
-lab1:
- assume k == 0;
- goto lab3;
-
-lab2:
- assume k == 1;
- $tmp4 := local_0;
- goto lab3;
-
-lab3:
- AllMaps__1[$tmp4][0] := 1;
- assert AllMaps__1[local_0][0] == 1;
-}
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var k: int;
+var AllMaps__1: [int][int]int;
+
+procedure PoirotMain.Main_trace_1_trace_1()
+modifies k, AllMaps__1;
+{
+ var $tmp4: int;
+ var local_0: int;
+
+ lab0:
+ k := 1;
+ goto lab1, lab2;
+
+
+lab1:
+ assume k == 0;
+ goto lab3;
+
+lab2:
+ assume k == 1;
+ $tmp4 := local_0;
+ goto lab3;
+
+lab3:
+ AllMaps__1[$tmp4][0] := 1;
+ assert AllMaps__1[local_0][0] == 1;
+}
+
+
diff --git a/Test/livevars/TwoDimensionalMap.bpl b/Test/livevars/TwoDimensionalMap.bpl
index 2a90ffe2..f3aea329 100644
--- a/Test/livevars/TwoDimensionalMap.bpl
+++ b/Test/livevars/TwoDimensionalMap.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var k: int;
-var AllMaps__1: [int,int]int;
-
-procedure PoirotMain.Main_trace_1_trace_1()
-modifies k, AllMaps__1;
-{
- var $tmp4: int;
- var local_0: int;
-
- lab0:
- k := 1;
- goto lab1, lab2;
-
-
-lab1:
- assume k == 0;
- goto lab3;
-
-lab2:
- assume k == 1;
- $tmp4 := local_0;
- goto lab3;
-
-lab3:
- AllMaps__1[$tmp4,0] := 1;
- assert AllMaps__1[local_0,0] == 1;
-}
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var k: int;
+var AllMaps__1: [int,int]int;
+
+procedure PoirotMain.Main_trace_1_trace_1()
+modifies k, AllMaps__1;
+{
+ var $tmp4: int;
+ var local_0: int;
+
+ lab0:
+ k := 1;
+ goto lab1, lab2;
+
+
+lab1:
+ assume k == 0;
+ goto lab3;
+
+lab2:
+ assume k == 1;
+ $tmp4 := local_0;
+ goto lab3;
+
+lab3:
+ AllMaps__1[$tmp4,0] := 1;
+ assert AllMaps__1[local_0,0] == 1;
+}
+
+
diff --git a/Test/livevars/bla1.bpl b/Test/livevars/bla1.bpl
index 669f8571..9be8aafd 100644
--- a/Test/livevars/bla1.bpl
+++ b/Test/livevars/bla1.bpl
@@ -1,2102 +1,2102 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var __storm_thread_done_0 : bool;
-var __storm_thread_done_1 : bool;
-var __storm_thread_done_2 : bool;
-
-var raiseException : bool;
-var errorReached : bool;
-var k : int;
-var __storm_atomic : bool;
-var __storm_init : bool;
-var tid : int;
-var tidCount : int;
-
-procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
-{
- tidRet := tid;
- return;
-}
-
-
-procedure storm_context_0();
-procedure storm_context_1();
-
-procedure contextSwitch();
-modifies k;
-ensures __storm_atomic ==> old(k) == k;
-ensures(old(k) <= k);
-ensures(k < 2);
-
-
-
-// Memory model
-
-// Mutable
-var alloc:int;
-
-// Immutable
-
-var Mem_0_T.CancelRoutine__IRP : [int]int;
-var Mem_1_T.CancelRoutine__IRP : [int]int;
-var Mem_s_1_T.CancelRoutine__IRP : [int]int;
-var Mem_0_T.Cancel__IRP : [int]int;
-var Mem_1_T.Cancel__IRP : [int]int;
-var Mem_s_1_T.Cancel__IRP : [int]int;
-var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_s_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-var Mem_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-var Mem_s_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-
-
-// Field declarations
-
-
-// Type declarations
-
-
-// Field offset definitions
-
-function AssociatedIrp__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
-axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelIrql__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
-axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelRoutine__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
-axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Cancel__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
-axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
-axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Context__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
-axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Control__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
-axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControllerData__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
-axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentLocation__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
-axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
-axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceObject__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
-axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
-
-
-//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
-axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Information__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
-axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Initialized_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
-axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptDescriptor_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
-axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptObject_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
-axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoStatus__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
-axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IsKeyboard_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
-axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Item__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
-axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
-axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MajorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
-axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MinorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
-axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MouseExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
-axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
-
-
-//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
-axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
-axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
-
-
-//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
-axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Parameters__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
-axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PendingReturned__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
-axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
-axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerFlags__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
-axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
-axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
-axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Power___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function RemoveLock_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
-axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
-axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
-axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Started_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
-axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function State___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
-axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Status___unnamed_4_d4b13373(int) returns (int);
-
-
-//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
-axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
-axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
-axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
-axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
-axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Tail__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
-axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function TopOfStack_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
-axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Type___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
-axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
-axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
-axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-
-///////////////////////////////////
-// will be replaced by:
-// "//" when using bv mode
-// "" when using int mode
-// main reason is to avoid using bv for constants
-// or avoid translating lines that are complex or unsound
-//////////////////////////////////
-
-////////////////////////////////////////////
-/////// functions for int type /////////////
-// Theorem prover does not see INT_ADD etc.
-////////////////////////////////////////////
-function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
-function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
-
-function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
-function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
-function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
-function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
-function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
-function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
-
-
-////////////////////////////////////////////
-/////// functions for bv32 type /////////////
-// Theorem prover does not see INT_ADD etc.
-// we are treating unsigned ops now
-////////////////////////////////////////////
-function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
-function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
-
-function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
-
-//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
-//only enabled with bv theory
-// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
-
-//////////////////////////////////
-// Generic C Arithmetic operations
-/////////////////////////////////
-
-//Is this sound for bv32?
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
- axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
- INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
-
-//we just keep this axiom for size = 1
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
-
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
-
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
-
-function MULT(a:int, b:int) returns (int); // a*b
-//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-// Not sure if these axioms hold for BV too, just commet them for BV
-
-
-
-//uninterpreted binary op
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-
-//////////////////////////////////////////
-//// Bitwise ops (uninterpreted, used with int)
-//////////////////////////////////////////
-
-
- function BIT_BAND(a:int, b:int) returns (x:int);
-
-
- function BIT_BOR(a:int, b:int) returns (x:int);
- function BIT_BXOR(a:int, b:int) returns (x:int);
- function BIT_BNOT(a:int) returns (int);
-
-
-
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function PTR_NOT(a:int) returns (int);
-axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
-axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-
-function NewAlloc(x:int, y:int) returns (z:int);
-
-//Comments below make HAVOC_malloc deterministic
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-//requires obj_size >= 0;
-free requires INT_GEQ(obj_size, 0);
-modifies alloc;
-ensures new == old(alloc);
-//ensures alloc > new + obj_size;
-ensures INT_GT(alloc, INT_ADD(new, obj_size));
-//ensures alloc == NewAlloc(old(alloc), obj_size);
-
-
-
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-/*
-//bv functions
-function bv8ToInt(bv8) returns (int);
-function bv16ToInt(bv16) returns (int);
-function bv32ToInt(bv32) returns (int);
-function bv64ToInt(bv64) returns (int);
-
-function intToBv8(int) returns (bv8);
-function intToBv16(int) returns (bv16);
-function intToBv32(int) returns (bv32);
-function intToBv64(int) returns (bv64);
-
-axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
-axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
-axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
-axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
-
-axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
-axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
-axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
-axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
-*/
-
-
-
-var Res_0_COMPLETED : [int]int;
-var Res_1_COMPLETED : [int]int;
-var Res_s_1_COMPLETED : [int]int;
-var Res_KERNEL_SOURCE:[int]int;
-var Res_0_LOCK : [int]int;
-var Res_1_LOCK : [int]int;
-var Res_s_1_LOCK : [int]int;
-var Res_PROBED:[int]int;
-
-//Pointer constants
-
-//Function pointer constants
-
-
-const unique Globals : int;
-axiom(Globals != 0);
-const unique I8xPowerUpToD0Complete : int;
-axiom(I8xPowerUpToD0Complete != 0);
-const unique I8xReinitializeHardware : int;
-axiom(I8xReinitializeHardware != 0);
-const unique I8xSysButtonCancelRoutine : int;
-axiom(I8xSysButtonCancelRoutine != 0);
-var cancelLockStatus_0 : int;
-var cancelLockStatus_1 : int;
-var cancelLockStatus_s_1 : int;
-
-const unique hdevobj : int;
-axiom(hdevobj != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_2147483648:int;
-
-
-
-procedure ExFreePoolWithTag(a0:int, a1:int);
-
-
-
-procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
-
-
-
-procedure IoAllocateWorkItem(a0:int) returns (ret:int);
-
-
-
-procedure IoDisconnectInterrupt(a0:int);
-
-
-
-procedure IoFreeWorkItem(a0:int);
-
-
-
-procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
-
-
-
-procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
-
-
-
-procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure PoStartNextPowerIrp(a0:int);
-
-
-
-procedure __PREfastPagedCode();
-
-
-
-procedure __storm_assert_dummy();
-
-
-
-procedure __storm_atomic_begin_dummy();
-
-
-
-procedure __storm_atomic_end_dummy();
-
-
-
-procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-
-
-
-procedure storm_nondet() returns (ret:int);
-
-
-
-procedure storm_main();
- free requires 0 < alloc;
- free requires 0 < tid;
- free requires tid < tidCount;
- requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
- modifies tidCount, alloc, raiseException, cancelLockStatus_s_1, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, cancelLockStatus_1, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_1_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_1_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_1_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_1_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_1_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION, Mem_1_T.DeviceObject__IO_STACK_LOCATION;
-
-
-
-implementation storm_main()
-{
- var inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, tid_old_1: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, tid_old_0: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$storm_getThreadID$0$tidRet: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, $result.storm_IoAllocateIrp$96.21$1$: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, tidCount_old: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent: int, $irpSp$2$92.21$storm_main: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int;
-
- start#1:
- assume Res_1_COMPLETED == Res_s_1_COMPLETED;
- assume Res_1_LOCK == Res_s_1_LOCK;
- assume Mem_1_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
- assume Mem_1_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
- assume Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
- assume Mem_1_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
- assume Mem_1_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
- assume cancelLockStatus_1 == cancelLockStatus_s_1;
- __storm_thread_done_0 := false;
- __storm_thread_done_1 := false;
- __storm_thread_done_2 := false;
- k := 0;
- errorReached := false;
- __storm_atomic := false;
- __storm_init := false;
- goto label_3#1;
-
- label_3#1:
- goto label_4#1;
-
- label_4#1:
- goto label_5#1;
-
- label_5#1:
- goto anon10_Then#1, anon10_Else#1;
-
- anon10_Else#1:
- assume k != 0;
- goto anon11_Then#1, anon11_Else#1;
-
- anon11_Else#1:
- assume k != 1;
- goto anon2#1;
-
- anon11_Then#1:
- assume k == 1;
- cancelLockStatus_1 := 0;
- goto anon2#1;
-
- anon10_Then#1:
- assume k == 0;
- cancelLockStatus_0 := 0;
- goto anon2#1;
-
- anon2#1:
- call contextSwitch();
- goto label_6#1;
-
- label_6#1:
- goto inline$storm_IoAllocateIrp$0$Entry#1;
-
- inline$storm_IoAllocateIrp$0$Entry#1:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
- goto inline$storm_IoAllocateIrp$0$start#1;
-
- inline$storm_IoAllocateIrp$0$start#1:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
- goto inline$storm_IoAllocateIrp$0$label_3#1;
-
- inline$storm_IoAllocateIrp$0$label_3#1:
- goto inline$storm_IoAllocateIrp$0$label_4#1;
-
- inline$storm_IoAllocateIrp$0$label_4#1:
- goto inline$storm_IoAllocateIrp$0$label_5#1;
-
- inline$storm_IoAllocateIrp$0$label_5#1:
- call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
- goto inline$storm_IoAllocateIrp$0$label_8#1;
-
- inline$storm_IoAllocateIrp$0$label_8#1:
- goto inline$storm_IoAllocateIrp$0$label_8_case_0#1, inline$storm_IoAllocateIrp$0$label_8_case_1#1;
-
- inline$storm_IoAllocateIrp$0$label_8_case_1#1:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
- goto inline$storm_IoAllocateIrp$0$label_10#1;
-
- inline$storm_IoAllocateIrp$0$label_10#1:
- __storm_atomic := true;
- goto inline$storm_IoAllocateIrp$0$label_13#1;
-
- inline$storm_IoAllocateIrp$0$label_13#1:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
- goto inline$storm_IoAllocateIrp$0$label_16#1;
-
- inline$storm_IoAllocateIrp$0$label_16#1:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
- goto inline$storm_IoAllocateIrp$0$label_17#1;
-
- inline$storm_IoAllocateIrp$0$label_17#1:
- goto inline$storm_IoAllocateIrp$0$anon14_Then#1, inline$storm_IoAllocateIrp$0$anon14_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon14_Else#1:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon15_Then#1, inline$storm_IoAllocateIrp$0$anon15_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon15_Else#1:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon2#1;
-
- inline$storm_IoAllocateIrp$0$anon15_Then#1:
- assume k == 1;
- Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon2#1;
-
- inline$storm_IoAllocateIrp$0$anon14_Then#1:
- assume k == 0;
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon2#1;
-
- inline$storm_IoAllocateIrp$0$anon2#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_18#1;
-
- inline$storm_IoAllocateIrp$0$label_18#1:
- goto inline$storm_IoAllocateIrp$0$anon16_Then#1, inline$storm_IoAllocateIrp$0$anon16_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon16_Else#1:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon17_Then#1, inline$storm_IoAllocateIrp$0$anon17_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon17_Else#1:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon5#1;
-
- inline$storm_IoAllocateIrp$0$anon17_Then#1:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon5#1;
-
- inline$storm_IoAllocateIrp$0$anon16_Then#1:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon5#1;
-
- inline$storm_IoAllocateIrp$0$anon5#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_19#1;
-
- inline$storm_IoAllocateIrp$0$label_19#1:
- goto inline$storm_IoAllocateIrp$0$anon18_Then#1, inline$storm_IoAllocateIrp$0$anon18_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon18_Else#1:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon19_Then#1, inline$storm_IoAllocateIrp$0$anon19_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon19_Else#1:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon8#1;
-
- inline$storm_IoAllocateIrp$0$anon19_Then#1:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
- goto inline$storm_IoAllocateIrp$0$anon8#1;
-
- inline$storm_IoAllocateIrp$0$anon18_Then#1:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
- goto inline$storm_IoAllocateIrp$0$anon8#1;
-
- inline$storm_IoAllocateIrp$0$anon8#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_20#1;
-
- inline$storm_IoAllocateIrp$0$label_20#1:
- assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
- goto inline$storm_IoAllocateIrp$0$label_21#1;
-
- inline$storm_IoAllocateIrp$0$label_21#1:
- inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
- goto inline$storm_IoAllocateIrp$0$label_22#1;
-
- inline$storm_IoAllocateIrp$0$label_22#1:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
- goto inline$storm_IoAllocateIrp$0$label_25#1;
-
- inline$storm_IoAllocateIrp$0$label_25#1:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
- goto inline$storm_IoAllocateIrp$0$label_26#1;
-
- inline$storm_IoAllocateIrp$0$label_26#1:
- goto inline$storm_IoAllocateIrp$0$anon20_Then#1, inline$storm_IoAllocateIrp$0$anon20_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon20_Else#1:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon21_Then#1, inline$storm_IoAllocateIrp$0$anon21_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon21_Else#1:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon11#1;
-
- inline$storm_IoAllocateIrp$0$anon21_Then#1:
- assume k == 1;
- Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
- goto inline$storm_IoAllocateIrp$0$anon11#1;
-
- inline$storm_IoAllocateIrp$0$anon20_Then#1:
- assume k == 0;
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
- goto inline$storm_IoAllocateIrp$0$anon11#1;
-
- inline$storm_IoAllocateIrp$0$anon11#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_27#1;
-
- inline$storm_IoAllocateIrp$0$label_27#1:
- goto inline$IoGetNextIrpStackLocation$0$Entry#1;
-
- inline$IoGetNextIrpStackLocation$0$Entry#1:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$IoGetNextIrpStackLocation$0$start#1;
-
- inline$IoGetNextIrpStackLocation$0$start#1:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
- goto inline$IoGetNextIrpStackLocation$0$label_3#1;
-
- inline$IoGetNextIrpStackLocation$0$label_3#1:
- goto inline$IoGetNextIrpStackLocation$0$anon3_Then#1, inline$IoGetNextIrpStackLocation$0$anon3_Else#1;
-
- inline$IoGetNextIrpStackLocation$0$anon3_Else#1:
- assume k != 0;
- goto inline$IoGetNextIrpStackLocation$0$anon4_Then#1, inline$IoGetNextIrpStackLocation$0$anon4_Else#1;
-
- inline$IoGetNextIrpStackLocation$0$anon4_Else#1:
- assume k != 1;
- goto inline$IoGetNextIrpStackLocation$0$anon2#1;
-
- inline$IoGetNextIrpStackLocation$0$anon4_Then#1:
- assume k == 1;
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
- goto inline$IoGetNextIrpStackLocation$0$anon2#1;
-
- inline$IoGetNextIrpStackLocation$0$anon3_Then#1:
- assume k == 0;
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
- goto inline$IoGetNextIrpStackLocation$0$anon2#1;
-
- inline$IoGetNextIrpStackLocation$0$anon2#1:
- call contextSwitch();
- inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
- goto inline$IoGetNextIrpStackLocation$0$label_1#1;
-
- inline$IoGetNextIrpStackLocation$0$label_1#1:
- goto inline$IoGetNextIrpStackLocation$0$Return#1;
-
- inline$IoGetNextIrpStackLocation$0$Return#1:
- inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
- goto inline$storm_IoAllocateIrp$0$label_27$1#1;
-
- inline$storm_IoAllocateIrp$0$label_27$1#1:
- goto inline$storm_IoAllocateIrp$0$label_30#1;
-
- inline$storm_IoAllocateIrp$0$label_30#1:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
- goto inline$storm_IoAllocateIrp$0$label_31#1;
-
- inline$storm_IoAllocateIrp$0$label_31#1:
- goto inline$storm_IoAllocateIrp$0$label_32#1;
-
- inline$storm_IoAllocateIrp$0$label_32#1:
- goto inline$storm_IoAllocateIrp$0$label_33#1;
-
- inline$storm_IoAllocateIrp$0$label_33#1:
- goto inline$storm_IoAllocateIrp$0$anon22_Then#1, inline$storm_IoAllocateIrp$0$anon22_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon22_Else#1:
- assume __storm_init;
- goto inline$storm_IoAllocateIrp$0$anon13#1;
-
- inline$storm_IoAllocateIrp$0$anon22_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAllocateIrp$0$anon13#1;
-
- inline$storm_IoAllocateIrp$0$anon13#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_36#1;
-
- inline$storm_IoAllocateIrp$0$label_8_case_0#1:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
- goto inline$storm_IoAllocateIrp$0$label_9#1;
-
- inline$storm_IoAllocateIrp$0$label_9#1:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
- goto inline$storm_IoAllocateIrp$0$label_36#1;
-
- inline$storm_IoAllocateIrp$0$label_36#1:
- inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$storm_IoAllocateIrp$0$label_1#1;
-
- inline$storm_IoAllocateIrp$0$label_1#1:
- goto inline$storm_IoAllocateIrp$0$Return#1;
-
- inline$storm_IoAllocateIrp$0$Return#1:
- $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
- goto label_6$1#1;
-
- label_6$1#1:
- goto label_9#1;
-
- label_9#1:
- $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
- goto label_10#1;
-
- label_10#1:
- assume INT_NEQ($irp$1$91.7$storm_main, 0);
- goto label_11#1;
-
- label_11#1:
- goto inline$IoSetNextIrpStackLocation$0$Entry#1;
-
- inline$IoSetNextIrpStackLocation$0$Entry#1:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoSetNextIrpStackLocation$0$start#1;
-
- inline$IoSetNextIrpStackLocation$0$start#1:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
- goto inline$IoSetNextIrpStackLocation$0$label_3#1;
-
- inline$IoSetNextIrpStackLocation$0$label_3#1:
- havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
- goto inline$IoSetNextIrpStackLocation$0$label_4#1;
-
- inline$IoSetNextIrpStackLocation$0$label_4#1:
- goto inline$IoSetNextIrpStackLocation$0$anon6_Then#1, inline$IoSetNextIrpStackLocation$0$anon6_Else#1;
-
- inline$IoSetNextIrpStackLocation$0$anon6_Else#1:
- assume k != 0;
- goto inline$IoSetNextIrpStackLocation$0$anon7_Then#1, inline$IoSetNextIrpStackLocation$0$anon7_Else#1;
-
- inline$IoSetNextIrpStackLocation$0$anon7_Else#1:
- assume k != 1;
- goto inline$IoSetNextIrpStackLocation$0$anon2#1;
-
- inline$IoSetNextIrpStackLocation$0$anon7_Then#1:
- assume k == 1;
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
- goto inline$IoSetNextIrpStackLocation$0$anon2#1;
-
- inline$IoSetNextIrpStackLocation$0$anon6_Then#1:
- assume k == 0;
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
- goto inline$IoSetNextIrpStackLocation$0$anon2#1;
-
- inline$IoSetNextIrpStackLocation$0$anon2#1:
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$0$anon8_Then#1, inline$IoSetNextIrpStackLocation$0$anon8_Else#1;
-
- inline$IoSetNextIrpStackLocation$0$anon8_Else#1:
- assume k != 0;
- goto inline$IoSetNextIrpStackLocation$0$anon9_Then#1, inline$IoSetNextIrpStackLocation$0$anon9_Else#1;
-
- inline$IoSetNextIrpStackLocation$0$anon9_Else#1:
- assume k != 1;
- goto inline$IoSetNextIrpStackLocation$0$anon5#1;
-
- inline$IoSetNextIrpStackLocation$0$anon9_Then#1:
- assume k == 1;
- Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- goto inline$IoSetNextIrpStackLocation$0$anon5#1;
-
- inline$IoSetNextIrpStackLocation$0$anon8_Then#1:
- assume k == 0;
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- goto inline$IoSetNextIrpStackLocation$0$anon5#1;
-
- inline$IoSetNextIrpStackLocation$0$anon5#1:
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$0$label_1#1;
-
- inline$IoSetNextIrpStackLocation$0$label_1#1:
- goto inline$IoSetNextIrpStackLocation$0$Return#1;
-
- inline$IoSetNextIrpStackLocation$0$Return#1:
- goto label_11$1#1;
-
- label_11$1#1:
- goto label_14#1;
-
- label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$0$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$0$Entry#1:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoGetCurrentIrpStackLocation$0$start#1;
-
- inline$IoGetCurrentIrpStackLocation$0$start#1:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
- goto inline$IoGetCurrentIrpStackLocation$0$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$0$anon3_Then#1, inline$IoGetCurrentIrpStackLocation$0$anon3_Else#1;
-
- inline$IoGetCurrentIrpStackLocation$0$anon3_Else#1:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$0$anon4_Then#1, inline$IoGetCurrentIrpStackLocation$0$anon4_Else#1;
-
- inline$IoGetCurrentIrpStackLocation$0$anon4_Else#1:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$0$anon4_Then#1:
- assume k == 1;
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$0$anon3_Then#1:
- assume k == 0;
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$0$anon2#1:
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
- goto inline$IoGetCurrentIrpStackLocation$0$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$0$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$0$Return#1:
- $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
- goto label_14$1#1;
-
- label_14$1#1:
- goto label_17#1;
-
- label_17#1:
- $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
- goto label_18#1;
-
- label_18#1:
- goto anon12_Then#1, anon12_Else#1;
-
- anon12_Else#1:
- assume k != 0;
- goto anon13_Then#1, anon13_Else#1;
-
- anon13_Else#1:
- assume k != 1;
- goto anon5#1;
-
- anon13_Then#1:
- assume k == 1;
- Mem_1_T.DeviceObject__IO_STACK_LOCATION := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
- goto anon5#1;
-
- anon12_Then#1:
- assume k == 0;
- Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
- goto anon5#1;
-
- anon5#1:
- call contextSwitch();
- goto label_19#1;
-
- label_19#1:
- goto label_20#1;
-
- label_20#1:
- k_old_0 := k;
- tid_old_0 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$dispatch$0$Entry#1;
-
- inline$dispatch$0$Entry#1:
- inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
- goto inline$dispatch$0$start#1;
-
- inline$dispatch$0$start#1:
- inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
- goto inline$dispatch$0$label_3#1;
-
- inline$dispatch$0$label_3#1:
- goto inline$dispatch$0$label_4#1;
-
- inline$dispatch$0$label_4#1:
- goto inline$IoGetCurrentIrpStackLocation$1$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$1$Entry#1:
- goto inline$IoGetCurrentIrpStackLocation$1$start#1;
-
- inline$IoGetCurrentIrpStackLocation$1$start#1:
- goto inline$IoGetCurrentIrpStackLocation$1$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$1$anon3_Then#1, inline$IoGetCurrentIrpStackLocation$1$anon3_Else#1;
-
- inline$IoGetCurrentIrpStackLocation$1$anon3_Else#1:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$1$anon4_Then#1, inline$IoGetCurrentIrpStackLocation$1$anon4_Else#1;
-
- inline$IoGetCurrentIrpStackLocation$1$anon4_Else#1:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$1$anon4_Then#1:
- assume k == 1;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$1$anon3_Then#1:
- assume k == 0;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
-
- inline$IoGetCurrentIrpStackLocation$1$anon2#1:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$1$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$1$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$1$Return#1:
- goto inline$dispatch$0$label_4$1#1;
-
- inline$dispatch$0$label_4$1#1:
- goto inline$dispatch$0$label_7#1;
-
- inline$dispatch$0$label_7#1:
- goto inline$dispatch$0$label_8#1;
-
- inline$dispatch$0$label_8#1:
- goto inline$I8xDeviceControl$0$Entry#1;
-
- inline$I8xDeviceControl$0$Entry#1:
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
- goto inline$I8xDeviceControl$0$start#1;
-
- inline$I8xDeviceControl$0$start#1:
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
- goto inline$I8xDeviceControl$0$label_3#1;
-
- inline$I8xDeviceControl$0$label_3#1:
- goto inline$I8xDeviceControl$0$label_4#1;
-
- inline$I8xDeviceControl$0$label_4#1:
- call __PREfastPagedCode();
- goto inline$I8xDeviceControl$0$label_7#1;
-
- inline$I8xDeviceControl$0$label_7#1:
- goto inline$I8xDeviceControl$0$anon3_Then#1, inline$I8xDeviceControl$0$anon3_Else#1;
-
- inline$I8xDeviceControl$0$anon3_Else#1:
- assume k != 0;
- goto inline$I8xDeviceControl$0$anon4_Then#1, inline$I8xDeviceControl$0$anon4_Else#1;
-
- inline$I8xDeviceControl$0$anon4_Else#1:
- assume k != 1;
- goto inline$I8xDeviceControl$0$anon2#1;
-
- inline$I8xDeviceControl$0$anon4_Then#1:
- assume k == 1;
- goto inline$I8xDeviceControl$0$anon2#1;
-
- inline$I8xDeviceControl$0$anon3_Then#1:
- assume k == 0;
- goto inline$I8xDeviceControl$0$anon2#1;
-
- inline$I8xDeviceControl$0$anon2#1:
- call contextSwitch();
- goto inline$I8xDeviceControl$0$label_8#1;
-
- inline$I8xDeviceControl$0$label_8#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Entry#1:
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$I8xKeyboardGetSysButtonEvent$0$start#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$start#1:
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_3#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_4#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_5#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_6#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_7#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_8#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_9#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_9_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_9_false#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_9_false#1:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10#1:
- goto inline$storm_IoSetCancelRoutine$0$Entry#1;
-
- inline$storm_IoSetCancelRoutine$0$Entry#1:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
- goto inline$storm_IoSetCancelRoutine$0$start#1;
-
- inline$storm_IoSetCancelRoutine$0$start#1:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1;
- goto inline$storm_IoSetCancelRoutine$0$label_3#1;
-
- inline$storm_IoSetCancelRoutine$0$label_3#1:
- goto inline$storm_IoSetCancelRoutine$0$label_4#1;
-
- inline$storm_IoSetCancelRoutine$0$label_4#1:
- call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ := storm_nondet();
- goto inline$storm_IoSetCancelRoutine$0$label_7#1;
-
- inline$storm_IoSetCancelRoutine$0$label_7#1:
- goto inline$storm_IoSetCancelRoutine$0$label_7_true#1, inline$storm_IoSetCancelRoutine$0$label_7_false#1;
-
- inline$storm_IoSetCancelRoutine$0$label_7_false#1:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#1;
-
- inline$storm_IoSetCancelRoutine$0$label_7_true#1:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_11#1;
-
- inline$storm_IoSetCancelRoutine$0$label_11#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine], 1);
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_12#1;
-
- inline$storm_IoSetCancelRoutine$0$label_12#1:
- goto inline$storm_IoSetCancelRoutine$0$label_12_true#1, inline$storm_IoSetCancelRoutine$0$label_12_false#1;
-
- inline$storm_IoSetCancelRoutine$0$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_13#1;
-
- inline$storm_IoSetCancelRoutine$0$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCancelRoutine$0$label_1#1;
-
- inline$storm_IoSetCancelRoutine$0$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#1;
-
- inline$storm_IoSetCancelRoutine$0$label_8#1:
- __storm_atomic := true;
- goto inline$storm_IoSetCancelRoutine$0$label_16#1;
-
- inline$storm_IoSetCancelRoutine$0$label_16#1:
- goto inline$storm_IoSetCancelRoutine$0$anon9_Then#1, inline$storm_IoSetCancelRoutine$0$anon9_Else#1;
-
- inline$storm_IoSetCancelRoutine$0$anon9_Else#1:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$0$anon10_Then#1, inline$storm_IoSetCancelRoutine$0$anon10_Else#1;
-
- inline$storm_IoSetCancelRoutine$0$anon10_Else#1:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$0$anon3#1;
-
- inline$storm_IoSetCancelRoutine$0$anon10_Then#1:
- assume k == 1;
- goto inline$storm_IoSetCancelRoutine$0$anon3#1;
-
- inline$storm_IoSetCancelRoutine$0$anon9_Then#1:
- assume k == 0;
- goto inline$storm_IoSetCancelRoutine$0$anon3#1;
-
- inline$storm_IoSetCancelRoutine$0$anon3#1:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_17#1;
-
- inline$storm_IoSetCancelRoutine$0$label_17#1:
- goto inline$storm_IoSetCancelRoutine$0$anon11_Then#1, inline$storm_IoSetCancelRoutine$0$anon11_Else#1;
-
- inline$storm_IoSetCancelRoutine$0$anon11_Else#1:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$0$anon12_Then#1, inline$storm_IoSetCancelRoutine$0$anon12_Else#1;
-
- inline$storm_IoSetCancelRoutine$0$anon12_Else#1:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$0$anon6#1;
-
- inline$storm_IoSetCancelRoutine$0$anon12_Then#1:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$0$anon6#1;
-
- inline$storm_IoSetCancelRoutine$0$anon11_Then#1:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$0$anon6#1;
-
- inline$storm_IoSetCancelRoutine$0$anon6#1:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_18#1;
-
- inline$storm_IoSetCancelRoutine$0$label_18#1:
- goto inline$storm_IoSetCancelRoutine$0$anon13_Then#1, inline$storm_IoSetCancelRoutine$0$anon13_Else#1;
-
- inline$storm_IoSetCancelRoutine$0$anon13_Else#1:
- assume __storm_init;
- goto inline$storm_IoSetCancelRoutine$0$anon8#1;
-
- inline$storm_IoSetCancelRoutine$0$anon13_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoSetCancelRoutine$0$anon8#1;
-
- inline$storm_IoSetCancelRoutine$0$anon8#1:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_21#1;
-
- inline$storm_IoSetCancelRoutine$0$label_21#1:
- goto inline$storm_IoSetCancelRoutine$0$label_1#1;
-
- inline$storm_IoSetCancelRoutine$0$label_1#1:
- goto inline$storm_IoSetCancelRoutine$0$Return#1;
-
- inline$storm_IoSetCancelRoutine$0$Return#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_18#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_18_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_18_false#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_18_false#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon8_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon8_Else#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon8_Else#1:
- assume k != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon9_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon9_Else#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon9_Else#1:
- assume k != 1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon9_Then#1:
- assume k == 1;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon8_Then#1:
- assume k == 0;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon5#1:
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_19#1:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := 259;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_18_true#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon6_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon6_Else#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon6_Else#1:
- assume k != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon7_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon7_Else#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon7_Else#1:
- assume k != 1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon7_Then#1:
- assume k == 1;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon6_Then#1:
- assume k == 0;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon2#1:
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_20#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_20#1:
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ := storm_nondet();
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_23_false#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23_false#1:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_24#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_24#1:
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$ := storm_nondet();
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_28#1:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23_true#1:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_27#1:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_9_true#1:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_13#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_13_false#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_13_false#1:
- assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_13_true#1:
- assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15#1:
- goto inline$I8xCompleteSysButtonIrp$0$Entry#1;
-
- inline$I8xCompleteSysButtonIrp$0$Entry#1:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent;
- goto inline$I8xCompleteSysButtonIrp$0$start#1;
-
- inline$I8xCompleteSysButtonIrp$0$start#1:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
- goto inline$I8xCompleteSysButtonIrp$0$label_3#1;
-
- inline$I8xCompleteSysButtonIrp$0$label_3#1:
- goto inline$storm_IoCompleteRequest$0$Entry#1;
-
- inline$storm_IoCompleteRequest$0$Entry#1:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
- goto inline$storm_IoCompleteRequest$0$start#1;
-
- inline$storm_IoCompleteRequest$0$start#1:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$0$label_3#1;
-
- inline$storm_IoCompleteRequest$0$label_3#1:
- call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$0$label_6#1;
-
- inline$storm_IoCompleteRequest$0$label_6#1:
- goto inline$storm_IoCompleteRequest$0$label_6_true#1, inline$storm_IoCompleteRequest$0$label_6_false#1;
-
- inline$storm_IoCompleteRequest$0$label_6_false#1:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$0$label_7#1;
-
- inline$storm_IoCompleteRequest$0$label_6_true#1:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$0$label_8#1;
-
- inline$storm_IoCompleteRequest$0$label_8#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_9#1;
-
- inline$storm_IoCompleteRequest$0$label_9#1:
- goto inline$storm_IoCompleteRequest$0$label_9_true#1, inline$storm_IoCompleteRequest$0$label_9_false#1;
-
- inline$storm_IoCompleteRequest$0$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$0$label_10#1;
-
- inline$storm_IoCompleteRequest$0$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$0$label_1#1;
-
- inline$storm_IoCompleteRequest$0$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$0$label_7#1;
-
- inline$storm_IoCompleteRequest$0$label_7#1:
- goto inline$storm_IoCompleteRequest$0$anon4_Then#1, inline$storm_IoCompleteRequest$0$anon4_Else#1;
-
- inline$storm_IoCompleteRequest$0$anon4_Else#1:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$0$anon5_Then#1, inline$storm_IoCompleteRequest$0$anon5_Else#1;
-
- inline$storm_IoCompleteRequest$0$anon5_Else#1:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$0$anon2#1;
-
- inline$storm_IoCompleteRequest$0$anon5_Then#1:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$0$anon2#1;
-
- inline$storm_IoCompleteRequest$0$anon4_Then#1:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$0$anon2#1;
-
- inline$storm_IoCompleteRequest$0$anon2#1:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_1#1;
-
- inline$storm_IoCompleteRequest$0$label_1#1:
- goto inline$storm_IoCompleteRequest$0$Return#1;
-
- inline$storm_IoCompleteRequest$0$Return#1:
- goto inline$I8xCompleteSysButtonIrp$0$label_3$1#1;
-
- inline$I8xCompleteSysButtonIrp$0$label_3$1#1:
- goto inline$I8xCompleteSysButtonIrp$0$label_1#1;
-
- inline$I8xCompleteSysButtonIrp$0$label_1#1:
- goto inline$I8xCompleteSysButtonIrp$0$Return#1;
-
- inline$I8xCompleteSysButtonIrp$0$Return#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15$1#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15$1#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_1#1:
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#1;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Return#1:
- goto inline$I8xDeviceControl$0$label_8$1#1;
-
- inline$I8xDeviceControl$0$label_8$1#1:
- goto inline$I8xDeviceControl$0$label_11#1;
-
- inline$I8xDeviceControl$0$label_11#1:
- goto inline$I8xDeviceControl$0$label_1#1;
-
- inline$I8xDeviceControl$0$label_1#1:
- goto inline$I8xDeviceControl$0$Return#1;
-
- inline$I8xDeviceControl$0$Return#1:
- goto inline$dispatch$0$label_8$1#1;
-
- inline$dispatch$0$label_8$1#1:
- goto inline$dispatch$0$label_11#1;
-
- inline$dispatch$0$label_11#1:
- goto inline$dispatch$0$label_1#1;
-
- inline$dispatch$0$label_1#1:
- goto inline$dispatch$0$Return#1;
-
- inline$dispatch$0$Return#1:
- goto label_20$1#1;
-
- label_20$1#1:
- goto anon14_Then#1, anon14_Else#1;
-
- anon14_Else#1:
- assume !(errorReached || !raiseException);
- goto anon7#1;
-
- anon14_Then#1:
- assume errorReached || !raiseException;
- __storm_thread_done_1 := true;
- goto anon7#1;
-
- anon7#1:
- k := k_old_0;
- tid := tid_old_0;
- goto label_23#1;
-
- label_23#1:
- goto label_24#1;
-
- label_24#1:
- k_old_1 := k;
- tid_old_1 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$cancel$0$Entry#1;
-
- inline$cancel$0$Entry#1:
- inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
- goto inline$cancel$0$start#1;
-
- inline$cancel$0$start#1:
- inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
- goto inline$cancel$0$label_3#1;
-
- inline$cancel$0$label_3#1:
- goto inline$storm_IoCancelIrp$0$Entry#1;
-
- inline$storm_IoCancelIrp$0$Entry#1:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
- goto inline$storm_IoCancelIrp$0$start#1;
-
- inline$storm_IoCancelIrp$0$start#1:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
- goto inline$storm_IoCancelIrp$0$label_3#1;
-
- inline$storm_IoCancelIrp$0$label_3#1:
- goto inline$storm_IoCancelIrp$0$label_4#1;
-
- inline$storm_IoCancelIrp$0$label_4#1:
- goto inline$storm_IoCancelIrp$0$anon12_Then#1, inline$storm_IoCancelIrp$0$anon12_Else#1;
-
- inline$storm_IoCancelIrp$0$anon12_Else#1:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon13_Then#1, inline$storm_IoCancelIrp$0$anon13_Else#1;
-
- inline$storm_IoCancelIrp$0$anon13_Else#1:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon2#1;
-
- inline$storm_IoCancelIrp$0$anon13_Then#1:
- assume k == 1;
- Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
- goto inline$storm_IoCancelIrp$0$anon2#1;
-
- inline$storm_IoCancelIrp$0$anon12_Then#1:
- assume k == 0;
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
- goto inline$storm_IoCancelIrp$0$anon2#1;
-
- inline$storm_IoCancelIrp$0$anon2#1:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_5#1;
-
- inline$storm_IoCancelIrp$0$label_5#1:
- __storm_atomic := true;
- goto inline$storm_IoCancelIrp$0$label_8#1;
-
- inline$storm_IoCancelIrp$0$label_8#1:
- goto inline$storm_IoCancelIrp$0$anon14_Then#1, inline$storm_IoCancelIrp$0$anon14_Else#1;
-
- inline$storm_IoCancelIrp$0$anon14_Else#1:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon15_Then#1, inline$storm_IoCancelIrp$0$anon15_Else#1;
-
- inline$storm_IoCancelIrp$0$anon15_Else#1:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon5#1;
-
- inline$storm_IoCancelIrp$0$anon15_Then#1:
- assume k == 1;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon5#1;
-
- inline$storm_IoCancelIrp$0$anon14_Then#1:
- assume k == 0;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon5#1;
-
- inline$storm_IoCancelIrp$0$anon5#1:
- call contextSwitch();
- inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
- goto inline$storm_IoCancelIrp$0$label_9#1;
-
- inline$storm_IoCancelIrp$0$label_9#1:
- goto inline$storm_IoCancelIrp$0$anon16_Then#1, inline$storm_IoCancelIrp$0$anon16_Else#1;
-
- inline$storm_IoCancelIrp$0$anon16_Else#1:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon17_Then#1, inline$storm_IoCancelIrp$0$anon17_Else#1;
-
- inline$storm_IoCancelIrp$0$anon17_Else#1:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon8#1;
-
- inline$storm_IoCancelIrp$0$anon17_Then#1:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
- goto inline$storm_IoCancelIrp$0$anon8#1;
-
- inline$storm_IoCancelIrp$0$anon16_Then#1:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
- goto inline$storm_IoCancelIrp$0$anon8#1;
-
- inline$storm_IoCancelIrp$0$anon8#1:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_10#1;
-
- inline$storm_IoCancelIrp$0$label_10#1:
- goto inline$storm_IoCancelIrp$0$anon18_Then#1, inline$storm_IoCancelIrp$0$anon18_Else#1;
-
- inline$storm_IoCancelIrp$0$anon18_Else#1:
- assume __storm_init;
- goto inline$storm_IoCancelIrp$0$anon10#1;
-
- inline$storm_IoCancelIrp$0$anon18_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoCancelIrp$0$anon10#1;
-
- inline$storm_IoCancelIrp$0$anon10#1:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_13#1;
-
- inline$storm_IoCancelIrp$0$label_13#1:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
- assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
- goto inline$storm_IoAcquireCancelSpinLock$0$Entry#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$Entry#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$start#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$start#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_3#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4#1:
- goto inline$storm_getThreadID$0$Entry#1;
-
- inline$storm_getThreadID$0$Entry#1:
- goto inline$storm_getThreadID$0$anon0#1;
-
- inline$storm_getThreadID$0$anon0#1:
- inline$storm_getThreadID$0$tidRet := tid;
- goto inline$storm_getThreadID$0$Return#1;
-
- inline$storm_getThreadID$0$Return#1:
- inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$0$tidRet;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4$1#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_7#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_7#1:
- inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_8#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_8#1:
- __storm_atomic := true;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#1, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_false#1:
- assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- assume k == 1 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_12#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_true#1:
- assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- assume k == 1 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_15#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_15#1:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
- assume k == 1 ==> INT_EQ(cancelLockStatus_1, 0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_16#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_16#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#1:
- assume k != 0;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon7_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon7_Else#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon7_Else#1:
- assume k != 1;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon7_Then#1:
- assume k == 1;
- cancelLockStatus_1 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#1:
- assume k == 0;
- cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon3#1:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_17#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_17#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#1:
- assume __storm_init;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5#1:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_1#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$Return#1:
- goto inline$storm_IoCancelIrp$0$label_13$1#1;
-
- inline$storm_IoCancelIrp$0$label_13$1#1:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- goto inline$storm_IoCancelIrp$0$label_16#1;
-
- inline$storm_IoCancelIrp$0$label_16#1:
- goto inline$storm_IoCancelIrp$0$label_16_true#1, inline$storm_IoCancelIrp$0$label_16_false#1;
-
- inline$storm_IoCancelIrp$0$label_16_false#1:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
- goto inline$storm_IoCancelIrp$0$label_17#1;
-
- inline$storm_IoCancelIrp$0$label_17#1:
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_16_true#1:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
- goto inline$storm_IoCancelIrp$0$label_18#1;
-
- inline$storm_IoCancelIrp$0$label_18#1:
- goto inline$storm_IoCancelIrp$0$label_19#1;
-
- inline$storm_IoCancelIrp$0$label_19#1:
- call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
- goto inline$storm_IoCancelIrp$0$label_22#1;
-
- inline$storm_IoCancelIrp$0$label_22#1:
- goto inline$storm_IoCancelIrp$0$label_22_true#1, inline$storm_IoCancelIrp$0$label_22_false#1;
-
- inline$storm_IoCancelIrp$0$label_22_false#1:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
- goto inline$storm_IoCancelIrp$0$label_23#1;
-
- inline$storm_IoCancelIrp$0$label_22_true#1:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
- goto inline$storm_IoCancelIrp$0$label_24#1;
-
- inline$storm_IoCancelIrp$0$label_24#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_25#1;
-
- inline$storm_IoCancelIrp$0$label_25#1:
- goto inline$storm_IoCancelIrp$0$label_25_true#1, inline$storm_IoCancelIrp$0$label_25_false#1;
-
- inline$storm_IoCancelIrp$0$label_25_false#1:
- assume 0 == 0;
- goto inline$storm_IoCancelIrp$0$label_26#1;
-
- inline$storm_IoCancelIrp$0$label_26#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_25_true#1:
- assume 0 != 0;
- goto inline$storm_IoCancelIrp$0$label_23#1;
-
- inline$storm_IoCancelIrp$0$label_23#1:
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_1#1:
- goto inline$storm_IoCancelIrp$0$Return#1;
-
- inline$storm_IoCancelIrp$0$Return#1:
- goto inline$cancel$0$label_3$1#1;
-
- inline$cancel$0$label_3$1#1:
- goto inline$cancel$0$label_1#1;
-
- inline$cancel$0$label_1#1:
- goto inline$cancel$0$Return#1;
-
- inline$cancel$0$Return#1:
- goto label_24$1#1;
-
- label_24$1#1:
- goto anon15_Then#1, anon15_Else#1;
-
- anon15_Else#1:
- assume !(errorReached || !raiseException);
- goto anon9#1;
-
- anon15_Then#1:
- assume errorReached || !raiseException;
- __storm_thread_done_2 := true;
- goto anon9#1;
-
- anon9#1:
- k := k_old_1;
- tid := tid_old_1;
- goto label_1#1;
-
- label_1#1:
- assume Mem_0_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
- assume Mem_0_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
- assume Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
- assume Mem_0_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
- assume Mem_0_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
- assume cancelLockStatus_0 == cancelLockStatus_s_1;
- assume Res_0_COMPLETED == Res_s_1_COMPLETED;
- assume Res_0_LOCK == Res_s_1_LOCK;
- assert !errorReached;
- return;
-}
-
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var __storm_thread_done_0 : bool;
+var __storm_thread_done_1 : bool;
+var __storm_thread_done_2 : bool;
+
+var raiseException : bool;
+var errorReached : bool;
+var k : int;
+var __storm_atomic : bool;
+var __storm_init : bool;
+var tid : int;
+var tidCount : int;
+
+procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
+{
+ tidRet := tid;
+ return;
+}
+
+
+procedure storm_context_0();
+procedure storm_context_1();
+
+procedure contextSwitch();
+modifies k;
+ensures __storm_atomic ==> old(k) == k;
+ensures(old(k) <= k);
+ensures(k < 2);
+
+
+
+// Memory model
+
+// Mutable
+var alloc:int;
+
+// Immutable
+
+var Mem_0_T.CancelRoutine__IRP : [int]int;
+var Mem_1_T.CancelRoutine__IRP : [int]int;
+var Mem_s_1_T.CancelRoutine__IRP : [int]int;
+var Mem_0_T.Cancel__IRP : [int]int;
+var Mem_1_T.Cancel__IRP : [int]int;
+var Mem_s_1_T.Cancel__IRP : [int]int;
+var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_s_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+var Mem_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+var Mem_s_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+
+
+// Field declarations
+
+
+// Type declarations
+
+
+// Field offset definitions
+
+function AssociatedIrp__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
+axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelIrql__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
+axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelRoutine__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
+axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Cancel__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
+axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
+axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Context__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
+axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Control__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
+axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControllerData__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
+axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentLocation__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
+axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
+axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceObject__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
+axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
+
+
+//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
+axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Information__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
+axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Initialized_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
+axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptDescriptor_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
+axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptObject_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
+axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoStatus__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
+axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IsKeyboard_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
+axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Item__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
+axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
+axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MajorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
+axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MinorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
+axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MouseExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
+axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
+
+
+//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
+axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
+axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
+
+
+//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
+axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Parameters__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
+axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PendingReturned__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
+axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
+axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerFlags__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
+axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
+axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
+axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Power___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function RemoveLock_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
+axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
+axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
+axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Started_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
+axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function State___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
+axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Status___unnamed_4_d4b13373(int) returns (int);
+
+
+//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
+axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
+axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
+axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
+axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
+axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Tail__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
+axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function TopOfStack_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
+axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Type___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
+axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
+axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
+axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+
+///////////////////////////////////
+// will be replaced by:
+// "//" when using bv mode
+// "" when using int mode
+// main reason is to avoid using bv for constants
+// or avoid translating lines that are complex or unsound
+//////////////////////////////////
+
+////////////////////////////////////////////
+/////// functions for int type /////////////
+// Theorem prover does not see INT_ADD etc.
+////////////////////////////////////////////
+function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
+function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
+
+function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
+function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
+function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
+function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
+function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
+function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
+
+
+////////////////////////////////////////////
+/////// functions for bv32 type /////////////
+// Theorem prover does not see INT_ADD etc.
+// we are treating unsigned ops now
+////////////////////////////////////////////
+function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
+function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
+
+function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
+
+//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
+//only enabled with bv theory
+// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
+
+//////////////////////////////////
+// Generic C Arithmetic operations
+/////////////////////////////////
+
+//Is this sound for bv32?
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+ axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+ INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
+
+//we just keep this axiom for size = 1
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
+
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
+
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
+
+function MULT(a:int, b:int) returns (int); // a*b
+//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+// Not sure if these axioms hold for BV too, just commet them for BV
+
+
+
+//uninterpreted binary op
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+
+//////////////////////////////////////////
+//// Bitwise ops (uninterpreted, used with int)
+//////////////////////////////////////////
+
+
+ function BIT_BAND(a:int, b:int) returns (x:int);
+
+
+ function BIT_BOR(a:int, b:int) returns (x:int);
+ function BIT_BXOR(a:int, b:int) returns (x:int);
+ function BIT_BNOT(a:int) returns (int);
+
+
+
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function PTR_NOT(a:int) returns (int);
+axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
+axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+
+function NewAlloc(x:int, y:int) returns (z:int);
+
+//Comments below make HAVOC_malloc deterministic
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+//requires obj_size >= 0;
+free requires INT_GEQ(obj_size, 0);
+modifies alloc;
+ensures new == old(alloc);
+//ensures alloc > new + obj_size;
+ensures INT_GT(alloc, INT_ADD(new, obj_size));
+//ensures alloc == NewAlloc(old(alloc), obj_size);
+
+
+
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+/*
+//bv functions
+function bv8ToInt(bv8) returns (int);
+function bv16ToInt(bv16) returns (int);
+function bv32ToInt(bv32) returns (int);
+function bv64ToInt(bv64) returns (int);
+
+function intToBv8(int) returns (bv8);
+function intToBv16(int) returns (bv16);
+function intToBv32(int) returns (bv32);
+function intToBv64(int) returns (bv64);
+
+axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
+axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
+axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
+axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
+
+axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
+axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
+axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
+axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
+*/
+
+
+
+var Res_0_COMPLETED : [int]int;
+var Res_1_COMPLETED : [int]int;
+var Res_s_1_COMPLETED : [int]int;
+var Res_KERNEL_SOURCE:[int]int;
+var Res_0_LOCK : [int]int;
+var Res_1_LOCK : [int]int;
+var Res_s_1_LOCK : [int]int;
+var Res_PROBED:[int]int;
+
+//Pointer constants
+
+//Function pointer constants
+
+
+const unique Globals : int;
+axiom(Globals != 0);
+const unique I8xPowerUpToD0Complete : int;
+axiom(I8xPowerUpToD0Complete != 0);
+const unique I8xReinitializeHardware : int;
+axiom(I8xReinitializeHardware != 0);
+const unique I8xSysButtonCancelRoutine : int;
+axiom(I8xSysButtonCancelRoutine != 0);
+var cancelLockStatus_0 : int;
+var cancelLockStatus_1 : int;
+var cancelLockStatus_s_1 : int;
+
+const unique hdevobj : int;
+axiom(hdevobj != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_2147483648:int;
+
+
+
+procedure ExFreePoolWithTag(a0:int, a1:int);
+
+
+
+procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
+
+
+
+procedure IoAllocateWorkItem(a0:int) returns (ret:int);
+
+
+
+procedure IoDisconnectInterrupt(a0:int);
+
+
+
+procedure IoFreeWorkItem(a0:int);
+
+
+
+procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
+
+
+
+procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
+
+
+
+procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure PoStartNextPowerIrp(a0:int);
+
+
+
+procedure __PREfastPagedCode();
+
+
+
+procedure __storm_assert_dummy();
+
+
+
+procedure __storm_atomic_begin_dummy();
+
+
+
+procedure __storm_atomic_end_dummy();
+
+
+
+procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+
+
+
+procedure storm_nondet() returns (ret:int);
+
+
+
+procedure storm_main();
+ free requires 0 < alloc;
+ free requires 0 < tid;
+ free requires tid < tidCount;
+ requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
+ modifies tidCount, alloc, raiseException, cancelLockStatus_s_1, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, cancelLockStatus_1, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_1_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_1_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_1_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_1_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_1_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION, Mem_1_T.DeviceObject__IO_STACK_LOCATION;
+
+
+
+implementation storm_main()
+{
+ var inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, tid_old_1: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, tid_old_0: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$storm_getThreadID$0$tidRet: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, $result.storm_IoAllocateIrp$96.21$1$: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, tidCount_old: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent: int, $irpSp$2$92.21$storm_main: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int;
+
+ start#1:
+ assume Res_1_COMPLETED == Res_s_1_COMPLETED;
+ assume Res_1_LOCK == Res_s_1_LOCK;
+ assume Mem_1_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
+ assume Mem_1_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
+ assume Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
+ assume Mem_1_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
+ assume Mem_1_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
+ assume cancelLockStatus_1 == cancelLockStatus_s_1;
+ __storm_thread_done_0 := false;
+ __storm_thread_done_1 := false;
+ __storm_thread_done_2 := false;
+ k := 0;
+ errorReached := false;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto label_3#1;
+
+ label_3#1:
+ goto label_4#1;
+
+ label_4#1:
+ goto label_5#1;
+
+ label_5#1:
+ goto anon10_Then#1, anon10_Else#1;
+
+ anon10_Else#1:
+ assume k != 0;
+ goto anon11_Then#1, anon11_Else#1;
+
+ anon11_Else#1:
+ assume k != 1;
+ goto anon2#1;
+
+ anon11_Then#1:
+ assume k == 1;
+ cancelLockStatus_1 := 0;
+ goto anon2#1;
+
+ anon10_Then#1:
+ assume k == 0;
+ cancelLockStatus_0 := 0;
+ goto anon2#1;
+
+ anon2#1:
+ call contextSwitch();
+ goto label_6#1;
+
+ label_6#1:
+ goto inline$storm_IoAllocateIrp$0$Entry#1;
+
+ inline$storm_IoAllocateIrp$0$Entry#1:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
+ goto inline$storm_IoAllocateIrp$0$start#1;
+
+ inline$storm_IoAllocateIrp$0$start#1:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
+ goto inline$storm_IoAllocateIrp$0$label_3#1;
+
+ inline$storm_IoAllocateIrp$0$label_3#1:
+ goto inline$storm_IoAllocateIrp$0$label_4#1;
+
+ inline$storm_IoAllocateIrp$0$label_4#1:
+ goto inline$storm_IoAllocateIrp$0$label_5#1;
+
+ inline$storm_IoAllocateIrp$0$label_5#1:
+ call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
+ goto inline$storm_IoAllocateIrp$0$label_8#1;
+
+ inline$storm_IoAllocateIrp$0$label_8#1:
+ goto inline$storm_IoAllocateIrp$0$label_8_case_0#1, inline$storm_IoAllocateIrp$0$label_8_case_1#1;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_1#1:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
+ goto inline$storm_IoAllocateIrp$0$label_10#1;
+
+ inline$storm_IoAllocateIrp$0$label_10#1:
+ __storm_atomic := true;
+ goto inline$storm_IoAllocateIrp$0$label_13#1;
+
+ inline$storm_IoAllocateIrp$0$label_13#1:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
+ goto inline$storm_IoAllocateIrp$0$label_16#1;
+
+ inline$storm_IoAllocateIrp$0$label_16#1:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
+ goto inline$storm_IoAllocateIrp$0$label_17#1;
+
+ inline$storm_IoAllocateIrp$0$label_17#1:
+ goto inline$storm_IoAllocateIrp$0$anon14_Then#1, inline$storm_IoAllocateIrp$0$anon14_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon14_Else#1:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon15_Then#1, inline$storm_IoAllocateIrp$0$anon15_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon15_Else#1:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon2#1;
+
+ inline$storm_IoAllocateIrp$0$anon15_Then#1:
+ assume k == 1;
+ Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon2#1;
+
+ inline$storm_IoAllocateIrp$0$anon14_Then#1:
+ assume k == 0;
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon2#1;
+
+ inline$storm_IoAllocateIrp$0$anon2#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_18#1;
+
+ inline$storm_IoAllocateIrp$0$label_18#1:
+ goto inline$storm_IoAllocateIrp$0$anon16_Then#1, inline$storm_IoAllocateIrp$0$anon16_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon16_Else#1:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon17_Then#1, inline$storm_IoAllocateIrp$0$anon17_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon17_Else#1:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon5#1;
+
+ inline$storm_IoAllocateIrp$0$anon17_Then#1:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon5#1;
+
+ inline$storm_IoAllocateIrp$0$anon16_Then#1:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon5#1;
+
+ inline$storm_IoAllocateIrp$0$anon5#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_19#1;
+
+ inline$storm_IoAllocateIrp$0$label_19#1:
+ goto inline$storm_IoAllocateIrp$0$anon18_Then#1, inline$storm_IoAllocateIrp$0$anon18_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon18_Else#1:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon19_Then#1, inline$storm_IoAllocateIrp$0$anon19_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon19_Else#1:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon8#1;
+
+ inline$storm_IoAllocateIrp$0$anon19_Then#1:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
+ goto inline$storm_IoAllocateIrp$0$anon8#1;
+
+ inline$storm_IoAllocateIrp$0$anon18_Then#1:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
+ goto inline$storm_IoAllocateIrp$0$anon8#1;
+
+ inline$storm_IoAllocateIrp$0$anon8#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_20#1;
+
+ inline$storm_IoAllocateIrp$0$label_20#1:
+ assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
+ goto inline$storm_IoAllocateIrp$0$label_21#1;
+
+ inline$storm_IoAllocateIrp$0$label_21#1:
+ inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
+ goto inline$storm_IoAllocateIrp$0$label_22#1;
+
+ inline$storm_IoAllocateIrp$0$label_22#1:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
+ goto inline$storm_IoAllocateIrp$0$label_25#1;
+
+ inline$storm_IoAllocateIrp$0$label_25#1:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
+ goto inline$storm_IoAllocateIrp$0$label_26#1;
+
+ inline$storm_IoAllocateIrp$0$label_26#1:
+ goto inline$storm_IoAllocateIrp$0$anon20_Then#1, inline$storm_IoAllocateIrp$0$anon20_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon20_Else#1:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon21_Then#1, inline$storm_IoAllocateIrp$0$anon21_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon21_Else#1:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon11#1;
+
+ inline$storm_IoAllocateIrp$0$anon21_Then#1:
+ assume k == 1;
+ Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
+ goto inline$storm_IoAllocateIrp$0$anon11#1;
+
+ inline$storm_IoAllocateIrp$0$anon20_Then#1:
+ assume k == 0;
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
+ goto inline$storm_IoAllocateIrp$0$anon11#1;
+
+ inline$storm_IoAllocateIrp$0$anon11#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_27#1;
+
+ inline$storm_IoAllocateIrp$0$label_27#1:
+ goto inline$IoGetNextIrpStackLocation$0$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$0$Entry#1:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$IoGetNextIrpStackLocation$0$start#1;
+
+ inline$IoGetNextIrpStackLocation$0$start#1:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
+ goto inline$IoGetNextIrpStackLocation$0$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$0$anon3_Then#1, inline$IoGetNextIrpStackLocation$0$anon3_Else#1;
+
+ inline$IoGetNextIrpStackLocation$0$anon3_Else#1:
+ assume k != 0;
+ goto inline$IoGetNextIrpStackLocation$0$anon4_Then#1, inline$IoGetNextIrpStackLocation$0$anon4_Else#1;
+
+ inline$IoGetNextIrpStackLocation$0$anon4_Else#1:
+ assume k != 1;
+ goto inline$IoGetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoGetNextIrpStackLocation$0$anon4_Then#1:
+ assume k == 1;
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
+ goto inline$IoGetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoGetNextIrpStackLocation$0$anon3_Then#1:
+ assume k == 0;
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
+ goto inline$IoGetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoGetNextIrpStackLocation$0$anon2#1:
+ call contextSwitch();
+ inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
+ goto inline$IoGetNextIrpStackLocation$0$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$0$Return#1;
+
+ inline$IoGetNextIrpStackLocation$0$Return#1:
+ inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
+ goto inline$storm_IoAllocateIrp$0$label_27$1#1;
+
+ inline$storm_IoAllocateIrp$0$label_27$1#1:
+ goto inline$storm_IoAllocateIrp$0$label_30#1;
+
+ inline$storm_IoAllocateIrp$0$label_30#1:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
+ goto inline$storm_IoAllocateIrp$0$label_31#1;
+
+ inline$storm_IoAllocateIrp$0$label_31#1:
+ goto inline$storm_IoAllocateIrp$0$label_32#1;
+
+ inline$storm_IoAllocateIrp$0$label_32#1:
+ goto inline$storm_IoAllocateIrp$0$label_33#1;
+
+ inline$storm_IoAllocateIrp$0$label_33#1:
+ goto inline$storm_IoAllocateIrp$0$anon22_Then#1, inline$storm_IoAllocateIrp$0$anon22_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon22_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoAllocateIrp$0$anon13#1;
+
+ inline$storm_IoAllocateIrp$0$anon22_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAllocateIrp$0$anon13#1;
+
+ inline$storm_IoAllocateIrp$0$anon13#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_36#1;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_0#1:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
+ goto inline$storm_IoAllocateIrp$0$label_9#1;
+
+ inline$storm_IoAllocateIrp$0$label_9#1:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
+ goto inline$storm_IoAllocateIrp$0$label_36#1;
+
+ inline$storm_IoAllocateIrp$0$label_36#1:
+ inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$storm_IoAllocateIrp$0$label_1#1;
+
+ inline$storm_IoAllocateIrp$0$label_1#1:
+ goto inline$storm_IoAllocateIrp$0$Return#1;
+
+ inline$storm_IoAllocateIrp$0$Return#1:
+ $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
+ goto label_6$1#1;
+
+ label_6$1#1:
+ goto label_9#1;
+
+ label_9#1:
+ $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
+ goto label_10#1;
+
+ label_10#1:
+ assume INT_NEQ($irp$1$91.7$storm_main, 0);
+ goto label_11#1;
+
+ label_11#1:
+ goto inline$IoSetNextIrpStackLocation$0$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$0$Entry#1:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoSetNextIrpStackLocation$0$start#1;
+
+ inline$IoSetNextIrpStackLocation$0$start#1:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
+ goto inline$IoSetNextIrpStackLocation$0$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_3#1:
+ havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
+ goto inline$IoSetNextIrpStackLocation$0$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_4#1:
+ goto inline$IoSetNextIrpStackLocation$0$anon6_Then#1, inline$IoSetNextIrpStackLocation$0$anon6_Else#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon6_Else#1:
+ assume k != 0;
+ goto inline$IoSetNextIrpStackLocation$0$anon7_Then#1, inline$IoSetNextIrpStackLocation$0$anon7_Else#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon7_Else#1:
+ assume k != 1;
+ goto inline$IoSetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon7_Then#1:
+ assume k == 1;
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
+ goto inline$IoSetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon6_Then#1:
+ assume k == 0;
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
+ goto inline$IoSetNextIrpStackLocation$0$anon2#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon2#1:
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$0$anon8_Then#1, inline$IoSetNextIrpStackLocation$0$anon8_Else#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon8_Else#1:
+ assume k != 0;
+ goto inline$IoSetNextIrpStackLocation$0$anon9_Then#1, inline$IoSetNextIrpStackLocation$0$anon9_Else#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon9_Else#1:
+ assume k != 1;
+ goto inline$IoSetNextIrpStackLocation$0$anon5#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon9_Then#1:
+ assume k == 1;
+ Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ goto inline$IoSetNextIrpStackLocation$0$anon5#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon8_Then#1:
+ assume k == 0;
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ goto inline$IoSetNextIrpStackLocation$0$anon5#1;
+
+ inline$IoSetNextIrpStackLocation$0$anon5#1:
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$0$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$0$Return#1;
+
+ inline$IoSetNextIrpStackLocation$0$Return#1:
+ goto label_11$1#1;
+
+ label_11$1#1:
+ goto label_14#1;
+
+ label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoGetCurrentIrpStackLocation$0$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$start#1:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$anon3_Then#1, inline$IoGetCurrentIrpStackLocation$0$anon3_Else#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon3_Else#1:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$0$anon4_Then#1, inline$IoGetCurrentIrpStackLocation$0$anon4_Else#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon4_Else#1:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon4_Then#1:
+ assume k == 1;
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon3_Then#1:
+ assume k == 0;
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon2#1:
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$Return#1:
+ $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
+ goto label_14$1#1;
+
+ label_14$1#1:
+ goto label_17#1;
+
+ label_17#1:
+ $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
+ goto label_18#1;
+
+ label_18#1:
+ goto anon12_Then#1, anon12_Else#1;
+
+ anon12_Else#1:
+ assume k != 0;
+ goto anon13_Then#1, anon13_Else#1;
+
+ anon13_Else#1:
+ assume k != 1;
+ goto anon5#1;
+
+ anon13_Then#1:
+ assume k == 1;
+ Mem_1_T.DeviceObject__IO_STACK_LOCATION := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
+ goto anon5#1;
+
+ anon12_Then#1:
+ assume k == 0;
+ Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
+ goto anon5#1;
+
+ anon5#1:
+ call contextSwitch();
+ goto label_19#1;
+
+ label_19#1:
+ goto label_20#1;
+
+ label_20#1:
+ k_old_0 := k;
+ tid_old_0 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$dispatch$0$Entry#1;
+
+ inline$dispatch$0$Entry#1:
+ inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
+ goto inline$dispatch$0$start#1;
+
+ inline$dispatch$0$start#1:
+ inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
+ goto inline$dispatch$0$label_3#1;
+
+ inline$dispatch$0$label_3#1:
+ goto inline$dispatch$0$label_4#1;
+
+ inline$dispatch$0$label_4#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$Entry#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$start#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$anon3_Then#1, inline$IoGetCurrentIrpStackLocation$1$anon3_Else#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon3_Else#1:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon4_Then#1, inline$IoGetCurrentIrpStackLocation$1$anon4_Else#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon4_Else#1:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon4_Then#1:
+ assume k == 1;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon3_Then#1:
+ assume k == 0;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon2#1:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$1$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$Return#1:
+ goto inline$dispatch$0$label_4$1#1;
+
+ inline$dispatch$0$label_4$1#1:
+ goto inline$dispatch$0$label_7#1;
+
+ inline$dispatch$0$label_7#1:
+ goto inline$dispatch$0$label_8#1;
+
+ inline$dispatch$0$label_8#1:
+ goto inline$I8xDeviceControl$0$Entry#1;
+
+ inline$I8xDeviceControl$0$Entry#1:
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
+ goto inline$I8xDeviceControl$0$start#1;
+
+ inline$I8xDeviceControl$0$start#1:
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
+ goto inline$I8xDeviceControl$0$label_3#1;
+
+ inline$I8xDeviceControl$0$label_3#1:
+ goto inline$I8xDeviceControl$0$label_4#1;
+
+ inline$I8xDeviceControl$0$label_4#1:
+ call __PREfastPagedCode();
+ goto inline$I8xDeviceControl$0$label_7#1;
+
+ inline$I8xDeviceControl$0$label_7#1:
+ goto inline$I8xDeviceControl$0$anon3_Then#1, inline$I8xDeviceControl$0$anon3_Else#1;
+
+ inline$I8xDeviceControl$0$anon3_Else#1:
+ assume k != 0;
+ goto inline$I8xDeviceControl$0$anon4_Then#1, inline$I8xDeviceControl$0$anon4_Else#1;
+
+ inline$I8xDeviceControl$0$anon4_Else#1:
+ assume k != 1;
+ goto inline$I8xDeviceControl$0$anon2#1;
+
+ inline$I8xDeviceControl$0$anon4_Then#1:
+ assume k == 1;
+ goto inline$I8xDeviceControl$0$anon2#1;
+
+ inline$I8xDeviceControl$0$anon3_Then#1:
+ assume k == 0;
+ goto inline$I8xDeviceControl$0$anon2#1;
+
+ inline$I8xDeviceControl$0$anon2#1:
+ call contextSwitch();
+ goto inline$I8xDeviceControl$0$label_8#1;
+
+ inline$I8xDeviceControl$0$label_8#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Entry#1:
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$start#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$start#1:
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent_.1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_3#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_4#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_5#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_6#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_7#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_8#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_9#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_9_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_9_false#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_9_false#1:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10#1:
+ goto inline$storm_IoSetCancelRoutine$0$Entry#1;
+
+ inline$storm_IoSetCancelRoutine$0$Entry#1:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
+ goto inline$storm_IoSetCancelRoutine$0$start#1;
+
+ inline$storm_IoSetCancelRoutine$0$start#1:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine_.1;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine_.1;
+ goto inline$storm_IoSetCancelRoutine$0$label_3#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_3#1:
+ goto inline$storm_IoSetCancelRoutine$0$label_4#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_4#1:
+ call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ := storm_nondet();
+ goto inline$storm_IoSetCancelRoutine$0$label_7#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_7#1:
+ goto inline$storm_IoSetCancelRoutine$0$label_7_true#1, inline$storm_IoSetCancelRoutine$0$label_7_false#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_false#1:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_true#1:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$389.2$2$ != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_11#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_11#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_12#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_12#1:
+ goto inline$storm_IoSetCancelRoutine$0$label_12_true#1, inline$storm_IoSetCancelRoutine$0$label_12_false#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_13#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCancelRoutine$0$label_1#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_8#1:
+ __storm_atomic := true;
+ goto inline$storm_IoSetCancelRoutine$0$label_16#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_16#1:
+ goto inline$storm_IoSetCancelRoutine$0$anon9_Then#1, inline$storm_IoSetCancelRoutine$0$anon9_Else#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon9_Else#1:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon10_Then#1, inline$storm_IoSetCancelRoutine$0$anon10_Else#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon10_Else#1:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon3#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon10_Then#1:
+ assume k == 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon3#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon9_Then#1:
+ assume k == 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon3#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon3#1:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_17#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_17#1:
+ goto inline$storm_IoSetCancelRoutine$0$anon11_Then#1, inline$storm_IoSetCancelRoutine$0$anon11_Else#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon11_Else#1:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon12_Then#1, inline$storm_IoSetCancelRoutine$0$anon12_Else#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon12_Else#1:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon6#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon12_Then#1:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$0$anon6#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon11_Then#1:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$384.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$385.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$0$anon6#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon6#1:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_18#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_18#1:
+ goto inline$storm_IoSetCancelRoutine$0$anon13_Then#1, inline$storm_IoSetCancelRoutine$0$anon13_Else#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon13_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoSetCancelRoutine$0$anon8#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon13_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoSetCancelRoutine$0$anon8#1;
+
+ inline$storm_IoSetCancelRoutine$0$anon8#1:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_21#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_21#1:
+ goto inline$storm_IoSetCancelRoutine$0$label_1#1;
+
+ inline$storm_IoSetCancelRoutine$0$label_1#1:
+ goto inline$storm_IoSetCancelRoutine$0$Return#1;
+
+ inline$storm_IoSetCancelRoutine$0$Return#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_18#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_18_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_18_false#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_18_false#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon8_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon8_Else#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon8_Else#1:
+ assume k != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon9_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon9_Else#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon9_Else#1:
+ assume k != 1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon9_Then#1:
+ assume k == 1;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon8_Then#1:
+ assume k == 0;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon5#1:
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_19#1:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := 259;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_18_true#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon6_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon6_Else#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon6_Else#1:
+ assume k != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon7_Then#1, inline$I8xKeyboardGetSysButtonEvent$0$anon7_Else#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon7_Else#1:
+ assume k != 1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon7_Then#1:
+ assume k == 1;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon6_Then#1:
+ assume k == 0;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon2#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon2#1:
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_20#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_20#1:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ := storm_nondet();
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_23_false#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23_false#1:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_24#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_24#1:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$ := storm_nondet();
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_28#1:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$173.41$4$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23_true#1:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$162.31$3$ != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_27#1:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_9_true#1:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_13#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13_true#1, inline$I8xKeyboardGetSysButtonEvent$0$label_13_false#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_13_false#1:
+ assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_13_true#1:
+ assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$5$144.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15#1:
+ goto inline$I8xCompleteSysButtonIrp$0$Entry#1;
+
+ inline$I8xCompleteSysButtonIrp$0$Entry#1:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$140.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$I8xCompleteSysButtonIrp$0$start#1;
+
+ inline$I8xCompleteSysButtonIrp$0$start#1:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
+ goto inline$I8xCompleteSysButtonIrp$0$label_3#1;
+
+ inline$I8xCompleteSysButtonIrp$0$label_3#1:
+ goto inline$storm_IoCompleteRequest$0$Entry#1;
+
+ inline$storm_IoCompleteRequest$0$Entry#1:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
+ goto inline$storm_IoCompleteRequest$0$start#1;
+
+ inline$storm_IoCompleteRequest$0$start#1:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$0$label_3#1;
+
+ inline$storm_IoCompleteRequest$0$label_3#1:
+ call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$0$label_6#1;
+
+ inline$storm_IoCompleteRequest$0$label_6#1:
+ goto inline$storm_IoCompleteRequest$0$label_6_true#1, inline$storm_IoCompleteRequest$0$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$0$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#1;
+
+ inline$storm_IoCompleteRequest$0$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$0$label_8#1;
+
+ inline$storm_IoCompleteRequest$0$label_8#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_9#1;
+
+ inline$storm_IoCompleteRequest$0$label_9#1:
+ goto inline$storm_IoCompleteRequest$0$label_9_true#1, inline$storm_IoCompleteRequest$0$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$0$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$0$label_10#1;
+
+ inline$storm_IoCompleteRequest$0$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$0$label_1#1;
+
+ inline$storm_IoCompleteRequest$0$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#1;
+
+ inline$storm_IoCompleteRequest$0$label_7#1:
+ goto inline$storm_IoCompleteRequest$0$anon4_Then#1, inline$storm_IoCompleteRequest$0$anon4_Else#1;
+
+ inline$storm_IoCompleteRequest$0$anon4_Else#1:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$0$anon5_Then#1, inline$storm_IoCompleteRequest$0$anon5_Else#1;
+
+ inline$storm_IoCompleteRequest$0$anon5_Else#1:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$0$anon2#1;
+
+ inline$storm_IoCompleteRequest$0$anon5_Then#1:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$0$anon2#1;
+
+ inline$storm_IoCompleteRequest$0$anon4_Then#1:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$0$anon2#1;
+
+ inline$storm_IoCompleteRequest$0$anon2#1:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_1#1;
+
+ inline$storm_IoCompleteRequest$0$label_1#1:
+ goto inline$storm_IoCompleteRequest$0$Return#1;
+
+ inline$storm_IoCompleteRequest$0$Return#1:
+ goto inline$I8xCompleteSysButtonIrp$0$label_3$1#1;
+
+ inline$I8xCompleteSysButtonIrp$0$label_3$1#1:
+ goto inline$I8xCompleteSysButtonIrp$0$label_1#1;
+
+ inline$I8xCompleteSysButtonIrp$0$label_1#1:
+ goto inline$I8xCompleteSysButtonIrp$0$Return#1;
+
+ inline$I8xCompleteSysButtonIrp$0$Return#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15$1#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15$1#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_1#1:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#1;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Return#1:
+ goto inline$I8xDeviceControl$0$label_8$1#1;
+
+ inline$I8xDeviceControl$0$label_8$1#1:
+ goto inline$I8xDeviceControl$0$label_11#1;
+
+ inline$I8xDeviceControl$0$label_11#1:
+ goto inline$I8xDeviceControl$0$label_1#1;
+
+ inline$I8xDeviceControl$0$label_1#1:
+ goto inline$I8xDeviceControl$0$Return#1;
+
+ inline$I8xDeviceControl$0$Return#1:
+ goto inline$dispatch$0$label_8$1#1;
+
+ inline$dispatch$0$label_8$1#1:
+ goto inline$dispatch$0$label_11#1;
+
+ inline$dispatch$0$label_11#1:
+ goto inline$dispatch$0$label_1#1;
+
+ inline$dispatch$0$label_1#1:
+ goto inline$dispatch$0$Return#1;
+
+ inline$dispatch$0$Return#1:
+ goto label_20$1#1;
+
+ label_20$1#1:
+ goto anon14_Then#1, anon14_Else#1;
+
+ anon14_Else#1:
+ assume !(errorReached || !raiseException);
+ goto anon7#1;
+
+ anon14_Then#1:
+ assume errorReached || !raiseException;
+ __storm_thread_done_1 := true;
+ goto anon7#1;
+
+ anon7#1:
+ k := k_old_0;
+ tid := tid_old_0;
+ goto label_23#1;
+
+ label_23#1:
+ goto label_24#1;
+
+ label_24#1:
+ k_old_1 := k;
+ tid_old_1 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$cancel$0$Entry#1;
+
+ inline$cancel$0$Entry#1:
+ inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
+ goto inline$cancel$0$start#1;
+
+ inline$cancel$0$start#1:
+ inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
+ goto inline$cancel$0$label_3#1;
+
+ inline$cancel$0$label_3#1:
+ goto inline$storm_IoCancelIrp$0$Entry#1;
+
+ inline$storm_IoCancelIrp$0$Entry#1:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
+ goto inline$storm_IoCancelIrp$0$start#1;
+
+ inline$storm_IoCancelIrp$0$start#1:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
+ goto inline$storm_IoCancelIrp$0$label_3#1;
+
+ inline$storm_IoCancelIrp$0$label_3#1:
+ goto inline$storm_IoCancelIrp$0$label_4#1;
+
+ inline$storm_IoCancelIrp$0$label_4#1:
+ goto inline$storm_IoCancelIrp$0$anon12_Then#1, inline$storm_IoCancelIrp$0$anon12_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon12_Else#1:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon13_Then#1, inline$storm_IoCancelIrp$0$anon13_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon13_Else#1:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon2#1;
+
+ inline$storm_IoCancelIrp$0$anon13_Then#1:
+ assume k == 1;
+ Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
+ goto inline$storm_IoCancelIrp$0$anon2#1;
+
+ inline$storm_IoCancelIrp$0$anon12_Then#1:
+ assume k == 0;
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
+ goto inline$storm_IoCancelIrp$0$anon2#1;
+
+ inline$storm_IoCancelIrp$0$anon2#1:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_5#1;
+
+ inline$storm_IoCancelIrp$0$label_5#1:
+ __storm_atomic := true;
+ goto inline$storm_IoCancelIrp$0$label_8#1;
+
+ inline$storm_IoCancelIrp$0$label_8#1:
+ goto inline$storm_IoCancelIrp$0$anon14_Then#1, inline$storm_IoCancelIrp$0$anon14_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon14_Else#1:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon15_Then#1, inline$storm_IoCancelIrp$0$anon15_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon15_Else#1:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon5#1;
+
+ inline$storm_IoCancelIrp$0$anon15_Then#1:
+ assume k == 1;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon5#1;
+
+ inline$storm_IoCancelIrp$0$anon14_Then#1:
+ assume k == 0;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon5#1;
+
+ inline$storm_IoCancelIrp$0$anon5#1:
+ call contextSwitch();
+ inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
+ goto inline$storm_IoCancelIrp$0$label_9#1;
+
+ inline$storm_IoCancelIrp$0$label_9#1:
+ goto inline$storm_IoCancelIrp$0$anon16_Then#1, inline$storm_IoCancelIrp$0$anon16_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon16_Else#1:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon17_Then#1, inline$storm_IoCancelIrp$0$anon17_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon17_Else#1:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon8#1;
+
+ inline$storm_IoCancelIrp$0$anon17_Then#1:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
+ goto inline$storm_IoCancelIrp$0$anon8#1;
+
+ inline$storm_IoCancelIrp$0$anon16_Then#1:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
+ goto inline$storm_IoCancelIrp$0$anon8#1;
+
+ inline$storm_IoCancelIrp$0$anon8#1:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_10#1;
+
+ inline$storm_IoCancelIrp$0$label_10#1:
+ goto inline$storm_IoCancelIrp$0$anon18_Then#1, inline$storm_IoCancelIrp$0$anon18_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon18_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoCancelIrp$0$anon10#1;
+
+ inline$storm_IoCancelIrp$0$anon18_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoCancelIrp$0$anon10#1;
+
+ inline$storm_IoCancelIrp$0$anon10#1:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_13#1;
+
+ inline$storm_IoCancelIrp$0$label_13#1:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
+ assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Entry#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Entry#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$start#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$start#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_3#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4#1:
+ goto inline$storm_getThreadID$0$Entry#1;
+
+ inline$storm_getThreadID$0$Entry#1:
+ goto inline$storm_getThreadID$0$anon0#1;
+
+ inline$storm_getThreadID$0$anon0#1:
+ inline$storm_getThreadID$0$tidRet := tid;
+ goto inline$storm_getThreadID$0$Return#1;
+
+ inline$storm_getThreadID$0$Return#1:
+ inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$0$tidRet;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4$1#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_7#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_7#1:
+ inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_8#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_8#1:
+ __storm_atomic := true;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#1, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_false#1:
+ assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ assume k == 1 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_12#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_true#1:
+ assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ assume k == 1 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_15#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_15#1:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
+ assume k == 1 ==> INT_EQ(cancelLockStatus_1, 0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_16#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_16#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#1:
+ assume k != 0;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon7_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon7_Else#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon7_Else#1:
+ assume k != 1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon7_Then#1:
+ assume k == 1;
+ cancelLockStatus_1 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#1:
+ assume k == 0;
+ cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon3#1:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_17#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_17#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5#1:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_1#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Return#1:
+ goto inline$storm_IoCancelIrp$0$label_13$1#1;
+
+ inline$storm_IoCancelIrp$0$label_13$1#1:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ goto inline$storm_IoCancelIrp$0$label_16#1;
+
+ inline$storm_IoCancelIrp$0$label_16#1:
+ goto inline$storm_IoCancelIrp$0$label_16_true#1, inline$storm_IoCancelIrp$0$label_16_false#1;
+
+ inline$storm_IoCancelIrp$0$label_16_false#1:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
+ goto inline$storm_IoCancelIrp$0$label_17#1;
+
+ inline$storm_IoCancelIrp$0$label_17#1:
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_16_true#1:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
+ goto inline$storm_IoCancelIrp$0$label_18#1;
+
+ inline$storm_IoCancelIrp$0$label_18#1:
+ goto inline$storm_IoCancelIrp$0$label_19#1;
+
+ inline$storm_IoCancelIrp$0$label_19#1:
+ call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
+ goto inline$storm_IoCancelIrp$0$label_22#1;
+
+ inline$storm_IoCancelIrp$0$label_22#1:
+ goto inline$storm_IoCancelIrp$0$label_22_true#1, inline$storm_IoCancelIrp$0$label_22_false#1;
+
+ inline$storm_IoCancelIrp$0$label_22_false#1:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
+ goto inline$storm_IoCancelIrp$0$label_23#1;
+
+ inline$storm_IoCancelIrp$0$label_22_true#1:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
+ goto inline$storm_IoCancelIrp$0$label_24#1;
+
+ inline$storm_IoCancelIrp$0$label_24#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_25#1;
+
+ inline$storm_IoCancelIrp$0$label_25#1:
+ goto inline$storm_IoCancelIrp$0$label_25_true#1, inline$storm_IoCancelIrp$0$label_25_false#1;
+
+ inline$storm_IoCancelIrp$0$label_25_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCancelIrp$0$label_26#1;
+
+ inline$storm_IoCancelIrp$0$label_26#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_25_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCancelIrp$0$label_23#1;
+
+ inline$storm_IoCancelIrp$0$label_23#1:
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_1#1:
+ goto inline$storm_IoCancelIrp$0$Return#1;
+
+ inline$storm_IoCancelIrp$0$Return#1:
+ goto inline$cancel$0$label_3$1#1;
+
+ inline$cancel$0$label_3$1#1:
+ goto inline$cancel$0$label_1#1;
+
+ inline$cancel$0$label_1#1:
+ goto inline$cancel$0$Return#1;
+
+ inline$cancel$0$Return#1:
+ goto label_24$1#1;
+
+ label_24$1#1:
+ goto anon15_Then#1, anon15_Else#1;
+
+ anon15_Else#1:
+ assume !(errorReached || !raiseException);
+ goto anon9#1;
+
+ anon15_Then#1:
+ assume errorReached || !raiseException;
+ __storm_thread_done_2 := true;
+ goto anon9#1;
+
+ anon9#1:
+ k := k_old_1;
+ tid := tid_old_1;
+ goto label_1#1;
+
+ label_1#1:
+ assume Mem_0_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
+ assume Mem_0_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
+ assume Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
+ assume Mem_0_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
+ assume Mem_0_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
+ assume cancelLockStatus_0 == cancelLockStatus_s_1;
+ assume Res_0_COMPLETED == Res_s_1_COMPLETED;
+ assume Res_0_LOCK == Res_s_1_LOCK;
+ assert !errorReached;
+ return;
+}
+
+
+
diff --git a/Test/livevars/daytona_bug2_ioctl_example_1.bpl b/Test/livevars/daytona_bug2_ioctl_example_1.bpl
index c752df09..e1637937 100644
--- a/Test/livevars/daytona_bug2_ioctl_example_1.bpl
+++ b/Test/livevars/daytona_bug2_ioctl_example_1.bpl
@@ -1,4012 +1,4012 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var __storm_thread_done_0 : bool;
-var __storm_thread_done_1 : bool;
-var __storm_thread_done_2 : bool;
-var __storm_thread_done_3 : bool;
-
-var raiseException : bool;
-var errorReached : bool;
-var k : int;
-var __storm_atomic : bool;
-var __storm_init : bool;
-var tid : int;
-var tidCount : int;
-
-procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
-{
- tidRet := tid;
- return;
-}
-
-
-procedure storm_context_0();
-
-procedure contextSwitch();
-modifies k;
-ensures __storm_atomic ==> old(k) == k;
-ensures(old(k) <= k);
-ensures(k < 1);
-
-
-
-// Memory model
-
-// Mutable
-var alloc:int;
-
-// Immutable
-
-var Mem_0_T.CancelRoutine__IRP : [int]int;
-var Mem_0_T.Cancel__IRP : [int]int;
-var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-
-
-// Field declarations
-
-
-// Type declarations
-
-
-// Field offset definitions
-
-function AssociatedIrp__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
-axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelIrql__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
-axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelRoutine__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
-axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Cancel__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
-axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
-axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Context__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
-axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Control__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
-axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControllerData__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
-axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentLocation__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
-axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
-axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceObject__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
-axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
-
-
-//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
-axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Information__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
-axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Initialized_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
-axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptDescriptor_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
-axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptObject_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
-axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoControlCode___unnamed_16_ae81ad04(int) returns (int);
-
-
-//axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == x + 8);
-axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoStatus__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
-axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Irp__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == x + 8);
-axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IsKeyboard_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
-axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Item__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == x + 0);
-axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Item__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
-axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
-axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MajorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
-axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MakeCode__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == x + 4);
-axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MinorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
-axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MouseExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
-axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
-
-
-//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
-axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
-axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
-
-
-//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
-axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Parameters__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
-axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PendingReturned__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
-axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PnpDeviceState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == x + 316);
-axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == INT_ADD(x, 316));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
-axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerEvent__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == x + 329);
-axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 329));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerFlags__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
-axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
-axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
-axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Power___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function RemoveLock_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
-axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Self_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == x + 0);
-axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
-axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
-axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Started_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
-axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function State___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
-axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Status___unnamed_4_d4b13373(int) returns (int);
-
-
-//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
-axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
-axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
-axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
-axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
-axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Tail__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
-axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function TopOfStack_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
-axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Type___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
-axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
-axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
-axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-
-///////////////////////////////////
-// will be replaced by:
-// "//" when using bv mode
-// "" when using int mode
-// main reason is to avoid using bv for constants
-// or avoid translating lines that are complex or unsound
-//////////////////////////////////
-
-////////////////////////////////////////////
-/////// functions for int type /////////////
-// Theorem prover does not see INT_ADD etc.
-////////////////////////////////////////////
-function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
-function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
-
-function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
-function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
-function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
-function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
-function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
-function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
-
-
-////////////////////////////////////////////
-/////// functions for bv32 type /////////////
-// Theorem prover does not see INT_ADD etc.
-// we are treating unsigned ops now
-////////////////////////////////////////////
-function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
-function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
-
-function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
-
-//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
-//only enabled with bv theory
-// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
-
-//////////////////////////////////
-// Generic C Arithmetic operations
-/////////////////////////////////
-
-//Is this sound for bv32?
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
- axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
- INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
-
-//we just keep this axiom for size = 1
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
-
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
-
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
-
-function MULT(a:int, b:int) returns (int); // a*b
-//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-// Not sure if these axioms hold for BV too, just commet them for BV
-
-
-
-//uninterpreted binary op
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-
-//////////////////////////////////////////
-//// Bitwise ops (uninterpreted, used with int)
-//////////////////////////////////////////
-
-
- function BIT_BAND(a:int, b:int) returns (x:int);
-
-
- function BIT_BOR(a:int, b:int) returns (x:int);
- function BIT_BXOR(a:int, b:int) returns (x:int);
- function BIT_BNOT(a:int) returns (int);
-
-
-
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function PTR_NOT(a:int) returns (int);
-axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
-axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-
-function NewAlloc(x:int, y:int) returns (z:int);
-
-//Comments below make HAVOC_malloc deterministic
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-//requires obj_size >= 0;
-free requires INT_GEQ(obj_size, 0);
-modifies alloc;
-ensures new == old(alloc);
-//ensures alloc > new + obj_size;
-ensures INT_GT(alloc, INT_ADD(new, obj_size));
-//ensures alloc == NewAlloc(old(alloc), obj_size);
-
-
-
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-/*
-//bv functions
-function bv8ToInt(bv8) returns (int);
-function bv16ToInt(bv16) returns (int);
-function bv32ToInt(bv32) returns (int);
-function bv64ToInt(bv64) returns (int);
-
-function intToBv8(int) returns (bv8);
-function intToBv16(int) returns (bv16);
-function intToBv32(int) returns (bv32);
-function intToBv64(int) returns (bv64);
-
-axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
-axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
-axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
-axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
-
-axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
-axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
-axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
-axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
-*/
-
-
-
-var Res_0_COMPLETED : [int]int;
-var Res_KERNEL_SOURCE:[int]int;
-var Res_0_LOCK : [int]int;
-var Res_PROBED:[int]int;
-
-//Pointer constants
-
-//Function pointer constants
-
-
-const unique Globals : int;
-axiom(Globals != 0);
-const unique I8xCompleteSysButtonEventWorker : int;
-axiom(I8xCompleteSysButtonEventWorker != 0);
-const unique I8xPowerUpToD0Complete : int;
-axiom(I8xPowerUpToD0Complete != 0);
-const unique I8xReinitializeHardware : int;
-axiom(I8xReinitializeHardware != 0);
-const unique I8xSysButtonCancelRoutine : int;
-axiom(I8xSysButtonCancelRoutine != 0);
-var cancelLockStatus_0 : int;
-
-const unique hdevobj : int;
-axiom(hdevobj != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_2147483648:int;
-
-
-
-procedure DRIVER_CANCEL(a0:int, a1:int);
-
-
-
-procedure ExFreePoolWithTag(a0:int, a1:int);
-
-
-
-procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
-
-
-
-procedure IoAllocateWorkItem(a0:int) returns (ret:int);
-
-
-
-procedure IoDisconnectInterrupt(a0:int);
-
-
-
-procedure IoFreeWorkItem(a0:int);
-
-
-
-procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
-
-
-
-procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
-
-
-
-procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure PoStartNextPowerIrp(a0:int);
-
-
-
-procedure __PREfastPagedCode();
-
-
-
-procedure __storm_assert_dummy();
-
-
-
-procedure __storm_atomic_begin_dummy();
-
-
-
-procedure __storm_atomic_end_dummy();
-
-
-
-procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-
-
-
-procedure storm_nondet() returns (ret:int);
-
-
-
-procedure storm_main();
- free requires 0 < alloc;
- free requires 0 < tid;
- free requires tid < tidCount;
- requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
- modifies tidCount, alloc, raiseException, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, __storm_thread_done_3, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION;
-
-
-
-implementation storm_main()
-{
- var inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, $irpSp$2$92.21$storm_main: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$storm_getThreadID$5$tidRet: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$storm_getThreadID$0$tidRet: int, inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver: int, inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$: int, inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0: int, inline$storm_getThreadID$1$tidRet: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$storm_getThreadID$4$tidRet: int, inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$: int, inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$: int, inline$I8xSysButtonCancelRoutine$0$myVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, k_old_2: int, inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine: int, inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$: int, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$: int, inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine: int, inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_1: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_0: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0: int, inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine: int, inline$I8xDeviceControl$0$myVar_0: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoSetCancelRoutine$1$myVar_0: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_getThreadID$3$tidRet: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_getThreadID$2$tidRet: int, inline$I8xDeviceControl$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, $result.storm_IoAllocateIrp$96.21$1$: int, tidCount_old: int, inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xCompleteSysButtonIrp$0$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, tid_old_1: int, tid_old_0: int, tid_old_2: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$: int;
-
- start#2:
- __storm_thread_done_0 := false;
- __storm_thread_done_1 := false;
- __storm_thread_done_2 := false;
- __storm_thread_done_3 := false;
- k := 0;
- errorReached := false;
- __storm_atomic := false;
- __storm_init := false;
- goto label_3#2;
-
- label_3#2:
- goto label_4#2;
-
- label_4#2:
- goto label_5#2;
-
- label_5#2:
- cancelLockStatus_0 := 0;
- call contextSwitch();
- goto label_6#2;
-
- label_6#2:
- goto inline$storm_IoAllocateIrp$0$Entry#2;
-
- inline$storm_IoAllocateIrp$0$Entry#2:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
- goto inline$storm_IoAllocateIrp$0$start#2;
-
- inline$storm_IoAllocateIrp$0$start#2:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
- goto inline$storm_IoAllocateIrp$0$label_3#2;
-
- inline$storm_IoAllocateIrp$0$label_3#2:
- goto inline$storm_IoAllocateIrp$0$label_4#2;
-
- inline$storm_IoAllocateIrp$0$label_4#2:
- goto inline$storm_IoAllocateIrp$0$label_5#2;
-
- inline$storm_IoAllocateIrp$0$label_5#2:
- call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
- goto inline$storm_IoAllocateIrp$0$label_8#2;
-
- inline$storm_IoAllocateIrp$0$label_8#2:
- goto inline$storm_IoAllocateIrp$0$label_8_case_0#2, inline$storm_IoAllocateIrp$0$label_8_case_1#2;
-
- inline$storm_IoAllocateIrp$0$label_8_case_1#2:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
- goto inline$storm_IoAllocateIrp$0$label_10#2;
-
- inline$storm_IoAllocateIrp$0$label_10#2:
- __storm_atomic := true;
- goto inline$storm_IoAllocateIrp$0$label_13#2;
-
- inline$storm_IoAllocateIrp$0$label_13#2:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
- goto inline$storm_IoAllocateIrp$0$label_16#2;
-
- inline$storm_IoAllocateIrp$0$label_16#2:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
- goto inline$storm_IoAllocateIrp$0$label_17#2;
-
- inline$storm_IoAllocateIrp$0$label_17#2:
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_18#2;
-
- inline$storm_IoAllocateIrp$0$label_18#2:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_19#2;
-
- inline$storm_IoAllocateIrp$0$label_19#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_20#2;
-
- inline$storm_IoAllocateIrp$0$label_20#2:
- havoc raiseException;
- goto inline$storm_IoAllocateIrp$0$anon6_Then#2, inline$storm_IoAllocateIrp$0$anon6_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon6_Else#2:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon1#2;
-
- inline$storm_IoAllocateIrp$0$anon1#2:
- assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
- goto inline$storm_IoAllocateIrp$0$label_21#2;
-
- inline$storm_IoAllocateIrp$0$label_21#2:
- inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
- goto inline$storm_IoAllocateIrp$0$label_22#2;
-
- inline$storm_IoAllocateIrp$0$label_22#2:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
- goto inline$storm_IoAllocateIrp$0$label_25#2;
-
- inline$storm_IoAllocateIrp$0$label_25#2:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
- goto inline$storm_IoAllocateIrp$0$label_26#2;
-
- inline$storm_IoAllocateIrp$0$label_26#2:
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_27#2;
-
- inline$storm_IoAllocateIrp$0$label_27#2:
- goto inline$IoGetNextIrpStackLocation$0$Entry#2;
-
- inline$IoGetNextIrpStackLocation$0$Entry#2:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$IoGetNextIrpStackLocation$0$start#2;
-
- inline$IoGetNextIrpStackLocation$0$start#2:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
- goto inline$IoGetNextIrpStackLocation$0$label_3#2;
-
- inline$IoGetNextIrpStackLocation$0$label_3#2:
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
- call contextSwitch();
- inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
- goto inline$IoGetNextIrpStackLocation$0$label_1#2;
-
- inline$IoGetNextIrpStackLocation$0$label_1#2:
- goto inline$IoGetNextIrpStackLocation$0$Return#2;
-
- inline$IoGetNextIrpStackLocation$0$Return#2:
- inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
- goto inline$storm_IoAllocateIrp$0$label_27$1#2;
-
- inline$storm_IoAllocateIrp$0$label_27$1#2:
- goto inline$storm_IoAllocateIrp$0$anon7_Then#2, inline$storm_IoAllocateIrp$0$anon7_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon7_Else#2:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon3#2;
-
- inline$storm_IoAllocateIrp$0$anon3#2:
- goto inline$storm_IoAllocateIrp$0$label_30#2;
-
- inline$storm_IoAllocateIrp$0$label_30#2:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
- goto inline$storm_IoAllocateIrp$0$label_31#2;
-
- inline$storm_IoAllocateIrp$0$label_31#2:
- goto inline$storm_IoAllocateIrp$0$label_32#2;
-
- inline$storm_IoAllocateIrp$0$label_32#2:
- goto inline$storm_IoAllocateIrp$0$label_33#2;
-
- inline$storm_IoAllocateIrp$0$label_33#2:
- goto inline$storm_IoAllocateIrp$0$anon8_Then#2, inline$storm_IoAllocateIrp$0$anon8_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon8_Else#2:
- assume __storm_init;
- goto inline$storm_IoAllocateIrp$0$anon5#2;
-
- inline$storm_IoAllocateIrp$0$anon8_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAllocateIrp$0$anon5#2;
-
- inline$storm_IoAllocateIrp$0$anon5#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_36#2;
-
- inline$storm_IoAllocateIrp$0$anon7_Then#2:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$anon6_Then#2:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$label_8_case_0#2:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
- goto inline$storm_IoAllocateIrp$0$label_9#2;
-
- inline$storm_IoAllocateIrp$0$label_9#2:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
- goto inline$storm_IoAllocateIrp$0$label_36#2;
-
- inline$storm_IoAllocateIrp$0$label_36#2:
- inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$storm_IoAllocateIrp$0$label_1#2;
-
- inline$storm_IoAllocateIrp$0$label_1#2:
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$Return#2:
- $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
- goto label_6$1#2;
-
- label_6$1#2:
- goto anon16_Then#2, anon16_Else#2;
-
- anon16_Else#2:
- assume !raiseException;
- goto anon1#2;
-
- anon1#2:
- goto label_9#2;
-
- label_9#2:
- $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
- goto label_10#2;
-
- label_10#2:
- havoc raiseException;
- goto anon17_Then#2, anon17_Else#2;
-
- anon17_Else#2:
- assume !raiseException;
- goto anon3#2;
-
- anon3#2:
- assume INT_NEQ($irp$1$91.7$storm_main, 0);
- goto label_11#2;
-
- label_11#2:
- goto inline$IoSetNextIrpStackLocation$0$Entry#2;
-
- inline$IoSetNextIrpStackLocation$0$Entry#2:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoSetNextIrpStackLocation$0$start#2;
-
- inline$IoSetNextIrpStackLocation$0$start#2:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
- goto inline$IoSetNextIrpStackLocation$0$label_3#2;
-
- inline$IoSetNextIrpStackLocation$0$label_3#2:
- havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
- goto inline$IoSetNextIrpStackLocation$0$label_4#2;
-
- inline$IoSetNextIrpStackLocation$0$label_4#2:
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$0$label_1#2;
-
- inline$IoSetNextIrpStackLocation$0$label_1#2:
- goto inline$IoSetNextIrpStackLocation$0$Return#2;
-
- inline$IoSetNextIrpStackLocation$0$Return#2:
- goto label_11$1#2;
-
- label_11$1#2:
- goto anon18_Then#2, anon18_Else#2;
-
- anon18_Else#2:
- assume !raiseException;
- goto anon5#2;
-
- anon5#2:
- goto label_14#2;
-
- label_14#2:
- goto inline$IoGetCurrentIrpStackLocation$0$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$0$Entry#2:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoGetCurrentIrpStackLocation$0$start#2;
-
- inline$IoGetCurrentIrpStackLocation$0$start#2:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
- goto inline$IoGetCurrentIrpStackLocation$0$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3#2:
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
- goto inline$IoGetCurrentIrpStackLocation$0$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$0$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$0$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$0$Return#2:
- $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
- goto label_14$1#2;
-
- label_14$1#2:
- goto anon19_Then#2, anon19_Else#2;
-
- anon19_Else#2:
- assume !raiseException;
- goto anon7#2;
-
- anon7#2:
- goto label_17#2;
-
- label_17#2:
- $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
- goto label_18#2;
-
- label_18#2:
- Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
- call contextSwitch();
- goto label_19#2;
-
- label_19#2:
- goto inline$myInitDriver$0$Entry#2;
-
- inline$myInitDriver$0$Entry#2:
- goto inline$myInitDriver$0$start#2;
-
- inline$myInitDriver$0$start#2:
- goto inline$myInitDriver$0$label_3#2;
-
- inline$myInitDriver$0$label_3#2:
- goto inline$myInitDriver$0$label_4#2;
-
- inline$myInitDriver$0$label_4#2:
- inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
- call contextSwitch();
- inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver := inline$myInitDriver$0$myVar_0;
- goto inline$myInitDriver$0$label_5#2;
-
- inline$myInitDriver$0$label_5#2:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$0$Entry#2;
-
- inline$storm_KeInitializeSpinLock$0$Entry#2:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver);
- goto inline$storm_KeInitializeSpinLock$0$start#2;
-
- inline$storm_KeInitializeSpinLock$0$start#2:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1;
- goto inline$storm_KeInitializeSpinLock$0$label_3#2;
-
- inline$storm_KeInitializeSpinLock$0$label_3#2:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$0$label_1#2;
-
- inline$storm_KeInitializeSpinLock$0$label_1#2:
- goto inline$storm_KeInitializeSpinLock$0$Return#2;
-
- inline$storm_KeInitializeSpinLock$0$Return#2:
- goto inline$myInitDriver$0$label_5$1#2;
-
- inline$myInitDriver$0$label_5$1#2:
- goto inline$myInitDriver$0$anon2_Then#2, inline$myInitDriver$0$anon2_Else#2;
-
- inline$myInitDriver$0$anon2_Else#2:
- assume !raiseException;
- goto inline$myInitDriver$0$anon1#2;
-
- inline$myInitDriver$0$anon1#2:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_1#2;
-
- inline$myInitDriver$0$label_1#2:
- goto inline$myInitDriver$0$Return#2;
-
- inline$myInitDriver$0$anon2_Then#2:
- assume raiseException;
- goto inline$myInitDriver$0$Return#2;
-
- inline$myInitDriver$0$Return#2:
- goto label_19$1#2;
-
- label_19$1#2:
- goto anon20_Then#2, anon20_Else#2;
-
- anon20_Else#2:
- assume !raiseException;
- goto anon9#2;
-
- anon9#2:
- goto label_22#2;
-
- label_22#2:
- goto label_23#2;
-
- label_23#2:
- k_old_0 := k;
- tid_old_0 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$dispatch$0$Entry#2;
-
- inline$dispatch$0$Entry#2:
- inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
- goto inline$dispatch$0$start#2;
-
- inline$dispatch$0$start#2:
- inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
- goto inline$dispatch$0$label_3#2;
-
- inline$dispatch$0$label_3#2:
- goto inline$dispatch$0$label_4#2;
-
- inline$dispatch$0$label_4#2:
- goto inline$IoGetCurrentIrpStackLocation$1$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$1$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$1$start#2;
-
- inline$IoGetCurrentIrpStackLocation$1$start#2:
- goto inline$IoGetCurrentIrpStackLocation$1$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$1$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$1$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$1$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$1$Return#2:
- goto inline$dispatch$0$label_4$1#2;
-
- inline$dispatch$0$label_4$1#2:
- goto inline$dispatch$0$anon4_Then#2, inline$dispatch$0$anon4_Else#2;
-
- inline$dispatch$0$anon4_Else#2:
- assume !raiseException;
- goto inline$dispatch$0$anon1#2;
-
- inline$dispatch$0$anon1#2:
- goto inline$dispatch$0$label_7#2;
-
- inline$dispatch$0$label_7#2:
- goto inline$dispatch$0$label_8#2;
-
- inline$dispatch$0$label_8#2:
- goto inline$I8xDeviceControl$0$Entry#2;
-
- inline$I8xDeviceControl$0$Entry#2:
- inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1 := hdevobj;
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
- goto inline$I8xDeviceControl$0$start#2;
-
- inline$I8xDeviceControl$0$start#2:
- inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl := inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1;
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
- goto inline$I8xDeviceControl$0$label_3#2;
-
- inline$I8xDeviceControl$0$label_3#2:
- goto inline$I8xDeviceControl$0$label_4#2;
-
- inline$I8xDeviceControl$0$label_4#2:
- goto inline$I8xDeviceControl$0$label_5#2;
-
- inline$I8xDeviceControl$0$label_5#2:
- goto inline$I8xDeviceControl$0$label_6#2;
-
- inline$I8xDeviceControl$0$label_6#2:
- goto inline$I8xDeviceControl$0$label_7#2;
-
- inline$I8xDeviceControl$0$label_7#2:
- call __PREfastPagedCode();
- goto inline$I8xDeviceControl$0$anon10_Then#2, inline$I8xDeviceControl$0$anon10_Else#2;
-
- inline$I8xDeviceControl$0$anon10_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon1#2;
-
- inline$I8xDeviceControl$0$anon1#2:
- goto inline$I8xDeviceControl$0$label_10#2;
-
- inline$I8xDeviceControl$0$label_10#2:
- inline$I8xDeviceControl$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
- call contextSwitch();
- inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl := inline$I8xDeviceControl$0$myVar_0;
- goto inline$I8xDeviceControl$0$label_11#2;
-
- inline$I8xDeviceControl$0$label_11#2:
- goto inline$I8xDeviceControl$0$label_11_true#2, inline$I8xDeviceControl$0$label_11_false#2;
-
- inline$I8xDeviceControl$0$label_11_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_11_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
- goto inline$I8xDeviceControl$0$label_13#2;
-
- inline$I8xDeviceControl$0$label_13#2:
- goto inline$I8xDeviceControl$0$label_13_true#2, inline$I8xDeviceControl$0$label_13_false#2;
-
- inline$I8xDeviceControl$0$label_13_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_13_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
- goto inline$I8xDeviceControl$0$label_14#2;
-
- inline$I8xDeviceControl$0$label_14#2:
- goto inline$I8xDeviceControl$0$label_14_true#2, inline$I8xDeviceControl$0$label_14_false#2;
-
- inline$I8xDeviceControl$0$label_14_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) == 0;
- goto inline$I8xDeviceControl$0$label_15#2;
-
- inline$I8xDeviceControl$0$label_15#2:
- goto inline$IoGetCurrentIrpStackLocation$2$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$2$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$2$start#2;
-
- inline$IoGetCurrentIrpStackLocation$2$start#2:
- goto inline$IoGetCurrentIrpStackLocation$2$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$2$label_3#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$2$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$2$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$2$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$2$Return#2:
- goto inline$I8xDeviceControl$0$label_15$1#2;
-
- inline$I8xDeviceControl$0$label_15$1#2:
- goto inline$I8xDeviceControl$0$anon11_Then#2, inline$I8xDeviceControl$0$anon11_Else#2;
-
- inline$I8xDeviceControl$0$anon11_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon3#2;
-
- inline$I8xDeviceControl$0$anon3#2:
- goto inline$I8xDeviceControl$0$label_18#2;
-
- inline$I8xDeviceControl$0$label_18#2:
- goto inline$I8xDeviceControl$0$label_19#2;
-
- inline$I8xDeviceControl$0$label_19#2:
- goto inline$I8xDeviceControl$0$label_19_case_0#2, inline$I8xDeviceControl$0$label_19_case_1#2, inline$I8xDeviceControl$0$label_19_case_2#2;
-
- inline$I8xDeviceControl$0$label_19_case_2#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703684;
- goto inline$I8xDeviceControl$0$label_24#2;
-
- inline$I8xDeviceControl$0$label_24#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Entry#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl;
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$I8xKeyboardGetSysButtonEvent$0$start#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$start#2:
- call inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent := __HAVOC_malloc(1);
- inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1;
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_3#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_4#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_5#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_6#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_7#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_8#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_9#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10#2:
- goto inline$IoGetCurrentIrpStackLocation$4$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$4$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$4$start#2;
-
- inline$IoGetCurrentIrpStackLocation$4$start#2:
- goto inline$IoGetCurrentIrpStackLocation$4$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$4$label_3#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$4$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$4$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$4$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$4$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon28_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon28_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon28_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_13#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume !INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$0$Entry#2;
-
- inline$storm_KeAcquireSpinLock$0$Entry#2:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
- goto inline$storm_KeAcquireSpinLock$0$start#2;
-
- inline$storm_KeAcquireSpinLock$0$start#2:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
- goto inline$storm_KeAcquireSpinLock$0$label_3#2;
-
- inline$storm_KeAcquireSpinLock$0$label_3#2:
- goto inline$storm_KeAcquireSpinLock$0$label_4#2;
-
- inline$storm_KeAcquireSpinLock$0$label_4#2:
- goto inline$storm_getThreadID$0$Entry#2;
-
- inline$storm_getThreadID$0$Entry#2:
- goto inline$storm_getThreadID$0$anon0#2;
-
- inline$storm_getThreadID$0$anon0#2:
- inline$storm_getThreadID$0$tidRet := tid;
- goto inline$storm_getThreadID$0$Return#2;
-
- inline$storm_getThreadID$0$Return#2:
- inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tidRet;
- goto inline$storm_KeAcquireSpinLock$0$label_4$1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_4$1#2:
- goto inline$storm_KeAcquireSpinLock$0$label_7#2;
-
- inline$storm_KeAcquireSpinLock$0$label_7#2:
- inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$0$label_8#2;
-
- inline$storm_KeAcquireSpinLock$0$label_8#2:
- goto inline$storm_KeAcquireSpinLock$0$label_9#2;
-
- inline$storm_KeAcquireSpinLock$0$label_9#2:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$0$label_12#2;
-
- inline$storm_KeAcquireSpinLock$0$label_12#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon7_Then#2, inline$storm_KeAcquireSpinLock$0$anon7_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon7_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon1#2;
-
- inline$storm_KeAcquireSpinLock$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_13#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13#2:
- goto inline$storm_KeAcquireSpinLock$0$label_13_true#2, inline$storm_KeAcquireSpinLock$0$label_13_false#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13_false#2:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$0$label_14#2;
-
- inline$storm_KeAcquireSpinLock$0$label_14#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$0$label_1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13_true#2:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$0$label_17#2;
-
- inline$storm_KeAcquireSpinLock$0$label_17#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon8_Then#2, inline$storm_KeAcquireSpinLock$0$anon8_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon4#2;
-
- inline$storm_KeAcquireSpinLock$0$anon4#2:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
- goto inline$storm_KeAcquireSpinLock$0$label_18#2;
-
- inline$storm_KeAcquireSpinLock$0$label_18#2:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_19#2;
-
- inline$storm_KeAcquireSpinLock$0$label_19#2:
- goto inline$storm_KeAcquireSpinLock$0$anon9_Then#2, inline$storm_KeAcquireSpinLock$0$anon9_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon9_Else#2:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$0$anon6#2;
-
- inline$storm_KeAcquireSpinLock$0$anon9_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$0$anon6#2;
-
- inline$storm_KeAcquireSpinLock$0$anon6#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_1#2:
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$anon8_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$anon7_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon30_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon30_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon30_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon5#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_56#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_57#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_57#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_62#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_62#2:
- goto inline$storm_IoSetCancelRoutine$0$Entry#2;
-
- inline$storm_IoSetCancelRoutine$0$Entry#2:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
- goto inline$storm_IoSetCancelRoutine$0$start#2;
-
- inline$storm_IoSetCancelRoutine$0$start#2:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
- goto inline$storm_IoSetCancelRoutine$0$label_3#2;
-
- inline$storm_IoSetCancelRoutine$0$label_3#2:
- goto inline$storm_IoSetCancelRoutine$0$label_4#2;
-
- inline$storm_IoSetCancelRoutine$0$label_4#2:
- call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ := storm_nondet();
- goto inline$storm_IoSetCancelRoutine$0$label_7#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7#2:
- goto inline$storm_IoSetCancelRoutine$0$label_7_true#2, inline$storm_IoSetCancelRoutine$0$label_7_false#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7_false#2:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7_true#2:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_11#2;
-
- inline$storm_IoSetCancelRoutine$0$label_11#2:
- havoc raiseException;
- goto inline$storm_IoSetCancelRoutine$0$anon5_Then#2, inline$storm_IoSetCancelRoutine$0$anon5_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon5_Else#2:
- assume !raiseException;
- goto inline$storm_IoSetCancelRoutine$0$anon1#2;
-
- inline$storm_IoSetCancelRoutine$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_12#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12#2:
- goto inline$storm_IoSetCancelRoutine$0$label_12_true#2, inline$storm_IoSetCancelRoutine$0$label_12_false#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12_false#2:
- assume 0 == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_13#2;
-
- inline$storm_IoSetCancelRoutine$0$label_13#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCancelRoutine$0$label_1#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12_true#2:
- assume 0 != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#2;
-
- inline$storm_IoSetCancelRoutine$0$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoSetCancelRoutine$0$label_16#2;
-
- inline$storm_IoSetCancelRoutine$0$label_16#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_17#2;
-
- inline$storm_IoSetCancelRoutine$0$label_17#2:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_18#2;
-
- inline$storm_IoSetCancelRoutine$0$label_18#2:
- goto inline$storm_IoSetCancelRoutine$0$anon6_Then#2, inline$storm_IoSetCancelRoutine$0$anon6_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon6_Else#2:
- assume __storm_init;
- goto inline$storm_IoSetCancelRoutine$0$anon4#2;
-
- inline$storm_IoSetCancelRoutine$0$anon6_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoSetCancelRoutine$0$anon4#2;
-
- inline$storm_IoSetCancelRoutine$0$anon4#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_21#2;
-
- inline$storm_IoSetCancelRoutine$0$label_21#2:
- goto inline$storm_IoSetCancelRoutine$0$label_1#2;
-
- inline$storm_IoSetCancelRoutine$0$label_1#2:
- goto inline$storm_IoSetCancelRoutine$0$Return#2;
-
- inline$storm_IoSetCancelRoutine$0$anon5_Then#2:
- assume raiseException;
- goto inline$storm_IoSetCancelRoutine$0$Return#2;
-
- inline$storm_IoSetCancelRoutine$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon21#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon21#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_65#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2:
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_66#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_66#2:
- goto inline$storm_IoMarkIrpPending$1$Entry#2;
-
- inline$storm_IoMarkIrpPending$1$Entry#2:
- inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$1$start#2;
-
- inline$storm_IoMarkIrpPending$1$start#2:
- inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$1$label_3#2;
-
- inline$storm_IoMarkIrpPending$1$label_3#2:
- call inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$1$label_6#2;
-
- inline$storm_IoMarkIrpPending$1$label_6#2:
- goto inline$storm_IoMarkIrpPending$1$label_6_true#2, inline$storm_IoMarkIrpPending$1$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$1$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$1$label_7#2;
-
- inline$storm_IoMarkIrpPending$1$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$1$anon3_Then#2, inline$storm_IoMarkIrpPending$1$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$1$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$1$anon1#2;
-
- inline$storm_IoMarkIrpPending$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$1$label_8#2;
-
- inline$storm_IoMarkIrpPending$1$label_8#2:
- goto inline$storm_IoMarkIrpPending$1$label_8_true#2, inline$storm_IoMarkIrpPending$1$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$1$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$1$label_9#2;
-
- inline$storm_IoMarkIrpPending$1$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_1#2:
- goto inline$storm_IoMarkIrpPending$1$Return#2;
-
- inline$storm_IoMarkIrpPending$1$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$1$Return#2;
-
- inline$storm_IoMarkIrpPending$1$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon23#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon23#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_82#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_82#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2:
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_69#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_69#2:
- goto inline$storm_IoSetCancelRoutine$1$Entry#2;
-
- inline$storm_IoSetCancelRoutine$1$Entry#2:
- inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := 0;
- goto inline$storm_IoSetCancelRoutine$1$start#2;
-
- inline$storm_IoSetCancelRoutine$1$start#2:
- inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
- inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
- goto inline$storm_IoSetCancelRoutine$1$label_3#2;
-
- inline$storm_IoSetCancelRoutine$1$label_3#2:
- goto inline$storm_IoSetCancelRoutine$1$label_4#2;
-
- inline$storm_IoSetCancelRoutine$1$label_4#2:
- call inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ := storm_nondet();
- goto inline$storm_IoSetCancelRoutine$1$label_7#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7#2:
- goto inline$storm_IoSetCancelRoutine$1$label_7_true#2, inline$storm_IoSetCancelRoutine$1$label_7_false#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7_false#2:
- assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ == 0;
- goto inline$storm_IoSetCancelRoutine$1$label_8#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7_true#2:
- assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ != 0;
- goto inline$storm_IoSetCancelRoutine$1$label_11#2;
-
- inline$storm_IoSetCancelRoutine$1$label_11#2:
- havoc raiseException;
- goto inline$storm_IoSetCancelRoutine$1$anon5_Then#2, inline$storm_IoSetCancelRoutine$1$anon5_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon5_Else#2:
- assume !raiseException;
- goto inline$storm_IoSetCancelRoutine$1$anon1#2;
-
- inline$storm_IoSetCancelRoutine$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_12#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12#2:
- goto inline$storm_IoSetCancelRoutine$1$label_12_true#2, inline$storm_IoSetCancelRoutine$1$label_12_false#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12_false#2:
- assume 0 == 0;
- goto inline$storm_IoSetCancelRoutine$1$label_13#2;
-
- inline$storm_IoSetCancelRoutine$1$label_13#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCancelRoutine$1$label_1#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12_true#2:
- assume 0 != 0;
- goto inline$storm_IoSetCancelRoutine$1$label_8#2;
-
- inline$storm_IoSetCancelRoutine$1$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoSetCancelRoutine$1$label_16#2;
-
- inline$storm_IoSetCancelRoutine$1$label_16#2:
- inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
- call contextSwitch();
- inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$myVar_0;
- goto inline$storm_IoSetCancelRoutine$1$label_17#2;
-
- inline$storm_IoSetCancelRoutine$1$label_17#2:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_18#2;
-
- inline$storm_IoSetCancelRoutine$1$label_18#2:
- goto inline$storm_IoSetCancelRoutine$1$anon6_Then#2, inline$storm_IoSetCancelRoutine$1$anon6_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon6_Else#2:
- assume __storm_init;
- goto inline$storm_IoSetCancelRoutine$1$anon4#2;
-
- inline$storm_IoSetCancelRoutine$1$anon6_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoSetCancelRoutine$1$anon4#2;
-
- inline$storm_IoSetCancelRoutine$1$anon4#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_21#2;
-
- inline$storm_IoSetCancelRoutine$1$label_21#2:
- inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$ := inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine;
- goto inline$storm_IoSetCancelRoutine$1$label_1#2;
-
- inline$storm_IoSetCancelRoutine$1$label_1#2:
- goto inline$storm_IoSetCancelRoutine$1$Return#2;
-
- inline$storm_IoSetCancelRoutine$1$anon5_Then#2:
- assume raiseException;
- goto inline$storm_IoSetCancelRoutine$1$Return#2;
-
- inline$storm_IoSetCancelRoutine$1$Return#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ := inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon25#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon25#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_72#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_73#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_73#2:
- goto inline$storm_IoMarkIrpPending$2$Entry#2;
-
- inline$storm_IoMarkIrpPending$2$Entry#2:
- inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$2$start#2;
-
- inline$storm_IoMarkIrpPending$2$start#2:
- inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$2$label_3#2;
-
- inline$storm_IoMarkIrpPending$2$label_3#2:
- call inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$2$label_6#2;
-
- inline$storm_IoMarkIrpPending$2$label_6#2:
- goto inline$storm_IoMarkIrpPending$2$label_6_true#2, inline$storm_IoMarkIrpPending$2$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$2$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$2$label_7#2;
-
- inline$storm_IoMarkIrpPending$2$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$2$anon3_Then#2, inline$storm_IoMarkIrpPending$2$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$2$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$2$anon1#2;
-
- inline$storm_IoMarkIrpPending$2$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$2$label_8#2;
-
- inline$storm_IoMarkIrpPending$2$label_8#2:
- goto inline$storm_IoMarkIrpPending$2$label_8_true#2, inline$storm_IoMarkIrpPending$2$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$2$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$2$label_9#2;
-
- inline$storm_IoMarkIrpPending$2$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_1#2:
- goto inline$storm_IoMarkIrpPending$2$Return#2;
-
- inline$storm_IoMarkIrpPending$2$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$2$Return#2;
-
- inline$storm_IoMarkIrpPending$2$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon27#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_78#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_78#2:
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$ := storm_nondet();
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_81#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_81#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_76#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_76#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_77#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_77#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_58#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_58#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741823;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_59#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$0$Entry#2;
-
- inline$storm_KeReleaseSpinLock$0$Entry#2:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
- goto inline$storm_KeReleaseSpinLock$0$start#2;
-
- inline$storm_KeReleaseSpinLock$0$start#2:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
- goto inline$storm_KeReleaseSpinLock$0$label_3#2;
-
- inline$storm_KeReleaseSpinLock$0$label_3#2:
- goto inline$storm_KeReleaseSpinLock$0$label_4#2;
-
- inline$storm_KeReleaseSpinLock$0$label_4#2:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$0$label_7#2;
-
- inline$storm_KeReleaseSpinLock$0$label_7#2:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon5_Then#2, inline$storm_KeReleaseSpinLock$0$anon5_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon5_Else#2:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon1#2;
-
- inline$storm_KeReleaseSpinLock$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_8#2;
-
- inline$storm_KeReleaseSpinLock$0$label_8#2:
- goto inline$storm_getThreadID$1$Entry#2;
-
- inline$storm_getThreadID$1$Entry#2:
- goto inline$storm_getThreadID$1$anon0#2;
-
- inline$storm_getThreadID$1$anon0#2:
- inline$storm_getThreadID$1$tidRet := tid;
- goto inline$storm_getThreadID$1$Return#2;
-
- inline$storm_getThreadID$1$Return#2:
- inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tidRet;
- goto inline$storm_KeReleaseSpinLock$0$label_8$1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_8$1#2:
- goto inline$storm_KeReleaseSpinLock$0$label_11#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11#2:
- goto inline$storm_KeReleaseSpinLock$0$label_11_true#2, inline$storm_KeReleaseSpinLock$0$label_11_false#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11_false#2:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_12#2;
-
- inline$storm_KeReleaseSpinLock$0$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$0$label_1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11_true#2:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_15#2;
-
- inline$storm_KeReleaseSpinLock$0$label_15#2:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_16#2;
-
- inline$storm_KeReleaseSpinLock$0$label_16#2:
- goto inline$storm_KeReleaseSpinLock$0$anon6_Then#2, inline$storm_KeReleaseSpinLock$0$anon6_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon6_Else#2:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$0$anon4#2;
-
- inline$storm_KeReleaseSpinLock$0$anon6_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$0$anon4#2;
-
- inline$storm_KeReleaseSpinLock$0$anon4#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_1#2:
- goto inline$storm_KeReleaseSpinLock$0$Return#2;
-
- inline$storm_KeReleaseSpinLock$0$anon5_Then#2:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$0$Return#2;
-
- inline$storm_KeReleaseSpinLock$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon19#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon19#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon30_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_26#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_27#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741670;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_28#2:
- goto inline$storm_ExAllocatePoolWithTag$0$Entry#2;
-
- inline$storm_ExAllocatePoolWithTag$0$Entry#2:
- inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1 := 12;
- goto inline$storm_ExAllocatePoolWithTag$0$start#2;
-
- inline$storm_ExAllocatePoolWithTag$0$start#2:
- inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag := inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1;
- goto inline$storm_ExAllocatePoolWithTag$0$label_3#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_3#2:
- call inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$ := __HAVOC_malloc(inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag);
- goto inline$storm_ExAllocatePoolWithTag$0$label_6#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_6#2:
- inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$ := inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$;
- goto inline$storm_ExAllocatePoolWithTag$0$label_1#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_1#2:
- goto inline$storm_ExAllocatePoolWithTag$0$Return#2;
-
- inline$storm_ExAllocatePoolWithTag$0$Return#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$ := inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon31_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon31_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon31_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon7#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon7#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_31#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_31#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_32#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_34#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_34#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$ := IoAllocateWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon32_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon32_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon32_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon9#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon9#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_37#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_37#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_38#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_39#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_39#2:
- call ExFreePoolWithTag(inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent, 0);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon33_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon33_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon33_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon11#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon11#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon33_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_42#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_42#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_43#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_43#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_44#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_44#2:
- goto inline$storm_IoMarkIrpPending$0$Entry#2;
-
- inline$storm_IoMarkIrpPending$0$Entry#2:
- inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$0$start#2;
-
- inline$storm_IoMarkIrpPending$0$start#2:
- inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$0$label_3#2;
-
- inline$storm_IoMarkIrpPending$0$label_3#2:
- call inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$0$label_6#2;
-
- inline$storm_IoMarkIrpPending$0$label_6#2:
- goto inline$storm_IoMarkIrpPending$0$label_6_true#2, inline$storm_IoMarkIrpPending$0$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$0$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$0$label_7#2;
-
- inline$storm_IoMarkIrpPending$0$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$0$anon3_Then#2, inline$storm_IoMarkIrpPending$0$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$0$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$0$anon1#2;
-
- inline$storm_IoMarkIrpPending$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$0$label_8#2;
-
- inline$storm_IoMarkIrpPending$0$label_8#2:
- goto inline$storm_IoMarkIrpPending$0$label_8_true#2, inline$storm_IoMarkIrpPending$0$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$0$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$0$label_9#2;
-
- inline$storm_IoMarkIrpPending$0$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_1#2:
- goto inline$storm_IoMarkIrpPending$0$Return#2;
-
- inline$storm_IoMarkIrpPending$0$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$0$Return#2;
-
- inline$storm_IoMarkIrpPending$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon13#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon13#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_47#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_47#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- call IoQueueWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, I8xCompleteSysButtonEventWorker, 1, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon15#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon15#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_50#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_50#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_33#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2:
- assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2:
- assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_53#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_53#2:
- goto inline$I8xCompleteSysButtonIrp$0$Entry#2;
-
- inline$I8xCompleteSysButtonIrp$0$Entry#2:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$I8xCompleteSysButtonIrp$0$start#2;
-
- inline$I8xCompleteSysButtonIrp$0$start#2:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
- goto inline$I8xCompleteSysButtonIrp$0$label_3#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_3#2:
- havoc inline$I8xCompleteSysButtonIrp$0$myNondetVar_0;
- goto inline$I8xCompleteSysButtonIrp$0$label_4#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_4#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_5#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_5#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_6#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_6#2:
- goto inline$storm_IoCompleteRequest$2$Entry#2;
-
- inline$storm_IoCompleteRequest$2$Entry#2:
- inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
- goto inline$storm_IoCompleteRequest$2$start#2;
-
- inline$storm_IoCompleteRequest$2$start#2:
- inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$2$label_3#2;
-
- inline$storm_IoCompleteRequest$2$label_3#2:
- call inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$2$label_6#2;
-
- inline$storm_IoCompleteRequest$2$label_6#2:
- goto inline$storm_IoCompleteRequest$2$label_6_true#2, inline$storm_IoCompleteRequest$2$label_6_false#2;
-
- inline$storm_IoCompleteRequest$2$label_6_false#2:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$2$label_7#2;
-
- inline$storm_IoCompleteRequest$2$label_6_true#2:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$2$label_8#2;
-
- inline$storm_IoCompleteRequest$2$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$2$anon3_Then#2, inline$storm_IoCompleteRequest$2$anon3_Else#2;
-
- inline$storm_IoCompleteRequest$2$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$2$anon1#2;
-
- inline$storm_IoCompleteRequest$2$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_9#2;
-
- inline$storm_IoCompleteRequest$2$label_9#2:
- goto inline$storm_IoCompleteRequest$2$label_9_true#2, inline$storm_IoCompleteRequest$2$label_9_false#2;
-
- inline$storm_IoCompleteRequest$2$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$2$label_10#2;
-
- inline$storm_IoCompleteRequest$2$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$2$label_1#2;
-
- inline$storm_IoCompleteRequest$2$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$2$label_7#2;
-
- inline$storm_IoCompleteRequest$2$label_7#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_1#2;
-
- inline$storm_IoCompleteRequest$2$label_1#2:
- goto inline$storm_IoCompleteRequest$2$Return#2;
-
- inline$storm_IoCompleteRequest$2$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$2$Return#2;
-
- inline$storm_IoCompleteRequest$2$Return#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_6$1#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_6$1#2:
- goto inline$I8xCompleteSysButtonIrp$0$anon2_Then#2, inline$I8xCompleteSysButtonIrp$0$anon2_Else#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon2_Else#2:
- assume !raiseException;
- goto inline$I8xCompleteSysButtonIrp$0$anon1#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon1#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_1#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_1#2:
- goto inline$I8xCompleteSysButtonIrp$0$Return#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon2_Then#2:
- assume raiseException;
- goto inline$I8xCompleteSysButtonIrp$0$Return#2;
-
- inline$I8xCompleteSysButtonIrp$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon17#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon17#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_52#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon32_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon31_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_16#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_16#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741306;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_17#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_17#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_18#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_19#2:
- goto inline$storm_IoCompleteRequest$1$Entry#2;
-
- inline$storm_IoCompleteRequest$1$Entry#2:
- inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoCompleteRequest$1$start#2;
-
- inline$storm_IoCompleteRequest$1$start#2:
- inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$1$label_3#2;
-
- inline$storm_IoCompleteRequest$1$label_3#2:
- call inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$1$label_6#2;
-
- inline$storm_IoCompleteRequest$1$label_6#2:
- goto inline$storm_IoCompleteRequest$1$label_6_true#2, inline$storm_IoCompleteRequest$1$label_6_false#2;
-
- inline$storm_IoCompleteRequest$1$label_6_false#2:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$1$label_7#2;
-
- inline$storm_IoCompleteRequest$1$label_6_true#2:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$1$label_8#2;
-
- inline$storm_IoCompleteRequest$1$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$1$anon3_Then#2, inline$storm_IoCompleteRequest$1$anon3_Else#2;
-
- inline$storm_IoCompleteRequest$1$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$1$anon1#2;
-
- inline$storm_IoCompleteRequest$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_9#2;
-
- inline$storm_IoCompleteRequest$1$label_9#2:
- goto inline$storm_IoCompleteRequest$1$label_9_true#2, inline$storm_IoCompleteRequest$1$label_9_false#2;
-
- inline$storm_IoCompleteRequest$1$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$1$label_10#2;
-
- inline$storm_IoCompleteRequest$1$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$1$label_1#2;
-
- inline$storm_IoCompleteRequest$1$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$1$label_7#2;
-
- inline$storm_IoCompleteRequest$1$label_7#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_1#2;
-
- inline$storm_IoCompleteRequest$1$label_1#2:
- goto inline$storm_IoCompleteRequest$1$Return#2;
-
- inline$storm_IoCompleteRequest$1$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$1$Return#2;
-
- inline$storm_IoCompleteRequest$1$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon29_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon29_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon29_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon3#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon3#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_22#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_22#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_1#2:
- call __HAVOC_free(inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent);
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon29_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon28_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Return#2:
- goto inline$I8xDeviceControl$0$label_24$1#2;
-
- inline$I8xDeviceControl$0$label_24$1#2:
- goto inline$I8xDeviceControl$0$anon13_Then#2, inline$I8xDeviceControl$0$anon13_Else#2;
-
- inline$I8xDeviceControl$0$anon13_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon7#2;
-
- inline$I8xDeviceControl$0$anon7#2:
- goto inline$I8xDeviceControl$0$label_27#2;
-
- inline$I8xDeviceControl$0$label_27#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$anon13_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_19_case_1#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703680;
- goto inline$I8xDeviceControl$0$label_21#2;
-
- inline$I8xDeviceControl$0$label_21#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$Entry#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$Entry#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$I8xKeyboardGetSysButtonCaps$0$start#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$start#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_3#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_3#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_4#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_4#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_5#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_5#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_6#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_6#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_7#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_7#2:
- call __PREfastPagedCode();
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_10#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_10#2:
- goto inline$IoGetCurrentIrpStackLocation$3$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$3$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$3$start#2;
-
- inline$IoGetCurrentIrpStackLocation$3$start#2:
- goto inline$IoGetCurrentIrpStackLocation$3$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$3$label_3#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$3$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$3$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$3$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$3$Return#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon3#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon3#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_13#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_13#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_14#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_14#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_15#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume !INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_16#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_16#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_24#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_24#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_25#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_27#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_27#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 1);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_29#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_29#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 2);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_31#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_31#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, BOOGIE_LARGE_INT_2147483648);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_30#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_32#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_32#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_17#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_17#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_18#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_19#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_19#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_20#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_20#2:
- goto inline$storm_IoCompleteRequest$0$Entry#2;
-
- inline$storm_IoCompleteRequest$0$Entry#2:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps;
- goto inline$storm_IoCompleteRequest$0$start#2;
-
- inline$storm_IoCompleteRequest$0$start#2:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$0$label_3#2;
-
- inline$storm_IoCompleteRequest$0$label_3#2:
- call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$0$label_6#2;
-
- inline$storm_IoCompleteRequest$0$label_6#2:
- goto inline$storm_IoCompleteRequest$0$label_6_true#2, inline$storm_IoCompleteRequest$0$label_6_false#2;
-
- inline$storm_IoCompleteRequest$0$label_6_false#2:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$0$label_7#2;
-
- inline$storm_IoCompleteRequest$0$label_6_true#2:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$0$label_8#2;
-
- inline$storm_IoCompleteRequest$0$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$0$anon3_Then#2, inline$storm_IoCompleteRequest$0$anon3_Else#2;
-
- inline$storm_IoCompleteRequest$0$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$0$anon1#2;
-
- inline$storm_IoCompleteRequest$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_9#2;
-
- inline$storm_IoCompleteRequest$0$label_9#2:
- goto inline$storm_IoCompleteRequest$0$label_9_true#2, inline$storm_IoCompleteRequest$0$label_9_false#2;
-
- inline$storm_IoCompleteRequest$0$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$0$label_10#2;
-
- inline$storm_IoCompleteRequest$0$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$0$label_1#2;
-
- inline$storm_IoCompleteRequest$0$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$0$label_7#2;
-
- inline$storm_IoCompleteRequest$0$label_7#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_1#2;
-
- inline$storm_IoCompleteRequest$0$label_1#2:
- goto inline$storm_IoCompleteRequest$0$Return#2;
-
- inline$storm_IoCompleteRequest$0$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$0$Return#2;
-
- inline$storm_IoCompleteRequest$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon5#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon5#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_23#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_23#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$Return#2:
- goto inline$I8xDeviceControl$0$label_21$1#2;
-
- inline$I8xDeviceControl$0$label_21$1#2:
- goto inline$I8xDeviceControl$0$anon12_Then#2, inline$I8xDeviceControl$0$anon12_Else#2;
-
- inline$I8xDeviceControl$0$anon12_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon5#2;
-
- inline$I8xDeviceControl$0$anon5#2:
- goto inline$I8xDeviceControl$0$label_28#2;
-
- inline$I8xDeviceControl$0$label_28#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$anon12_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_19_case_0#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703680;
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703684;
- goto inline$I8xDeviceControl$0$label_20#2;
-
- inline$I8xDeviceControl$0$label_20#2:
- goto inline$I8xDeviceControl$0$label_29#2;
-
- inline$I8xDeviceControl$0$anon11_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_14_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) != 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_12#2:
- goto inline$I8xDeviceControl$0$label_29#2;
-
- inline$I8xDeviceControl$0$label_29#2:
- goto inline$I8xDeviceControl$0$label_30#2;
-
- inline$I8xDeviceControl$0$label_30#2:
- goto inline$storm_IoCompleteRequest$3$Entry#2;
-
- inline$storm_IoCompleteRequest$3$Entry#2:
- inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$storm_IoCompleteRequest$3$start#2;
-
- inline$storm_IoCompleteRequest$3$start#2:
- inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$3$label_3#2;
-
- inline$storm_IoCompleteRequest$3$label_3#2:
- call inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$3$label_6#2;
-
- inline$storm_IoCompleteRequest$3$label_6#2:
- goto inline$storm_IoCompleteRequest$3$label_6_true#2, inline$storm_IoCompleteRequest$3$label_6_false#2;
-
- inline$storm_IoCompleteRequest$3$label_6_false#2:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$3$label_7#2;
-
- inline$storm_IoCompleteRequest$3$label_6_true#2:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$3$label_8#2;
-
- inline$storm_IoCompleteRequest$3$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$3$anon3_Then#2, inline$storm_IoCompleteRequest$3$anon3_Else#2;
-
- inline$storm_IoCompleteRequest$3$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$3$anon1#2;
-
- inline$storm_IoCompleteRequest$3$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_9#2;
-
- inline$storm_IoCompleteRequest$3$label_9#2:
- goto inline$storm_IoCompleteRequest$3$label_9_true#2, inline$storm_IoCompleteRequest$3$label_9_false#2;
-
- inline$storm_IoCompleteRequest$3$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$3$label_10#2;
-
- inline$storm_IoCompleteRequest$3$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$3$label_1#2;
-
- inline$storm_IoCompleteRequest$3$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$3$label_7#2;
-
- inline$storm_IoCompleteRequest$3$label_7#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_1#2;
-
- inline$storm_IoCompleteRequest$3$label_1#2:
- goto inline$storm_IoCompleteRequest$3$Return#2;
-
- inline$storm_IoCompleteRequest$3$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$3$Return#2;
-
- inline$storm_IoCompleteRequest$3$Return#2:
- goto inline$I8xDeviceControl$0$label_30$1#2;
-
- inline$I8xDeviceControl$0$label_30$1#2:
- goto inline$I8xDeviceControl$0$anon14_Then#2, inline$I8xDeviceControl$0$anon14_Else#2;
-
- inline$I8xDeviceControl$0$anon14_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon9#2;
-
- inline$I8xDeviceControl$0$anon9#2:
- goto inline$I8xDeviceControl$0$label_33#2;
-
- inline$I8xDeviceControl$0$label_33#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$label_1#2:
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$anon14_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$anon10_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$Return#2:
- goto inline$dispatch$0$label_8$1#2;
-
- inline$dispatch$0$label_8$1#2:
- goto inline$dispatch$0$anon5_Then#2, inline$dispatch$0$anon5_Else#2;
-
- inline$dispatch$0$anon5_Else#2:
- assume !raiseException;
- goto inline$dispatch$0$anon3#2;
-
- inline$dispatch$0$anon3#2:
- goto inline$dispatch$0$label_11#2;
-
- inline$dispatch$0$label_11#2:
- goto inline$dispatch$0$label_1#2;
-
- inline$dispatch$0$label_1#2:
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$anon5_Then#2:
- assume raiseException;
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$anon4_Then#2:
- assume raiseException;
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$Return#2:
- goto label_23$1#2;
-
- label_23$1#2:
- goto anon21_Then#2, anon21_Else#2;
-
- anon21_Else#2:
- assume !(errorReached || !raiseException);
- goto anon11#2;
-
- anon21_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_1 := true;
- goto anon11#2;
-
- anon11#2:
- k := k_old_0;
- tid := tid_old_0;
- goto label_26#2;
-
- label_26#2:
- goto label_27#2;
-
- label_27#2:
- k_old_1 := k;
- tid_old_1 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$cancel$0$Entry#2;
-
- inline$cancel$0$Entry#2:
- inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
- goto inline$cancel$0$start#2;
-
- inline$cancel$0$start#2:
- inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
- goto inline$cancel$0$label_3#2;
-
- inline$cancel$0$label_3#2:
- goto inline$storm_IoCancelIrp$0$Entry#2;
-
- inline$storm_IoCancelIrp$0$Entry#2:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
- goto inline$storm_IoCancelIrp$0$start#2;
-
- inline$storm_IoCancelIrp$0$start#2:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
- goto inline$storm_IoCancelIrp$0$label_3#2;
-
- inline$storm_IoCancelIrp$0$label_3#2:
- goto inline$storm_IoCancelIrp$0$label_4#2;
-
- inline$storm_IoCancelIrp$0$label_4#2:
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_5#2;
-
- inline$storm_IoCancelIrp$0$label_5#2:
- __storm_atomic := true;
- goto inline$storm_IoCancelIrp$0$label_8#2;
-
- inline$storm_IoCancelIrp$0$label_8#2:
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
- call contextSwitch();
- inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
- goto inline$storm_IoCancelIrp$0$label_9#2;
-
- inline$storm_IoCancelIrp$0$label_9#2:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_10#2;
-
- inline$storm_IoCancelIrp$0$label_10#2:
- goto inline$storm_IoCancelIrp$0$anon11_Then#2, inline$storm_IoCancelIrp$0$anon11_Else#2;
-
- inline$storm_IoCancelIrp$0$anon11_Else#2:
- assume __storm_init;
- goto inline$storm_IoCancelIrp$0$anon1#2;
-
- inline$storm_IoCancelIrp$0$anon11_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoCancelIrp$0$anon1#2;
-
- inline$storm_IoCancelIrp$0$anon1#2:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_13#2;
-
- inline$storm_IoCancelIrp$0$label_13#2:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
- assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
- goto inline$storm_IoAcquireCancelSpinLock$0$Entry#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$Entry#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$start#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$start#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_3#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_3#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4#2:
- goto inline$storm_getThreadID$2$Entry#2;
-
- inline$storm_getThreadID$2$Entry#2:
- goto inline$storm_getThreadID$2$anon0#2;
-
- inline$storm_getThreadID$2$anon0#2:
- inline$storm_getThreadID$2$tidRet := tid;
- goto inline$storm_getThreadID$2$Return#2;
-
- inline$storm_getThreadID$2$Return#2:
- inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$2$tidRet;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_7#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_7#2:
- inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_8#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2:
- assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_12#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2:
- assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_15#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_15#2:
- havoc raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#2:
- assume !raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon2#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon2#2:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_16#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_16#2:
- cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_17#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_17#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#2:
- assume __storm_init;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon4#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon4#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon4#2:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_1#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#2:
- assume raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$Return#2:
- goto inline$storm_IoCancelIrp$0$label_13$1#2;
-
- inline$storm_IoCancelIrp$0$label_13$1#2:
- goto inline$storm_IoCancelIrp$0$anon12_Then#2, inline$storm_IoCancelIrp$0$anon12_Else#2;
-
- inline$storm_IoCancelIrp$0$anon12_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon3#2;
-
- inline$storm_IoCancelIrp$0$anon3#2:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- goto inline$storm_IoCancelIrp$0$label_16#2;
-
- inline$storm_IoCancelIrp$0$label_16#2:
- goto inline$storm_IoCancelIrp$0$label_16_true#2, inline$storm_IoCancelIrp$0$label_16_false#2;
-
- inline$storm_IoCancelIrp$0$label_16_false#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
- goto inline$storm_IoCancelIrp$0$label_17#2;
-
- inline$storm_IoCancelIrp$0$label_17#2:
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_16_true#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
- goto inline$storm_IoCancelIrp$0$label_18#2;
-
- inline$storm_IoCancelIrp$0$label_18#2:
- goto inline$storm_IoCancelIrp$0$label_19#2;
-
- inline$storm_IoCancelIrp$0$label_19#2:
- call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
- goto inline$storm_IoCancelIrp$0$label_22#2;
-
- inline$storm_IoCancelIrp$0$label_22#2:
- goto inline$storm_IoCancelIrp$0$label_22_true#2, inline$storm_IoCancelIrp$0$label_22_false#2;
-
- inline$storm_IoCancelIrp$0$label_22_false#2:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
- goto inline$storm_IoCancelIrp$0$label_23#2;
-
- inline$storm_IoCancelIrp$0$label_22_true#2:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
- goto inline$storm_IoCancelIrp$0$label_26#2;
-
- inline$storm_IoCancelIrp$0$label_26#2:
- havoc raiseException;
- goto inline$storm_IoCancelIrp$0$anon14_Then#2, inline$storm_IoCancelIrp$0$anon14_Else#2;
-
- inline$storm_IoCancelIrp$0$anon14_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon7#2;
-
- inline$storm_IoCancelIrp$0$anon7#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_27#2;
-
- inline$storm_IoCancelIrp$0$label_27#2:
- goto inline$storm_IoCancelIrp$0$label_27_true#2, inline$storm_IoCancelIrp$0$label_27_false#2;
-
- inline$storm_IoCancelIrp$0$label_27_false#2:
- assume 0 == 0;
- goto inline$storm_IoCancelIrp$0$label_28#2;
-
- inline$storm_IoCancelIrp$0$label_28#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_27_true#2:
- assume 0 != 0;
- goto inline$storm_IoCancelIrp$0$label_23#2;
-
- inline$storm_IoCancelIrp$0$label_23#2:
- goto inline$IoGetCurrentIrpStackLocation$5$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$5$Entry#2:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
- goto inline$IoGetCurrentIrpStackLocation$5$start#2;
-
- inline$IoGetCurrentIrpStackLocation$5$start#2:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
- goto inline$IoGetCurrentIrpStackLocation$5$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$5$label_3#2:
- inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$5$myVar_0;
- goto inline$IoGetCurrentIrpStackLocation$5$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$5$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$5$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$5$Return#2:
- inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$ := inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
- goto inline$storm_IoCancelIrp$0$label_23$1#2;
-
- inline$storm_IoCancelIrp$0$label_23$1#2:
- goto inline$storm_IoCancelIrp$0$anon13_Then#2, inline$storm_IoCancelIrp$0$anon13_Else#2;
-
- inline$storm_IoCancelIrp$0$anon13_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon5#2;
-
- inline$storm_IoCancelIrp$0$anon5#2:
- goto inline$storm_IoCancelIrp$0$label_31#2;
-
- inline$storm_IoCancelIrp$0$label_31#2:
- inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$;
- goto inline$storm_IoCancelIrp$0$label_32#2;
-
- inline$storm_IoCancelIrp$0$label_32#2:
- goto inline$storm_IoCancelIrp$0$label_32_icall_1#2;
-
- inline$storm_IoCancelIrp$0$label_32_icall_1#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == I8xSysButtonCancelRoutine;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
- call contextSwitch();
- goto inline$I8xSysButtonCancelRoutine$0$Entry#2;
-
- inline$I8xSysButtonCancelRoutine$0$Entry#2:
- inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$myVar_0;
- inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
- goto inline$I8xSysButtonCancelRoutine$0$start#2;
-
- inline$I8xSysButtonCancelRoutine$0$start#2:
- call inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine := __HAVOC_malloc(1);
- inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1;
- inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1;
- goto inline$I8xSysButtonCancelRoutine$0$label_3#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_3#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_4#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_4#2:
- inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
- call contextSwitch();
- inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$myVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_5#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_5#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_6#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_6#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_7#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_7#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$1$Entry#2;
-
- inline$storm_KeAcquireSpinLock$1$Entry#2:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
- goto inline$storm_KeAcquireSpinLock$1$start#2;
-
- inline$storm_KeAcquireSpinLock$1$start#2:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
- goto inline$storm_KeAcquireSpinLock$1$label_3#2;
-
- inline$storm_KeAcquireSpinLock$1$label_3#2:
- goto inline$storm_KeAcquireSpinLock$1$label_4#2;
-
- inline$storm_KeAcquireSpinLock$1$label_4#2:
- goto inline$storm_getThreadID$3$Entry#2;
-
- inline$storm_getThreadID$3$Entry#2:
- goto inline$storm_getThreadID$3$anon0#2;
-
- inline$storm_getThreadID$3$anon0#2:
- inline$storm_getThreadID$3$tidRet := tid;
- goto inline$storm_getThreadID$3$Return#2;
-
- inline$storm_getThreadID$3$Return#2:
- inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tidRet;
- goto inline$storm_KeAcquireSpinLock$1$label_4$1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_4$1#2:
- goto inline$storm_KeAcquireSpinLock$1$label_7#2;
-
- inline$storm_KeAcquireSpinLock$1$label_7#2:
- inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$1$label_8#2;
-
- inline$storm_KeAcquireSpinLock$1$label_8#2:
- goto inline$storm_KeAcquireSpinLock$1$label_9#2;
-
- inline$storm_KeAcquireSpinLock$1$label_9#2:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$1$label_12#2;
-
- inline$storm_KeAcquireSpinLock$1$label_12#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon7_Then#2, inline$storm_KeAcquireSpinLock$1$anon7_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon7_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon1#2;
-
- inline$storm_KeAcquireSpinLock$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_13#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13#2:
- goto inline$storm_KeAcquireSpinLock$1$label_13_true#2, inline$storm_KeAcquireSpinLock$1$label_13_false#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13_false#2:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$1$label_14#2;
-
- inline$storm_KeAcquireSpinLock$1$label_14#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$1$label_1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13_true#2:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$1$label_17#2;
-
- inline$storm_KeAcquireSpinLock$1$label_17#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon8_Then#2, inline$storm_KeAcquireSpinLock$1$anon8_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon4#2;
-
- inline$storm_KeAcquireSpinLock$1$anon4#2:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
- goto inline$storm_KeAcquireSpinLock$1$label_18#2;
-
- inline$storm_KeAcquireSpinLock$1$label_18#2:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_19#2;
-
- inline$storm_KeAcquireSpinLock$1$label_19#2:
- goto inline$storm_KeAcquireSpinLock$1$anon9_Then#2, inline$storm_KeAcquireSpinLock$1$anon9_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon9_Else#2:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$1$anon6#2;
-
- inline$storm_KeAcquireSpinLock$1$anon9_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$1$anon6#2;
-
- inline$storm_KeAcquireSpinLock$1$anon6#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_1#2:
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$anon8_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$anon7_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_7$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_7$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon8_Then#2, inline$I8xSysButtonCancelRoutine$0$anon8_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon8_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon1#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon1#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_10#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_10#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_11#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_11#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_12#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_12#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$1$Entry#2;
-
- inline$storm_KeReleaseSpinLock$1$Entry#2:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
- goto inline$storm_KeReleaseSpinLock$1$start#2;
-
- inline$storm_KeReleaseSpinLock$1$start#2:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
- goto inline$storm_KeReleaseSpinLock$1$label_3#2;
-
- inline$storm_KeReleaseSpinLock$1$label_3#2:
- goto inline$storm_KeReleaseSpinLock$1$label_4#2;
-
- inline$storm_KeReleaseSpinLock$1$label_4#2:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$1$label_7#2;
-
- inline$storm_KeReleaseSpinLock$1$label_7#2:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon5_Then#2, inline$storm_KeReleaseSpinLock$1$anon5_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon5_Else#2:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon1#2;
-
- inline$storm_KeReleaseSpinLock$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_8#2;
-
- inline$storm_KeReleaseSpinLock$1$label_8#2:
- goto inline$storm_getThreadID$4$Entry#2;
-
- inline$storm_getThreadID$4$Entry#2:
- goto inline$storm_getThreadID$4$anon0#2;
-
- inline$storm_getThreadID$4$anon0#2:
- inline$storm_getThreadID$4$tidRet := tid;
- goto inline$storm_getThreadID$4$Return#2;
-
- inline$storm_getThreadID$4$Return#2:
- inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tidRet;
- goto inline$storm_KeReleaseSpinLock$1$label_8$1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_8$1#2:
- goto inline$storm_KeReleaseSpinLock$1$label_11#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11#2:
- goto inline$storm_KeReleaseSpinLock$1$label_11_true#2, inline$storm_KeReleaseSpinLock$1$label_11_false#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11_false#2:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_12#2;
-
- inline$storm_KeReleaseSpinLock$1$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$1$label_1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11_true#2:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_15#2;
-
- inline$storm_KeReleaseSpinLock$1$label_15#2:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_16#2;
-
- inline$storm_KeReleaseSpinLock$1$label_16#2:
- goto inline$storm_KeReleaseSpinLock$1$anon6_Then#2, inline$storm_KeReleaseSpinLock$1$anon6_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon6_Else#2:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$1$anon4#2;
-
- inline$storm_KeReleaseSpinLock$1$anon6_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$1$anon4#2;
-
- inline$storm_KeReleaseSpinLock$1$anon4#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_1#2:
- goto inline$storm_KeReleaseSpinLock$1$Return#2;
-
- inline$storm_KeReleaseSpinLock$1$anon5_Then#2:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$1$Return#2;
-
- inline$storm_KeReleaseSpinLock$1$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_12$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_12$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon9_Then#2, inline$I8xSysButtonCancelRoutine$0$anon9_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon9_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon3#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon3#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_15#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_15#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$storm_IoReleaseCancelSpinLock$0$Entry#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$Entry#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$start#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$start#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_3#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_3#2:
- __storm_atomic := true;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_6#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_6#2:
- goto inline$storm_getThreadID$5$Entry#2;
-
- inline$storm_getThreadID$5$Entry#2:
- goto inline$storm_getThreadID$5$anon0#2;
-
- inline$storm_getThreadID$5$anon0#2:
- inline$storm_getThreadID$5$tidRet := tid;
- goto inline$storm_getThreadID$5$Return#2;
-
- inline$storm_getThreadID$5$Return#2:
- inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$ := inline$storm_getThreadID$5$tidRet;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_9#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2, inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2:
- assume k == 0 ==> !INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_10#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_13#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_13#2:
- cancelLockStatus_0 := 0;
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_14#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_14#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$anon3_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon3_Else#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon3_Else#2:
- assume __storm_init;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon2#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon3_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon2#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon2#2:
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_1#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$Return#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_15$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_15$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon10_Then#2, inline$I8xSysButtonCancelRoutine$0$anon10_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon10_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon5#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon5#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_18#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_18#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_19#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_19#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_20#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_20#2:
- goto inline$storm_IoCompleteRequest$4$Entry#2;
-
- inline$storm_IoCompleteRequest$4$Entry#2:
- inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine;
- goto inline$storm_IoCompleteRequest$4$start#2;
-
- inline$storm_IoCompleteRequest$4$start#2:
- inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$4$label_3#2;
-
- inline$storm_IoCompleteRequest$4$label_3#2:
- call inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$4$label_6#2;
-
- inline$storm_IoCompleteRequest$4$label_6#2:
- goto inline$storm_IoCompleteRequest$4$label_6_true#2, inline$storm_IoCompleteRequest$4$label_6_false#2;
-
- inline$storm_IoCompleteRequest$4$label_6_false#2:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$4$label_7#2;
-
- inline$storm_IoCompleteRequest$4$label_6_true#2:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$4$label_8#2;
-
- inline$storm_IoCompleteRequest$4$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$4$anon3_Then#2, inline$storm_IoCompleteRequest$4$anon3_Else#2;
-
- inline$storm_IoCompleteRequest$4$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$4$anon1#2;
-
- inline$storm_IoCompleteRequest$4$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_9#2;
-
- inline$storm_IoCompleteRequest$4$label_9#2:
- goto inline$storm_IoCompleteRequest$4$label_9_true#2, inline$storm_IoCompleteRequest$4$label_9_false#2;
-
- inline$storm_IoCompleteRequest$4$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$4$label_10#2;
-
- inline$storm_IoCompleteRequest$4$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$4$label_1#2;
-
- inline$storm_IoCompleteRequest$4$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$4$label_7#2;
-
- inline$storm_IoCompleteRequest$4$label_7#2:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_1#2;
-
- inline$storm_IoCompleteRequest$4$label_1#2:
- goto inline$storm_IoCompleteRequest$4$Return#2;
-
- inline$storm_IoCompleteRequest$4$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$4$Return#2;
-
- inline$storm_IoCompleteRequest$4$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_20$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_20$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon11_Then#2, inline$I8xSysButtonCancelRoutine$0$anon11_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon11_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon7#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon7#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_1#2:
- call __HAVOC_free(inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine);
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon11_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon10_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon9_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon8_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$Return#2:
- goto inline$storm_IoCancelIrp$0$label_32_icall_1$1#2;
-
- inline$storm_IoCancelIrp$0$label_32_icall_1$1#2:
- goto inline$storm_IoCancelIrp$0$anon15_Then#2, inline$storm_IoCancelIrp$0$anon15_Else#2;
-
- inline$storm_IoCancelIrp$0$anon15_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon10#2;
-
- inline$storm_IoCancelIrp$0$anon10#2:
- goto inline$storm_IoCancelIrp$0$label_32_icall_return#2;
-
- inline$storm_IoCancelIrp$0$label_32_icall_return#2:
- goto inline$storm_IoCancelIrp$0$label_35#2;
-
- inline$storm_IoCancelIrp$0$label_35#2:
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_1#2:
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon15_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon13_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon14_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon12_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$Return#2:
- goto inline$cancel$0$label_3$1#2;
-
- inline$cancel$0$label_3$1#2:
- goto inline$cancel$0$anon2_Then#2, inline$cancel$0$anon2_Else#2;
-
- inline$cancel$0$anon2_Else#2:
- assume !raiseException;
- goto inline$cancel$0$anon1#2;
-
- inline$cancel$0$anon1#2:
- goto inline$cancel$0$label_1#2;
-
- inline$cancel$0$label_1#2:
- goto inline$cancel$0$Return#2;
-
- inline$cancel$0$anon2_Then#2:
- assume raiseException;
- goto inline$cancel$0$Return#2;
-
- inline$cancel$0$Return#2:
- goto label_27$1#2;
-
- label_27$1#2:
- goto anon22_Then#2, anon22_Else#2;
-
- anon22_Else#2:
- assume !(errorReached || !raiseException);
- goto anon13#2;
-
- anon22_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_2 := true;
- goto anon13#2;
-
- anon13#2:
- k := k_old_1;
- tid := tid_old_1;
- goto label_30#2;
-
- label_30#2:
- goto label_31#2;
-
- label_31#2:
- k_old_2 := k;
- tid_old_2 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$dpc$0$Entry#2;
-
- inline$dpc$0$Entry#2:
- goto inline$dpc$0$start#2;
-
- inline$dpc$0$start#2:
- goto inline$dpc$0$label_1#2;
-
- inline$dpc$0$label_1#2:
- goto inline$dpc$0$Return#2;
-
- inline$dpc$0$Return#2:
- goto label_31$1#2;
-
- label_31$1#2:
- goto anon23_Then#2, anon23_Else#2;
-
- anon23_Else#2:
- assume !(errorReached || !raiseException);
- goto anon15#2;
-
- anon23_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_3 := true;
- goto anon15#2;
-
- anon15#2:
- k := k_old_2;
- tid := tid_old_2;
- goto label_1#2;
-
- label_1#2:
- assert !errorReached;
- return;
-
- anon20_Then#2:
- assume raiseException;
- return;
-
- anon19_Then#2:
- assume raiseException;
- return;
-
- anon18_Then#2:
- assume raiseException;
- return;
-
- anon17_Then#2:
- assume raiseException;
- return;
-
- anon16_Then#2:
- assume raiseException;
- return;
-}
-
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var __storm_thread_done_0 : bool;
+var __storm_thread_done_1 : bool;
+var __storm_thread_done_2 : bool;
+var __storm_thread_done_3 : bool;
+
+var raiseException : bool;
+var errorReached : bool;
+var k : int;
+var __storm_atomic : bool;
+var __storm_init : bool;
+var tid : int;
+var tidCount : int;
+
+procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
+{
+ tidRet := tid;
+ return;
+}
+
+
+procedure storm_context_0();
+
+procedure contextSwitch();
+modifies k;
+ensures __storm_atomic ==> old(k) == k;
+ensures(old(k) <= k);
+ensures(k < 1);
+
+
+
+// Memory model
+
+// Mutable
+var alloc:int;
+
+// Immutable
+
+var Mem_0_T.CancelRoutine__IRP : [int]int;
+var Mem_0_T.Cancel__IRP : [int]int;
+var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+
+
+// Field declarations
+
+
+// Type declarations
+
+
+// Field offset definitions
+
+function AssociatedIrp__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
+axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelIrql__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
+axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelRoutine__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
+axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Cancel__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
+axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
+axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Context__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
+axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Control__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
+axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControllerData__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
+axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentLocation__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
+axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
+axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceObject__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
+axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
+
+
+//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
+axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Information__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
+axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Initialized_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
+axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptDescriptor_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
+axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptObject_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
+axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoControlCode___unnamed_16_ae81ad04(int) returns (int);
+
+
+//axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == x + 8);
+axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoStatus__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
+axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Irp__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == x + 8);
+axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IsKeyboard_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
+axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Item__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == x + 0);
+axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Item__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
+axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
+axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MajorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
+axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MakeCode__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == x + 4);
+axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MinorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
+axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MouseExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
+axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
+
+
+//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
+axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
+axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
+
+
+//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
+axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Parameters__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
+axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PendingReturned__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
+axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PnpDeviceState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == x + 316);
+axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == INT_ADD(x, 316));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
+axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerEvent__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == x + 329);
+axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 329));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerFlags__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
+axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
+axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
+axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Power___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function RemoveLock_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
+axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Self_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == x + 0);
+axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
+axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
+axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Started_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
+axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function State___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
+axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Status___unnamed_4_d4b13373(int) returns (int);
+
+
+//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
+axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
+axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
+axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
+axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
+axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Tail__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
+axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function TopOfStack_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
+axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Type___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
+axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
+axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
+axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+
+///////////////////////////////////
+// will be replaced by:
+// "//" when using bv mode
+// "" when using int mode
+// main reason is to avoid using bv for constants
+// or avoid translating lines that are complex or unsound
+//////////////////////////////////
+
+////////////////////////////////////////////
+/////// functions for int type /////////////
+// Theorem prover does not see INT_ADD etc.
+////////////////////////////////////////////
+function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
+function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
+
+function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
+function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
+function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
+function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
+function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
+function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
+
+
+////////////////////////////////////////////
+/////// functions for bv32 type /////////////
+// Theorem prover does not see INT_ADD etc.
+// we are treating unsigned ops now
+////////////////////////////////////////////
+function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
+function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
+
+function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
+
+//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
+//only enabled with bv theory
+// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
+
+//////////////////////////////////
+// Generic C Arithmetic operations
+/////////////////////////////////
+
+//Is this sound for bv32?
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+ axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+ INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
+
+//we just keep this axiom for size = 1
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
+
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
+
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
+
+function MULT(a:int, b:int) returns (int); // a*b
+//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+// Not sure if these axioms hold for BV too, just commet them for BV
+
+
+
+//uninterpreted binary op
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+
+//////////////////////////////////////////
+//// Bitwise ops (uninterpreted, used with int)
+//////////////////////////////////////////
+
+
+ function BIT_BAND(a:int, b:int) returns (x:int);
+
+
+ function BIT_BOR(a:int, b:int) returns (x:int);
+ function BIT_BXOR(a:int, b:int) returns (x:int);
+ function BIT_BNOT(a:int) returns (int);
+
+
+
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function PTR_NOT(a:int) returns (int);
+axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
+axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+
+function NewAlloc(x:int, y:int) returns (z:int);
+
+//Comments below make HAVOC_malloc deterministic
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+//requires obj_size >= 0;
+free requires INT_GEQ(obj_size, 0);
+modifies alloc;
+ensures new == old(alloc);
+//ensures alloc > new + obj_size;
+ensures INT_GT(alloc, INT_ADD(new, obj_size));
+//ensures alloc == NewAlloc(old(alloc), obj_size);
+
+
+
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+/*
+//bv functions
+function bv8ToInt(bv8) returns (int);
+function bv16ToInt(bv16) returns (int);
+function bv32ToInt(bv32) returns (int);
+function bv64ToInt(bv64) returns (int);
+
+function intToBv8(int) returns (bv8);
+function intToBv16(int) returns (bv16);
+function intToBv32(int) returns (bv32);
+function intToBv64(int) returns (bv64);
+
+axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
+axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
+axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
+axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
+
+axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
+axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
+axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
+axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
+*/
+
+
+
+var Res_0_COMPLETED : [int]int;
+var Res_KERNEL_SOURCE:[int]int;
+var Res_0_LOCK : [int]int;
+var Res_PROBED:[int]int;
+
+//Pointer constants
+
+//Function pointer constants
+
+
+const unique Globals : int;
+axiom(Globals != 0);
+const unique I8xCompleteSysButtonEventWorker : int;
+axiom(I8xCompleteSysButtonEventWorker != 0);
+const unique I8xPowerUpToD0Complete : int;
+axiom(I8xPowerUpToD0Complete != 0);
+const unique I8xReinitializeHardware : int;
+axiom(I8xReinitializeHardware != 0);
+const unique I8xSysButtonCancelRoutine : int;
+axiom(I8xSysButtonCancelRoutine != 0);
+var cancelLockStatus_0 : int;
+
+const unique hdevobj : int;
+axiom(hdevobj != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_2147483648:int;
+
+
+
+procedure DRIVER_CANCEL(a0:int, a1:int);
+
+
+
+procedure ExFreePoolWithTag(a0:int, a1:int);
+
+
+
+procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
+
+
+
+procedure IoAllocateWorkItem(a0:int) returns (ret:int);
+
+
+
+procedure IoDisconnectInterrupt(a0:int);
+
+
+
+procedure IoFreeWorkItem(a0:int);
+
+
+
+procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
+
+
+
+procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
+
+
+
+procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure PoStartNextPowerIrp(a0:int);
+
+
+
+procedure __PREfastPagedCode();
+
+
+
+procedure __storm_assert_dummy();
+
+
+
+procedure __storm_atomic_begin_dummy();
+
+
+
+procedure __storm_atomic_end_dummy();
+
+
+
+procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+
+
+
+procedure storm_nondet() returns (ret:int);
+
+
+
+procedure storm_main();
+ free requires 0 < alloc;
+ free requires 0 < tid;
+ free requires tid < tidCount;
+ requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
+ modifies tidCount, alloc, raiseException, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, __storm_thread_done_3, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION;
+
+
+
+implementation storm_main()
+{
+ var inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, $irpSp$2$92.21$storm_main: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$storm_getThreadID$5$tidRet: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$storm_getThreadID$0$tidRet: int, inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver: int, inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$: int, inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0: int, inline$storm_getThreadID$1$tidRet: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$storm_getThreadID$4$tidRet: int, inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$: int, inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$: int, inline$I8xSysButtonCancelRoutine$0$myVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, k_old_2: int, inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine: int, inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$: int, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$: int, inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine: int, inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_1: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_0: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0: int, inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine: int, inline$I8xDeviceControl$0$myVar_0: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoSetCancelRoutine$1$myVar_0: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_getThreadID$3$tidRet: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_getThreadID$2$tidRet: int, inline$I8xDeviceControl$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, $result.storm_IoAllocateIrp$96.21$1$: int, tidCount_old: int, inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xCompleteSysButtonIrp$0$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, tid_old_1: int, tid_old_0: int, tid_old_2: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$: int;
+
+ start#2:
+ __storm_thread_done_0 := false;
+ __storm_thread_done_1 := false;
+ __storm_thread_done_2 := false;
+ __storm_thread_done_3 := false;
+ k := 0;
+ errorReached := false;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto label_3#2;
+
+ label_3#2:
+ goto label_4#2;
+
+ label_4#2:
+ goto label_5#2;
+
+ label_5#2:
+ cancelLockStatus_0 := 0;
+ call contextSwitch();
+ goto label_6#2;
+
+ label_6#2:
+ goto inline$storm_IoAllocateIrp$0$Entry#2;
+
+ inline$storm_IoAllocateIrp$0$Entry#2:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
+ goto inline$storm_IoAllocateIrp$0$start#2;
+
+ inline$storm_IoAllocateIrp$0$start#2:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
+ goto inline$storm_IoAllocateIrp$0$label_3#2;
+
+ inline$storm_IoAllocateIrp$0$label_3#2:
+ goto inline$storm_IoAllocateIrp$0$label_4#2;
+
+ inline$storm_IoAllocateIrp$0$label_4#2:
+ goto inline$storm_IoAllocateIrp$0$label_5#2;
+
+ inline$storm_IoAllocateIrp$0$label_5#2:
+ call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
+ goto inline$storm_IoAllocateIrp$0$label_8#2;
+
+ inline$storm_IoAllocateIrp$0$label_8#2:
+ goto inline$storm_IoAllocateIrp$0$label_8_case_0#2, inline$storm_IoAllocateIrp$0$label_8_case_1#2;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_1#2:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
+ goto inline$storm_IoAllocateIrp$0$label_10#2;
+
+ inline$storm_IoAllocateIrp$0$label_10#2:
+ __storm_atomic := true;
+ goto inline$storm_IoAllocateIrp$0$label_13#2;
+
+ inline$storm_IoAllocateIrp$0$label_13#2:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
+ goto inline$storm_IoAllocateIrp$0$label_16#2;
+
+ inline$storm_IoAllocateIrp$0$label_16#2:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
+ goto inline$storm_IoAllocateIrp$0$label_17#2;
+
+ inline$storm_IoAllocateIrp$0$label_17#2:
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_18#2;
+
+ inline$storm_IoAllocateIrp$0$label_18#2:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_19#2;
+
+ inline$storm_IoAllocateIrp$0$label_19#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_20#2;
+
+ inline$storm_IoAllocateIrp$0$label_20#2:
+ havoc raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon6_Then#2, inline$storm_IoAllocateIrp$0$anon6_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon6_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon1#2;
+
+ inline$storm_IoAllocateIrp$0$anon1#2:
+ assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
+ goto inline$storm_IoAllocateIrp$0$label_21#2;
+
+ inline$storm_IoAllocateIrp$0$label_21#2:
+ inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
+ goto inline$storm_IoAllocateIrp$0$label_22#2;
+
+ inline$storm_IoAllocateIrp$0$label_22#2:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
+ goto inline$storm_IoAllocateIrp$0$label_25#2;
+
+ inline$storm_IoAllocateIrp$0$label_25#2:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
+ goto inline$storm_IoAllocateIrp$0$label_26#2;
+
+ inline$storm_IoAllocateIrp$0$label_26#2:
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_27#2;
+
+ inline$storm_IoAllocateIrp$0$label_27#2:
+ goto inline$IoGetNextIrpStackLocation$0$Entry#2;
+
+ inline$IoGetNextIrpStackLocation$0$Entry#2:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$IoGetNextIrpStackLocation$0$start#2;
+
+ inline$IoGetNextIrpStackLocation$0$start#2:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
+ goto inline$IoGetNextIrpStackLocation$0$label_3#2;
+
+ inline$IoGetNextIrpStackLocation$0$label_3#2:
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
+ call contextSwitch();
+ inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
+ goto inline$IoGetNextIrpStackLocation$0$label_1#2;
+
+ inline$IoGetNextIrpStackLocation$0$label_1#2:
+ goto inline$IoGetNextIrpStackLocation$0$Return#2;
+
+ inline$IoGetNextIrpStackLocation$0$Return#2:
+ inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
+ goto inline$storm_IoAllocateIrp$0$label_27$1#2;
+
+ inline$storm_IoAllocateIrp$0$label_27$1#2:
+ goto inline$storm_IoAllocateIrp$0$anon7_Then#2, inline$storm_IoAllocateIrp$0$anon7_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon7_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon3#2;
+
+ inline$storm_IoAllocateIrp$0$anon3#2:
+ goto inline$storm_IoAllocateIrp$0$label_30#2;
+
+ inline$storm_IoAllocateIrp$0$label_30#2:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
+ goto inline$storm_IoAllocateIrp$0$label_31#2;
+
+ inline$storm_IoAllocateIrp$0$label_31#2:
+ goto inline$storm_IoAllocateIrp$0$label_32#2;
+
+ inline$storm_IoAllocateIrp$0$label_32#2:
+ goto inline$storm_IoAllocateIrp$0$label_33#2;
+
+ inline$storm_IoAllocateIrp$0$label_33#2:
+ goto inline$storm_IoAllocateIrp$0$anon8_Then#2, inline$storm_IoAllocateIrp$0$anon8_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon8_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoAllocateIrp$0$anon5#2;
+
+ inline$storm_IoAllocateIrp$0$anon8_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAllocateIrp$0$anon5#2;
+
+ inline$storm_IoAllocateIrp$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_36#2;
+
+ inline$storm_IoAllocateIrp$0$anon7_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$anon6_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_0#2:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
+ goto inline$storm_IoAllocateIrp$0$label_9#2;
+
+ inline$storm_IoAllocateIrp$0$label_9#2:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
+ goto inline$storm_IoAllocateIrp$0$label_36#2;
+
+ inline$storm_IoAllocateIrp$0$label_36#2:
+ inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$storm_IoAllocateIrp$0$label_1#2;
+
+ inline$storm_IoAllocateIrp$0$label_1#2:
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$Return#2:
+ $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
+ goto label_6$1#2;
+
+ label_6$1#2:
+ goto anon16_Then#2, anon16_Else#2;
+
+ anon16_Else#2:
+ assume !raiseException;
+ goto anon1#2;
+
+ anon1#2:
+ goto label_9#2;
+
+ label_9#2:
+ $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
+ goto label_10#2;
+
+ label_10#2:
+ havoc raiseException;
+ goto anon17_Then#2, anon17_Else#2;
+
+ anon17_Else#2:
+ assume !raiseException;
+ goto anon3#2;
+
+ anon3#2:
+ assume INT_NEQ($irp$1$91.7$storm_main, 0);
+ goto label_11#2;
+
+ label_11#2:
+ goto inline$IoSetNextIrpStackLocation$0$Entry#2;
+
+ inline$IoSetNextIrpStackLocation$0$Entry#2:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoSetNextIrpStackLocation$0$start#2;
+
+ inline$IoSetNextIrpStackLocation$0$start#2:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
+ goto inline$IoSetNextIrpStackLocation$0$label_3#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_3#2:
+ havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
+ goto inline$IoSetNextIrpStackLocation$0$label_4#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_4#2:
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$0$label_1#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_1#2:
+ goto inline$IoSetNextIrpStackLocation$0$Return#2;
+
+ inline$IoSetNextIrpStackLocation$0$Return#2:
+ goto label_11$1#2;
+
+ label_11$1#2:
+ goto anon18_Then#2, anon18_Else#2;
+
+ anon18_Else#2:
+ assume !raiseException;
+ goto anon5#2;
+
+ anon5#2:
+ goto label_14#2;
+
+ label_14#2:
+ goto inline$IoGetCurrentIrpStackLocation$0$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$Entry#2:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoGetCurrentIrpStackLocation$0$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$start#2:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3#2:
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$0$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$Return#2:
+ $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
+ goto label_14$1#2;
+
+ label_14$1#2:
+ goto anon19_Then#2, anon19_Else#2;
+
+ anon19_Else#2:
+ assume !raiseException;
+ goto anon7#2;
+
+ anon7#2:
+ goto label_17#2;
+
+ label_17#2:
+ $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
+ goto label_18#2;
+
+ label_18#2:
+ Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
+ call contextSwitch();
+ goto label_19#2;
+
+ label_19#2:
+ goto inline$myInitDriver$0$Entry#2;
+
+ inline$myInitDriver$0$Entry#2:
+ goto inline$myInitDriver$0$start#2;
+
+ inline$myInitDriver$0$start#2:
+ goto inline$myInitDriver$0$label_3#2;
+
+ inline$myInitDriver$0$label_3#2:
+ goto inline$myInitDriver$0$label_4#2;
+
+ inline$myInitDriver$0$label_4#2:
+ inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
+ call contextSwitch();
+ inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver := inline$myInitDriver$0$myVar_0;
+ goto inline$myInitDriver$0$label_5#2;
+
+ inline$myInitDriver$0$label_5#2:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$0$Entry#2;
+
+ inline$storm_KeInitializeSpinLock$0$Entry#2:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver);
+ goto inline$storm_KeInitializeSpinLock$0$start#2;
+
+ inline$storm_KeInitializeSpinLock$0$start#2:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1;
+ goto inline$storm_KeInitializeSpinLock$0$label_3#2;
+
+ inline$storm_KeInitializeSpinLock$0$label_3#2:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$0$label_1#2;
+
+ inline$storm_KeInitializeSpinLock$0$label_1#2:
+ goto inline$storm_KeInitializeSpinLock$0$Return#2;
+
+ inline$storm_KeInitializeSpinLock$0$Return#2:
+ goto inline$myInitDriver$0$label_5$1#2;
+
+ inline$myInitDriver$0$label_5$1#2:
+ goto inline$myInitDriver$0$anon2_Then#2, inline$myInitDriver$0$anon2_Else#2;
+
+ inline$myInitDriver$0$anon2_Else#2:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon1#2;
+
+ inline$myInitDriver$0$anon1#2:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_1#2;
+
+ inline$myInitDriver$0$label_1#2:
+ goto inline$myInitDriver$0$Return#2;
+
+ inline$myInitDriver$0$anon2_Then#2:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#2;
+
+ inline$myInitDriver$0$Return#2:
+ goto label_19$1#2;
+
+ label_19$1#2:
+ goto anon20_Then#2, anon20_Else#2;
+
+ anon20_Else#2:
+ assume !raiseException;
+ goto anon9#2;
+
+ anon9#2:
+ goto label_22#2;
+
+ label_22#2:
+ goto label_23#2;
+
+ label_23#2:
+ k_old_0 := k;
+ tid_old_0 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$dispatch$0$Entry#2;
+
+ inline$dispatch$0$Entry#2:
+ inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
+ goto inline$dispatch$0$start#2;
+
+ inline$dispatch$0$start#2:
+ inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
+ goto inline$dispatch$0$label_3#2;
+
+ inline$dispatch$0$label_3#2:
+ goto inline$dispatch$0$label_4#2;
+
+ inline$dispatch$0$label_4#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$1$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$Return#2:
+ goto inline$dispatch$0$label_4$1#2;
+
+ inline$dispatch$0$label_4$1#2:
+ goto inline$dispatch$0$anon4_Then#2, inline$dispatch$0$anon4_Else#2;
+
+ inline$dispatch$0$anon4_Else#2:
+ assume !raiseException;
+ goto inline$dispatch$0$anon1#2;
+
+ inline$dispatch$0$anon1#2:
+ goto inline$dispatch$0$label_7#2;
+
+ inline$dispatch$0$label_7#2:
+ goto inline$dispatch$0$label_8#2;
+
+ inline$dispatch$0$label_8#2:
+ goto inline$I8xDeviceControl$0$Entry#2;
+
+ inline$I8xDeviceControl$0$Entry#2:
+ inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1 := hdevobj;
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
+ goto inline$I8xDeviceControl$0$start#2;
+
+ inline$I8xDeviceControl$0$start#2:
+ inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl := inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1;
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
+ goto inline$I8xDeviceControl$0$label_3#2;
+
+ inline$I8xDeviceControl$0$label_3#2:
+ goto inline$I8xDeviceControl$0$label_4#2;
+
+ inline$I8xDeviceControl$0$label_4#2:
+ goto inline$I8xDeviceControl$0$label_5#2;
+
+ inline$I8xDeviceControl$0$label_5#2:
+ goto inline$I8xDeviceControl$0$label_6#2;
+
+ inline$I8xDeviceControl$0$label_6#2:
+ goto inline$I8xDeviceControl$0$label_7#2;
+
+ inline$I8xDeviceControl$0$label_7#2:
+ call __PREfastPagedCode();
+ goto inline$I8xDeviceControl$0$anon10_Then#2, inline$I8xDeviceControl$0$anon10_Else#2;
+
+ inline$I8xDeviceControl$0$anon10_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon1#2;
+
+ inline$I8xDeviceControl$0$anon1#2:
+ goto inline$I8xDeviceControl$0$label_10#2;
+
+ inline$I8xDeviceControl$0$label_10#2:
+ inline$I8xDeviceControl$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
+ call contextSwitch();
+ inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl := inline$I8xDeviceControl$0$myVar_0;
+ goto inline$I8xDeviceControl$0$label_11#2;
+
+ inline$I8xDeviceControl$0$label_11#2:
+ goto inline$I8xDeviceControl$0$label_11_true#2, inline$I8xDeviceControl$0$label_11_false#2;
+
+ inline$I8xDeviceControl$0$label_11_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_11_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
+ goto inline$I8xDeviceControl$0$label_13#2;
+
+ inline$I8xDeviceControl$0$label_13#2:
+ goto inline$I8xDeviceControl$0$label_13_true#2, inline$I8xDeviceControl$0$label_13_false#2;
+
+ inline$I8xDeviceControl$0$label_13_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_13_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
+ goto inline$I8xDeviceControl$0$label_14#2;
+
+ inline$I8xDeviceControl$0$label_14#2:
+ goto inline$I8xDeviceControl$0$label_14_true#2, inline$I8xDeviceControl$0$label_14_false#2;
+
+ inline$I8xDeviceControl$0$label_14_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) == 0;
+ goto inline$I8xDeviceControl$0$label_15#2;
+
+ inline$I8xDeviceControl$0$label_15#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_3#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$2$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$Return#2:
+ goto inline$I8xDeviceControl$0$label_15$1#2;
+
+ inline$I8xDeviceControl$0$label_15$1#2:
+ goto inline$I8xDeviceControl$0$anon11_Then#2, inline$I8xDeviceControl$0$anon11_Else#2;
+
+ inline$I8xDeviceControl$0$anon11_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon3#2;
+
+ inline$I8xDeviceControl$0$anon3#2:
+ goto inline$I8xDeviceControl$0$label_18#2;
+
+ inline$I8xDeviceControl$0$label_18#2:
+ goto inline$I8xDeviceControl$0$label_19#2;
+
+ inline$I8xDeviceControl$0$label_19#2:
+ goto inline$I8xDeviceControl$0$label_19_case_0#2, inline$I8xDeviceControl$0$label_19_case_1#2, inline$I8xDeviceControl$0$label_19_case_2#2;
+
+ inline$I8xDeviceControl$0$label_19_case_2#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703684;
+ goto inline$I8xDeviceControl$0$label_24#2;
+
+ inline$I8xDeviceControl$0$label_24#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Entry#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl;
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$start#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$start#2:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent := __HAVOC_malloc(1);
+ inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1;
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_3#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_4#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_5#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_6#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_7#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_8#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_9#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_3#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$4$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon28_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon28_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon28_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_13#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume !INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$0$Entry#2;
+
+ inline$storm_KeAcquireSpinLock$0$Entry#2:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
+ goto inline$storm_KeAcquireSpinLock$0$start#2;
+
+ inline$storm_KeAcquireSpinLock$0$start#2:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
+ goto inline$storm_KeAcquireSpinLock$0$label_3#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_3#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_4#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_4#2:
+ goto inline$storm_getThreadID$0$Entry#2;
+
+ inline$storm_getThreadID$0$Entry#2:
+ goto inline$storm_getThreadID$0$anon0#2;
+
+ inline$storm_getThreadID$0$anon0#2:
+ inline$storm_getThreadID$0$tidRet := tid;
+ goto inline$storm_getThreadID$0$Return#2;
+
+ inline$storm_getThreadID$0$Return#2:
+ inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tidRet;
+ goto inline$storm_KeAcquireSpinLock$0$label_4$1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_4$1#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_7#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_7#2:
+ inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$0$label_8#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_8#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_9#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_9#2:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$0$label_12#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_12#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon7_Then#2, inline$storm_KeAcquireSpinLock$0$anon7_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon7_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon1#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_13#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_13_true#2, inline$storm_KeAcquireSpinLock$0$label_13_false#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_false#2:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$0$label_14#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_14#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$0$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_true#2:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$0$label_17#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_17#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon8_Then#2, inline$storm_KeAcquireSpinLock$0$anon8_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon4#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon4#2:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
+ goto inline$storm_KeAcquireSpinLock$0$label_18#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_18#2:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_19#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_19#2:
+ goto inline$storm_KeAcquireSpinLock$0$anon9_Then#2, inline$storm_KeAcquireSpinLock$0$anon9_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon9_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$0$anon6#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon9_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$0$anon6#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon6#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_1#2:
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon7_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon30_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon30_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon30_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon5#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_56#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_57#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_57#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_62#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_62#2:
+ goto inline$storm_IoSetCancelRoutine$0$Entry#2;
+
+ inline$storm_IoSetCancelRoutine$0$Entry#2:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
+ goto inline$storm_IoSetCancelRoutine$0$start#2;
+
+ inline$storm_IoSetCancelRoutine$0$start#2:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
+ goto inline$storm_IoSetCancelRoutine$0$label_3#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_3#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_4#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_4#2:
+ call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ := storm_nondet();
+ goto inline$storm_IoSetCancelRoutine$0$label_7#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_7_true#2, inline$storm_IoSetCancelRoutine$0$label_7_false#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_false#2:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_true#2:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_11#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_11#2:
+ havoc raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$anon5_Then#2, inline$storm_IoSetCancelRoutine$0$anon5_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon5_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$anon1#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_12#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_12_true#2, inline$storm_IoSetCancelRoutine$0$label_12_false#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_13#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_13#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCancelRoutine$0$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoSetCancelRoutine$0$label_16#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_16#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_17#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_17#2:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_18#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_18#2:
+ goto inline$storm_IoSetCancelRoutine$0$anon6_Then#2, inline$storm_IoSetCancelRoutine$0$anon6_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon6_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoSetCancelRoutine$0$anon4#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon6_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoSetCancelRoutine$0$anon4#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon4#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_21#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_21#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_1#2:
+ goto inline$storm_IoSetCancelRoutine$0$Return#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon5_Then#2:
+ assume raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$Return#2;
+
+ inline$storm_IoSetCancelRoutine$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon21#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon21#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_65#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_66#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_66#2:
+ goto inline$storm_IoMarkIrpPending$1$Entry#2;
+
+ inline$storm_IoMarkIrpPending$1$Entry#2:
+ inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$1$start#2;
+
+ inline$storm_IoMarkIrpPending$1$start#2:
+ inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$1$label_3#2;
+
+ inline$storm_IoMarkIrpPending$1$label_3#2:
+ call inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$1$label_6#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6#2:
+ goto inline$storm_IoMarkIrpPending$1$label_6_true#2, inline$storm_IoMarkIrpPending$1$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$1$label_7#2;
+
+ inline$storm_IoMarkIrpPending$1$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$1$anon3_Then#2, inline$storm_IoMarkIrpPending$1$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$1$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$1$anon1#2;
+
+ inline$storm_IoMarkIrpPending$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$1$label_8#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8#2:
+ goto inline$storm_IoMarkIrpPending$1$label_8_true#2, inline$storm_IoMarkIrpPending$1$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$1$label_9#2;
+
+ inline$storm_IoMarkIrpPending$1$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_1#2:
+ goto inline$storm_IoMarkIrpPending$1$Return#2;
+
+ inline$storm_IoMarkIrpPending$1$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$1$Return#2;
+
+ inline$storm_IoMarkIrpPending$1$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon23#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon23#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_82#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_82#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_69#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_69#2:
+ goto inline$storm_IoSetCancelRoutine$1$Entry#2;
+
+ inline$storm_IoSetCancelRoutine$1$Entry#2:
+ inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := 0;
+ goto inline$storm_IoSetCancelRoutine$1$start#2;
+
+ inline$storm_IoSetCancelRoutine$1$start#2:
+ inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
+ inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
+ goto inline$storm_IoSetCancelRoutine$1$label_3#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_3#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_4#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_4#2:
+ call inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ := storm_nondet();
+ goto inline$storm_IoSetCancelRoutine$1$label_7#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_7_true#2, inline$storm_IoSetCancelRoutine$1$label_7_false#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7_false#2:
+ assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ == 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7_true#2:
+ assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ != 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_11#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_11#2:
+ havoc raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$anon5_Then#2, inline$storm_IoSetCancelRoutine$1$anon5_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon5_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$anon1#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_12#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_12_true#2, inline$storm_IoSetCancelRoutine$1$label_12_false#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_13#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_13#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCancelRoutine$1$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoSetCancelRoutine$1$label_16#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_16#2:
+ inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
+ call contextSwitch();
+ inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$myVar_0;
+ goto inline$storm_IoSetCancelRoutine$1$label_17#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_17#2:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_18#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_18#2:
+ goto inline$storm_IoSetCancelRoutine$1$anon6_Then#2, inline$storm_IoSetCancelRoutine$1$anon6_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon6_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoSetCancelRoutine$1$anon4#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon6_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoSetCancelRoutine$1$anon4#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon4#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_21#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_21#2:
+ inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$ := inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine;
+ goto inline$storm_IoSetCancelRoutine$1$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_1#2:
+ goto inline$storm_IoSetCancelRoutine$1$Return#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon5_Then#2:
+ assume raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$Return#2;
+
+ inline$storm_IoSetCancelRoutine$1$Return#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ := inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon25#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon25#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_72#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_73#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_73#2:
+ goto inline$storm_IoMarkIrpPending$2$Entry#2;
+
+ inline$storm_IoMarkIrpPending$2$Entry#2:
+ inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$2$start#2;
+
+ inline$storm_IoMarkIrpPending$2$start#2:
+ inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$2$label_3#2;
+
+ inline$storm_IoMarkIrpPending$2$label_3#2:
+ call inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$2$label_6#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6#2:
+ goto inline$storm_IoMarkIrpPending$2$label_6_true#2, inline$storm_IoMarkIrpPending$2$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$2$label_7#2;
+
+ inline$storm_IoMarkIrpPending$2$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$2$anon3_Then#2, inline$storm_IoMarkIrpPending$2$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$2$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$2$anon1#2;
+
+ inline$storm_IoMarkIrpPending$2$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$2$label_8#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8#2:
+ goto inline$storm_IoMarkIrpPending$2$label_8_true#2, inline$storm_IoMarkIrpPending$2$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$2$label_9#2;
+
+ inline$storm_IoMarkIrpPending$2$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_1#2:
+ goto inline$storm_IoMarkIrpPending$2$Return#2;
+
+ inline$storm_IoMarkIrpPending$2$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$2$Return#2;
+
+ inline$storm_IoMarkIrpPending$2$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon27#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_78#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_78#2:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$ := storm_nondet();
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_81#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_81#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_76#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_76#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_77#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_77#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_58#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_58#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741823;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_59#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$0$Entry#2;
+
+ inline$storm_KeReleaseSpinLock$0$Entry#2:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
+ goto inline$storm_KeReleaseSpinLock$0$start#2;
+
+ inline$storm_KeReleaseSpinLock$0$start#2:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
+ goto inline$storm_KeReleaseSpinLock$0$label_3#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_3#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_4#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_4#2:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$0$label_7#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_7#2:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon5_Then#2, inline$storm_KeReleaseSpinLock$0$anon5_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon5_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon1#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_8#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_8#2:
+ goto inline$storm_getThreadID$1$Entry#2;
+
+ inline$storm_getThreadID$1$Entry#2:
+ goto inline$storm_getThreadID$1$anon0#2;
+
+ inline$storm_getThreadID$1$anon0#2:
+ inline$storm_getThreadID$1$tidRet := tid;
+ goto inline$storm_getThreadID$1$Return#2;
+
+ inline$storm_getThreadID$1$Return#2:
+ inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tidRet;
+ goto inline$storm_KeReleaseSpinLock$0$label_8$1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_8$1#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_11#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_11_true#2, inline$storm_KeReleaseSpinLock$0$label_11_false#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_false#2:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_12#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$0$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_true#2:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_15#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_15#2:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_16#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_16#2:
+ goto inline$storm_KeReleaseSpinLock$0$anon6_Then#2, inline$storm_KeReleaseSpinLock$0$anon6_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon6_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$0$anon4#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon6_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$0$anon4#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon4#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_1#2:
+ goto inline$storm_KeReleaseSpinLock$0$Return#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon5_Then#2:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$Return#2;
+
+ inline$storm_KeReleaseSpinLock$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon19#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon19#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon30_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_26#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_27#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741670;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_28#2:
+ goto inline$storm_ExAllocatePoolWithTag$0$Entry#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$Entry#2:
+ inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1 := 12;
+ goto inline$storm_ExAllocatePoolWithTag$0$start#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$start#2:
+ inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag := inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1;
+ goto inline$storm_ExAllocatePoolWithTag$0$label_3#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_3#2:
+ call inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$ := __HAVOC_malloc(inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag);
+ goto inline$storm_ExAllocatePoolWithTag$0$label_6#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_6#2:
+ inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$ := inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$;
+ goto inline$storm_ExAllocatePoolWithTag$0$label_1#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_1#2:
+ goto inline$storm_ExAllocatePoolWithTag$0$Return#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$Return#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$ := inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon31_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon31_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon31_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon7#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon7#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_31#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_31#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_32#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_34#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_34#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$ := IoAllocateWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon32_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon32_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon32_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon9#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon9#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_37#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_37#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_38#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_39#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_39#2:
+ call ExFreePoolWithTag(inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent, 0);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon33_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon33_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon33_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon11#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon11#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon33_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_42#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_42#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_43#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_43#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_44#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_44#2:
+ goto inline$storm_IoMarkIrpPending$0$Entry#2;
+
+ inline$storm_IoMarkIrpPending$0$Entry#2:
+ inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$0$start#2;
+
+ inline$storm_IoMarkIrpPending$0$start#2:
+ inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$0$label_3#2;
+
+ inline$storm_IoMarkIrpPending$0$label_3#2:
+ call inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$0$label_6#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6#2:
+ goto inline$storm_IoMarkIrpPending$0$label_6_true#2, inline$storm_IoMarkIrpPending$0$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$0$label_7#2;
+
+ inline$storm_IoMarkIrpPending$0$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$0$anon3_Then#2, inline$storm_IoMarkIrpPending$0$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$0$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$0$anon1#2;
+
+ inline$storm_IoMarkIrpPending$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$0$label_8#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8#2:
+ goto inline$storm_IoMarkIrpPending$0$label_8_true#2, inline$storm_IoMarkIrpPending$0$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$0$label_9#2;
+
+ inline$storm_IoMarkIrpPending$0$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_1#2:
+ goto inline$storm_IoMarkIrpPending$0$Return#2;
+
+ inline$storm_IoMarkIrpPending$0$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$0$Return#2;
+
+ inline$storm_IoMarkIrpPending$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon13#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon13#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_47#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_47#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ call IoQueueWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, I8xCompleteSysButtonEventWorker, 1, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon15#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon15#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_50#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_50#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_33#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2:
+ assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2:
+ assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_53#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_53#2:
+ goto inline$I8xCompleteSysButtonIrp$0$Entry#2;
+
+ inline$I8xCompleteSysButtonIrp$0$Entry#2:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$I8xCompleteSysButtonIrp$0$start#2;
+
+ inline$I8xCompleteSysButtonIrp$0$start#2:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
+ goto inline$I8xCompleteSysButtonIrp$0$label_3#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_3#2:
+ havoc inline$I8xCompleteSysButtonIrp$0$myNondetVar_0;
+ goto inline$I8xCompleteSysButtonIrp$0$label_4#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_4#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_5#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_5#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_6#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_6#2:
+ goto inline$storm_IoCompleteRequest$2$Entry#2;
+
+ inline$storm_IoCompleteRequest$2$Entry#2:
+ inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
+ goto inline$storm_IoCompleteRequest$2$start#2;
+
+ inline$storm_IoCompleteRequest$2$start#2:
+ inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$2$label_3#2;
+
+ inline$storm_IoCompleteRequest$2$label_3#2:
+ call inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$2$label_6#2;
+
+ inline$storm_IoCompleteRequest$2$label_6#2:
+ goto inline$storm_IoCompleteRequest$2$label_6_true#2, inline$storm_IoCompleteRequest$2$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$2$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#2;
+
+ inline$storm_IoCompleteRequest$2$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$2$label_8#2;
+
+ inline$storm_IoCompleteRequest$2$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon3_Then#2, inline$storm_IoCompleteRequest$2$anon3_Else#2;
+
+ inline$storm_IoCompleteRequest$2$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon1#2;
+
+ inline$storm_IoCompleteRequest$2$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_9#2;
+
+ inline$storm_IoCompleteRequest$2$label_9#2:
+ goto inline$storm_IoCompleteRequest$2$label_9_true#2, inline$storm_IoCompleteRequest$2$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$2$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$2$label_10#2;
+
+ inline$storm_IoCompleteRequest$2$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$2$label_1#2;
+
+ inline$storm_IoCompleteRequest$2$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#2;
+
+ inline$storm_IoCompleteRequest$2$label_7#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_1#2;
+
+ inline$storm_IoCompleteRequest$2$label_1#2:
+ goto inline$storm_IoCompleteRequest$2$Return#2;
+
+ inline$storm_IoCompleteRequest$2$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$2$Return#2;
+
+ inline$storm_IoCompleteRequest$2$Return#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_6$1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_6$1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$anon2_Then#2, inline$I8xCompleteSysButtonIrp$0$anon2_Else#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon2_Else#2:
+ assume !raiseException;
+ goto inline$I8xCompleteSysButtonIrp$0$anon1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$Return#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon2_Then#2:
+ assume raiseException;
+ goto inline$I8xCompleteSysButtonIrp$0$Return#2;
+
+ inline$I8xCompleteSysButtonIrp$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon17#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon17#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_52#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon32_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon31_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_16#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_16#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741306;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_17#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_17#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_18#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_19#2:
+ goto inline$storm_IoCompleteRequest$1$Entry#2;
+
+ inline$storm_IoCompleteRequest$1$Entry#2:
+ inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoCompleteRequest$1$start#2;
+
+ inline$storm_IoCompleteRequest$1$start#2:
+ inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$1$label_3#2;
+
+ inline$storm_IoCompleteRequest$1$label_3#2:
+ call inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$1$label_6#2;
+
+ inline$storm_IoCompleteRequest$1$label_6#2:
+ goto inline$storm_IoCompleteRequest$1$label_6_true#2, inline$storm_IoCompleteRequest$1$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$1$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#2;
+
+ inline$storm_IoCompleteRequest$1$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$1$label_8#2;
+
+ inline$storm_IoCompleteRequest$1$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon3_Then#2, inline$storm_IoCompleteRequest$1$anon3_Else#2;
+
+ inline$storm_IoCompleteRequest$1$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon1#2;
+
+ inline$storm_IoCompleteRequest$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_9#2;
+
+ inline$storm_IoCompleteRequest$1$label_9#2:
+ goto inline$storm_IoCompleteRequest$1$label_9_true#2, inline$storm_IoCompleteRequest$1$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$1$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$1$label_10#2;
+
+ inline$storm_IoCompleteRequest$1$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$1$label_1#2;
+
+ inline$storm_IoCompleteRequest$1$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#2;
+
+ inline$storm_IoCompleteRequest$1$label_7#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_1#2;
+
+ inline$storm_IoCompleteRequest$1$label_1#2:
+ goto inline$storm_IoCompleteRequest$1$Return#2;
+
+ inline$storm_IoCompleteRequest$1$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$1$Return#2;
+
+ inline$storm_IoCompleteRequest$1$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon29_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon29_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon29_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon3#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon3#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_22#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_22#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_1#2:
+ call __HAVOC_free(inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon29_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon28_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Return#2:
+ goto inline$I8xDeviceControl$0$label_24$1#2;
+
+ inline$I8xDeviceControl$0$label_24$1#2:
+ goto inline$I8xDeviceControl$0$anon13_Then#2, inline$I8xDeviceControl$0$anon13_Else#2;
+
+ inline$I8xDeviceControl$0$anon13_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon7#2;
+
+ inline$I8xDeviceControl$0$anon7#2:
+ goto inline$I8xDeviceControl$0$label_27#2;
+
+ inline$I8xDeviceControl$0$label_27#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$anon13_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_19_case_1#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703680;
+ goto inline$I8xDeviceControl$0$label_21#2;
+
+ inline$I8xDeviceControl$0$label_21#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Entry#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$Entry#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$start#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$start#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_3#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_3#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_4#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_4#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_5#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_5#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_6#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_6#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_7#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_7#2:
+ call __PREfastPagedCode();
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_10#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_10#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_3#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$3$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$Return#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon3#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon3#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_13#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_13#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_14#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_14#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_15#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume !INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_16#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_16#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_24#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_24#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_25#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_27#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_27#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 1);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_29#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_29#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 2);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_31#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_31#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, BOOGIE_LARGE_INT_2147483648);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_30#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_32#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_32#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_17#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_17#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_18#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_19#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_19#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_20#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_20#2:
+ goto inline$storm_IoCompleteRequest$0$Entry#2;
+
+ inline$storm_IoCompleteRequest$0$Entry#2:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps;
+ goto inline$storm_IoCompleteRequest$0$start#2;
+
+ inline$storm_IoCompleteRequest$0$start#2:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$0$label_3#2;
+
+ inline$storm_IoCompleteRequest$0$label_3#2:
+ call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$0$label_6#2;
+
+ inline$storm_IoCompleteRequest$0$label_6#2:
+ goto inline$storm_IoCompleteRequest$0$label_6_true#2, inline$storm_IoCompleteRequest$0$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$0$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#2;
+
+ inline$storm_IoCompleteRequest$0$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$0$label_8#2;
+
+ inline$storm_IoCompleteRequest$0$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon3_Then#2, inline$storm_IoCompleteRequest$0$anon3_Else#2;
+
+ inline$storm_IoCompleteRequest$0$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon1#2;
+
+ inline$storm_IoCompleteRequest$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_9#2;
+
+ inline$storm_IoCompleteRequest$0$label_9#2:
+ goto inline$storm_IoCompleteRequest$0$label_9_true#2, inline$storm_IoCompleteRequest$0$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$0$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$0$label_10#2;
+
+ inline$storm_IoCompleteRequest$0$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$0$label_1#2;
+
+ inline$storm_IoCompleteRequest$0$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#2;
+
+ inline$storm_IoCompleteRequest$0$label_7#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_1#2;
+
+ inline$storm_IoCompleteRequest$0$label_1#2:
+ goto inline$storm_IoCompleteRequest$0$Return#2;
+
+ inline$storm_IoCompleteRequest$0$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$0$Return#2;
+
+ inline$storm_IoCompleteRequest$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon5#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon5#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_23#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_23#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$Return#2:
+ goto inline$I8xDeviceControl$0$label_21$1#2;
+
+ inline$I8xDeviceControl$0$label_21$1#2:
+ goto inline$I8xDeviceControl$0$anon12_Then#2, inline$I8xDeviceControl$0$anon12_Else#2;
+
+ inline$I8xDeviceControl$0$anon12_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon5#2;
+
+ inline$I8xDeviceControl$0$anon5#2:
+ goto inline$I8xDeviceControl$0$label_28#2;
+
+ inline$I8xDeviceControl$0$label_28#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$anon12_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_19_case_0#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703680;
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703684;
+ goto inline$I8xDeviceControl$0$label_20#2;
+
+ inline$I8xDeviceControl$0$label_20#2:
+ goto inline$I8xDeviceControl$0$label_29#2;
+
+ inline$I8xDeviceControl$0$anon11_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_14_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) != 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_12#2:
+ goto inline$I8xDeviceControl$0$label_29#2;
+
+ inline$I8xDeviceControl$0$label_29#2:
+ goto inline$I8xDeviceControl$0$label_30#2;
+
+ inline$I8xDeviceControl$0$label_30#2:
+ goto inline$storm_IoCompleteRequest$3$Entry#2;
+
+ inline$storm_IoCompleteRequest$3$Entry#2:
+ inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$storm_IoCompleteRequest$3$start#2;
+
+ inline$storm_IoCompleteRequest$3$start#2:
+ inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$3$label_3#2;
+
+ inline$storm_IoCompleteRequest$3$label_3#2:
+ call inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$3$label_6#2;
+
+ inline$storm_IoCompleteRequest$3$label_6#2:
+ goto inline$storm_IoCompleteRequest$3$label_6_true#2, inline$storm_IoCompleteRequest$3$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$3$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#2;
+
+ inline$storm_IoCompleteRequest$3$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$3$label_8#2;
+
+ inline$storm_IoCompleteRequest$3$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon3_Then#2, inline$storm_IoCompleteRequest$3$anon3_Else#2;
+
+ inline$storm_IoCompleteRequest$3$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon1#2;
+
+ inline$storm_IoCompleteRequest$3$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_9#2;
+
+ inline$storm_IoCompleteRequest$3$label_9#2:
+ goto inline$storm_IoCompleteRequest$3$label_9_true#2, inline$storm_IoCompleteRequest$3$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$3$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$3$label_10#2;
+
+ inline$storm_IoCompleteRequest$3$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$3$label_1#2;
+
+ inline$storm_IoCompleteRequest$3$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#2;
+
+ inline$storm_IoCompleteRequest$3$label_7#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_1#2;
+
+ inline$storm_IoCompleteRequest$3$label_1#2:
+ goto inline$storm_IoCompleteRequest$3$Return#2;
+
+ inline$storm_IoCompleteRequest$3$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$3$Return#2;
+
+ inline$storm_IoCompleteRequest$3$Return#2:
+ goto inline$I8xDeviceControl$0$label_30$1#2;
+
+ inline$I8xDeviceControl$0$label_30$1#2:
+ goto inline$I8xDeviceControl$0$anon14_Then#2, inline$I8xDeviceControl$0$anon14_Else#2;
+
+ inline$I8xDeviceControl$0$anon14_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon9#2;
+
+ inline$I8xDeviceControl$0$anon9#2:
+ goto inline$I8xDeviceControl$0$label_33#2;
+
+ inline$I8xDeviceControl$0$label_33#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$label_1#2:
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$anon14_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$anon10_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$Return#2:
+ goto inline$dispatch$0$label_8$1#2;
+
+ inline$dispatch$0$label_8$1#2:
+ goto inline$dispatch$0$anon5_Then#2, inline$dispatch$0$anon5_Else#2;
+
+ inline$dispatch$0$anon5_Else#2:
+ assume !raiseException;
+ goto inline$dispatch$0$anon3#2;
+
+ inline$dispatch$0$anon3#2:
+ goto inline$dispatch$0$label_11#2;
+
+ inline$dispatch$0$label_11#2:
+ goto inline$dispatch$0$label_1#2;
+
+ inline$dispatch$0$label_1#2:
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$anon5_Then#2:
+ assume raiseException;
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$anon4_Then#2:
+ assume raiseException;
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$Return#2:
+ goto label_23$1#2;
+
+ label_23$1#2:
+ goto anon21_Then#2, anon21_Else#2;
+
+ anon21_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon11#2;
+
+ anon21_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_1 := true;
+ goto anon11#2;
+
+ anon11#2:
+ k := k_old_0;
+ tid := tid_old_0;
+ goto label_26#2;
+
+ label_26#2:
+ goto label_27#2;
+
+ label_27#2:
+ k_old_1 := k;
+ tid_old_1 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$cancel$0$Entry#2;
+
+ inline$cancel$0$Entry#2:
+ inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
+ goto inline$cancel$0$start#2;
+
+ inline$cancel$0$start#2:
+ inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
+ goto inline$cancel$0$label_3#2;
+
+ inline$cancel$0$label_3#2:
+ goto inline$storm_IoCancelIrp$0$Entry#2;
+
+ inline$storm_IoCancelIrp$0$Entry#2:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
+ goto inline$storm_IoCancelIrp$0$start#2;
+
+ inline$storm_IoCancelIrp$0$start#2:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
+ goto inline$storm_IoCancelIrp$0$label_3#2;
+
+ inline$storm_IoCancelIrp$0$label_3#2:
+ goto inline$storm_IoCancelIrp$0$label_4#2;
+
+ inline$storm_IoCancelIrp$0$label_4#2:
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_5#2;
+
+ inline$storm_IoCancelIrp$0$label_5#2:
+ __storm_atomic := true;
+ goto inline$storm_IoCancelIrp$0$label_8#2;
+
+ inline$storm_IoCancelIrp$0$label_8#2:
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
+ call contextSwitch();
+ inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
+ goto inline$storm_IoCancelIrp$0$label_9#2;
+
+ inline$storm_IoCancelIrp$0$label_9#2:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_10#2;
+
+ inline$storm_IoCancelIrp$0$label_10#2:
+ goto inline$storm_IoCancelIrp$0$anon11_Then#2, inline$storm_IoCancelIrp$0$anon11_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon11_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoCancelIrp$0$anon1#2;
+
+ inline$storm_IoCancelIrp$0$anon11_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoCancelIrp$0$anon1#2;
+
+ inline$storm_IoCancelIrp$0$anon1#2:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_13#2;
+
+ inline$storm_IoCancelIrp$0$label_13#2:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
+ assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Entry#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Entry#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$start#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$start#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_3#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_3#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4#2:
+ goto inline$storm_getThreadID$2$Entry#2;
+
+ inline$storm_getThreadID$2$Entry#2:
+ goto inline$storm_getThreadID$2$anon0#2;
+
+ inline$storm_getThreadID$2$anon0#2:
+ inline$storm_getThreadID$2$tidRet := tid;
+ goto inline$storm_getThreadID$2$Return#2;
+
+ inline$storm_getThreadID$2$Return#2:
+ inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$2$tidRet;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_7#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_7#2:
+ inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_8#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2:
+ assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_12#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2:
+ assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_15#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_15#2:
+ havoc raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon2#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon2#2:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_16#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_16#2:
+ cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_17#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_17#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon6_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon4#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon6_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon4#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon4#2:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_1#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Return#2:
+ goto inline$storm_IoCancelIrp$0$label_13$1#2;
+
+ inline$storm_IoCancelIrp$0$label_13$1#2:
+ goto inline$storm_IoCancelIrp$0$anon12_Then#2, inline$storm_IoCancelIrp$0$anon12_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon12_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon3#2;
+
+ inline$storm_IoCancelIrp$0$anon3#2:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ goto inline$storm_IoCancelIrp$0$label_16#2;
+
+ inline$storm_IoCancelIrp$0$label_16#2:
+ goto inline$storm_IoCancelIrp$0$label_16_true#2, inline$storm_IoCancelIrp$0$label_16_false#2;
+
+ inline$storm_IoCancelIrp$0$label_16_false#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
+ goto inline$storm_IoCancelIrp$0$label_17#2;
+
+ inline$storm_IoCancelIrp$0$label_17#2:
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_16_true#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
+ goto inline$storm_IoCancelIrp$0$label_18#2;
+
+ inline$storm_IoCancelIrp$0$label_18#2:
+ goto inline$storm_IoCancelIrp$0$label_19#2;
+
+ inline$storm_IoCancelIrp$0$label_19#2:
+ call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
+ goto inline$storm_IoCancelIrp$0$label_22#2;
+
+ inline$storm_IoCancelIrp$0$label_22#2:
+ goto inline$storm_IoCancelIrp$0$label_22_true#2, inline$storm_IoCancelIrp$0$label_22_false#2;
+
+ inline$storm_IoCancelIrp$0$label_22_false#2:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
+ goto inline$storm_IoCancelIrp$0$label_23#2;
+
+ inline$storm_IoCancelIrp$0$label_22_true#2:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
+ goto inline$storm_IoCancelIrp$0$label_26#2;
+
+ inline$storm_IoCancelIrp$0$label_26#2:
+ havoc raiseException;
+ goto inline$storm_IoCancelIrp$0$anon14_Then#2, inline$storm_IoCancelIrp$0$anon14_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon14_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon7#2;
+
+ inline$storm_IoCancelIrp$0$anon7#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_27#2;
+
+ inline$storm_IoCancelIrp$0$label_27#2:
+ goto inline$storm_IoCancelIrp$0$label_27_true#2, inline$storm_IoCancelIrp$0$label_27_false#2;
+
+ inline$storm_IoCancelIrp$0$label_27_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCancelIrp$0$label_28#2;
+
+ inline$storm_IoCancelIrp$0$label_28#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_27_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCancelIrp$0$label_23#2;
+
+ inline$storm_IoCancelIrp$0$label_23#2:
+ goto inline$IoGetCurrentIrpStackLocation$5$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$Entry#2:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
+ goto inline$IoGetCurrentIrpStackLocation$5$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$start#2:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_3#2:
+ inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$5$myVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$5$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$Return#2:
+ inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$ := inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
+ goto inline$storm_IoCancelIrp$0$label_23$1#2;
+
+ inline$storm_IoCancelIrp$0$label_23$1#2:
+ goto inline$storm_IoCancelIrp$0$anon13_Then#2, inline$storm_IoCancelIrp$0$anon13_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon13_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon5#2;
+
+ inline$storm_IoCancelIrp$0$anon5#2:
+ goto inline$storm_IoCancelIrp$0$label_31#2;
+
+ inline$storm_IoCancelIrp$0$label_31#2:
+ inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$;
+ goto inline$storm_IoCancelIrp$0$label_32#2;
+
+ inline$storm_IoCancelIrp$0$label_32#2:
+ goto inline$storm_IoCancelIrp$0$label_32_icall_1#2;
+
+ inline$storm_IoCancelIrp$0$label_32_icall_1#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == I8xSysButtonCancelRoutine;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
+ call contextSwitch();
+ goto inline$I8xSysButtonCancelRoutine$0$Entry#2;
+
+ inline$I8xSysButtonCancelRoutine$0$Entry#2:
+ inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$myVar_0;
+ inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
+ goto inline$I8xSysButtonCancelRoutine$0$start#2;
+
+ inline$I8xSysButtonCancelRoutine$0$start#2:
+ call inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine := __HAVOC_malloc(1);
+ inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1;
+ inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1;
+ goto inline$I8xSysButtonCancelRoutine$0$label_3#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_3#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_4#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_4#2:
+ inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
+ call contextSwitch();
+ inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$myVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_5#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_5#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_6#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_6#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_7#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_7#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$1$Entry#2;
+
+ inline$storm_KeAcquireSpinLock$1$Entry#2:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
+ goto inline$storm_KeAcquireSpinLock$1$start#2;
+
+ inline$storm_KeAcquireSpinLock$1$start#2:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
+ goto inline$storm_KeAcquireSpinLock$1$label_3#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_3#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_4#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_4#2:
+ goto inline$storm_getThreadID$3$Entry#2;
+
+ inline$storm_getThreadID$3$Entry#2:
+ goto inline$storm_getThreadID$3$anon0#2;
+
+ inline$storm_getThreadID$3$anon0#2:
+ inline$storm_getThreadID$3$tidRet := tid;
+ goto inline$storm_getThreadID$3$Return#2;
+
+ inline$storm_getThreadID$3$Return#2:
+ inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tidRet;
+ goto inline$storm_KeAcquireSpinLock$1$label_4$1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_4$1#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_7#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_7#2:
+ inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$1$label_8#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_8#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_9#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_9#2:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$1$label_12#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_12#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon7_Then#2, inline$storm_KeAcquireSpinLock$1$anon7_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon7_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon1#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_13#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_13_true#2, inline$storm_KeAcquireSpinLock$1$label_13_false#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_false#2:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$1$label_14#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_14#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$1$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_true#2:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$1$label_17#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_17#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon8_Then#2, inline$storm_KeAcquireSpinLock$1$anon8_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon4#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon4#2:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
+ goto inline$storm_KeAcquireSpinLock$1$label_18#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_18#2:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_19#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_19#2:
+ goto inline$storm_KeAcquireSpinLock$1$anon9_Then#2, inline$storm_KeAcquireSpinLock$1$anon9_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon9_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$1$anon6#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon9_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$1$anon6#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon6#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_1#2:
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon7_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_7$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_7$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon8_Then#2, inline$I8xSysButtonCancelRoutine$0$anon8_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon1#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_10#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_10#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_11#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_11#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_12#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_12#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$1$Entry#2;
+
+ inline$storm_KeReleaseSpinLock$1$Entry#2:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
+ goto inline$storm_KeReleaseSpinLock$1$start#2;
+
+ inline$storm_KeReleaseSpinLock$1$start#2:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
+ goto inline$storm_KeReleaseSpinLock$1$label_3#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_3#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_4#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_4#2:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$1$label_7#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_7#2:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon5_Then#2, inline$storm_KeReleaseSpinLock$1$anon5_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon5_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon1#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_8#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_8#2:
+ goto inline$storm_getThreadID$4$Entry#2;
+
+ inline$storm_getThreadID$4$Entry#2:
+ goto inline$storm_getThreadID$4$anon0#2;
+
+ inline$storm_getThreadID$4$anon0#2:
+ inline$storm_getThreadID$4$tidRet := tid;
+ goto inline$storm_getThreadID$4$Return#2;
+
+ inline$storm_getThreadID$4$Return#2:
+ inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tidRet;
+ goto inline$storm_KeReleaseSpinLock$1$label_8$1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_8$1#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_11#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_11_true#2, inline$storm_KeReleaseSpinLock$1$label_11_false#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_false#2:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_12#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$1$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_true#2:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_15#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_15#2:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_16#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_16#2:
+ goto inline$storm_KeReleaseSpinLock$1$anon6_Then#2, inline$storm_KeReleaseSpinLock$1$anon6_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon6_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$1$anon4#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon6_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$1$anon4#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon4#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_1#2:
+ goto inline$storm_KeReleaseSpinLock$1$Return#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon5_Then#2:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$Return#2;
+
+ inline$storm_KeReleaseSpinLock$1$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_12$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_12$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon9_Then#2, inline$I8xSysButtonCancelRoutine$0$anon9_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon9_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon3#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon3#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_15#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_15#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$storm_IoReleaseCancelSpinLock$0$Entry#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$Entry#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$start#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$start#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_3#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_3#2:
+ __storm_atomic := true;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_6#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_6#2:
+ goto inline$storm_getThreadID$5$Entry#2;
+
+ inline$storm_getThreadID$5$Entry#2:
+ goto inline$storm_getThreadID$5$anon0#2;
+
+ inline$storm_getThreadID$5$anon0#2:
+ inline$storm_getThreadID$5$tidRet := tid;
+ goto inline$storm_getThreadID$5$Return#2;
+
+ inline$storm_getThreadID$5$Return#2:
+ inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$ := inline$storm_getThreadID$5$tidRet;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_9#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2, inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2:
+ assume k == 0 ==> !INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_10#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_13#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_13#2:
+ cancelLockStatus_0 := 0;
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_14#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_14#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon3_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon3_Else#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon3_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon2#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon3_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon2#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_1#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$Return#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_15$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_15$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon10_Then#2, inline$I8xSysButtonCancelRoutine$0$anon10_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon10_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon5#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon5#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_18#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_18#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_19#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_19#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_20#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_20#2:
+ goto inline$storm_IoCompleteRequest$4$Entry#2;
+
+ inline$storm_IoCompleteRequest$4$Entry#2:
+ inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine;
+ goto inline$storm_IoCompleteRequest$4$start#2;
+
+ inline$storm_IoCompleteRequest$4$start#2:
+ inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$4$label_3#2;
+
+ inline$storm_IoCompleteRequest$4$label_3#2:
+ call inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$4$label_6#2;
+
+ inline$storm_IoCompleteRequest$4$label_6#2:
+ goto inline$storm_IoCompleteRequest$4$label_6_true#2, inline$storm_IoCompleteRequest$4$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$4$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#2;
+
+ inline$storm_IoCompleteRequest$4$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$4$label_8#2;
+
+ inline$storm_IoCompleteRequest$4$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon3_Then#2, inline$storm_IoCompleteRequest$4$anon3_Else#2;
+
+ inline$storm_IoCompleteRequest$4$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon1#2;
+
+ inline$storm_IoCompleteRequest$4$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_9#2;
+
+ inline$storm_IoCompleteRequest$4$label_9#2:
+ goto inline$storm_IoCompleteRequest$4$label_9_true#2, inline$storm_IoCompleteRequest$4$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$4$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$4$label_10#2;
+
+ inline$storm_IoCompleteRequest$4$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$4$label_1#2;
+
+ inline$storm_IoCompleteRequest$4$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#2;
+
+ inline$storm_IoCompleteRequest$4$label_7#2:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_1#2;
+
+ inline$storm_IoCompleteRequest$4$label_1#2:
+ goto inline$storm_IoCompleteRequest$4$Return#2;
+
+ inline$storm_IoCompleteRequest$4$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$4$Return#2;
+
+ inline$storm_IoCompleteRequest$4$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_20$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_20$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon11_Then#2, inline$I8xSysButtonCancelRoutine$0$anon11_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon11_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon7#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon7#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_1#2:
+ call __HAVOC_free(inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine);
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon11_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon10_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon9_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$Return#2:
+ goto inline$storm_IoCancelIrp$0$label_32_icall_1$1#2;
+
+ inline$storm_IoCancelIrp$0$label_32_icall_1$1#2:
+ goto inline$storm_IoCancelIrp$0$anon15_Then#2, inline$storm_IoCancelIrp$0$anon15_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon15_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon10#2;
+
+ inline$storm_IoCancelIrp$0$anon10#2:
+ goto inline$storm_IoCancelIrp$0$label_32_icall_return#2;
+
+ inline$storm_IoCancelIrp$0$label_32_icall_return#2:
+ goto inline$storm_IoCancelIrp$0$label_35#2;
+
+ inline$storm_IoCancelIrp$0$label_35#2:
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_1#2:
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon15_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon13_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon14_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon12_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$Return#2:
+ goto inline$cancel$0$label_3$1#2;
+
+ inline$cancel$0$label_3$1#2:
+ goto inline$cancel$0$anon2_Then#2, inline$cancel$0$anon2_Else#2;
+
+ inline$cancel$0$anon2_Else#2:
+ assume !raiseException;
+ goto inline$cancel$0$anon1#2;
+
+ inline$cancel$0$anon1#2:
+ goto inline$cancel$0$label_1#2;
+
+ inline$cancel$0$label_1#2:
+ goto inline$cancel$0$Return#2;
+
+ inline$cancel$0$anon2_Then#2:
+ assume raiseException;
+ goto inline$cancel$0$Return#2;
+
+ inline$cancel$0$Return#2:
+ goto label_27$1#2;
+
+ label_27$1#2:
+ goto anon22_Then#2, anon22_Else#2;
+
+ anon22_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon13#2;
+
+ anon22_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_2 := true;
+ goto anon13#2;
+
+ anon13#2:
+ k := k_old_1;
+ tid := tid_old_1;
+ goto label_30#2;
+
+ label_30#2:
+ goto label_31#2;
+
+ label_31#2:
+ k_old_2 := k;
+ tid_old_2 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$dpc$0$Entry#2;
+
+ inline$dpc$0$Entry#2:
+ goto inline$dpc$0$start#2;
+
+ inline$dpc$0$start#2:
+ goto inline$dpc$0$label_1#2;
+
+ inline$dpc$0$label_1#2:
+ goto inline$dpc$0$Return#2;
+
+ inline$dpc$0$Return#2:
+ goto label_31$1#2;
+
+ label_31$1#2:
+ goto anon23_Then#2, anon23_Else#2;
+
+ anon23_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon15#2;
+
+ anon23_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_3 := true;
+ goto anon15#2;
+
+ anon15#2:
+ k := k_old_2;
+ tid := tid_old_2;
+ goto label_1#2;
+
+ label_1#2:
+ assert !errorReached;
+ return;
+
+ anon20_Then#2:
+ assume raiseException;
+ return;
+
+ anon19_Then#2:
+ assume raiseException;
+ return;
+
+ anon18_Then#2:
+ assume raiseException;
+ return;
+
+ anon17_Then#2:
+ assume raiseException;
+ return;
+
+ anon16_Then#2:
+ assume raiseException;
+ return;
+}
+
+
+
diff --git a/Test/livevars/daytona_bug2_ioctl_example_2.bpl b/Test/livevars/daytona_bug2_ioctl_example_2.bpl
index e170cda7..925edf91 100644
--- a/Test/livevars/daytona_bug2_ioctl_example_2.bpl
+++ b/Test/livevars/daytona_bug2_ioctl_example_2.bpl
@@ -1,4860 +1,4860 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var __storm_thread_done_0 : bool;
-var __storm_thread_done_1 : bool;
-var __storm_thread_done_2 : bool;
-var __storm_thread_done_3 : bool;
-
-var raiseException : bool;
-var errorReached : bool;
-var k : int;
-var __storm_atomic : bool;
-var __storm_init : bool;
-var tid : int;
-var tidCount : int;
-
-procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
-{
- tidRet := tid;
- return;
-}
-
-
-procedure storm_context_0();
-procedure storm_context_1();
-
-procedure contextSwitch();
-modifies k;
-ensures __storm_atomic ==> old(k) == k;
-ensures(old(k) <= k);
-ensures(k < 2);
-
-
-
-// Memory model
-
-// Mutable
-var alloc:int;
-
-// Immutable
-
-var Mem_0_T.CancelRoutine__IRP : [int]int;
-var Mem_1_T.CancelRoutine__IRP : [int]int;
-var Mem_s_1_T.CancelRoutine__IRP : [int]int;
-var Mem_0_T.Cancel__IRP : [int]int;
-var Mem_1_T.Cancel__IRP : [int]int;
-var Mem_s_1_T.Cancel__IRP : [int]int;
-var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
-var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_s_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-var Mem_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-var Mem_s_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
-
-
-// Field declarations
-
-
-// Type declarations
-
-
-// Field offset definitions
-
-function AssociatedIrp__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
-axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelIrql__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
-axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelRoutine__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
-axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Cancel__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
-axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
-axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Context__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
-axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Control__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
-axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControllerData__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
-axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentLocation__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
-axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
-axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceObject__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
-axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
-
-
-//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
-axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Information__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
-axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Initialized_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
-axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptDescriptor_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
-axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function InterruptObject_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
-axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoControlCode___unnamed_16_ae81ad04(int) returns (int);
-
-
-//axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == x + 8);
-axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoStatus__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
-axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Irp__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == x + 8);
-axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IsKeyboard_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
-axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Item__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == x + 0);
-axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Item__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
-axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
-axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
-axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MajorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
-axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MakeCode__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == x + 4);
-axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MinorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
-axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MouseExtension__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
-axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
-axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
-
-
-//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
-axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
-axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
-
-
-//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
-axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Parameters__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
-axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PendingReturned__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
-axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PnpDeviceState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == x + 316);
-axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == INT_ADD(x, 316));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
-axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerEvent__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == x + 329);
-axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 329));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerFlags__GLOBALS(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
-axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
-axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
-axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Power___unnamed_16_afe81cff(int) returns (int);
-
-
-//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
-axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function RemoveLock_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
-axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Self_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == x + 0);
-axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
-axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ShutdownType___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
-axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Started_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
-axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function State___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
-axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Status___unnamed_4_d4b13373(int) returns (int);
-
-
-//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
-axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
-axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
-axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
-axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
-axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Tail__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
-axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function TopOfStack_COMMON_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
-axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Type___unnamed_16_57972375(int) returns (int);
-
-
-//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
-axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
-axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
-axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-
-///////////////////////////////////
-// will be replaced by:
-// "//" when using bv mode
-// "" when using int mode
-// main reason is to avoid using bv for constants
-// or avoid translating lines that are complex or unsound
-//////////////////////////////////
-
-////////////////////////////////////////////
-/////// functions for int type /////////////
-// Theorem prover does not see INT_ADD etc.
-////////////////////////////////////////////
-function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
-function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
-
-function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
-function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
-function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
-function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
-function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
-function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
-
-
-////////////////////////////////////////////
-/////// functions for bv32 type /////////////
-// Theorem prover does not see INT_ADD etc.
-// we are treating unsigned ops now
-////////////////////////////////////////////
-function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
-function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
-
-function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
-
-//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
-//only enabled with bv theory
-// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
-
-//////////////////////////////////
-// Generic C Arithmetic operations
-/////////////////////////////////
-
-//Is this sound for bv32?
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
- axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
- INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
-
-//we just keep this axiom for size = 1
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
-
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
-
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
-
-function MULT(a:int, b:int) returns (int); // a*b
-//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-// Not sure if these axioms hold for BV too, just commet them for BV
-
-
-
-//uninterpreted binary op
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-
-//////////////////////////////////////////
-//// Bitwise ops (uninterpreted, used with int)
-//////////////////////////////////////////
-
-
- function BIT_BAND(a:int, b:int) returns (x:int);
-
-
- function BIT_BOR(a:int, b:int) returns (x:int);
- function BIT_BXOR(a:int, b:int) returns (x:int);
- function BIT_BNOT(a:int) returns (int);
-
-
-
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function PTR_NOT(a:int) returns (int);
-axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
-axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-
-function NewAlloc(x:int, y:int) returns (z:int);
-
-//Comments below make HAVOC_malloc deterministic
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-//requires obj_size >= 0;
-free requires INT_GEQ(obj_size, 0);
-modifies alloc;
-ensures new == old(alloc);
-//ensures alloc > new + obj_size;
-ensures INT_GT(alloc, INT_ADD(new, obj_size));
-//ensures alloc == NewAlloc(old(alloc), obj_size);
-
-
-
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-/*
-//bv functions
-function bv8ToInt(bv8) returns (int);
-function bv16ToInt(bv16) returns (int);
-function bv32ToInt(bv32) returns (int);
-function bv64ToInt(bv64) returns (int);
-
-function intToBv8(int) returns (bv8);
-function intToBv16(int) returns (bv16);
-function intToBv32(int) returns (bv32);
-function intToBv64(int) returns (bv64);
-
-axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
-axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
-axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
-axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
-
-axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
-axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
-axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
-axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
-*/
-
-
-
-var Res_0_COMPLETED : [int]int;
-var Res_1_COMPLETED : [int]int;
-var Res_s_1_COMPLETED : [int]int;
-var Res_KERNEL_SOURCE:[int]int;
-var Res_0_LOCK : [int]int;
-var Res_1_LOCK : [int]int;
-var Res_s_1_LOCK : [int]int;
-var Res_PROBED:[int]int;
-
-//Pointer constants
-
-//Function pointer constants
-
-
-const unique Globals : int;
-axiom(Globals != 0);
-const unique I8xCompleteSysButtonEventWorker : int;
-axiom(I8xCompleteSysButtonEventWorker != 0);
-const unique I8xPowerUpToD0Complete : int;
-axiom(I8xPowerUpToD0Complete != 0);
-const unique I8xReinitializeHardware : int;
-axiom(I8xReinitializeHardware != 0);
-const unique I8xSysButtonCancelRoutine : int;
-axiom(I8xSysButtonCancelRoutine != 0);
-var cancelLockStatus_0 : int;
-var cancelLockStatus_1 : int;
-var cancelLockStatus_s_1 : int;
-
-const unique hdevobj : int;
-axiom(hdevobj != 0);
-// the set of constants for 64 bit integers that Boogie doesn't parse
-const unique BOOGIE_LARGE_INT_2147483648:int;
-
-
-
-procedure DRIVER_CANCEL(a0:int, a1:int);
-
-
-
-procedure ExFreePoolWithTag(a0:int, a1:int);
-
-
-
-procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
-
-
-
-procedure IoAllocateWorkItem(a0:int) returns (ret:int);
-
-
-
-procedure IoDisconnectInterrupt(a0:int);
-
-
-
-procedure IoFreeWorkItem(a0:int);
-
-
-
-procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
-
-
-
-procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
-
-
-
-procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure PoStartNextPowerIrp(a0:int);
-
-
-
-procedure __PREfastPagedCode();
-
-
-
-procedure __storm_assert_dummy();
-
-
-
-procedure __storm_atomic_begin_dummy();
-
-
-
-procedure __storm_atomic_end_dummy();
-
-
-
-procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-
-
-
-procedure storm_nondet() returns (ret:int);
-
-
-
-procedure storm_main();
- free requires 0 < alloc;
- free requires 0 < tid;
- free requires tid < tidCount;
- requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
- modifies tidCount, alloc, raiseException, cancelLockStatus_s_1, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, cancelLockStatus_1, __storm_thread_done_3, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_1_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_1_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_1_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_1_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_1_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION, Mem_1_T.DeviceObject__IO_STACK_LOCATION;
-
-
-
-implementation storm_main()
-{
- var inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, $irpSp$2$92.21$storm_main: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$storm_getThreadID$5$tidRet: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$storm_getThreadID$0$tidRet: int, inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver: int, inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$: int, inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0: int, inline$storm_getThreadID$1$tidRet: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$storm_getThreadID$4$tidRet: int, inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$: int, inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$: int, inline$I8xSysButtonCancelRoutine$0$myVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, k_old_2: int, inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine: int, inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$: int, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$: int, inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine: int, inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_1: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_0: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0: int, inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine: int, inline$I8xDeviceControl$0$myVar_0: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoSetCancelRoutine$1$myVar_0: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_getThreadID$3$tidRet: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_getThreadID$2$tidRet: int, inline$I8xDeviceControl$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, $result.storm_IoAllocateIrp$96.21$1$: int, tidCount_old: int, inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xCompleteSysButtonIrp$0$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, tid_old_1: int, tid_old_0: int, tid_old_2: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$: int;
-
- start#2:
- assume Res_1_COMPLETED == Res_s_1_COMPLETED;
- assume Res_1_LOCK == Res_s_1_LOCK;
- assume Mem_1_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
- assume Mem_1_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
- assume Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
- assume Mem_1_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
- assume Mem_1_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
- assume cancelLockStatus_1 == cancelLockStatus_s_1;
- __storm_thread_done_0 := false;
- __storm_thread_done_1 := false;
- __storm_thread_done_2 := false;
- __storm_thread_done_3 := false;
- k := 0;
- errorReached := false;
- __storm_atomic := false;
- __storm_init := false;
- goto label_3#2;
-
- label_3#2:
- goto label_4#2;
-
- label_4#2:
- goto label_5#2;
-
- label_5#2:
- goto anon22_Then#2, anon22_Else#2;
-
- anon22_Else#2:
- assume k != 0;
- goto anon23_Then#2, anon23_Else#2;
-
- anon23_Else#2:
- assume k != 1;
- goto anon2#2;
-
- anon23_Then#2:
- assume k == 1;
- cancelLockStatus_1 := 0;
- goto anon2#2;
-
- anon22_Then#2:
- assume k == 0;
- cancelLockStatus_0 := 0;
- goto anon2#2;
-
- anon2#2:
- call contextSwitch();
- goto label_6#2;
-
- label_6#2:
- goto inline$storm_IoAllocateIrp$0$Entry#2;
-
- inline$storm_IoAllocateIrp$0$Entry#2:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
- goto inline$storm_IoAllocateIrp$0$start#2;
-
- inline$storm_IoAllocateIrp$0$start#2:
- inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
- goto inline$storm_IoAllocateIrp$0$label_3#2;
-
- inline$storm_IoAllocateIrp$0$label_3#2:
- goto inline$storm_IoAllocateIrp$0$label_4#2;
-
- inline$storm_IoAllocateIrp$0$label_4#2:
- goto inline$storm_IoAllocateIrp$0$label_5#2;
-
- inline$storm_IoAllocateIrp$0$label_5#2:
- call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
- goto inline$storm_IoAllocateIrp$0$label_8#2;
-
- inline$storm_IoAllocateIrp$0$label_8#2:
- goto inline$storm_IoAllocateIrp$0$label_8_case_0#2, inline$storm_IoAllocateIrp$0$label_8_case_1#2;
-
- inline$storm_IoAllocateIrp$0$label_8_case_1#2:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
- goto inline$storm_IoAllocateIrp$0$label_10#2;
-
- inline$storm_IoAllocateIrp$0$label_10#2:
- __storm_atomic := true;
- goto inline$storm_IoAllocateIrp$0$label_13#2;
-
- inline$storm_IoAllocateIrp$0$label_13#2:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
- goto inline$storm_IoAllocateIrp$0$label_16#2;
-
- inline$storm_IoAllocateIrp$0$label_16#2:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
- goto inline$storm_IoAllocateIrp$0$label_17#2;
-
- inline$storm_IoAllocateIrp$0$label_17#2:
- goto inline$storm_IoAllocateIrp$0$anon18_Then#2, inline$storm_IoAllocateIrp$0$anon18_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon18_Else#2:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon19_Then#2, inline$storm_IoAllocateIrp$0$anon19_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon19_Else#2:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon2#2;
-
- inline$storm_IoAllocateIrp$0$anon19_Then#2:
- assume k == 1;
- Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon2#2;
-
- inline$storm_IoAllocateIrp$0$anon18_Then#2:
- assume k == 0;
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon2#2;
-
- inline$storm_IoAllocateIrp$0$anon2#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_18#2;
-
- inline$storm_IoAllocateIrp$0$label_18#2:
- goto inline$storm_IoAllocateIrp$0$anon20_Then#2, inline$storm_IoAllocateIrp$0$anon20_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon20_Else#2:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon21_Then#2, inline$storm_IoAllocateIrp$0$anon21_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon21_Else#2:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon5#2;
-
- inline$storm_IoAllocateIrp$0$anon21_Then#2:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon5#2;
-
- inline$storm_IoAllocateIrp$0$anon20_Then#2:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
- goto inline$storm_IoAllocateIrp$0$anon5#2;
-
- inline$storm_IoAllocateIrp$0$anon5#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_19#2;
-
- inline$storm_IoAllocateIrp$0$label_19#2:
- goto inline$storm_IoAllocateIrp$0$anon22_Then#2, inline$storm_IoAllocateIrp$0$anon22_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon22_Else#2:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon23_Then#2, inline$storm_IoAllocateIrp$0$anon23_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon23_Else#2:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon8#2;
-
- inline$storm_IoAllocateIrp$0$anon23_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
- goto inline$storm_IoAllocateIrp$0$anon8#2;
-
- inline$storm_IoAllocateIrp$0$anon22_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
- goto inline$storm_IoAllocateIrp$0$anon8#2;
-
- inline$storm_IoAllocateIrp$0$anon8#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_20#2;
-
- inline$storm_IoAllocateIrp$0$label_20#2:
- havoc raiseException;
- goto inline$storm_IoAllocateIrp$0$anon24_Then#2, inline$storm_IoAllocateIrp$0$anon24_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon24_Else#2:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon10#2;
-
- inline$storm_IoAllocateIrp$0$anon10#2:
- assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
- goto inline$storm_IoAllocateIrp$0$label_21#2;
-
- inline$storm_IoAllocateIrp$0$label_21#2:
- inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
- goto inline$storm_IoAllocateIrp$0$label_22#2;
-
- inline$storm_IoAllocateIrp$0$label_22#2:
- call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
- goto inline$storm_IoAllocateIrp$0$label_25#2;
-
- inline$storm_IoAllocateIrp$0$label_25#2:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
- goto inline$storm_IoAllocateIrp$0$label_26#2;
-
- inline$storm_IoAllocateIrp$0$label_26#2:
- goto inline$storm_IoAllocateIrp$0$anon25_Then#2, inline$storm_IoAllocateIrp$0$anon25_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon25_Else#2:
- assume k != 0;
- goto inline$storm_IoAllocateIrp$0$anon26_Then#2, inline$storm_IoAllocateIrp$0$anon26_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon26_Else#2:
- assume k != 1;
- goto inline$storm_IoAllocateIrp$0$anon13#2;
-
- inline$storm_IoAllocateIrp$0$anon26_Then#2:
- assume k == 1;
- Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
- goto inline$storm_IoAllocateIrp$0$anon13#2;
-
- inline$storm_IoAllocateIrp$0$anon25_Then#2:
- assume k == 0;
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
- goto inline$storm_IoAllocateIrp$0$anon13#2;
-
- inline$storm_IoAllocateIrp$0$anon13#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_27#2;
-
- inline$storm_IoAllocateIrp$0$label_27#2:
- goto inline$IoGetNextIrpStackLocation$0$Entry#2;
-
- inline$IoGetNextIrpStackLocation$0$Entry#2:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$IoGetNextIrpStackLocation$0$start#2;
-
- inline$IoGetNextIrpStackLocation$0$start#2:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
- goto inline$IoGetNextIrpStackLocation$0$label_3#2;
-
- inline$IoGetNextIrpStackLocation$0$label_3#2:
- goto inline$IoGetNextIrpStackLocation$0$anon3_Then#2, inline$IoGetNextIrpStackLocation$0$anon3_Else#2;
-
- inline$IoGetNextIrpStackLocation$0$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetNextIrpStackLocation$0$anon4_Then#2, inline$IoGetNextIrpStackLocation$0$anon4_Else#2;
-
- inline$IoGetNextIrpStackLocation$0$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetNextIrpStackLocation$0$anon2#2;
-
- inline$IoGetNextIrpStackLocation$0$anon4_Then#2:
- assume k == 1;
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
- goto inline$IoGetNextIrpStackLocation$0$anon2#2;
-
- inline$IoGetNextIrpStackLocation$0$anon3_Then#2:
- assume k == 0;
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
- goto inline$IoGetNextIrpStackLocation$0$anon2#2;
-
- inline$IoGetNextIrpStackLocation$0$anon2#2:
- call contextSwitch();
- inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
- goto inline$IoGetNextIrpStackLocation$0$label_1#2;
-
- inline$IoGetNextIrpStackLocation$0$label_1#2:
- goto inline$IoGetNextIrpStackLocation$0$Return#2;
-
- inline$IoGetNextIrpStackLocation$0$Return#2:
- inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
- goto inline$storm_IoAllocateIrp$0$label_27$1#2;
-
- inline$storm_IoAllocateIrp$0$label_27$1#2:
- goto inline$storm_IoAllocateIrp$0$anon27_Then#2, inline$storm_IoAllocateIrp$0$anon27_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon27_Else#2:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon15#2;
-
- inline$storm_IoAllocateIrp$0$anon15#2:
- goto inline$storm_IoAllocateIrp$0$label_30#2;
-
- inline$storm_IoAllocateIrp$0$label_30#2:
- inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
- goto inline$storm_IoAllocateIrp$0$label_31#2;
-
- inline$storm_IoAllocateIrp$0$label_31#2:
- goto inline$storm_IoAllocateIrp$0$label_32#2;
-
- inline$storm_IoAllocateIrp$0$label_32#2:
- goto inline$storm_IoAllocateIrp$0$label_33#2;
-
- inline$storm_IoAllocateIrp$0$label_33#2:
- goto inline$storm_IoAllocateIrp$0$anon28_Then#2, inline$storm_IoAllocateIrp$0$anon28_Else#2;
-
- inline$storm_IoAllocateIrp$0$anon28_Else#2:
- assume __storm_init;
- goto inline$storm_IoAllocateIrp$0$anon17#2;
-
- inline$storm_IoAllocateIrp$0$anon28_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAllocateIrp$0$anon17#2;
-
- inline$storm_IoAllocateIrp$0$anon17#2:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_36#2;
-
- inline$storm_IoAllocateIrp$0$anon27_Then#2:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$anon24_Then#2:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$label_8_case_0#2:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
- goto inline$storm_IoAllocateIrp$0$label_9#2;
-
- inline$storm_IoAllocateIrp$0$label_9#2:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
- goto inline$storm_IoAllocateIrp$0$label_36#2;
-
- inline$storm_IoAllocateIrp$0$label_36#2:
- inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
- goto inline$storm_IoAllocateIrp$0$label_1#2;
-
- inline$storm_IoAllocateIrp$0$label_1#2:
- goto inline$storm_IoAllocateIrp$0$Return#2;
-
- inline$storm_IoAllocateIrp$0$Return#2:
- $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
- goto label_6$1#2;
-
- label_6$1#2:
- goto anon24_Then#2, anon24_Else#2;
-
- anon24_Else#2:
- assume !raiseException;
- goto anon4#2;
-
- anon4#2:
- goto label_9#2;
-
- label_9#2:
- $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
- goto label_10#2;
-
- label_10#2:
- havoc raiseException;
- goto anon25_Then#2, anon25_Else#2;
-
- anon25_Else#2:
- assume !raiseException;
- goto anon6#2;
-
- anon6#2:
- assume INT_NEQ($irp$1$91.7$storm_main, 0);
- goto label_11#2;
-
- label_11#2:
- goto inline$IoSetNextIrpStackLocation$0$Entry#2;
-
- inline$IoSetNextIrpStackLocation$0$Entry#2:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoSetNextIrpStackLocation$0$start#2;
-
- inline$IoSetNextIrpStackLocation$0$start#2:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
- goto inline$IoSetNextIrpStackLocation$0$label_3#2;
-
- inline$IoSetNextIrpStackLocation$0$label_3#2:
- havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
- goto inline$IoSetNextIrpStackLocation$0$label_4#2;
-
- inline$IoSetNextIrpStackLocation$0$label_4#2:
- goto inline$IoSetNextIrpStackLocation$0$anon6_Then#2, inline$IoSetNextIrpStackLocation$0$anon6_Else#2;
-
- inline$IoSetNextIrpStackLocation$0$anon6_Else#2:
- assume k != 0;
- goto inline$IoSetNextIrpStackLocation$0$anon7_Then#2, inline$IoSetNextIrpStackLocation$0$anon7_Else#2;
-
- inline$IoSetNextIrpStackLocation$0$anon7_Else#2:
- assume k != 1;
- goto inline$IoSetNextIrpStackLocation$0$anon2#2;
-
- inline$IoSetNextIrpStackLocation$0$anon7_Then#2:
- assume k == 1;
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
- goto inline$IoSetNextIrpStackLocation$0$anon2#2;
-
- inline$IoSetNextIrpStackLocation$0$anon6_Then#2:
- assume k == 0;
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
- goto inline$IoSetNextIrpStackLocation$0$anon2#2;
-
- inline$IoSetNextIrpStackLocation$0$anon2#2:
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$0$anon8_Then#2, inline$IoSetNextIrpStackLocation$0$anon8_Else#2;
-
- inline$IoSetNextIrpStackLocation$0$anon8_Else#2:
- assume k != 0;
- goto inline$IoSetNextIrpStackLocation$0$anon9_Then#2, inline$IoSetNextIrpStackLocation$0$anon9_Else#2;
-
- inline$IoSetNextIrpStackLocation$0$anon9_Else#2:
- assume k != 1;
- goto inline$IoSetNextIrpStackLocation$0$anon5#2;
-
- inline$IoSetNextIrpStackLocation$0$anon9_Then#2:
- assume k == 1;
- Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- goto inline$IoSetNextIrpStackLocation$0$anon5#2;
-
- inline$IoSetNextIrpStackLocation$0$anon8_Then#2:
- assume k == 0;
- Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- goto inline$IoSetNextIrpStackLocation$0$anon5#2;
-
- inline$IoSetNextIrpStackLocation$0$anon5#2:
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$0$label_1#2;
-
- inline$IoSetNextIrpStackLocation$0$label_1#2:
- goto inline$IoSetNextIrpStackLocation$0$Return#2;
-
- inline$IoSetNextIrpStackLocation$0$Return#2:
- goto label_11$1#2;
-
- label_11$1#2:
- goto anon26_Then#2, anon26_Else#2;
-
- anon26_Else#2:
- assume !raiseException;
- goto anon8#2;
-
- anon8#2:
- goto label_14#2;
-
- label_14#2:
- goto inline$IoGetCurrentIrpStackLocation$0$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$0$Entry#2:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
- goto inline$IoGetCurrentIrpStackLocation$0$start#2;
-
- inline$IoGetCurrentIrpStackLocation$0$start#2:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
- goto inline$IoGetCurrentIrpStackLocation$0$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$0$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$0$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$0$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$0$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$0$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$0$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$0$anon4_Then#2:
- assume k == 1;
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$0$anon3_Then#2:
- assume k == 0;
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$0$anon2#2:
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
- goto inline$IoGetCurrentIrpStackLocation$0$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$0$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$0$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$0$Return#2:
- $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
- goto label_14$1#2;
-
- label_14$1#2:
- goto anon27_Then#2, anon27_Else#2;
-
- anon27_Else#2:
- assume !raiseException;
- goto anon10#2;
-
- anon10#2:
- goto label_17#2;
-
- label_17#2:
- $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
- goto label_18#2;
-
- label_18#2:
- goto anon28_Then#2, anon28_Else#2;
-
- anon28_Else#2:
- assume k != 0;
- goto anon29_Then#2, anon29_Else#2;
-
- anon29_Else#2:
- assume k != 1;
- goto anon13#2;
-
- anon29_Then#2:
- assume k == 1;
- Mem_1_T.DeviceObject__IO_STACK_LOCATION := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
- goto anon13#2;
-
- anon28_Then#2:
- assume k == 0;
- Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
- goto anon13#2;
-
- anon13#2:
- call contextSwitch();
- goto label_19#2;
-
- label_19#2:
- goto inline$myInitDriver$0$Entry#2;
-
- inline$myInitDriver$0$Entry#2:
- goto inline$myInitDriver$0$start#2;
-
- inline$myInitDriver$0$start#2:
- goto inline$myInitDriver$0$label_3#2;
-
- inline$myInitDriver$0$label_3#2:
- goto inline$myInitDriver$0$label_4#2;
-
- inline$myInitDriver$0$label_4#2:
- goto inline$myInitDriver$0$anon5_Then#2, inline$myInitDriver$0$anon5_Else#2;
-
- inline$myInitDriver$0$anon5_Else#2:
- assume k != 0;
- goto inline$myInitDriver$0$anon6_Then#2, inline$myInitDriver$0$anon6_Else#2;
-
- inline$myInitDriver$0$anon6_Else#2:
- assume k != 1;
- goto inline$myInitDriver$0$anon2#2;
-
- inline$myInitDriver$0$anon6_Then#2:
- assume k == 1;
- inline$myInitDriver$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
- goto inline$myInitDriver$0$anon2#2;
-
- inline$myInitDriver$0$anon5_Then#2:
- assume k == 0;
- inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
- goto inline$myInitDriver$0$anon2#2;
-
- inline$myInitDriver$0$anon2#2:
- call contextSwitch();
- inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver := inline$myInitDriver$0$myVar_0;
- goto inline$myInitDriver$0$label_5#2;
-
- inline$myInitDriver$0$label_5#2:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$0$Entry#2;
-
- inline$storm_KeInitializeSpinLock$0$Entry#2:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver);
- goto inline$storm_KeInitializeSpinLock$0$start#2;
-
- inline$storm_KeInitializeSpinLock$0$start#2:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1;
- goto inline$storm_KeInitializeSpinLock$0$label_3#2;
-
- inline$storm_KeInitializeSpinLock$0$label_3#2:
- goto inline$storm_KeInitializeSpinLock$0$anon3_Then#2, inline$storm_KeInitializeSpinLock$0$anon3_Else#2;
-
- inline$storm_KeInitializeSpinLock$0$anon3_Else#2:
- assume k != 0;
- goto inline$storm_KeInitializeSpinLock$0$anon4_Then#2, inline$storm_KeInitializeSpinLock$0$anon4_Else#2;
-
- inline$storm_KeInitializeSpinLock$0$anon4_Else#2:
- assume k != 1;
- goto inline$storm_KeInitializeSpinLock$0$anon2#2;
-
- inline$storm_KeInitializeSpinLock$0$anon4_Then#2:
- assume k == 1;
- Res_1_LOCK := Res_1_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
- goto inline$storm_KeInitializeSpinLock$0$anon2#2;
-
- inline$storm_KeInitializeSpinLock$0$anon3_Then#2:
- assume k == 0;
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
- goto inline$storm_KeInitializeSpinLock$0$anon2#2;
-
- inline$storm_KeInitializeSpinLock$0$anon2#2:
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$0$label_1#2;
-
- inline$storm_KeInitializeSpinLock$0$label_1#2:
- goto inline$storm_KeInitializeSpinLock$0$Return#2;
-
- inline$storm_KeInitializeSpinLock$0$Return#2:
- goto inline$myInitDriver$0$label_5$1#2;
-
- inline$myInitDriver$0$label_5$1#2:
- goto inline$myInitDriver$0$anon7_Then#2, inline$myInitDriver$0$anon7_Else#2;
-
- inline$myInitDriver$0$anon7_Else#2:
- assume !raiseException;
- goto inline$myInitDriver$0$anon4#2;
-
- inline$myInitDriver$0$anon4#2:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_1#2;
-
- inline$myInitDriver$0$label_1#2:
- goto inline$myInitDriver$0$Return#2;
-
- inline$myInitDriver$0$anon7_Then#2:
- assume raiseException;
- goto inline$myInitDriver$0$Return#2;
-
- inline$myInitDriver$0$Return#2:
- goto label_19$1#2;
-
- label_19$1#2:
- goto anon30_Then#2, anon30_Else#2;
-
- anon30_Else#2:
- assume !raiseException;
- goto anon15#2;
-
- anon15#2:
- goto label_22#2;
-
- label_22#2:
- goto label_23#2;
-
- label_23#2:
- k_old_0 := k;
- tid_old_0 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$dispatch$0$Entry#2;
-
- inline$dispatch$0$Entry#2:
- inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
- goto inline$dispatch$0$start#2;
-
- inline$dispatch$0$start#2:
- inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
- goto inline$dispatch$0$label_3#2;
-
- inline$dispatch$0$label_3#2:
- goto inline$dispatch$0$label_4#2;
-
- inline$dispatch$0$label_4#2:
- goto inline$IoGetCurrentIrpStackLocation$1$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$1$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$1$start#2;
-
- inline$IoGetCurrentIrpStackLocation$1$start#2:
- goto inline$IoGetCurrentIrpStackLocation$1$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$1$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$1$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$1$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$1$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$1$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$1$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$1$anon4_Then#2:
- assume k == 1;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$1$anon3_Then#2:
- assume k == 0;
- goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$1$anon2#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$1$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$1$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$1$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$1$Return#2:
- goto inline$dispatch$0$label_4$1#2;
-
- inline$dispatch$0$label_4$1#2:
- goto inline$dispatch$0$anon4_Then#2, inline$dispatch$0$anon4_Else#2;
-
- inline$dispatch$0$anon4_Else#2:
- assume !raiseException;
- goto inline$dispatch$0$anon1#2;
-
- inline$dispatch$0$anon1#2:
- goto inline$dispatch$0$label_7#2;
-
- inline$dispatch$0$label_7#2:
- goto inline$dispatch$0$label_8#2;
-
- inline$dispatch$0$label_8#2:
- goto inline$I8xDeviceControl$0$Entry#2;
-
- inline$I8xDeviceControl$0$Entry#2:
- inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1 := hdevobj;
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
- goto inline$I8xDeviceControl$0$start#2;
-
- inline$I8xDeviceControl$0$start#2:
- inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl := inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1;
- inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
- goto inline$I8xDeviceControl$0$label_3#2;
-
- inline$I8xDeviceControl$0$label_3#2:
- goto inline$I8xDeviceControl$0$label_4#2;
-
- inline$I8xDeviceControl$0$label_4#2:
- goto inline$I8xDeviceControl$0$label_5#2;
-
- inline$I8xDeviceControl$0$label_5#2:
- goto inline$I8xDeviceControl$0$label_6#2;
-
- inline$I8xDeviceControl$0$label_6#2:
- goto inline$I8xDeviceControl$0$label_7#2;
-
- inline$I8xDeviceControl$0$label_7#2:
- call __PREfastPagedCode();
- goto inline$I8xDeviceControl$0$anon13_Then#2, inline$I8xDeviceControl$0$anon13_Else#2;
-
- inline$I8xDeviceControl$0$anon13_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon1#2;
-
- inline$I8xDeviceControl$0$anon1#2:
- goto inline$I8xDeviceControl$0$label_10#2;
-
- inline$I8xDeviceControl$0$label_10#2:
- goto inline$I8xDeviceControl$0$anon14_Then#2, inline$I8xDeviceControl$0$anon14_Else#2;
-
- inline$I8xDeviceControl$0$anon14_Else#2:
- assume k != 0;
- goto inline$I8xDeviceControl$0$anon15_Then#2, inline$I8xDeviceControl$0$anon15_Else#2;
-
- inline$I8xDeviceControl$0$anon15_Else#2:
- assume k != 1;
- goto inline$I8xDeviceControl$0$anon4#2;
-
- inline$I8xDeviceControl$0$anon15_Then#2:
- assume k == 1;
- inline$I8xDeviceControl$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
- goto inline$I8xDeviceControl$0$anon4#2;
-
- inline$I8xDeviceControl$0$anon14_Then#2:
- assume k == 0;
- inline$I8xDeviceControl$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
- goto inline$I8xDeviceControl$0$anon4#2;
-
- inline$I8xDeviceControl$0$anon4#2:
- call contextSwitch();
- inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl := inline$I8xDeviceControl$0$myVar_0;
- goto inline$I8xDeviceControl$0$label_11#2;
-
- inline$I8xDeviceControl$0$label_11#2:
- goto inline$I8xDeviceControl$0$label_11_true#2, inline$I8xDeviceControl$0$label_11_false#2;
-
- inline$I8xDeviceControl$0$label_11_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_11_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
- goto inline$I8xDeviceControl$0$label_13#2;
-
- inline$I8xDeviceControl$0$label_13#2:
- goto inline$I8xDeviceControl$0$label_13_true#2, inline$I8xDeviceControl$0$label_13_false#2;
-
- inline$I8xDeviceControl$0$label_13_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_13_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
- goto inline$I8xDeviceControl$0$label_14#2;
-
- inline$I8xDeviceControl$0$label_14#2:
- goto inline$I8xDeviceControl$0$label_14_true#2, inline$I8xDeviceControl$0$label_14_false#2;
-
- inline$I8xDeviceControl$0$label_14_false#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) == 0;
- goto inline$I8xDeviceControl$0$label_15#2;
-
- inline$I8xDeviceControl$0$label_15#2:
- goto inline$IoGetCurrentIrpStackLocation$2$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$2$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$2$start#2;
-
- inline$IoGetCurrentIrpStackLocation$2$start#2:
- goto inline$IoGetCurrentIrpStackLocation$2$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$2$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$2$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$2$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$2$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$2$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$2$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$2$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$2$anon4_Then#2:
- assume k == 1;
- goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$2$anon3_Then#2:
- assume k == 0;
- goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$2$anon2#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$2$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$2$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$2$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$2$Return#2:
- goto inline$I8xDeviceControl$0$label_15$1#2;
-
- inline$I8xDeviceControl$0$label_15$1#2:
- goto inline$I8xDeviceControl$0$anon16_Then#2, inline$I8xDeviceControl$0$anon16_Else#2;
-
- inline$I8xDeviceControl$0$anon16_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon6#2;
-
- inline$I8xDeviceControl$0$anon6#2:
- goto inline$I8xDeviceControl$0$label_18#2;
-
- inline$I8xDeviceControl$0$label_18#2:
- goto inline$I8xDeviceControl$0$label_19#2;
-
- inline$I8xDeviceControl$0$label_19#2:
- goto inline$I8xDeviceControl$0$label_19_case_0#2, inline$I8xDeviceControl$0$label_19_case_1#2, inline$I8xDeviceControl$0$label_19_case_2#2;
-
- inline$I8xDeviceControl$0$label_19_case_2#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703684;
- goto inline$I8xDeviceControl$0$label_24#2;
-
- inline$I8xDeviceControl$0$label_24#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Entry#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl;
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$I8xKeyboardGetSysButtonEvent$0$start#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$start#2:
- call inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent := __HAVOC_malloc(1);
- inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1;
- inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_3#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_4#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_5#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_6#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_7#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_8#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_9#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10#2:
- goto inline$IoGetCurrentIrpStackLocation$4$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$4$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$4$start#2;
-
- inline$IoGetCurrentIrpStackLocation$4$start#2:
- goto inline$IoGetCurrentIrpStackLocation$4$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$4$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$4$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$4$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$4$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$4$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$4$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$4$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$4$anon4_Then#2:
- assume k == 1;
- goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$4$anon3_Then#2:
- assume k == 0;
- goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$4$anon2#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$4$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$4$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$4$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$4$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_13#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume !INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$0$Entry#2;
-
- inline$storm_KeAcquireSpinLock$0$Entry#2:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
- goto inline$storm_KeAcquireSpinLock$0$start#2;
-
- inline$storm_KeAcquireSpinLock$0$start#2:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
- goto inline$storm_KeAcquireSpinLock$0$label_3#2;
-
- inline$storm_KeAcquireSpinLock$0$label_3#2:
- goto inline$storm_KeAcquireSpinLock$0$label_4#2;
-
- inline$storm_KeAcquireSpinLock$0$label_4#2:
- goto inline$storm_getThreadID$0$Entry#2;
-
- inline$storm_getThreadID$0$Entry#2:
- goto inline$storm_getThreadID$0$anon0#2;
-
- inline$storm_getThreadID$0$anon0#2:
- inline$storm_getThreadID$0$tidRet := tid;
- goto inline$storm_getThreadID$0$Return#2;
-
- inline$storm_getThreadID$0$Return#2:
- inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tidRet;
- goto inline$storm_KeAcquireSpinLock$0$label_4$1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_4$1#2:
- goto inline$storm_KeAcquireSpinLock$0$label_7#2;
-
- inline$storm_KeAcquireSpinLock$0$label_7#2:
- inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$0$label_8#2;
-
- inline$storm_KeAcquireSpinLock$0$label_8#2:
- goto inline$storm_KeAcquireSpinLock$0$label_9#2;
-
- inline$storm_KeAcquireSpinLock$0$label_9#2:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$0$label_12#2;
-
- inline$storm_KeAcquireSpinLock$0$label_12#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon10_Then#2, inline$storm_KeAcquireSpinLock$0$anon10_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon10_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon1#2;
-
- inline$storm_KeAcquireSpinLock$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_13#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13#2:
- goto inline$storm_KeAcquireSpinLock$0$label_13_true#2, inline$storm_KeAcquireSpinLock$0$label_13_false#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13_false#2:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$0$label_14#2;
-
- inline$storm_KeAcquireSpinLock$0$label_14#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$0$label_1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_13_true#2:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$0$label_17#2;
-
- inline$storm_KeAcquireSpinLock$0$label_17#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon11_Then#2, inline$storm_KeAcquireSpinLock$0$anon11_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon11_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon4#2;
-
- inline$storm_KeAcquireSpinLock$0$anon4#2:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
- goto inline$storm_KeAcquireSpinLock$0$label_18#2;
-
- inline$storm_KeAcquireSpinLock$0$label_18#2:
- goto inline$storm_KeAcquireSpinLock$0$anon12_Then#2, inline$storm_KeAcquireSpinLock$0$anon12_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon12_Else#2:
- assume k != 0;
- goto inline$storm_KeAcquireSpinLock$0$anon13_Then#2, inline$storm_KeAcquireSpinLock$0$anon13_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon13_Else#2:
- assume k != 1;
- goto inline$storm_KeAcquireSpinLock$0$anon7#2;
-
- inline$storm_KeAcquireSpinLock$0$anon13_Then#2:
- assume k == 1;
- Res_1_LOCK := Res_1_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
- goto inline$storm_KeAcquireSpinLock$0$anon7#2;
-
- inline$storm_KeAcquireSpinLock$0$anon12_Then#2:
- assume k == 0;
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
- goto inline$storm_KeAcquireSpinLock$0$anon7#2;
-
- inline$storm_KeAcquireSpinLock$0$anon7#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_19#2;
-
- inline$storm_KeAcquireSpinLock$0$label_19#2:
- goto inline$storm_KeAcquireSpinLock$0$anon14_Then#2, inline$storm_KeAcquireSpinLock$0$anon14_Else#2;
-
- inline$storm_KeAcquireSpinLock$0$anon14_Else#2:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$0$anon9#2;
-
- inline$storm_KeAcquireSpinLock$0$anon14_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$0$anon9#2;
-
- inline$storm_KeAcquireSpinLock$0$anon9#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_1#2;
-
- inline$storm_KeAcquireSpinLock$0$label_1#2:
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$anon11_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$anon10_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#2;
-
- inline$storm_KeAcquireSpinLock$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon5#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_56#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_57#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_57#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_62#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_62#2:
- goto inline$storm_IoSetCancelRoutine$0$Entry#2;
-
- inline$storm_IoSetCancelRoutine$0$Entry#2:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
- goto inline$storm_IoSetCancelRoutine$0$start#2;
-
- inline$storm_IoSetCancelRoutine$0$start#2:
- inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
- inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
- goto inline$storm_IoSetCancelRoutine$0$label_3#2;
-
- inline$storm_IoSetCancelRoutine$0$label_3#2:
- goto inline$storm_IoSetCancelRoutine$0$label_4#2;
-
- inline$storm_IoSetCancelRoutine$0$label_4#2:
- call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ := storm_nondet();
- goto inline$storm_IoSetCancelRoutine$0$label_7#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7#2:
- goto inline$storm_IoSetCancelRoutine$0$label_7_true#2, inline$storm_IoSetCancelRoutine$0$label_7_false#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7_false#2:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#2;
-
- inline$storm_IoSetCancelRoutine$0$label_7_true#2:
- assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_11#2;
-
- inline$storm_IoSetCancelRoutine$0$label_11#2:
- havoc raiseException;
- goto inline$storm_IoSetCancelRoutine$0$anon11_Then#2, inline$storm_IoSetCancelRoutine$0$anon11_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon11_Else#2:
- assume !raiseException;
- goto inline$storm_IoSetCancelRoutine$0$anon1#2;
-
- inline$storm_IoSetCancelRoutine$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_12#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12#2:
- goto inline$storm_IoSetCancelRoutine$0$label_12_true#2, inline$storm_IoSetCancelRoutine$0$label_12_false#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12_false#2:
- assume 0 == 0;
- goto inline$storm_IoSetCancelRoutine$0$label_13#2;
-
- inline$storm_IoSetCancelRoutine$0$label_13#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCancelRoutine$0$label_1#2;
-
- inline$storm_IoSetCancelRoutine$0$label_12_true#2:
- assume 0 != 0;
- goto inline$storm_IoSetCancelRoutine$0$label_8#2;
-
- inline$storm_IoSetCancelRoutine$0$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoSetCancelRoutine$0$label_16#2;
-
- inline$storm_IoSetCancelRoutine$0$label_16#2:
- goto inline$storm_IoSetCancelRoutine$0$anon12_Then#2, inline$storm_IoSetCancelRoutine$0$anon12_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon12_Else#2:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$0$anon13_Then#2, inline$storm_IoSetCancelRoutine$0$anon13_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon13_Else#2:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$0$anon5#2;
-
- inline$storm_IoSetCancelRoutine$0$anon13_Then#2:
- assume k == 1;
- goto inline$storm_IoSetCancelRoutine$0$anon5#2;
-
- inline$storm_IoSetCancelRoutine$0$anon12_Then#2:
- assume k == 0;
- goto inline$storm_IoSetCancelRoutine$0$anon5#2;
-
- inline$storm_IoSetCancelRoutine$0$anon5#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_17#2;
-
- inline$storm_IoSetCancelRoutine$0$label_17#2:
- goto inline$storm_IoSetCancelRoutine$0$anon14_Then#2, inline$storm_IoSetCancelRoutine$0$anon14_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon14_Else#2:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$0$anon15_Then#2, inline$storm_IoSetCancelRoutine$0$anon15_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon15_Else#2:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$0$anon8#2;
-
- inline$storm_IoSetCancelRoutine$0$anon15_Then#2:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$0$anon8#2;
-
- inline$storm_IoSetCancelRoutine$0$anon14_Then#2:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$0$anon8#2;
-
- inline$storm_IoSetCancelRoutine$0$anon8#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_18#2;
-
- inline$storm_IoSetCancelRoutine$0$label_18#2:
- goto inline$storm_IoSetCancelRoutine$0$anon16_Then#2, inline$storm_IoSetCancelRoutine$0$anon16_Else#2;
-
- inline$storm_IoSetCancelRoutine$0$anon16_Else#2:
- assume __storm_init;
- goto inline$storm_IoSetCancelRoutine$0$anon10#2;
-
- inline$storm_IoSetCancelRoutine$0$anon16_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoSetCancelRoutine$0$anon10#2;
-
- inline$storm_IoSetCancelRoutine$0$anon10#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$0$label_21#2;
-
- inline$storm_IoSetCancelRoutine$0$label_21#2:
- goto inline$storm_IoSetCancelRoutine$0$label_1#2;
-
- inline$storm_IoSetCancelRoutine$0$label_1#2:
- goto inline$storm_IoSetCancelRoutine$0$Return#2;
-
- inline$storm_IoSetCancelRoutine$0$anon11_Then#2:
- assume raiseException;
- goto inline$storm_IoSetCancelRoutine$0$Return#2;
-
- inline$storm_IoSetCancelRoutine$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon44_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon44_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon44_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon21#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon21#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_65#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon47_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon47_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon47_Else#2:
- assume k != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon48_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon48_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon48_Else#2:
- assume k != 1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon48_Then#2:
- assume k == 1;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon47_Then#2:
- assume k == 0;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon27#2:
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_66#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_66#2:
- goto inline$storm_IoMarkIrpPending$1$Entry#2;
-
- inline$storm_IoMarkIrpPending$1$Entry#2:
- inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$1$start#2;
-
- inline$storm_IoMarkIrpPending$1$start#2:
- inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$1$label_3#2;
-
- inline$storm_IoMarkIrpPending$1$label_3#2:
- call inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$1$label_6#2;
-
- inline$storm_IoMarkIrpPending$1$label_6#2:
- goto inline$storm_IoMarkIrpPending$1$label_6_true#2, inline$storm_IoMarkIrpPending$1$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$1$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$1$label_7#2;
-
- inline$storm_IoMarkIrpPending$1$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$1$anon3_Then#2, inline$storm_IoMarkIrpPending$1$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$1$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$1$anon1#2;
-
- inline$storm_IoMarkIrpPending$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$1$label_8#2;
-
- inline$storm_IoMarkIrpPending$1$label_8#2:
- goto inline$storm_IoMarkIrpPending$1$label_8_true#2, inline$storm_IoMarkIrpPending$1$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$1$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$1$label_9#2;
-
- inline$storm_IoMarkIrpPending$1$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$1$label_1#2;
-
- inline$storm_IoMarkIrpPending$1$label_1#2:
- goto inline$storm_IoMarkIrpPending$1$Return#2;
-
- inline$storm_IoMarkIrpPending$1$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$1$Return#2;
-
- inline$storm_IoMarkIrpPending$1$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon49_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon49_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon49_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon29#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon29#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_82#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_82#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon49_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon45_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon45_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon45_Else#2:
- assume k != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon46_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon46_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon46_Else#2:
- assume k != 1;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon46_Then#2:
- assume k == 1;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon45_Then#2:
- assume k == 0;
- inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon24#2:
- call contextSwitch();
- assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_69#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_69#2:
- goto inline$storm_IoSetCancelRoutine$1$Entry#2;
-
- inline$storm_IoSetCancelRoutine$1$Entry#2:
- inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := 0;
- goto inline$storm_IoSetCancelRoutine$1$start#2;
-
- inline$storm_IoSetCancelRoutine$1$start#2:
- inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
- inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
- goto inline$storm_IoSetCancelRoutine$1$label_3#2;
-
- inline$storm_IoSetCancelRoutine$1$label_3#2:
- goto inline$storm_IoSetCancelRoutine$1$label_4#2;
-
- inline$storm_IoSetCancelRoutine$1$label_4#2:
- call inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ := storm_nondet();
- goto inline$storm_IoSetCancelRoutine$1$label_7#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7#2:
- goto inline$storm_IoSetCancelRoutine$1$label_7_true#2, inline$storm_IoSetCancelRoutine$1$label_7_false#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7_false#2:
- assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ == 0;
- goto inline$storm_IoSetCancelRoutine$1$label_8#2;
-
- inline$storm_IoSetCancelRoutine$1$label_7_true#2:
- assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ != 0;
- goto inline$storm_IoSetCancelRoutine$1$label_11#2;
-
- inline$storm_IoSetCancelRoutine$1$label_11#2:
- havoc raiseException;
- goto inline$storm_IoSetCancelRoutine$1$anon11_Then#2, inline$storm_IoSetCancelRoutine$1$anon11_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon11_Else#2:
- assume !raiseException;
- goto inline$storm_IoSetCancelRoutine$1$anon1#2;
-
- inline$storm_IoSetCancelRoutine$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_12#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12#2:
- goto inline$storm_IoSetCancelRoutine$1$label_12_true#2, inline$storm_IoSetCancelRoutine$1$label_12_false#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12_false#2:
- assume 0 == 0;
- goto inline$storm_IoSetCancelRoutine$1$label_13#2;
-
- inline$storm_IoSetCancelRoutine$1$label_13#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCancelRoutine$1$label_1#2;
-
- inline$storm_IoSetCancelRoutine$1$label_12_true#2:
- assume 0 != 0;
- goto inline$storm_IoSetCancelRoutine$1$label_8#2;
-
- inline$storm_IoSetCancelRoutine$1$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoSetCancelRoutine$1$label_16#2;
-
- inline$storm_IoSetCancelRoutine$1$label_16#2:
- goto inline$storm_IoSetCancelRoutine$1$anon12_Then#2, inline$storm_IoSetCancelRoutine$1$anon12_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon12_Else#2:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$1$anon13_Then#2, inline$storm_IoSetCancelRoutine$1$anon13_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon13_Else#2:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$1$anon5#2;
-
- inline$storm_IoSetCancelRoutine$1$anon13_Then#2:
- assume k == 1;
- inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
- goto inline$storm_IoSetCancelRoutine$1$anon5#2;
-
- inline$storm_IoSetCancelRoutine$1$anon12_Then#2:
- assume k == 0;
- inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
- goto inline$storm_IoSetCancelRoutine$1$anon5#2;
-
- inline$storm_IoSetCancelRoutine$1$anon5#2:
- call contextSwitch();
- inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$myVar_0;
- goto inline$storm_IoSetCancelRoutine$1$label_17#2;
-
- inline$storm_IoSetCancelRoutine$1$label_17#2:
- goto inline$storm_IoSetCancelRoutine$1$anon14_Then#2, inline$storm_IoSetCancelRoutine$1$anon14_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon14_Else#2:
- assume k != 0;
- goto inline$storm_IoSetCancelRoutine$1$anon15_Then#2, inline$storm_IoSetCancelRoutine$1$anon15_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon15_Else#2:
- assume k != 1;
- goto inline$storm_IoSetCancelRoutine$1$anon8#2;
-
- inline$storm_IoSetCancelRoutine$1$anon15_Then#2:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$1$anon8#2;
-
- inline$storm_IoSetCancelRoutine$1$anon14_Then#2:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
- goto inline$storm_IoSetCancelRoutine$1$anon8#2;
-
- inline$storm_IoSetCancelRoutine$1$anon8#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_18#2;
-
- inline$storm_IoSetCancelRoutine$1$label_18#2:
- goto inline$storm_IoSetCancelRoutine$1$anon16_Then#2, inline$storm_IoSetCancelRoutine$1$anon16_Else#2;
-
- inline$storm_IoSetCancelRoutine$1$anon16_Else#2:
- assume __storm_init;
- goto inline$storm_IoSetCancelRoutine$1$anon10#2;
-
- inline$storm_IoSetCancelRoutine$1$anon16_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoSetCancelRoutine$1$anon10#2;
-
- inline$storm_IoSetCancelRoutine$1$anon10#2:
- call contextSwitch();
- goto inline$storm_IoSetCancelRoutine$1$label_21#2;
-
- inline$storm_IoSetCancelRoutine$1$label_21#2:
- inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$ := inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine;
- goto inline$storm_IoSetCancelRoutine$1$label_1#2;
-
- inline$storm_IoSetCancelRoutine$1$label_1#2:
- goto inline$storm_IoSetCancelRoutine$1$Return#2;
-
- inline$storm_IoSetCancelRoutine$1$anon11_Then#2:
- assume raiseException;
- goto inline$storm_IoSetCancelRoutine$1$Return#2;
-
- inline$storm_IoSetCancelRoutine$1$Return#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ := inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon50_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon50_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon50_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon31#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon31#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_72#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_73#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_73#2:
- goto inline$storm_IoMarkIrpPending$2$Entry#2;
-
- inline$storm_IoMarkIrpPending$2$Entry#2:
- inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$2$start#2;
-
- inline$storm_IoMarkIrpPending$2$start#2:
- inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$2$label_3#2;
-
- inline$storm_IoMarkIrpPending$2$label_3#2:
- call inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$2$label_6#2;
-
- inline$storm_IoMarkIrpPending$2$label_6#2:
- goto inline$storm_IoMarkIrpPending$2$label_6_true#2, inline$storm_IoMarkIrpPending$2$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$2$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$2$label_7#2;
-
- inline$storm_IoMarkIrpPending$2$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$2$anon3_Then#2, inline$storm_IoMarkIrpPending$2$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$2$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$2$anon1#2;
-
- inline$storm_IoMarkIrpPending$2$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$2$label_8#2;
-
- inline$storm_IoMarkIrpPending$2$label_8#2:
- goto inline$storm_IoMarkIrpPending$2$label_8_true#2, inline$storm_IoMarkIrpPending$2$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$2$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$2$label_9#2;
-
- inline$storm_IoMarkIrpPending$2$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$2$label_1#2;
-
- inline$storm_IoMarkIrpPending$2$label_1#2:
- goto inline$storm_IoMarkIrpPending$2$Return#2;
-
- inline$storm_IoMarkIrpPending$2$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$2$Return#2;
-
- inline$storm_IoMarkIrpPending$2$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon51_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon51_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon51_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon33#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_78#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_78#2:
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$ := storm_nondet();
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_81#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_81#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon51_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_76#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_76#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_77#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_77#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon50_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon44_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_58#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_58#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741823;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_59#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$0$Entry#2;
-
- inline$storm_KeReleaseSpinLock$0$Entry#2:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
- goto inline$storm_KeReleaseSpinLock$0$start#2;
-
- inline$storm_KeReleaseSpinLock$0$start#2:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
- goto inline$storm_KeReleaseSpinLock$0$label_3#2;
-
- inline$storm_KeReleaseSpinLock$0$label_3#2:
- goto inline$storm_KeReleaseSpinLock$0$label_4#2;
-
- inline$storm_KeReleaseSpinLock$0$label_4#2:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$0$label_7#2;
-
- inline$storm_KeReleaseSpinLock$0$label_7#2:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon8_Then#2, inline$storm_KeReleaseSpinLock$0$anon8_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon1#2;
-
- inline$storm_KeReleaseSpinLock$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_8#2;
-
- inline$storm_KeReleaseSpinLock$0$label_8#2:
- goto inline$storm_getThreadID$1$Entry#2;
-
- inline$storm_getThreadID$1$Entry#2:
- goto inline$storm_getThreadID$1$anon0#2;
-
- inline$storm_getThreadID$1$anon0#2:
- inline$storm_getThreadID$1$tidRet := tid;
- goto inline$storm_getThreadID$1$Return#2;
-
- inline$storm_getThreadID$1$Return#2:
- inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tidRet;
- goto inline$storm_KeReleaseSpinLock$0$label_8$1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_8$1#2:
- goto inline$storm_KeReleaseSpinLock$0$label_11#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11#2:
- goto inline$storm_KeReleaseSpinLock$0$label_11_true#2, inline$storm_KeReleaseSpinLock$0$label_11_false#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11_false#2:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_12#2;
-
- inline$storm_KeReleaseSpinLock$0$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$0$label_1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_11_true#2:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_15#2;
-
- inline$storm_KeReleaseSpinLock$0$label_15#2:
- goto inline$storm_KeReleaseSpinLock$0$anon9_Then#2, inline$storm_KeReleaseSpinLock$0$anon9_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon9_Else#2:
- assume k != 0;
- goto inline$storm_KeReleaseSpinLock$0$anon10_Then#2, inline$storm_KeReleaseSpinLock$0$anon10_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon10_Else#2:
- assume k != 1;
- goto inline$storm_KeReleaseSpinLock$0$anon5#2;
-
- inline$storm_KeReleaseSpinLock$0$anon10_Then#2:
- assume k == 1;
- Res_1_LOCK := Res_1_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- goto inline$storm_KeReleaseSpinLock$0$anon5#2;
-
- inline$storm_KeReleaseSpinLock$0$anon9_Then#2:
- assume k == 0;
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- goto inline$storm_KeReleaseSpinLock$0$anon5#2;
-
- inline$storm_KeReleaseSpinLock$0$anon5#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_16#2;
-
- inline$storm_KeReleaseSpinLock$0$label_16#2:
- goto inline$storm_KeReleaseSpinLock$0$anon11_Then#2, inline$storm_KeReleaseSpinLock$0$anon11_Else#2;
-
- inline$storm_KeReleaseSpinLock$0$anon11_Else#2:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$0$anon7#2;
-
- inline$storm_KeReleaseSpinLock$0$anon11_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$0$anon7#2;
-
- inline$storm_KeReleaseSpinLock$0$anon7#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_1#2;
-
- inline$storm_KeReleaseSpinLock$0$label_1#2:
- goto inline$storm_KeReleaseSpinLock$0$Return#2;
-
- inline$storm_KeReleaseSpinLock$0$anon8_Then#2:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$0$Return#2;
-
- inline$storm_KeReleaseSpinLock$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon43_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon43_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon43_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon19#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon19#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon43_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_26#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_27#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741670;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_28#2:
- goto inline$storm_ExAllocatePoolWithTag$0$Entry#2;
-
- inline$storm_ExAllocatePoolWithTag$0$Entry#2:
- inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1 := 12;
- goto inline$storm_ExAllocatePoolWithTag$0$start#2;
-
- inline$storm_ExAllocatePoolWithTag$0$start#2:
- inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag := inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1;
- goto inline$storm_ExAllocatePoolWithTag$0$label_3#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_3#2:
- call inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$ := __HAVOC_malloc(inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag);
- goto inline$storm_ExAllocatePoolWithTag$0$label_6#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_6#2:
- inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$ := inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$;
- goto inline$storm_ExAllocatePoolWithTag$0$label_1#2;
-
- inline$storm_ExAllocatePoolWithTag$0$label_1#2:
- goto inline$storm_ExAllocatePoolWithTag$0$Return#2;
-
- inline$storm_ExAllocatePoolWithTag$0$Return#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$ := inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon7#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon7#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_31#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_31#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_32#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2:
- assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_34#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_34#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- call inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$ := IoAllocateWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon9#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon9#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_37#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_37#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_38#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_39#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_39#2:
- call ExFreePoolWithTag(inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent, 0);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon11#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon11#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_42#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_42#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_43#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_43#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_44#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_44#2:
- goto inline$storm_IoMarkIrpPending$0$Entry#2;
-
- inline$storm_IoMarkIrpPending$0$Entry#2:
- inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoMarkIrpPending$0$start#2;
-
- inline$storm_IoMarkIrpPending$0$start#2:
- inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
- goto inline$storm_IoMarkIrpPending$0$label_3#2;
-
- inline$storm_IoMarkIrpPending$0$label_3#2:
- call inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ := storm_nondet();
- goto inline$storm_IoMarkIrpPending$0$label_6#2;
-
- inline$storm_IoMarkIrpPending$0$label_6#2:
- goto inline$storm_IoMarkIrpPending$0$label_6_true#2, inline$storm_IoMarkIrpPending$0$label_6_false#2;
-
- inline$storm_IoMarkIrpPending$0$label_6_false#2:
- assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ == 0;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_6_true#2:
- assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ != 0;
- goto inline$storm_IoMarkIrpPending$0$label_7#2;
-
- inline$storm_IoMarkIrpPending$0$label_7#2:
- havoc raiseException;
- goto inline$storm_IoMarkIrpPending$0$anon3_Then#2, inline$storm_IoMarkIrpPending$0$anon3_Else#2;
-
- inline$storm_IoMarkIrpPending$0$anon3_Else#2:
- assume !raiseException;
- goto inline$storm_IoMarkIrpPending$0$anon1#2;
-
- inline$storm_IoMarkIrpPending$0$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
- call contextSwitch();
- goto inline$storm_IoMarkIrpPending$0$label_8#2;
-
- inline$storm_IoMarkIrpPending$0$label_8#2:
- goto inline$storm_IoMarkIrpPending$0$label_8_true#2, inline$storm_IoMarkIrpPending$0$label_8_false#2;
-
- inline$storm_IoMarkIrpPending$0$label_8_false#2:
- assume 0 == 0;
- goto inline$storm_IoMarkIrpPending$0$label_9#2;
-
- inline$storm_IoMarkIrpPending$0$label_9#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_8_true#2:
- assume 0 != 0;
- goto inline$storm_IoMarkIrpPending$0$label_1#2;
-
- inline$storm_IoMarkIrpPending$0$label_1#2:
- goto inline$storm_IoMarkIrpPending$0$Return#2;
-
- inline$storm_IoMarkIrpPending$0$anon3_Then#2:
- assume raiseException;
- goto inline$storm_IoMarkIrpPending$0$Return#2;
-
- inline$storm_IoMarkIrpPending$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon13#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon13#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_47#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_47#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- call IoQueueWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, I8xCompleteSysButtonEventWorker, 1, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent);
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon15#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon15#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_50#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_50#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_33#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2:
- assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2:
- assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_53#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_53#2:
- goto inline$I8xCompleteSysButtonIrp$0$Entry#2;
-
- inline$I8xCompleteSysButtonIrp$0$Entry#2:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$I8xCompleteSysButtonIrp$0$start#2;
-
- inline$I8xCompleteSysButtonIrp$0$start#2:
- inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
- goto inline$I8xCompleteSysButtonIrp$0$label_3#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_3#2:
- havoc inline$I8xCompleteSysButtonIrp$0$myNondetVar_0;
- goto inline$I8xCompleteSysButtonIrp$0$label_4#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_4#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_5#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_5#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_6#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_6#2:
- goto inline$storm_IoCompleteRequest$2$Entry#2;
-
- inline$storm_IoCompleteRequest$2$Entry#2:
- inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
- goto inline$storm_IoCompleteRequest$2$start#2;
-
- inline$storm_IoCompleteRequest$2$start#2:
- inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$2$label_3#2;
-
- inline$storm_IoCompleteRequest$2$label_3#2:
- call inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$2$label_6#2;
-
- inline$storm_IoCompleteRequest$2$label_6#2:
- goto inline$storm_IoCompleteRequest$2$label_6_true#2, inline$storm_IoCompleteRequest$2$label_6_false#2;
-
- inline$storm_IoCompleteRequest$2$label_6_false#2:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$2$label_7#2;
-
- inline$storm_IoCompleteRequest$2$label_6_true#2:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$2$label_8#2;
-
- inline$storm_IoCompleteRequest$2$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$2$anon8_Then#2, inline$storm_IoCompleteRequest$2$anon8_Else#2;
-
- inline$storm_IoCompleteRequest$2$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$2$anon4#2;
-
- inline$storm_IoCompleteRequest$2$anon4#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_9#2;
-
- inline$storm_IoCompleteRequest$2$label_9#2:
- goto inline$storm_IoCompleteRequest$2$label_9_true#2, inline$storm_IoCompleteRequest$2$label_9_false#2;
-
- inline$storm_IoCompleteRequest$2$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$2$label_10#2;
-
- inline$storm_IoCompleteRequest$2$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$2$label_1#2;
-
- inline$storm_IoCompleteRequest$2$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$2$label_7#2;
-
- inline$storm_IoCompleteRequest$2$label_7#2:
- goto inline$storm_IoCompleteRequest$2$anon6_Then#2, inline$storm_IoCompleteRequest$2$anon6_Else#2;
-
- inline$storm_IoCompleteRequest$2$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$2$anon7_Then#2, inline$storm_IoCompleteRequest$2$anon7_Else#2;
-
- inline$storm_IoCompleteRequest$2$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$2$anon2#2;
-
- inline$storm_IoCompleteRequest$2$anon7_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$2$anon2#2;
-
- inline$storm_IoCompleteRequest$2$anon6_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$2$anon2#2;
-
- inline$storm_IoCompleteRequest$2$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_1#2;
-
- inline$storm_IoCompleteRequest$2$label_1#2:
- goto inline$storm_IoCompleteRequest$2$Return#2;
-
- inline$storm_IoCompleteRequest$2$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$2$Return#2;
-
- inline$storm_IoCompleteRequest$2$Return#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_6$1#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_6$1#2:
- goto inline$I8xCompleteSysButtonIrp$0$anon2_Then#2, inline$I8xCompleteSysButtonIrp$0$anon2_Else#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon2_Else#2:
- assume !raiseException;
- goto inline$I8xCompleteSysButtonIrp$0$anon1#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon1#2:
- goto inline$I8xCompleteSysButtonIrp$0$label_1#2;
-
- inline$I8xCompleteSysButtonIrp$0$label_1#2:
- goto inline$I8xCompleteSysButtonIrp$0$Return#2;
-
- inline$I8xCompleteSysButtonIrp$0$anon2_Then#2:
- assume raiseException;
- goto inline$I8xCompleteSysButtonIrp$0$Return#2;
-
- inline$I8xCompleteSysButtonIrp$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon42_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon42_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon42_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon17#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon17#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_52#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon42_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2:
- havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
- assume INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_16#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_16#2:
- inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741306;
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_17#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_17#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_18#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_19#2:
- goto inline$storm_IoCompleteRequest$1$Entry#2;
-
- inline$storm_IoCompleteRequest$1$Entry#2:
- inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
- goto inline$storm_IoCompleteRequest$1$start#2;
-
- inline$storm_IoCompleteRequest$1$start#2:
- inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$1$label_3#2;
-
- inline$storm_IoCompleteRequest$1$label_3#2:
- call inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$1$label_6#2;
-
- inline$storm_IoCompleteRequest$1$label_6#2:
- goto inline$storm_IoCompleteRequest$1$label_6_true#2, inline$storm_IoCompleteRequest$1$label_6_false#2;
-
- inline$storm_IoCompleteRequest$1$label_6_false#2:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$1$label_7#2;
-
- inline$storm_IoCompleteRequest$1$label_6_true#2:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$1$label_8#2;
-
- inline$storm_IoCompleteRequest$1$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$1$anon8_Then#2, inline$storm_IoCompleteRequest$1$anon8_Else#2;
-
- inline$storm_IoCompleteRequest$1$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$1$anon4#2;
-
- inline$storm_IoCompleteRequest$1$anon4#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_9#2;
-
- inline$storm_IoCompleteRequest$1$label_9#2:
- goto inline$storm_IoCompleteRequest$1$label_9_true#2, inline$storm_IoCompleteRequest$1$label_9_false#2;
-
- inline$storm_IoCompleteRequest$1$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$1$label_10#2;
-
- inline$storm_IoCompleteRequest$1$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$1$label_1#2;
-
- inline$storm_IoCompleteRequest$1$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$1$label_7#2;
-
- inline$storm_IoCompleteRequest$1$label_7#2:
- goto inline$storm_IoCompleteRequest$1$anon6_Then#2, inline$storm_IoCompleteRequest$1$anon6_Else#2;
-
- inline$storm_IoCompleteRequest$1$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$1$anon7_Then#2, inline$storm_IoCompleteRequest$1$anon7_Else#2;
-
- inline$storm_IoCompleteRequest$1$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$1$anon2#2;
-
- inline$storm_IoCompleteRequest$1$anon7_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$1$anon2#2;
-
- inline$storm_IoCompleteRequest$1$anon6_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$1$anon2#2;
-
- inline$storm_IoCompleteRequest$1$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_1#2;
-
- inline$storm_IoCompleteRequest$1$label_1#2:
- goto inline$storm_IoCompleteRequest$1$Return#2;
-
- inline$storm_IoCompleteRequest$1$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$1$Return#2;
-
- inline$storm_IoCompleteRequest$1$Return#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$anon3#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon3#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_22#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_22#2:
- goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$label_1#2:
- call __HAVOC_free(inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent);
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonEvent$0$Return#2:
- goto inline$I8xDeviceControl$0$label_24$1#2;
-
- inline$I8xDeviceControl$0$label_24$1#2:
- goto inline$I8xDeviceControl$0$anon18_Then#2, inline$I8xDeviceControl$0$anon18_Else#2;
-
- inline$I8xDeviceControl$0$anon18_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon10#2;
-
- inline$I8xDeviceControl$0$anon10#2:
- goto inline$I8xDeviceControl$0$label_27#2;
-
- inline$I8xDeviceControl$0$label_27#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$anon18_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_19_case_1#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703680;
- goto inline$I8xDeviceControl$0$label_21#2;
-
- inline$I8xDeviceControl$0$label_21#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$Entry#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$Entry#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$I8xKeyboardGetSysButtonCaps$0$start#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$start#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_3#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_3#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_4#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_4#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_5#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_5#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_6#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_6#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_7#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_7#2:
- call __PREfastPagedCode();
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_10#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_10#2:
- goto inline$IoGetCurrentIrpStackLocation$3$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$3$Entry#2:
- goto inline$IoGetCurrentIrpStackLocation$3$start#2;
-
- inline$IoGetCurrentIrpStackLocation$3$start#2:
- goto inline$IoGetCurrentIrpStackLocation$3$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$3$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$3$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$3$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$3$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$3$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$3$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$3$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$3$anon4_Then#2:
- assume k == 1;
- goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$3$anon3_Then#2:
- assume k == 0;
- goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$3$anon2#2:
- call contextSwitch();
- goto inline$IoGetCurrentIrpStackLocation$3$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$3$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$3$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$3$Return#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon3#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon3#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_13#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_13#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_14#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_14#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_15#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume !INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_16#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_16#2:
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_24#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_24#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_25#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_27#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_27#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 1);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_29#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_29#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 2);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) == 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) != 0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_31#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_31#2:
- inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, BOOGIE_LARGE_INT_2147483648);
- inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_30#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_32#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_32#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2:
- havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
- assume INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_17#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_17#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_18#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_19#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_19#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_20#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_20#2:
- goto inline$storm_IoCompleteRequest$0$Entry#2;
-
- inline$storm_IoCompleteRequest$0$Entry#2:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps;
- goto inline$storm_IoCompleteRequest$0$start#2;
-
- inline$storm_IoCompleteRequest$0$start#2:
- inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$0$label_3#2;
-
- inline$storm_IoCompleteRequest$0$label_3#2:
- call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$0$label_6#2;
-
- inline$storm_IoCompleteRequest$0$label_6#2:
- goto inline$storm_IoCompleteRequest$0$label_6_true#2, inline$storm_IoCompleteRequest$0$label_6_false#2;
-
- inline$storm_IoCompleteRequest$0$label_6_false#2:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$0$label_7#2;
-
- inline$storm_IoCompleteRequest$0$label_6_true#2:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$0$label_8#2;
-
- inline$storm_IoCompleteRequest$0$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$0$anon8_Then#2, inline$storm_IoCompleteRequest$0$anon8_Else#2;
-
- inline$storm_IoCompleteRequest$0$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$0$anon4#2;
-
- inline$storm_IoCompleteRequest$0$anon4#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_9#2;
-
- inline$storm_IoCompleteRequest$0$label_9#2:
- goto inline$storm_IoCompleteRequest$0$label_9_true#2, inline$storm_IoCompleteRequest$0$label_9_false#2;
-
- inline$storm_IoCompleteRequest$0$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$0$label_10#2;
-
- inline$storm_IoCompleteRequest$0$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$0$label_1#2;
-
- inline$storm_IoCompleteRequest$0$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$0$label_7#2;
-
- inline$storm_IoCompleteRequest$0$label_7#2:
- goto inline$storm_IoCompleteRequest$0$anon6_Then#2, inline$storm_IoCompleteRequest$0$anon6_Else#2;
-
- inline$storm_IoCompleteRequest$0$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$0$anon7_Then#2, inline$storm_IoCompleteRequest$0$anon7_Else#2;
-
- inline$storm_IoCompleteRequest$0$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$0$anon2#2;
-
- inline$storm_IoCompleteRequest$0$anon7_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$0$anon2#2;
-
- inline$storm_IoCompleteRequest$0$anon6_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$0$anon2#2;
-
- inline$storm_IoCompleteRequest$0$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_1#2;
-
- inline$storm_IoCompleteRequest$0$label_1#2:
- goto inline$storm_IoCompleteRequest$0$Return#2;
-
- inline$storm_IoCompleteRequest$0$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$0$Return#2;
-
- inline$storm_IoCompleteRequest$0$Return#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2:
- assume !raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$anon5#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon5#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_23#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_23#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$label_1#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$label_1#2:
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2:
- assume raiseException;
- goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
-
- inline$I8xKeyboardGetSysButtonCaps$0$Return#2:
- goto inline$I8xDeviceControl$0$label_21$1#2;
-
- inline$I8xDeviceControl$0$label_21$1#2:
- goto inline$I8xDeviceControl$0$anon17_Then#2, inline$I8xDeviceControl$0$anon17_Else#2;
-
- inline$I8xDeviceControl$0$anon17_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon8#2;
-
- inline$I8xDeviceControl$0$anon8#2:
- goto inline$I8xDeviceControl$0$label_28#2;
-
- inline$I8xDeviceControl$0$label_28#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$anon17_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_19_case_0#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703680;
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703684;
- goto inline$I8xDeviceControl$0$label_20#2;
-
- inline$I8xDeviceControl$0$label_20#2:
- goto inline$I8xDeviceControl$0$label_29#2;
-
- inline$I8xDeviceControl$0$anon16_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$label_14_true#2:
- havoc inline$I8xDeviceControl$0$myNondetVar_0;
- assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) != 0;
- goto inline$I8xDeviceControl$0$label_12#2;
-
- inline$I8xDeviceControl$0$label_12#2:
- goto inline$I8xDeviceControl$0$label_29#2;
-
- inline$I8xDeviceControl$0$label_29#2:
- goto inline$I8xDeviceControl$0$label_30#2;
-
- inline$I8xDeviceControl$0$label_30#2:
- goto inline$storm_IoCompleteRequest$3$Entry#2;
-
- inline$storm_IoCompleteRequest$3$Entry#2:
- inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
- goto inline$storm_IoCompleteRequest$3$start#2;
-
- inline$storm_IoCompleteRequest$3$start#2:
- inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$3$label_3#2;
-
- inline$storm_IoCompleteRequest$3$label_3#2:
- call inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$3$label_6#2;
-
- inline$storm_IoCompleteRequest$3$label_6#2:
- goto inline$storm_IoCompleteRequest$3$label_6_true#2, inline$storm_IoCompleteRequest$3$label_6_false#2;
-
- inline$storm_IoCompleteRequest$3$label_6_false#2:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$3$label_7#2;
-
- inline$storm_IoCompleteRequest$3$label_6_true#2:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$3$label_8#2;
-
- inline$storm_IoCompleteRequest$3$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$3$anon8_Then#2, inline$storm_IoCompleteRequest$3$anon8_Else#2;
-
- inline$storm_IoCompleteRequest$3$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$3$anon4#2;
-
- inline$storm_IoCompleteRequest$3$anon4#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_9#2;
-
- inline$storm_IoCompleteRequest$3$label_9#2:
- goto inline$storm_IoCompleteRequest$3$label_9_true#2, inline$storm_IoCompleteRequest$3$label_9_false#2;
-
- inline$storm_IoCompleteRequest$3$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$3$label_10#2;
-
- inline$storm_IoCompleteRequest$3$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$3$label_1#2;
-
- inline$storm_IoCompleteRequest$3$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$3$label_7#2;
-
- inline$storm_IoCompleteRequest$3$label_7#2:
- goto inline$storm_IoCompleteRequest$3$anon6_Then#2, inline$storm_IoCompleteRequest$3$anon6_Else#2;
-
- inline$storm_IoCompleteRequest$3$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$3$anon7_Then#2, inline$storm_IoCompleteRequest$3$anon7_Else#2;
-
- inline$storm_IoCompleteRequest$3$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$3$anon2#2;
-
- inline$storm_IoCompleteRequest$3$anon7_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$3$anon2#2;
-
- inline$storm_IoCompleteRequest$3$anon6_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$3$anon2#2;
-
- inline$storm_IoCompleteRequest$3$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_1#2;
-
- inline$storm_IoCompleteRequest$3$label_1#2:
- goto inline$storm_IoCompleteRequest$3$Return#2;
-
- inline$storm_IoCompleteRequest$3$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$3$Return#2;
-
- inline$storm_IoCompleteRequest$3$Return#2:
- goto inline$I8xDeviceControl$0$label_30$1#2;
-
- inline$I8xDeviceControl$0$label_30$1#2:
- goto inline$I8xDeviceControl$0$anon19_Then#2, inline$I8xDeviceControl$0$anon19_Else#2;
-
- inline$I8xDeviceControl$0$anon19_Else#2:
- assume !raiseException;
- goto inline$I8xDeviceControl$0$anon12#2;
-
- inline$I8xDeviceControl$0$anon12#2:
- goto inline$I8xDeviceControl$0$label_33#2;
-
- inline$I8xDeviceControl$0$label_33#2:
- goto inline$I8xDeviceControl$0$label_1#2;
-
- inline$I8xDeviceControl$0$label_1#2:
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$anon19_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$anon13_Then#2:
- assume raiseException;
- goto inline$I8xDeviceControl$0$Return#2;
-
- inline$I8xDeviceControl$0$Return#2:
- goto inline$dispatch$0$label_8$1#2;
-
- inline$dispatch$0$label_8$1#2:
- goto inline$dispatch$0$anon5_Then#2, inline$dispatch$0$anon5_Else#2;
-
- inline$dispatch$0$anon5_Else#2:
- assume !raiseException;
- goto inline$dispatch$0$anon3#2;
-
- inline$dispatch$0$anon3#2:
- goto inline$dispatch$0$label_11#2;
-
- inline$dispatch$0$label_11#2:
- goto inline$dispatch$0$label_1#2;
-
- inline$dispatch$0$label_1#2:
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$anon5_Then#2:
- assume raiseException;
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$anon4_Then#2:
- assume raiseException;
- goto inline$dispatch$0$Return#2;
-
- inline$dispatch$0$Return#2:
- goto label_23$1#2;
-
- label_23$1#2:
- goto anon31_Then#2, anon31_Else#2;
-
- anon31_Else#2:
- assume !(errorReached || !raiseException);
- goto anon17#2;
-
- anon31_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_1 := true;
- goto anon17#2;
-
- anon17#2:
- k := k_old_0;
- tid := tid_old_0;
- goto label_26#2;
-
- label_26#2:
- goto label_27#2;
-
- label_27#2:
- k_old_1 := k;
- tid_old_1 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$cancel$0$Entry#2;
-
- inline$cancel$0$Entry#2:
- inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
- goto inline$cancel$0$start#2;
-
- inline$cancel$0$start#2:
- inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
- goto inline$cancel$0$label_3#2;
-
- inline$cancel$0$label_3#2:
- goto inline$storm_IoCancelIrp$0$Entry#2;
-
- inline$storm_IoCancelIrp$0$Entry#2:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
- goto inline$storm_IoCancelIrp$0$start#2;
-
- inline$storm_IoCancelIrp$0$start#2:
- inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
- goto inline$storm_IoCancelIrp$0$label_3#2;
-
- inline$storm_IoCancelIrp$0$label_3#2:
- goto inline$storm_IoCancelIrp$0$label_4#2;
-
- inline$storm_IoCancelIrp$0$label_4#2:
- goto inline$storm_IoCancelIrp$0$anon23_Then#2, inline$storm_IoCancelIrp$0$anon23_Else#2;
-
- inline$storm_IoCancelIrp$0$anon23_Else#2:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon24_Then#2, inline$storm_IoCancelIrp$0$anon24_Else#2;
-
- inline$storm_IoCancelIrp$0$anon24_Else#2:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon2#2;
-
- inline$storm_IoCancelIrp$0$anon24_Then#2:
- assume k == 1;
- Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
- goto inline$storm_IoCancelIrp$0$anon2#2;
-
- inline$storm_IoCancelIrp$0$anon23_Then#2:
- assume k == 0;
- Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
- goto inline$storm_IoCancelIrp$0$anon2#2;
-
- inline$storm_IoCancelIrp$0$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_5#2;
-
- inline$storm_IoCancelIrp$0$label_5#2:
- __storm_atomic := true;
- goto inline$storm_IoCancelIrp$0$label_8#2;
-
- inline$storm_IoCancelIrp$0$label_8#2:
- goto inline$storm_IoCancelIrp$0$anon25_Then#2, inline$storm_IoCancelIrp$0$anon25_Else#2;
-
- inline$storm_IoCancelIrp$0$anon25_Else#2:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon26_Then#2, inline$storm_IoCancelIrp$0$anon26_Else#2;
-
- inline$storm_IoCancelIrp$0$anon26_Else#2:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon5#2;
-
- inline$storm_IoCancelIrp$0$anon26_Then#2:
- assume k == 1;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon5#2;
-
- inline$storm_IoCancelIrp$0$anon25_Then#2:
- assume k == 0;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon5#2;
-
- inline$storm_IoCancelIrp$0$anon5#2:
- call contextSwitch();
- inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
- goto inline$storm_IoCancelIrp$0$label_9#2;
-
- inline$storm_IoCancelIrp$0$label_9#2:
- goto inline$storm_IoCancelIrp$0$anon27_Then#2, inline$storm_IoCancelIrp$0$anon27_Else#2;
-
- inline$storm_IoCancelIrp$0$anon27_Else#2:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon28_Then#2, inline$storm_IoCancelIrp$0$anon28_Else#2;
-
- inline$storm_IoCancelIrp$0$anon28_Else#2:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon8#2;
-
- inline$storm_IoCancelIrp$0$anon28_Then#2:
- assume k == 1;
- Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
- goto inline$storm_IoCancelIrp$0$anon8#2;
-
- inline$storm_IoCancelIrp$0$anon27_Then#2:
- assume k == 0;
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
- goto inline$storm_IoCancelIrp$0$anon8#2;
-
- inline$storm_IoCancelIrp$0$anon8#2:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_10#2;
-
- inline$storm_IoCancelIrp$0$label_10#2:
- goto inline$storm_IoCancelIrp$0$anon29_Then#2, inline$storm_IoCancelIrp$0$anon29_Else#2;
-
- inline$storm_IoCancelIrp$0$anon29_Else#2:
- assume __storm_init;
- goto inline$storm_IoCancelIrp$0$anon10#2;
-
- inline$storm_IoCancelIrp$0$anon29_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoCancelIrp$0$anon10#2;
-
- inline$storm_IoCancelIrp$0$anon10#2:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_13#2;
-
- inline$storm_IoCancelIrp$0$label_13#2:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
- assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
- goto inline$storm_IoAcquireCancelSpinLock$0$Entry#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$Entry#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$start#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$start#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_3#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_3#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4#2:
- goto inline$storm_getThreadID$2$Entry#2;
-
- inline$storm_getThreadID$2$Entry#2:
- goto inline$storm_getThreadID$2$anon0#2;
-
- inline$storm_getThreadID$2$anon0#2:
- inline$storm_getThreadID$2$tidRet := tid;
- goto inline$storm_getThreadID$2$Return#2;
-
- inline$storm_getThreadID$2$Return#2:
- inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$2$tidRet;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_7#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_7#2:
- inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_8#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_8#2:
- __storm_atomic := true;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2:
- assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- assume k == 1 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_12#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2:
- assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
- assume k == 1 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_15#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_15#2:
- havoc raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon2#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon2#2:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
- assume k == 1 ==> INT_EQ(cancelLockStatus_1, 0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_16#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_16#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon9_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon9_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon9_Else#2:
- assume k != 0;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon10_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon10_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon10_Else#2:
- assume k != 1;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon10_Then#2:
- assume k == 1;
- cancelLockStatus_1 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon9_Then#2:
- assume k == 0;
- cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5#2:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_17#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_17#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon11_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon11_Else#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon11_Else#2:
- assume __storm_init;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon7#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon11_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon7#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon7#2:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_1#2:
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
-
- inline$storm_IoAcquireCancelSpinLock$0$Return#2:
- goto inline$storm_IoCancelIrp$0$label_13$1#2;
-
- inline$storm_IoCancelIrp$0$label_13$1#2:
- goto inline$storm_IoCancelIrp$0$anon30_Then#2, inline$storm_IoCancelIrp$0$anon30_Else#2;
-
- inline$storm_IoCancelIrp$0$anon30_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon12#2;
-
- inline$storm_IoCancelIrp$0$anon12#2:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- goto inline$storm_IoCancelIrp$0$label_16#2;
-
- inline$storm_IoCancelIrp$0$label_16#2:
- goto inline$storm_IoCancelIrp$0$label_16_true#2, inline$storm_IoCancelIrp$0$label_16_false#2;
-
- inline$storm_IoCancelIrp$0$label_16_false#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
- goto inline$storm_IoCancelIrp$0$label_17#2;
-
- inline$storm_IoCancelIrp$0$label_17#2:
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_16_true#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
- goto inline$storm_IoCancelIrp$0$label_18#2;
-
- inline$storm_IoCancelIrp$0$label_18#2:
- goto inline$storm_IoCancelIrp$0$label_19#2;
-
- inline$storm_IoCancelIrp$0$label_19#2:
- call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
- goto inline$storm_IoCancelIrp$0$label_22#2;
-
- inline$storm_IoCancelIrp$0$label_22#2:
- goto inline$storm_IoCancelIrp$0$label_22_true#2, inline$storm_IoCancelIrp$0$label_22_false#2;
-
- inline$storm_IoCancelIrp$0$label_22_false#2:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
- goto inline$storm_IoCancelIrp$0$label_23#2;
-
- inline$storm_IoCancelIrp$0$label_22_true#2:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
- goto inline$storm_IoCancelIrp$0$label_26#2;
-
- inline$storm_IoCancelIrp$0$label_26#2:
- havoc raiseException;
- goto inline$storm_IoCancelIrp$0$anon32_Then#2, inline$storm_IoCancelIrp$0$anon32_Else#2;
-
- inline$storm_IoCancelIrp$0$anon32_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon16#2;
-
- inline$storm_IoCancelIrp$0$anon16#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_27#2;
-
- inline$storm_IoCancelIrp$0$label_27#2:
- goto inline$storm_IoCancelIrp$0$label_27_true#2, inline$storm_IoCancelIrp$0$label_27_false#2;
-
- inline$storm_IoCancelIrp$0$label_27_false#2:
- assume 0 == 0;
- goto inline$storm_IoCancelIrp$0$label_28#2;
-
- inline$storm_IoCancelIrp$0$label_28#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_27_true#2:
- assume 0 != 0;
- goto inline$storm_IoCancelIrp$0$label_23#2;
-
- inline$storm_IoCancelIrp$0$label_23#2:
- goto inline$IoGetCurrentIrpStackLocation$5$Entry#2;
-
- inline$IoGetCurrentIrpStackLocation$5$Entry#2:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
- goto inline$IoGetCurrentIrpStackLocation$5$start#2;
-
- inline$IoGetCurrentIrpStackLocation$5$start#2:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
- goto inline$IoGetCurrentIrpStackLocation$5$label_3#2;
-
- inline$IoGetCurrentIrpStackLocation$5$label_3#2:
- goto inline$IoGetCurrentIrpStackLocation$5$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$5$anon3_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$5$anon3_Else#2:
- assume k != 0;
- goto inline$IoGetCurrentIrpStackLocation$5$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$5$anon4_Else#2;
-
- inline$IoGetCurrentIrpStackLocation$5$anon4_Else#2:
- assume k != 1;
- goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$5$anon4_Then#2:
- assume k == 1;
- inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$5$anon3_Then#2:
- assume k == 0;
- inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
- goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
-
- inline$IoGetCurrentIrpStackLocation$5$anon2#2:
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$5$myVar_0;
- goto inline$IoGetCurrentIrpStackLocation$5$label_1#2;
-
- inline$IoGetCurrentIrpStackLocation$5$label_1#2:
- goto inline$IoGetCurrentIrpStackLocation$5$Return#2;
-
- inline$IoGetCurrentIrpStackLocation$5$Return#2:
- inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$ := inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
- goto inline$storm_IoCancelIrp$0$label_23$1#2;
-
- inline$storm_IoCancelIrp$0$label_23$1#2:
- goto inline$storm_IoCancelIrp$0$anon31_Then#2, inline$storm_IoCancelIrp$0$anon31_Else#2;
-
- inline$storm_IoCancelIrp$0$anon31_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon14#2;
-
- inline$storm_IoCancelIrp$0$anon14#2:
- goto inline$storm_IoCancelIrp$0$label_31#2;
-
- inline$storm_IoCancelIrp$0$label_31#2:
- inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$;
- goto inline$storm_IoCancelIrp$0$label_32#2;
-
- inline$storm_IoCancelIrp$0$label_32#2:
- goto inline$storm_IoCancelIrp$0$label_32_icall_1#2;
-
- inline$storm_IoCancelIrp$0$label_32_icall_1#2:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == I8xSysButtonCancelRoutine;
- goto inline$storm_IoCancelIrp$0$anon33_Then#2, inline$storm_IoCancelIrp$0$anon33_Else#2;
-
- inline$storm_IoCancelIrp$0$anon33_Else#2:
- assume k != 0;
- goto inline$storm_IoCancelIrp$0$anon34_Then#2, inline$storm_IoCancelIrp$0$anon34_Else#2;
-
- inline$storm_IoCancelIrp$0$anon34_Else#2:
- assume k != 1;
- goto inline$storm_IoCancelIrp$0$anon20#2;
-
- inline$storm_IoCancelIrp$0$anon34_Then#2:
- assume k == 1;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon20#2;
-
- inline$storm_IoCancelIrp$0$anon33_Then#2:
- assume k == 0;
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
- goto inline$storm_IoCancelIrp$0$anon20#2;
-
- inline$storm_IoCancelIrp$0$anon20#2:
- call contextSwitch();
- goto inline$I8xSysButtonCancelRoutine$0$Entry#2;
-
- inline$I8xSysButtonCancelRoutine$0$Entry#2:
- inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$myVar_0;
- inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
- goto inline$I8xSysButtonCancelRoutine$0$start#2;
-
- inline$I8xSysButtonCancelRoutine$0$start#2:
- call inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine := __HAVOC_malloc(1);
- inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1;
- inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1;
- goto inline$I8xSysButtonCancelRoutine$0$label_3#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_3#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_4#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_4#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon11_Then#2, inline$I8xSysButtonCancelRoutine$0$anon11_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon11_Else#2:
- assume k != 0;
- goto inline$I8xSysButtonCancelRoutine$0$anon12_Then#2, inline$I8xSysButtonCancelRoutine$0$anon12_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon12_Else#2:
- assume k != 1;
- goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon12_Then#2:
- assume k == 1;
- inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
- goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon11_Then#2:
- assume k == 0;
- inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
- goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon2#2:
- call contextSwitch();
- inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$myVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_5#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_5#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_6#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_6#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_7#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_7#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$1$Entry#2;
-
- inline$storm_KeAcquireSpinLock$1$Entry#2:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
- goto inline$storm_KeAcquireSpinLock$1$start#2;
-
- inline$storm_KeAcquireSpinLock$1$start#2:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
- goto inline$storm_KeAcquireSpinLock$1$label_3#2;
-
- inline$storm_KeAcquireSpinLock$1$label_3#2:
- goto inline$storm_KeAcquireSpinLock$1$label_4#2;
-
- inline$storm_KeAcquireSpinLock$1$label_4#2:
- goto inline$storm_getThreadID$3$Entry#2;
-
- inline$storm_getThreadID$3$Entry#2:
- goto inline$storm_getThreadID$3$anon0#2;
-
- inline$storm_getThreadID$3$anon0#2:
- inline$storm_getThreadID$3$tidRet := tid;
- goto inline$storm_getThreadID$3$Return#2;
-
- inline$storm_getThreadID$3$Return#2:
- inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tidRet;
- goto inline$storm_KeAcquireSpinLock$1$label_4$1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_4$1#2:
- goto inline$storm_KeAcquireSpinLock$1$label_7#2;
-
- inline$storm_KeAcquireSpinLock$1$label_7#2:
- inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$1$label_8#2;
-
- inline$storm_KeAcquireSpinLock$1$label_8#2:
- goto inline$storm_KeAcquireSpinLock$1$label_9#2;
-
- inline$storm_KeAcquireSpinLock$1$label_9#2:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$1$label_12#2;
-
- inline$storm_KeAcquireSpinLock$1$label_12#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon10_Then#2, inline$storm_KeAcquireSpinLock$1$anon10_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon10_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon1#2;
-
- inline$storm_KeAcquireSpinLock$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_13#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13#2:
- goto inline$storm_KeAcquireSpinLock$1$label_13_true#2, inline$storm_KeAcquireSpinLock$1$label_13_false#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13_false#2:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$1$label_14#2;
-
- inline$storm_KeAcquireSpinLock$1$label_14#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$1$label_1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_13_true#2:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
- goto inline$storm_KeAcquireSpinLock$1$label_17#2;
-
- inline$storm_KeAcquireSpinLock$1$label_17#2:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon11_Then#2, inline$storm_KeAcquireSpinLock$1$anon11_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon11_Else#2:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon4#2;
-
- inline$storm_KeAcquireSpinLock$1$anon4#2:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
- goto inline$storm_KeAcquireSpinLock$1$label_18#2;
-
- inline$storm_KeAcquireSpinLock$1$label_18#2:
- goto inline$storm_KeAcquireSpinLock$1$anon12_Then#2, inline$storm_KeAcquireSpinLock$1$anon12_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon12_Else#2:
- assume k != 0;
- goto inline$storm_KeAcquireSpinLock$1$anon13_Then#2, inline$storm_KeAcquireSpinLock$1$anon13_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon13_Else#2:
- assume k != 1;
- goto inline$storm_KeAcquireSpinLock$1$anon7#2;
-
- inline$storm_KeAcquireSpinLock$1$anon13_Then#2:
- assume k == 1;
- Res_1_LOCK := Res_1_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
- goto inline$storm_KeAcquireSpinLock$1$anon7#2;
-
- inline$storm_KeAcquireSpinLock$1$anon12_Then#2:
- assume k == 0;
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
- goto inline$storm_KeAcquireSpinLock$1$anon7#2;
-
- inline$storm_KeAcquireSpinLock$1$anon7#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_19#2;
-
- inline$storm_KeAcquireSpinLock$1$label_19#2:
- goto inline$storm_KeAcquireSpinLock$1$anon14_Then#2, inline$storm_KeAcquireSpinLock$1$anon14_Else#2;
-
- inline$storm_KeAcquireSpinLock$1$anon14_Else#2:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$1$anon9#2;
-
- inline$storm_KeAcquireSpinLock$1$anon14_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$1$anon9#2;
-
- inline$storm_KeAcquireSpinLock$1$anon9#2:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_1#2;
-
- inline$storm_KeAcquireSpinLock$1$label_1#2:
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$anon11_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$anon10_Then#2:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#2;
-
- inline$storm_KeAcquireSpinLock$1$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_7$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_7$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon13_Then#2, inline$I8xSysButtonCancelRoutine$0$anon13_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon13_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon4#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon4#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_10#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_10#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_11#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_11#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_12#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_12#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$1$Entry#2;
-
- inline$storm_KeReleaseSpinLock$1$Entry#2:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
- goto inline$storm_KeReleaseSpinLock$1$start#2;
-
- inline$storm_KeReleaseSpinLock$1$start#2:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
- goto inline$storm_KeReleaseSpinLock$1$label_3#2;
-
- inline$storm_KeReleaseSpinLock$1$label_3#2:
- goto inline$storm_KeReleaseSpinLock$1$label_4#2;
-
- inline$storm_KeReleaseSpinLock$1$label_4#2:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$1$label_7#2;
-
- inline$storm_KeReleaseSpinLock$1$label_7#2:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon8_Then#2, inline$storm_KeReleaseSpinLock$1$anon8_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon1#2;
-
- inline$storm_KeReleaseSpinLock$1$anon1#2:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_8#2;
-
- inline$storm_KeReleaseSpinLock$1$label_8#2:
- goto inline$storm_getThreadID$4$Entry#2;
-
- inline$storm_getThreadID$4$Entry#2:
- goto inline$storm_getThreadID$4$anon0#2;
-
- inline$storm_getThreadID$4$anon0#2:
- inline$storm_getThreadID$4$tidRet := tid;
- goto inline$storm_getThreadID$4$Return#2;
-
- inline$storm_getThreadID$4$Return#2:
- inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tidRet;
- goto inline$storm_KeReleaseSpinLock$1$label_8$1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_8$1#2:
- goto inline$storm_KeReleaseSpinLock$1$label_11#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11#2:
- goto inline$storm_KeReleaseSpinLock$1$label_11_true#2, inline$storm_KeReleaseSpinLock$1$label_11_false#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11_false#2:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_12#2;
-
- inline$storm_KeReleaseSpinLock$1$label_12#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$1$label_1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_11_true#2:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_15#2;
-
- inline$storm_KeReleaseSpinLock$1$label_15#2:
- goto inline$storm_KeReleaseSpinLock$1$anon9_Then#2, inline$storm_KeReleaseSpinLock$1$anon9_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon9_Else#2:
- assume k != 0;
- goto inline$storm_KeReleaseSpinLock$1$anon10_Then#2, inline$storm_KeReleaseSpinLock$1$anon10_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon10_Else#2:
- assume k != 1;
- goto inline$storm_KeReleaseSpinLock$1$anon5#2;
-
- inline$storm_KeReleaseSpinLock$1$anon10_Then#2:
- assume k == 1;
- Res_1_LOCK := Res_1_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- goto inline$storm_KeReleaseSpinLock$1$anon5#2;
-
- inline$storm_KeReleaseSpinLock$1$anon9_Then#2:
- assume k == 0;
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
- goto inline$storm_KeReleaseSpinLock$1$anon5#2;
-
- inline$storm_KeReleaseSpinLock$1$anon5#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_16#2;
-
- inline$storm_KeReleaseSpinLock$1$label_16#2:
- goto inline$storm_KeReleaseSpinLock$1$anon11_Then#2, inline$storm_KeReleaseSpinLock$1$anon11_Else#2;
-
- inline$storm_KeReleaseSpinLock$1$anon11_Else#2:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$1$anon7#2;
-
- inline$storm_KeReleaseSpinLock$1$anon11_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$1$anon7#2;
-
- inline$storm_KeReleaseSpinLock$1$anon7#2:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_1#2;
-
- inline$storm_KeReleaseSpinLock$1$label_1#2:
- goto inline$storm_KeReleaseSpinLock$1$Return#2;
-
- inline$storm_KeReleaseSpinLock$1$anon8_Then#2:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$1$Return#2;
-
- inline$storm_KeReleaseSpinLock$1$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_12$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_12$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon14_Then#2, inline$I8xSysButtonCancelRoutine$0$anon14_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon14_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon6#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon6#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$I8xSysButtonCancelRoutine$0$label_15#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_15#2:
- havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
- goto inline$storm_IoReleaseCancelSpinLock$0$Entry#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$Entry#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$start#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$start#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_3#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_3#2:
- __storm_atomic := true;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_6#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_6#2:
- goto inline$storm_getThreadID$5$Entry#2;
-
- inline$storm_getThreadID$5$Entry#2:
- goto inline$storm_getThreadID$5$anon0#2;
-
- inline$storm_getThreadID$5$anon0#2:
- inline$storm_getThreadID$5$tidRet := tid;
- goto inline$storm_getThreadID$5$Return#2;
-
- inline$storm_getThreadID$5$Return#2:
- inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$ := inline$storm_getThreadID$5$tidRet;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_9#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2, inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2:
- assume k == 0 ==> !INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- assume k == 1 ==> !INT_EQ(cancelLockStatus_1, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_10#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- assume k == 1 ==> INT_EQ(cancelLockStatus_1, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_13#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_13#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$anon6_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon6_Else#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon7_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon7_Else#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon7_Then#2:
- assume k == 1;
- cancelLockStatus_1 := 0;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon6_Then#2:
- assume k == 0;
- cancelLockStatus_0 := 0;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon3#2:
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_14#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_14#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$anon8_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon8_Else#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon8_Else#2:
- assume __storm_init;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon5#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon8_Then#2:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoReleaseCancelSpinLock$0$anon5#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$anon5#2:
- call contextSwitch();
- goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$label_1#2:
- goto inline$storm_IoReleaseCancelSpinLock$0$Return#2;
-
- inline$storm_IoReleaseCancelSpinLock$0$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_15$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_15$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon15_Then#2, inline$I8xSysButtonCancelRoutine$0$anon15_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon15_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon8#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon8#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_18#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_18#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_19#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_19#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_20#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_20#2:
- goto inline$storm_IoCompleteRequest$4$Entry#2;
-
- inline$storm_IoCompleteRequest$4$Entry#2:
- inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine;
- goto inline$storm_IoCompleteRequest$4$start#2;
-
- inline$storm_IoCompleteRequest$4$start#2:
- inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1;
- goto inline$storm_IoCompleteRequest$4$label_3#2;
-
- inline$storm_IoCompleteRequest$4$label_3#2:
- call inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$4$label_6#2;
-
- inline$storm_IoCompleteRequest$4$label_6#2:
- goto inline$storm_IoCompleteRequest$4$label_6_true#2, inline$storm_IoCompleteRequest$4$label_6_false#2;
-
- inline$storm_IoCompleteRequest$4$label_6_false#2:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$4$label_7#2;
-
- inline$storm_IoCompleteRequest$4$label_6_true#2:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$4$label_8#2;
-
- inline$storm_IoCompleteRequest$4$label_8#2:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$4$anon8_Then#2, inline$storm_IoCompleteRequest$4$anon8_Else#2;
-
- inline$storm_IoCompleteRequest$4$anon8_Else#2:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$4$anon4#2;
-
- inline$storm_IoCompleteRequest$4$anon4#2:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_9#2;
-
- inline$storm_IoCompleteRequest$4$label_9#2:
- goto inline$storm_IoCompleteRequest$4$label_9_true#2, inline$storm_IoCompleteRequest$4$label_9_false#2;
-
- inline$storm_IoCompleteRequest$4$label_9_false#2:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$4$label_10#2;
-
- inline$storm_IoCompleteRequest$4$label_10#2:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$4$label_1#2;
-
- inline$storm_IoCompleteRequest$4$label_9_true#2:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$4$label_7#2;
-
- inline$storm_IoCompleteRequest$4$label_7#2:
- goto inline$storm_IoCompleteRequest$4$anon6_Then#2, inline$storm_IoCompleteRequest$4$anon6_Else#2;
-
- inline$storm_IoCompleteRequest$4$anon6_Else#2:
- assume k != 0;
- goto inline$storm_IoCompleteRequest$4$anon7_Then#2, inline$storm_IoCompleteRequest$4$anon7_Else#2;
-
- inline$storm_IoCompleteRequest$4$anon7_Else#2:
- assume k != 1;
- goto inline$storm_IoCompleteRequest$4$anon2#2;
-
- inline$storm_IoCompleteRequest$4$anon7_Then#2:
- assume k == 1;
- Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$4$anon2#2;
-
- inline$storm_IoCompleteRequest$4$anon6_Then#2:
- assume k == 0;
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
- goto inline$storm_IoCompleteRequest$4$anon2#2;
-
- inline$storm_IoCompleteRequest$4$anon2#2:
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_1#2;
-
- inline$storm_IoCompleteRequest$4$label_1#2:
- goto inline$storm_IoCompleteRequest$4$Return#2;
-
- inline$storm_IoCompleteRequest$4$anon8_Then#2:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$4$Return#2;
-
- inline$storm_IoCompleteRequest$4$Return#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_20$1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_20$1#2:
- goto inline$I8xSysButtonCancelRoutine$0$anon16_Then#2, inline$I8xSysButtonCancelRoutine$0$anon16_Else#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon16_Else#2:
- assume !raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$anon10#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon10#2:
- goto inline$I8xSysButtonCancelRoutine$0$label_1#2;
-
- inline$I8xSysButtonCancelRoutine$0$label_1#2:
- call __HAVOC_free(inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine);
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon16_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon15_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon14_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$anon13_Then#2:
- assume raiseException;
- goto inline$I8xSysButtonCancelRoutine$0$Return#2;
-
- inline$I8xSysButtonCancelRoutine$0$Return#2:
- goto inline$storm_IoCancelIrp$0$anon20$1#2;
-
- inline$storm_IoCancelIrp$0$anon20$1#2:
- goto inline$storm_IoCancelIrp$0$anon35_Then#2, inline$storm_IoCancelIrp$0$anon35_Else#2;
-
- inline$storm_IoCancelIrp$0$anon35_Else#2:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon22#2;
-
- inline$storm_IoCancelIrp$0$anon22#2:
- goto inline$storm_IoCancelIrp$0$label_32_icall_return#2;
-
- inline$storm_IoCancelIrp$0$label_32_icall_return#2:
- goto inline$storm_IoCancelIrp$0$label_35#2;
-
- inline$storm_IoCancelIrp$0$label_35#2:
- goto inline$storm_IoCancelIrp$0$label_1#2;
-
- inline$storm_IoCancelIrp$0$label_1#2:
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon35_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon31_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon32_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$anon30_Then#2:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#2;
-
- inline$storm_IoCancelIrp$0$Return#2:
- goto inline$cancel$0$label_3$1#2;
-
- inline$cancel$0$label_3$1#2:
- goto inline$cancel$0$anon2_Then#2, inline$cancel$0$anon2_Else#2;
-
- inline$cancel$0$anon2_Else#2:
- assume !raiseException;
- goto inline$cancel$0$anon1#2;
-
- inline$cancel$0$anon1#2:
- goto inline$cancel$0$label_1#2;
-
- inline$cancel$0$label_1#2:
- goto inline$cancel$0$Return#2;
-
- inline$cancel$0$anon2_Then#2:
- assume raiseException;
- goto inline$cancel$0$Return#2;
-
- inline$cancel$0$Return#2:
- goto label_27$1#2;
-
- label_27$1#2:
- goto anon32_Then#2, anon32_Else#2;
-
- anon32_Else#2:
- assume !(errorReached || !raiseException);
- goto anon19#2;
-
- anon32_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_2 := true;
- goto anon19#2;
-
- anon19#2:
- k := k_old_1;
- tid := tid_old_1;
- goto label_30#2;
-
- label_30#2:
- goto label_31#2;
-
- label_31#2:
- k_old_2 := k;
- tid_old_2 := tid;
- tidCount_old := tidCount;
- havoc tidCount;
- assume tidCount_old < tidCount;
- tid := tidCount;
- raiseException := false;
- call contextSwitch();
- goto inline$dpc$0$Entry#2;
-
- inline$dpc$0$Entry#2:
- goto inline$dpc$0$start#2;
-
- inline$dpc$0$start#2:
- goto inline$dpc$0$label_1#2;
-
- inline$dpc$0$label_1#2:
- goto inline$dpc$0$Return#2;
-
- inline$dpc$0$Return#2:
- goto label_31$1#2;
-
- label_31$1#2:
- goto anon33_Then#2, anon33_Else#2;
-
- anon33_Else#2:
- assume !(errorReached || !raiseException);
- goto anon21#2;
-
- anon33_Then#2:
- assume errorReached || !raiseException;
- __storm_thread_done_3 := true;
- goto anon21#2;
-
- anon21#2:
- k := k_old_2;
- tid := tid_old_2;
- goto label_1#2;
-
- label_1#2:
- assume Mem_0_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
- assume Mem_0_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
- assume Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
- assume Mem_0_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
- assume Mem_0_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
- assume cancelLockStatus_0 == cancelLockStatus_s_1;
- assume Res_0_COMPLETED == Res_s_1_COMPLETED;
- assume Res_0_LOCK == Res_s_1_LOCK;
- assert !errorReached;
- return;
-
- anon30_Then#2:
- assume raiseException;
- return;
-
- anon27_Then#2:
- assume raiseException;
- return;
-
- anon26_Then#2:
- assume raiseException;
- return;
-
- anon25_Then#2:
- assume raiseException;
- return;
-
- anon24_Then#2:
- assume raiseException;
- return;
-}
-
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var __storm_thread_done_0 : bool;
+var __storm_thread_done_1 : bool;
+var __storm_thread_done_2 : bool;
+var __storm_thread_done_3 : bool;
+
+var raiseException : bool;
+var errorReached : bool;
+var k : int;
+var __storm_atomic : bool;
+var __storm_init : bool;
+var tid : int;
+var tidCount : int;
+
+procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tidRet:int)
+{
+ tidRet := tid;
+ return;
+}
+
+
+procedure storm_context_0();
+procedure storm_context_1();
+
+procedure contextSwitch();
+modifies k;
+ensures __storm_atomic ==> old(k) == k;
+ensures(old(k) <= k);
+ensures(k < 2);
+
+
+
+// Memory model
+
+// Mutable
+var alloc:int;
+
+// Immutable
+
+var Mem_0_T.CancelRoutine__IRP : [int]int;
+var Mem_1_T.CancelRoutine__IRP : [int]int;
+var Mem_s_1_T.CancelRoutine__IRP : [int]int;
+var Mem_0_T.Cancel__IRP : [int]int;
+var Mem_1_T.Cancel__IRP : [int]int;
+var Mem_s_1_T.Cancel__IRP : [int]int;
+var Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23 : [int]int;
+var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_s_1_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_0_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+var Mem_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+var Mem_s_1_T.DeviceObject__IO_STACK_LOCATION : [int]int;
+
+
+// Field declarations
+
+
+// Type declarations
+
+
+// Field offset definitions
+
+function AssociatedIrp__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == x + 12);
+axiom (forall x:int :: {AssociatedIrp__IRP(x)} AssociatedIrp__IRP(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function COMMON_DATA__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {COMMON_DATA__PORT_KEYBOARD_EXTENSION(x)} COMMON_DATA__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelIrql__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
+axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelRoutine__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
+axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Cancel__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
+axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
+axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Context__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
+axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Control__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
+axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControllerData__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == x + 0);
+axiom (forall x:int :: {ControllerData__GLOBALS(x)} ControllerData__GLOBALS(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentLocation__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
+axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentStackLocation___unnamed_4_3c640f23(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == x + 0);
+axiom (forall x:int :: {CurrentStackLocation___unnamed_4_3c640f23(x)} CurrentStackLocation___unnamed_4_3c640f23(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceIoControl___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {DeviceIoControl___unnamed_16_afe81cff(x)} DeviceIoControl___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceObject__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
+axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(int) returns (int);
+
+
+//axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == x + 2);
+axiom (forall x:int :: {Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x)} Flags__CM_PARTIAL_RESOURCE_DESCRIPTOR(x) == INT_ADD(x, 2));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Information__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
+axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Initialized_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == x + 323);
+axiom (forall x:int :: {Initialized_COMMON_DATA(x)} Initialized_COMMON_DATA(x) == INT_ADD(x, 323));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptDescriptor_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == x + 300);
+axiom (forall x:int :: {InterruptDescriptor_COMMON_DATA(x)} InterruptDescriptor_COMMON_DATA(x) == INT_ADD(x, 300));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function InterruptObject_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == x + 4);
+axiom (forall x:int :: {InterruptObject_COMMON_DATA(x)} InterruptObject_COMMON_DATA(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoControlCode___unnamed_16_ae81ad04(int) returns (int);
+
+
+//axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == x + 8);
+axiom (forall x:int :: {IoControlCode___unnamed_16_ae81ad04(x)} IoControlCode___unnamed_16_ae81ad04(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoStatus__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
+axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Irp__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == x + 8);
+axiom (forall x:int :: {Irp__I8X_KEYBOARD_WORK_ITEM(x)} Irp__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IsKeyboard_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == x + 325);
+axiom (forall x:int :: {IsKeyboard_COMMON_DATA(x)} IsKeyboard_COMMON_DATA(x) == INT_ADD(x, 325));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Item__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == x + 0);
+axiom (forall x:int :: {Item__I8X_KEYBOARD_WORK_ITEM(x)} Item__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Item__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == x + 0);
+axiom (forall x:int :: {Item__POWER_UP_WORK_ITEM(x)} Item__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == x + 8);
+axiom (forall x:int :: {KeyboardExtension__GLOBALS(x)} KeyboardExtension__GLOBALS(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function KeyboardPowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == x + 8);
+axiom (forall x:int :: {KeyboardPowerIrp__POWER_UP_WORK_ITEM(x)} KeyboardPowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MajorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
+axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MakeCode__I8X_KEYBOARD_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == x + 4);
+axiom (forall x:int :: {MakeCode__I8X_KEYBOARD_WORK_ITEM(x)} MakeCode__I8X_KEYBOARD_WORK_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MinorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
+axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MouseExtension__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == x + 4);
+axiom (forall x:int :: {MouseExtension__GLOBALS(x)} MouseExtension__GLOBALS(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MousePowerIrp__POWER_UP_WORK_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == x + 4);
+axiom (forall x:int :: {MousePowerIrp__POWER_UP_WORK_ITEM(x)} MousePowerIrp__POWER_UP_WORK_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutputBufferLength___unnamed_16_ae81ad04(int) returns (int);
+
+
+//axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == x + 0);
+axiom (forall x:int :: {OutputBufferLength___unnamed_16_ae81ad04(x)} OutputBufferLength___unnamed_16_ae81ad04(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function OutstandingPowerIrp_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == x + 44);
+axiom (forall x:int :: {OutstandingPowerIrp_COMMON_DATA(x)} OutstandingPowerIrp_COMMON_DATA(x) == INT_ADD(x, 44));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Overlay___unnamed_48_e2bbfb0b(int) returns (int);
+
+
+//axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == x + 0);
+axiom (forall x:int :: {Overlay___unnamed_48_e2bbfb0b(x)} Overlay___unnamed_48_e2bbfb0b(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Parameters__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
+axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PendingReturned__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
+axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PnpDeviceState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == x + 316);
+axiom (forall x:int :: {PnpDeviceState_COMMON_DATA(x)} PnpDeviceState_COMMON_DATA(x) == INT_ADD(x, 316));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerCaps__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == x + 328);
+axiom (forall x:int :: {PowerCaps__PORT_KEYBOARD_EXTENSION(x)} PowerCaps__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 328));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerEvent__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == x + 329);
+axiom (forall x:int :: {PowerEvent__PORT_KEYBOARD_EXTENSION(x)} PowerEvent__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 329));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerFlags__GLOBALS(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == x + 40);
+axiom (forall x:int :: {PowerFlags__GLOBALS(x)} PowerFlags__GLOBALS(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerSpinLock__CONTROLLER_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == x + 116);
+axiom (forall x:int :: {PowerSpinLock__CONTROLLER_DATA(x)} PowerSpinLock__CONTROLLER_DATA(x) == INT_ADD(x, 116));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == x + 48);
+axiom (forall x:int :: {PowerState_COMMON_DATA(x)} PowerState_COMMON_DATA(x) == INT_ADD(x, 48));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Power___unnamed_16_afe81cff(int) returns (int);
+
+
+//axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == x + 0);
+axiom (forall x:int :: {Power___unnamed_16_afe81cff(x)} Power___unnamed_16_afe81cff(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function RemoveLock_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == x + 20);
+axiom (forall x:int :: {RemoveLock_COMMON_DATA(x)} RemoveLock_COMMON_DATA(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Self_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == x + 0);
+axiom (forall x:int :: {Self_COMMON_DATA(x)} Self_COMMON_DATA(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == x + 56);
+axiom (forall x:int :: {ShutdownType_COMMON_DATA(x)} ShutdownType_COMMON_DATA(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ShutdownType___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == x + 12);
+axiom (forall x:int :: {ShutdownType___unnamed_16_57972375(x)} ShutdownType___unnamed_16_57972375(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Started_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == x + 326);
+axiom (forall x:int :: {Started_COMMON_DATA(x)} Started_COMMON_DATA(x) == INT_ADD(x, 326));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function State___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == x + 8);
+axiom (forall x:int :: {State___unnamed_16_57972375(x)} State___unnamed_16_57972375(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Status___unnamed_4_d4b13373(int) returns (int);
+
+
+//axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == x + 0);
+axiom (forall x:int :: {Status___unnamed_4_d4b13373(x)} Status___unnamed_4_d4b13373(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == x + 332);
+axiom (forall x:int :: {SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x)} SysButtonEventIrp__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 332));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == x + 368);
+axiom (forall x:int :: {SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x)} SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(x) == INT_ADD(x, 368));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemBuffer___unnamed_4_99f86ad5(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == x + 0);
+axiom (forall x:int :: {SystemBuffer___unnamed_4_99f86ad5(x)} SystemBuffer___unnamed_4_99f86ad5(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == x + 52);
+axiom (forall x:int :: {SystemState_COMMON_DATA(x)} SystemState_COMMON_DATA(x) == INT_ADD(x, 52));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Tail__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
+axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function TopOfStack_COMMON_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == x + 12);
+axiom (forall x:int :: {TopOfStack_COMMON_DATA(x)} TopOfStack_COMMON_DATA(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Type___unnamed_16_57972375(int) returns (int);
+
+
+//axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == x + 4);
+axiom (forall x:int :: {Type___unnamed_16_57972375(x)} Type___unnamed_16_57972375(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_12_41c62b26___unnamed_40_32307de2(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == x + 24);
+axiom (forall x:int :: {__unnamed_12_41c62b26___unnamed_40_32307de2(x)} __unnamed_12_41c62b26___unnamed_40_32307de2(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_3c640f23___unnamed_12_41c62b26(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == x + 8);
+axiom (forall x:int :: {__unnamed_4_3c640f23___unnamed_12_41c62b26(x)} __unnamed_4_3c640f23___unnamed_12_41c62b26(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_d4b13373__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_d4b13373__IO_STATUS_BLOCK(x)} __unnamed_4_d4b13373__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+
+///////////////////////////////////
+// will be replaced by:
+// "//" when using bv mode
+// "" when using int mode
+// main reason is to avoid using bv for constants
+// or avoid translating lines that are complex or unsound
+//////////////////////////////////
+
+////////////////////////////////////////////
+/////// functions for int type /////////////
+// Theorem prover does not see INT_ADD etc.
+////////////////////////////////////////////
+function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
+function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
+
+function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
+function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
+function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
+function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
+function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
+function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
+
+
+////////////////////////////////////////////
+/////// functions for bv32 type /////////////
+// Theorem prover does not see INT_ADD etc.
+// we are treating unsigned ops now
+////////////////////////////////////////////
+function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
+function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
+
+function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
+
+//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
+//only enabled with bv theory
+// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
+
+//////////////////////////////////
+// Generic C Arithmetic operations
+/////////////////////////////////
+
+//Is this sound for bv32?
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+ axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+ INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
+
+//we just keep this axiom for size = 1
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
+
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
+
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
+
+function MULT(a:int, b:int) returns (int); // a*b
+//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+// Not sure if these axioms hold for BV too, just commet them for BV
+
+
+
+//uninterpreted binary op
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+
+//////////////////////////////////////////
+//// Bitwise ops (uninterpreted, used with int)
+//////////////////////////////////////////
+
+
+ function BIT_BAND(a:int, b:int) returns (x:int);
+
+
+ function BIT_BOR(a:int, b:int) returns (x:int);
+ function BIT_BXOR(a:int, b:int) returns (x:int);
+ function BIT_BNOT(a:int) returns (int);
+
+
+
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function PTR_NOT(a:int) returns (int);
+axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
+axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+
+function NewAlloc(x:int, y:int) returns (z:int);
+
+//Comments below make HAVOC_malloc deterministic
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+//requires obj_size >= 0;
+free requires INT_GEQ(obj_size, 0);
+modifies alloc;
+ensures new == old(alloc);
+//ensures alloc > new + obj_size;
+ensures INT_GT(alloc, INT_ADD(new, obj_size));
+//ensures alloc == NewAlloc(old(alloc), obj_size);
+
+
+
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+/*
+//bv functions
+function bv8ToInt(bv8) returns (int);
+function bv16ToInt(bv16) returns (int);
+function bv32ToInt(bv32) returns (int);
+function bv64ToInt(bv64) returns (int);
+
+function intToBv8(int) returns (bv8);
+function intToBv16(int) returns (bv16);
+function intToBv32(int) returns (bv32);
+function intToBv64(int) returns (bv64);
+
+axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
+axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
+axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
+axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
+
+axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
+axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
+axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
+axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
+*/
+
+
+
+var Res_0_COMPLETED : [int]int;
+var Res_1_COMPLETED : [int]int;
+var Res_s_1_COMPLETED : [int]int;
+var Res_KERNEL_SOURCE:[int]int;
+var Res_0_LOCK : [int]int;
+var Res_1_LOCK : [int]int;
+var Res_s_1_LOCK : [int]int;
+var Res_PROBED:[int]int;
+
+//Pointer constants
+
+//Function pointer constants
+
+
+const unique Globals : int;
+axiom(Globals != 0);
+const unique I8xCompleteSysButtonEventWorker : int;
+axiom(I8xCompleteSysButtonEventWorker != 0);
+const unique I8xPowerUpToD0Complete : int;
+axiom(I8xPowerUpToD0Complete != 0);
+const unique I8xReinitializeHardware : int;
+axiom(I8xReinitializeHardware != 0);
+const unique I8xSysButtonCancelRoutine : int;
+axiom(I8xSysButtonCancelRoutine != 0);
+var cancelLockStatus_0 : int;
+var cancelLockStatus_1 : int;
+var cancelLockStatus_s_1 : int;
+
+const unique hdevobj : int;
+axiom(hdevobj != 0);
+// the set of constants for 64 bit integers that Boogie doesn't parse
+const unique BOOGIE_LARGE_INT_2147483648:int;
+
+
+
+procedure DRIVER_CANCEL(a0:int, a1:int);
+
+
+
+procedure ExFreePoolWithTag(a0:int, a1:int);
+
+
+
+procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
+
+
+
+procedure IoAllocateWorkItem(a0:int) returns (ret:int);
+
+
+
+procedure IoDisconnectInterrupt(a0:int);
+
+
+
+procedure IoFreeWorkItem(a0:int);
+
+
+
+procedure IoQueueWorkItem(a0:int, a1:int, a2:int, a3:int);
+
+
+
+procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
+
+
+
+procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure PoStartNextPowerIrp(a0:int);
+
+
+
+procedure __PREfastPagedCode();
+
+
+
+procedure __storm_assert_dummy();
+
+
+
+procedure __storm_atomic_begin_dummy();
+
+
+
+procedure __storm_atomic_end_dummy();
+
+
+
+procedure memcpy(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure memset(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+
+
+
+procedure storm_nondet() returns (ret:int);
+
+
+
+procedure storm_main();
+ free requires 0 < alloc;
+ free requires 0 < tid;
+ free requires tid < tidCount;
+ requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
+ modifies tidCount, alloc, raiseException, cancelLockStatus_s_1, __storm_init, __storm_atomic, errorReached, cancelLockStatus_0, cancelLockStatus_1, __storm_thread_done_3, __storm_thread_done_2, __storm_thread_done_1, __storm_thread_done_0, tid, k, Res_0_COMPLETED, Res_1_COMPLETED, Res_KERNEL_SOURCE, Res_0_LOCK, Res_1_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_1_T.CancelRoutine__IRP, Mem_0_T.Cancel__IRP, Mem_1_T.Cancel__IRP, Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_1_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.DeviceObject__IO_STACK_LOCATION, Mem_1_T.DeviceObject__IO_STACK_LOCATION;
+
+
+
+implementation storm_main()
+{
+ var inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, $irpSp$2$92.21$storm_main: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp: int, inline$storm_getThreadID$5$tidRet: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$: int, inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, $result.IoGetCurrentIrpStackLocation$99.38$2$: int, inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$dispatch$0$$Irp$1$8.19$dispatch_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending: int, inline$storm_getThreadID$0$tidRet: int, inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver: int, inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1: int, inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$: int, inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0: int, inline$storm_getThreadID$1$tidRet: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock: int, inline$cancel$0$$Irp$1$64.17$cancel: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine: int, inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$: int, inline$cancel$0$$Irp$1$64.17$cancel_.1: int, $irp$1$91.7$storm_main: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$storm_getThreadID$4$tidRet: int, inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$: int, inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$: int, inline$I8xSysButtonCancelRoutine$0$myVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest: int, k_old_2: int, inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$: int, inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1: int, inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine: int, inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$: int, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$: int, inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1: int, k_old_1: int, k_old_0: int, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$I8xKeyboardGetSysButtonEvent$0$myVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps: int, inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1: int, inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1: int, inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine: int, inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1: int, inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$: int, inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_1: int, inline$I8xSysButtonCancelRoutine$0$myNondetVar_0: int, inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1: int, inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0: int, inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine: int, inline$I8xDeviceControl$0$myVar_0: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_IoSetCancelRoutine$1$myVar_0: int, inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock: int, inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1: int, inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock: int, inline$storm_getThreadID$3$tidRet: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1: int, inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock: int, inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$: int, inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent: int, inline$storm_getThreadID$2$tidRet: int, inline$I8xDeviceControl$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent: int, inline$dispatch$0$$Irp$1$8.19$dispatch: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1: int, inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine: int, inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp: int, $result.storm_IoAllocateIrp$96.21$1$: int, tidCount_old: int, inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine: int, inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1: int, inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation: int, inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock: int, inline$I8xCompleteSysButtonIrp$0$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, tid_old_1: int, tid_old_0: int, tid_old_2: int, inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$: int;
+
+ start#2:
+ assume Res_1_COMPLETED == Res_s_1_COMPLETED;
+ assume Res_1_LOCK == Res_s_1_LOCK;
+ assume Mem_1_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
+ assume Mem_1_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
+ assume Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
+ assume Mem_1_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
+ assume Mem_1_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
+ assume cancelLockStatus_1 == cancelLockStatus_s_1;
+ __storm_thread_done_0 := false;
+ __storm_thread_done_1 := false;
+ __storm_thread_done_2 := false;
+ __storm_thread_done_3 := false;
+ k := 0;
+ errorReached := false;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto label_3#2;
+
+ label_3#2:
+ goto label_4#2;
+
+ label_4#2:
+ goto label_5#2;
+
+ label_5#2:
+ goto anon22_Then#2, anon22_Else#2;
+
+ anon22_Else#2:
+ assume k != 0;
+ goto anon23_Then#2, anon23_Else#2;
+
+ anon23_Else#2:
+ assume k != 1;
+ goto anon2#2;
+
+ anon23_Then#2:
+ assume k == 1;
+ cancelLockStatus_1 := 0;
+ goto anon2#2;
+
+ anon22_Then#2:
+ assume k == 0;
+ cancelLockStatus_0 := 0;
+ goto anon2#2;
+
+ anon2#2:
+ call contextSwitch();
+ goto label_6#2;
+
+ label_6#2:
+ goto inline$storm_IoAllocateIrp$0$Entry#2;
+
+ inline$storm_IoAllocateIrp$0$Entry#2:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1 := 2;
+ goto inline$storm_IoAllocateIrp$0$start#2;
+
+ inline$storm_IoAllocateIrp$0$start#2:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp_.1;
+ goto inline$storm_IoAllocateIrp$0$label_3#2;
+
+ inline$storm_IoAllocateIrp$0$label_3#2:
+ goto inline$storm_IoAllocateIrp$0$label_4#2;
+
+ inline$storm_IoAllocateIrp$0$label_4#2:
+ goto inline$storm_IoAllocateIrp$0$label_5#2;
+
+ inline$storm_IoAllocateIrp$0$label_5#2:
+ call inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ := storm_nondet();
+ goto inline$storm_IoAllocateIrp$0$label_8#2;
+
+ inline$storm_IoAllocateIrp$0$label_8#2:
+ goto inline$storm_IoAllocateIrp$0$label_8_case_0#2, inline$storm_IoAllocateIrp$0$label_8_case_1#2;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_1#2:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ == 0;
+ goto inline$storm_IoAllocateIrp$0$label_10#2;
+
+ inline$storm_IoAllocateIrp$0$label_10#2:
+ __storm_atomic := true;
+ goto inline$storm_IoAllocateIrp$0$label_13#2;
+
+ inline$storm_IoAllocateIrp$0$label_13#2:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$ := __HAVOC_malloc(112);
+ goto inline$storm_IoAllocateIrp$0$label_16#2;
+
+ inline$storm_IoAllocateIrp$0$label_16#2:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$3$;
+ goto inline$storm_IoAllocateIrp$0$label_17#2;
+
+ inline$storm_IoAllocateIrp$0$label_17#2:
+ goto inline$storm_IoAllocateIrp$0$anon18_Then#2, inline$storm_IoAllocateIrp$0$anon18_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon18_Else#2:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon19_Then#2, inline$storm_IoAllocateIrp$0$anon19_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon19_Else#2:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon2#2;
+
+ inline$storm_IoAllocateIrp$0$anon19_Then#2:
+ assume k == 1;
+ Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon2#2;
+
+ inline$storm_IoAllocateIrp$0$anon18_Then#2:
+ assume k == 0;
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon2#2;
+
+ inline$storm_IoAllocateIrp$0$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_18#2;
+
+ inline$storm_IoAllocateIrp$0$label_18#2:
+ goto inline$storm_IoAllocateIrp$0$anon20_Then#2, inline$storm_IoAllocateIrp$0$anon20_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon20_Else#2:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon21_Then#2, inline$storm_IoAllocateIrp$0$anon21_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon21_Else#2:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon5#2;
+
+ inline$storm_IoAllocateIrp$0$anon21_Then#2:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon5#2;
+
+ inline$storm_IoAllocateIrp$0$anon20_Then#2:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp) := 0];
+ goto inline$storm_IoAllocateIrp$0$anon5#2;
+
+ inline$storm_IoAllocateIrp$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_19#2;
+
+ inline$storm_IoAllocateIrp$0$label_19#2:
+ goto inline$storm_IoAllocateIrp$0$anon22_Then#2, inline$storm_IoAllocateIrp$0$anon22_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon22_Else#2:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon23_Then#2, inline$storm_IoAllocateIrp$0$anon23_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon23_Else#2:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon8#2;
+
+ inline$storm_IoAllocateIrp$0$anon23_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
+ goto inline$storm_IoAllocateIrp$0$anon8#2;
+
+ inline$storm_IoAllocateIrp$0$anon22_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0];
+ goto inline$storm_IoAllocateIrp$0$anon8#2;
+
+ inline$storm_IoAllocateIrp$0$anon8#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_20#2;
+
+ inline$storm_IoAllocateIrp$0$label_20#2:
+ havoc raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon24_Then#2, inline$storm_IoAllocateIrp$0$anon24_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon24_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon10#2;
+
+ inline$storm_IoAllocateIrp$0$anon10#2:
+ assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp);
+ goto inline$storm_IoAllocateIrp$0$label_21#2;
+
+ inline$storm_IoAllocateIrp$0$label_21#2:
+ inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp, 36);
+ goto inline$storm_IoAllocateIrp$0$label_22#2;
+
+ inline$storm_IoAllocateIrp$0$label_22#2:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
+ goto inline$storm_IoAllocateIrp$0$label_25#2;
+
+ inline$storm_IoAllocateIrp$0$label_25#2:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.malloc$284.0$4$;
+ goto inline$storm_IoAllocateIrp$0$label_26#2;
+
+ inline$storm_IoAllocateIrp$0$label_26#2:
+ goto inline$storm_IoAllocateIrp$0$anon25_Then#2, inline$storm_IoAllocateIrp$0$anon25_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon25_Else#2:
+ assume k != 0;
+ goto inline$storm_IoAllocateIrp$0$anon26_Then#2, inline$storm_IoAllocateIrp$0$anon26_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon26_Else#2:
+ assume k != 1;
+ goto inline$storm_IoAllocateIrp$0$anon13#2;
+
+ inline$storm_IoAllocateIrp$0$anon26_Then#2:
+ assume k == 1;
+ Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
+ goto inline$storm_IoAllocateIrp$0$anon13#2;
+
+ inline$storm_IoAllocateIrp$0$anon25_Then#2:
+ assume k == 0;
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp))))) := PLUS(inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp, 36, inline$storm_IoAllocateIrp$0$$StackSize$1$276.11$storm_IoAllocateIrp)];
+ goto inline$storm_IoAllocateIrp$0$anon13#2;
+
+ inline$storm_IoAllocateIrp$0$anon13#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_27#2;
+
+ inline$storm_IoAllocateIrp$0$label_27#2:
+ goto inline$IoGetNextIrpStackLocation$0$Entry#2;
+
+ inline$IoGetNextIrpStackLocation$0$Entry#2:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$IoGetNextIrpStackLocation$0$start#2;
+
+ inline$IoGetNextIrpStackLocation$0$start#2:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation := inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation_.1;
+ goto inline$IoGetNextIrpStackLocation$0$label_3#2;
+
+ inline$IoGetNextIrpStackLocation$0$label_3#2:
+ goto inline$IoGetNextIrpStackLocation$0$anon3_Then#2, inline$IoGetNextIrpStackLocation$0$anon3_Else#2;
+
+ inline$IoGetNextIrpStackLocation$0$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetNextIrpStackLocation$0$anon4_Then#2, inline$IoGetNextIrpStackLocation$0$anon4_Else#2;
+
+ inline$IoGetNextIrpStackLocation$0$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoGetNextIrpStackLocation$0$anon4_Then#2:
+ assume k == 1;
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
+ goto inline$IoGetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoGetNextIrpStackLocation$0$anon3_Then#2:
+ assume k == 0;
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$23463.14$IoGetNextIrpStackLocation)))))];
+ goto inline$IoGetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoGetNextIrpStackLocation$0$anon2#2:
+ call contextSwitch();
+ inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$ := MINUS_LEFT_PTR(inline$IoGetNextIrpStackLocation$0$myVar_0, 36, 1);
+ goto inline$IoGetNextIrpStackLocation$0$label_1#2;
+
+ inline$IoGetNextIrpStackLocation$0$label_1#2:
+ goto inline$IoGetNextIrpStackLocation$0$Return#2;
+
+ inline$IoGetNextIrpStackLocation$0$Return#2:
+ inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$ := inline$IoGetNextIrpStackLocation$0$$result.IoGetNextIrpStackLocation$23462.0$1$;
+ goto inline$storm_IoAllocateIrp$0$label_27$1#2;
+
+ inline$storm_IoAllocateIrp$0$label_27$1#2:
+ goto inline$storm_IoAllocateIrp$0$anon27_Then#2, inline$storm_IoAllocateIrp$0$anon27_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon27_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon15#2;
+
+ inline$storm_IoAllocateIrp$0$anon15#2:
+ goto inline$storm_IoAllocateIrp$0$label_30#2;
+
+ inline$storm_IoAllocateIrp$0$label_30#2:
+ inline$storm_IoAllocateIrp$0$$irpSp$4$281.21$storm_IoAllocateIrp := inline$storm_IoAllocateIrp$0$$result.IoGetNextIrpStackLocation$284.0$6$;
+ goto inline$storm_IoAllocateIrp$0$label_31#2;
+
+ inline$storm_IoAllocateIrp$0$label_31#2:
+ goto inline$storm_IoAllocateIrp$0$label_32#2;
+
+ inline$storm_IoAllocateIrp$0$label_32#2:
+ goto inline$storm_IoAllocateIrp$0$label_33#2;
+
+ inline$storm_IoAllocateIrp$0$label_33#2:
+ goto inline$storm_IoAllocateIrp$0$anon28_Then#2, inline$storm_IoAllocateIrp$0$anon28_Else#2;
+
+ inline$storm_IoAllocateIrp$0$anon28_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoAllocateIrp$0$anon17#2;
+
+ inline$storm_IoAllocateIrp$0$anon28_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAllocateIrp$0$anon17#2;
+
+ inline$storm_IoAllocateIrp$0$anon17#2:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_36#2;
+
+ inline$storm_IoAllocateIrp$0$anon27_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$anon24_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_0#2:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$282.22$2$ != 0;
+ goto inline$storm_IoAllocateIrp$0$label_9#2;
+
+ inline$storm_IoAllocateIrp$0$label_9#2:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp := 0;
+ goto inline$storm_IoAllocateIrp$0$label_36#2;
+
+ inline$storm_IoAllocateIrp$0$label_36#2:
+ inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$280.7$storm_IoAllocateIrp;
+ goto inline$storm_IoAllocateIrp$0$label_1#2;
+
+ inline$storm_IoAllocateIrp$0$label_1#2:
+ goto inline$storm_IoAllocateIrp$0$Return#2;
+
+ inline$storm_IoAllocateIrp$0$Return#2:
+ $result.storm_IoAllocateIrp$96.21$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$275.0$1$;
+ goto label_6$1#2;
+
+ label_6$1#2:
+ goto anon24_Then#2, anon24_Else#2;
+
+ anon24_Else#2:
+ assume !raiseException;
+ goto anon4#2;
+
+ anon4#2:
+ goto label_9#2;
+
+ label_9#2:
+ $irp$1$91.7$storm_main := $result.storm_IoAllocateIrp$96.21$1$;
+ goto label_10#2;
+
+ label_10#2:
+ havoc raiseException;
+ goto anon25_Then#2, anon25_Else#2;
+
+ anon25_Else#2:
+ assume !raiseException;
+ goto anon6#2;
+
+ anon6#2:
+ assume INT_NEQ($irp$1$91.7$storm_main, 0);
+ goto label_11#2;
+
+ label_11#2:
+ goto inline$IoSetNextIrpStackLocation$0$Entry#2;
+
+ inline$IoSetNextIrpStackLocation$0$Entry#2:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoSetNextIrpStackLocation$0$start#2;
+
+ inline$IoSetNextIrpStackLocation$0$start#2:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation := inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation_.1;
+ goto inline$IoSetNextIrpStackLocation$0$label_3#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_3#2:
+ havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 1, 1);
+ goto inline$IoSetNextIrpStackLocation$0$label_4#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_4#2:
+ goto inline$IoSetNextIrpStackLocation$0$anon6_Then#2, inline$IoSetNextIrpStackLocation$0$anon6_Else#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon6_Else#2:
+ assume k != 0;
+ goto inline$IoSetNextIrpStackLocation$0$anon7_Then#2, inline$IoSetNextIrpStackLocation$0$anon7_Else#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon7_Else#2:
+ assume k != 1;
+ goto inline$IoSetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon7_Then#2:
+ assume k == 1;
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
+ goto inline$IoSetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon6_Then#2:
+ assume k == 0;
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation)))))];
+ goto inline$IoSetNextIrpStackLocation$0$anon2#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon2#2:
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$0$anon8_Then#2, inline$IoSetNextIrpStackLocation$0$anon8_Else#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon8_Else#2:
+ assume k != 0;
+ goto inline$IoSetNextIrpStackLocation$0$anon9_Then#2, inline$IoSetNextIrpStackLocation$0$anon9_Else#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon9_Else#2:
+ assume k != 1;
+ goto inline$IoSetNextIrpStackLocation$0$anon5#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon9_Then#2:
+ assume k == 1;
+ Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ goto inline$IoSetNextIrpStackLocation$0$anon5#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon8_Then#2:
+ assume k == 0;
+ Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$23862.16$IoSetNextIrpStackLocation))))) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ goto inline$IoSetNextIrpStackLocation$0$anon5#2;
+
+ inline$IoSetNextIrpStackLocation$0$anon5#2:
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$0$label_1#2;
+
+ inline$IoSetNextIrpStackLocation$0$label_1#2:
+ goto inline$IoSetNextIrpStackLocation$0$Return#2;
+
+ inline$IoSetNextIrpStackLocation$0$Return#2:
+ goto label_11$1#2;
+
+ label_11$1#2:
+ goto anon26_Then#2, anon26_Else#2;
+
+ anon26_Else#2:
+ assume !raiseException;
+ goto anon8#2;
+
+ anon8#2:
+ goto label_14#2;
+
+ label_14#2:
+ goto inline$IoGetCurrentIrpStackLocation$0$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$Entry#2:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := $irp$1$91.7$storm_main;
+ goto inline$IoGetCurrentIrpStackLocation$0$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$start#2:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$0$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$0$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$0$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$0$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon4_Then#2:
+ assume k == 1;
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon3_Then#2:
+ assume k == 0;
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$0$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$anon2#2:
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$0$myVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$0$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$0$Return#2:
+ $result.IoGetCurrentIrpStackLocation$99.38$2$ := inline$IoGetCurrentIrpStackLocation$0$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
+ goto label_14$1#2;
+
+ label_14$1#2:
+ goto anon27_Then#2, anon27_Else#2;
+
+ anon27_Else#2:
+ assume !raiseException;
+ goto anon10#2;
+
+ anon10#2:
+ goto label_17#2;
+
+ label_17#2:
+ $irpSp$2$92.21$storm_main := $result.IoGetCurrentIrpStackLocation$99.38$2$;
+ goto label_18#2;
+
+ label_18#2:
+ goto anon28_Then#2, anon28_Else#2;
+
+ anon28_Else#2:
+ assume k != 0;
+ goto anon29_Then#2, anon29_Else#2;
+
+ anon29_Else#2:
+ assume k != 1;
+ goto anon13#2;
+
+ anon29_Then#2:
+ assume k == 1;
+ Mem_1_T.DeviceObject__IO_STACK_LOCATION := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
+ goto anon13#2;
+
+ anon28_Then#2:
+ assume k == 0;
+ Mem_0_T.DeviceObject__IO_STACK_LOCATION := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION($irpSp$2$92.21$storm_main) := hdevobj];
+ goto anon13#2;
+
+ anon13#2:
+ call contextSwitch();
+ goto label_19#2;
+
+ label_19#2:
+ goto inline$myInitDriver$0$Entry#2;
+
+ inline$myInitDriver$0$Entry#2:
+ goto inline$myInitDriver$0$start#2;
+
+ inline$myInitDriver$0$start#2:
+ goto inline$myInitDriver$0$label_3#2;
+
+ inline$myInitDriver$0$label_3#2:
+ goto inline$myInitDriver$0$label_4#2;
+
+ inline$myInitDriver$0$label_4#2:
+ goto inline$myInitDriver$0$anon5_Then#2, inline$myInitDriver$0$anon5_Else#2;
+
+ inline$myInitDriver$0$anon5_Else#2:
+ assume k != 0;
+ goto inline$myInitDriver$0$anon6_Then#2, inline$myInitDriver$0$anon6_Else#2;
+
+ inline$myInitDriver$0$anon6_Else#2:
+ assume k != 1;
+ goto inline$myInitDriver$0$anon2#2;
+
+ inline$myInitDriver$0$anon6_Then#2:
+ assume k == 1;
+ inline$myInitDriver$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
+ goto inline$myInitDriver$0$anon2#2;
+
+ inline$myInitDriver$0$anon5_Then#2:
+ assume k == 0;
+ inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
+ goto inline$myInitDriver$0$anon2#2;
+
+ inline$myInitDriver$0$anon2#2:
+ call contextSwitch();
+ inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver := inline$myInitDriver$0$myVar_0;
+ goto inline$myInitDriver$0$label_5#2;
+
+ inline$myInitDriver$0$label_5#2:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$0$Entry#2;
+
+ inline$storm_KeInitializeSpinLock$0$Entry#2:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$myInitDriver$0$$kbExtension$2$5.27$myInitDriver);
+ goto inline$storm_KeInitializeSpinLock$0$start#2;
+
+ inline$storm_KeInitializeSpinLock$0$start#2:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock_.1;
+ goto inline$storm_KeInitializeSpinLock$0$label_3#2;
+
+ inline$storm_KeInitializeSpinLock$0$label_3#2:
+ goto inline$storm_KeInitializeSpinLock$0$anon3_Then#2, inline$storm_KeInitializeSpinLock$0$anon3_Else#2;
+
+ inline$storm_KeInitializeSpinLock$0$anon3_Else#2:
+ assume k != 0;
+ goto inline$storm_KeInitializeSpinLock$0$anon4_Then#2, inline$storm_KeInitializeSpinLock$0$anon4_Else#2;
+
+ inline$storm_KeInitializeSpinLock$0$anon4_Else#2:
+ assume k != 1;
+ goto inline$storm_KeInitializeSpinLock$0$anon2#2;
+
+ inline$storm_KeInitializeSpinLock$0$anon4_Then#2:
+ assume k == 1;
+ Res_1_LOCK := Res_1_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
+ goto inline$storm_KeInitializeSpinLock$0$anon2#2;
+
+ inline$storm_KeInitializeSpinLock$0$anon3_Then#2:
+ assume k == 0;
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock := 0];
+ goto inline$storm_KeInitializeSpinLock$0$anon2#2;
+
+ inline$storm_KeInitializeSpinLock$0$anon2#2:
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$0$label_1#2;
+
+ inline$storm_KeInitializeSpinLock$0$label_1#2:
+ goto inline$storm_KeInitializeSpinLock$0$Return#2;
+
+ inline$storm_KeInitializeSpinLock$0$Return#2:
+ goto inline$myInitDriver$0$label_5$1#2;
+
+ inline$myInitDriver$0$label_5$1#2:
+ goto inline$myInitDriver$0$anon7_Then#2, inline$myInitDriver$0$anon7_Else#2;
+
+ inline$myInitDriver$0$anon7_Else#2:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon4#2;
+
+ inline$myInitDriver$0$anon4#2:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_1#2;
+
+ inline$myInitDriver$0$label_1#2:
+ goto inline$myInitDriver$0$Return#2;
+
+ inline$myInitDriver$0$anon7_Then#2:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#2;
+
+ inline$myInitDriver$0$Return#2:
+ goto label_19$1#2;
+
+ label_19$1#2:
+ goto anon30_Then#2, anon30_Else#2;
+
+ anon30_Else#2:
+ assume !raiseException;
+ goto anon15#2;
+
+ anon15#2:
+ goto label_22#2;
+
+ label_22#2:
+ goto label_23#2;
+
+ label_23#2:
+ k_old_0 := k;
+ tid_old_0 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$dispatch$0$Entry#2;
+
+ inline$dispatch$0$Entry#2:
+ inline$dispatch$0$$Irp$1$8.19$dispatch_.1 := $irp$1$91.7$storm_main;
+ goto inline$dispatch$0$start#2;
+
+ inline$dispatch$0$start#2:
+ inline$dispatch$0$$Irp$1$8.19$dispatch := inline$dispatch$0$$Irp$1$8.19$dispatch_.1;
+ goto inline$dispatch$0$label_3#2;
+
+ inline$dispatch$0$label_3#2:
+ goto inline$dispatch$0$label_4#2;
+
+ inline$dispatch$0$label_4#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$1$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$1$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon4_Then#2:
+ assume k == 1;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon3_Then#2:
+ assume k == 0;
+ goto inline$IoGetCurrentIrpStackLocation$1$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$anon2#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$1$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$1$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$1$Return#2:
+ goto inline$dispatch$0$label_4$1#2;
+
+ inline$dispatch$0$label_4$1#2:
+ goto inline$dispatch$0$anon4_Then#2, inline$dispatch$0$anon4_Else#2;
+
+ inline$dispatch$0$anon4_Else#2:
+ assume !raiseException;
+ goto inline$dispatch$0$anon1#2;
+
+ inline$dispatch$0$anon1#2:
+ goto inline$dispatch$0$label_7#2;
+
+ inline$dispatch$0$label_7#2:
+ goto inline$dispatch$0$label_8#2;
+
+ inline$dispatch$0$label_8#2:
+ goto inline$I8xDeviceControl$0$Entry#2;
+
+ inline$I8xDeviceControl$0$Entry#2:
+ inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1 := hdevobj;
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1 := inline$dispatch$0$$Irp$1$8.19$dispatch;
+ goto inline$I8xDeviceControl$0$start#2;
+
+ inline$I8xDeviceControl$0$start#2:
+ inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl := inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl_.1;
+ inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl_.1;
+ goto inline$I8xDeviceControl$0$label_3#2;
+
+ inline$I8xDeviceControl$0$label_3#2:
+ goto inline$I8xDeviceControl$0$label_4#2;
+
+ inline$I8xDeviceControl$0$label_4#2:
+ goto inline$I8xDeviceControl$0$label_5#2;
+
+ inline$I8xDeviceControl$0$label_5#2:
+ goto inline$I8xDeviceControl$0$label_6#2;
+
+ inline$I8xDeviceControl$0$label_6#2:
+ goto inline$I8xDeviceControl$0$label_7#2;
+
+ inline$I8xDeviceControl$0$label_7#2:
+ call __PREfastPagedCode();
+ goto inline$I8xDeviceControl$0$anon13_Then#2, inline$I8xDeviceControl$0$anon13_Else#2;
+
+ inline$I8xDeviceControl$0$anon13_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon1#2;
+
+ inline$I8xDeviceControl$0$anon1#2:
+ goto inline$I8xDeviceControl$0$label_10#2;
+
+ inline$I8xDeviceControl$0$label_10#2:
+ goto inline$I8xDeviceControl$0$anon14_Then#2, inline$I8xDeviceControl$0$anon14_Else#2;
+
+ inline$I8xDeviceControl$0$anon14_Else#2:
+ assume k != 0;
+ goto inline$I8xDeviceControl$0$anon15_Then#2, inline$I8xDeviceControl$0$anon15_Else#2;
+
+ inline$I8xDeviceControl$0$anon15_Else#2:
+ assume k != 1;
+ goto inline$I8xDeviceControl$0$anon4#2;
+
+ inline$I8xDeviceControl$0$anon15_Then#2:
+ assume k == 1;
+ inline$I8xDeviceControl$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
+ goto inline$I8xDeviceControl$0$anon4#2;
+
+ inline$I8xDeviceControl$0$anon14_Then#2:
+ assume k == 0;
+ inline$I8xDeviceControl$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xDeviceControl$0$$DeviceObject$1$464.22$I8xDeviceControl)];
+ goto inline$I8xDeviceControl$0$anon4#2;
+
+ inline$I8xDeviceControl$0$anon4#2:
+ call contextSwitch();
+ inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl := inline$I8xDeviceControl$0$myVar_0;
+ goto inline$I8xDeviceControl$0$label_11#2;
+
+ inline$I8xDeviceControl$0$label_11#2:
+ goto inline$I8xDeviceControl$0$label_11_true#2, inline$I8xDeviceControl$0$label_11_false#2;
+
+ inline$I8xDeviceControl$0$label_11_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_11_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
+ goto inline$I8xDeviceControl$0$label_13#2;
+
+ inline$I8xDeviceControl$0$label_13#2:
+ goto inline$I8xDeviceControl$0$label_13_true#2, inline$I8xDeviceControl$0$label_13_false#2;
+
+ inline$I8xDeviceControl$0$label_13_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_13_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 0;
+ goto inline$I8xDeviceControl$0$label_14#2;
+
+ inline$I8xDeviceControl$0$label_14#2:
+ goto inline$I8xDeviceControl$0$label_14_true#2, inline$I8xDeviceControl$0$label_14_false#2;
+
+ inline$I8xDeviceControl$0$label_14_false#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) == 0;
+ goto inline$I8xDeviceControl$0$label_15#2;
+
+ inline$I8xDeviceControl$0$label_15#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$2$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$2$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$2$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$anon4_Then#2:
+ assume k == 1;
+ goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$anon3_Then#2:
+ assume k == 0;
+ goto inline$IoGetCurrentIrpStackLocation$2$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$anon2#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$2$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$2$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$2$Return#2:
+ goto inline$I8xDeviceControl$0$label_15$1#2;
+
+ inline$I8xDeviceControl$0$label_15$1#2:
+ goto inline$I8xDeviceControl$0$anon16_Then#2, inline$I8xDeviceControl$0$anon16_Else#2;
+
+ inline$I8xDeviceControl$0$anon16_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon6#2;
+
+ inline$I8xDeviceControl$0$anon6#2:
+ goto inline$I8xDeviceControl$0$label_18#2;
+
+ inline$I8xDeviceControl$0$label_18#2:
+ goto inline$I8xDeviceControl$0$label_19#2;
+
+ inline$I8xDeviceControl$0$label_19#2:
+ goto inline$I8xDeviceControl$0$label_19_case_0#2, inline$I8xDeviceControl$0$label_19_case_1#2, inline$I8xDeviceControl$0$label_19_case_2#2;
+
+ inline$I8xDeviceControl$0$label_19_case_2#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703684;
+ goto inline$I8xDeviceControl$0$label_24#2;
+
+ inline$I8xDeviceControl$0$label_24#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Entry#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Entry#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$kbExtension$3$468.32$I8xDeviceControl;
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$start#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$start#2:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent := __HAVOC_malloc(1);
+ inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent_.1;
+ inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent_.1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_3#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_3#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_4#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_4#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_5#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_5#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_6#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_6#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_7#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_7#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_8#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_8#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_9#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_9#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$4$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$4$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$4$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$anon4_Then#2:
+ assume k == 1;
+ goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$anon3_Then#2:
+ assume k == 0;
+ goto inline$IoGetCurrentIrpStackLocation$4$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$anon2#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$4$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$4$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$4$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_10$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon34_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_13#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_13#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume !INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$0$Entry#2;
+
+ inline$storm_KeAcquireSpinLock$0$Entry#2:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
+ goto inline$storm_KeAcquireSpinLock$0$start#2;
+
+ inline$storm_KeAcquireSpinLock$0$start#2:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
+ goto inline$storm_KeAcquireSpinLock$0$label_3#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_3#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_4#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_4#2:
+ goto inline$storm_getThreadID$0$Entry#2;
+
+ inline$storm_getThreadID$0$Entry#2:
+ goto inline$storm_getThreadID$0$anon0#2;
+
+ inline$storm_getThreadID$0$anon0#2:
+ inline$storm_getThreadID$0$tidRet := tid;
+ goto inline$storm_getThreadID$0$Return#2;
+
+ inline$storm_getThreadID$0$Return#2:
+ inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tidRet;
+ goto inline$storm_KeAcquireSpinLock$0$label_4$1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_4$1#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_7#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_7#2:
+ inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$0$label_8#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_8#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_9#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_9#2:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$0$label_12#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_12#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon10_Then#2, inline$storm_KeAcquireSpinLock$0$anon10_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon10_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon1#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_13#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13#2:
+ goto inline$storm_KeAcquireSpinLock$0$label_13_true#2, inline$storm_KeAcquireSpinLock$0$label_13_false#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_false#2:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$0$label_14#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_14#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$0$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_true#2:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$0$label_17#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_17#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon11_Then#2, inline$storm_KeAcquireSpinLock$0$anon11_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon11_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon4#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon4#2:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
+ goto inline$storm_KeAcquireSpinLock$0$label_18#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_18#2:
+ goto inline$storm_KeAcquireSpinLock$0$anon12_Then#2, inline$storm_KeAcquireSpinLock$0$anon12_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon12_Else#2:
+ assume k != 0;
+ goto inline$storm_KeAcquireSpinLock$0$anon13_Then#2, inline$storm_KeAcquireSpinLock$0$anon13_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon13_Else#2:
+ assume k != 1;
+ goto inline$storm_KeAcquireSpinLock$0$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon13_Then#2:
+ assume k == 1;
+ Res_1_LOCK := Res_1_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
+ goto inline$storm_KeAcquireSpinLock$0$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon12_Then#2:
+ assume k == 0;
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock];
+ goto inline$storm_KeAcquireSpinLock$0$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon7#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_19#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_19#2:
+ goto inline$storm_KeAcquireSpinLock$0$anon14_Then#2, inline$storm_KeAcquireSpinLock$0$anon14_Else#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon14_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$0$anon9#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon14_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$0$anon9#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon9#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$0$label_1#2:
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon11_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$anon10_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#2;
+
+ inline$storm_KeAcquireSpinLock$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_23$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon36_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon5#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon5#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_56#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_57#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_57#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_62#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_62#2:
+ goto inline$storm_IoSetCancelRoutine$0$Entry#2;
+
+ inline$storm_IoSetCancelRoutine$0$Entry#2:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := I8xSysButtonCancelRoutine;
+ goto inline$storm_IoSetCancelRoutine$0$start#2;
+
+ inline$storm_IoSetCancelRoutine$0$start#2:
+ inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
+ inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
+ goto inline$storm_IoSetCancelRoutine$0$label_3#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_3#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_4#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_4#2:
+ call inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ := storm_nondet();
+ goto inline$storm_IoSetCancelRoutine$0$label_7#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_7_true#2, inline$storm_IoSetCancelRoutine$0$label_7_false#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_false#2:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_7_true#2:
+ assume inline$storm_IoSetCancelRoutine$0$$result.storm_nondet$391.2$2$ != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_11#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_11#2:
+ havoc raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$anon11_Then#2, inline$storm_IoSetCancelRoutine$0$anon11_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon11_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$anon1#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_12#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_12_true#2, inline$storm_IoSetCancelRoutine$0$label_12_false#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_13#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_13#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCancelRoutine$0$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_12_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoSetCancelRoutine$0$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoSetCancelRoutine$0$label_16#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_16#2:
+ goto inline$storm_IoSetCancelRoutine$0$anon12_Then#2, inline$storm_IoSetCancelRoutine$0$anon12_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon12_Else#2:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon13_Then#2, inline$storm_IoSetCancelRoutine$0$anon13_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon13_Else#2:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon13_Then#2:
+ assume k == 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon12_Then#2:
+ assume k == 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_17#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_17#2:
+ goto inline$storm_IoSetCancelRoutine$0$anon14_Then#2, inline$storm_IoSetCancelRoutine$0$anon14_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon14_Else#2:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$0$anon15_Then#2, inline$storm_IoSetCancelRoutine$0$anon15_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon15_Else#2:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$0$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon15_Then#2:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$0$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon14_Then#2:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$0$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$0$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$0$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon8#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_18#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_18#2:
+ goto inline$storm_IoSetCancelRoutine$0$anon16_Then#2, inline$storm_IoSetCancelRoutine$0$anon16_Else#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon16_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoSetCancelRoutine$0$anon10#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon16_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoSetCancelRoutine$0$anon10#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon10#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$0$label_21#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_21#2:
+ goto inline$storm_IoSetCancelRoutine$0$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$0$label_1#2:
+ goto inline$storm_IoSetCancelRoutine$0$Return#2;
+
+ inline$storm_IoSetCancelRoutine$0$anon11_Then#2:
+ assume raiseException;
+ goto inline$storm_IoSetCancelRoutine$0$Return#2;
+
+ inline$storm_IoSetCancelRoutine$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_62$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon44_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon44_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon44_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon21#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon21#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_65#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65_false#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon47_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon47_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon47_Else#2:
+ assume k != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon48_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon48_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon48_Else#2:
+ assume k != 1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon48_Then#2:
+ assume k == 1;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon47_Then#2:
+ assume k == 0;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon27#2:
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_66#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_66#2:
+ goto inline$storm_IoMarkIrpPending$1$Entry#2;
+
+ inline$storm_IoMarkIrpPending$1$Entry#2:
+ inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$1$start#2;
+
+ inline$storm_IoMarkIrpPending$1$start#2:
+ inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$1$label_3#2;
+
+ inline$storm_IoMarkIrpPending$1$label_3#2:
+ call inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$1$label_6#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6#2:
+ goto inline$storm_IoMarkIrpPending$1$label_6_true#2, inline$storm_IoMarkIrpPending$1$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$1$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$1$label_7#2;
+
+ inline$storm_IoMarkIrpPending$1$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$1$anon3_Then#2, inline$storm_IoMarkIrpPending$1$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$1$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$1$anon1#2;
+
+ inline$storm_IoMarkIrpPending$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$1$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$1$label_8#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8#2:
+ goto inline$storm_IoMarkIrpPending$1$label_8_true#2, inline$storm_IoMarkIrpPending$1$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$1$label_9#2;
+
+ inline$storm_IoMarkIrpPending$1$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$1$label_1#2;
+
+ inline$storm_IoMarkIrpPending$1$label_1#2:
+ goto inline$storm_IoMarkIrpPending$1$Return#2;
+
+ inline$storm_IoMarkIrpPending$1$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$1$Return#2;
+
+ inline$storm_IoMarkIrpPending$1$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_66$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon49_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon49_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon49_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon29#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon29#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_82#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_82#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon49_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_65_true#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon45_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon45_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon45_Else#2:
+ assume k != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon46_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon46_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon46_Else#2:
+ assume k != 1;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon46_Then#2:
+ assume k == 1;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon45_Then#2:
+ assume k == 0;
+ inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent)];
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon24#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon24#2:
+ call contextSwitch();
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_69#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_69#2:
+ goto inline$storm_IoSetCancelRoutine$1$Entry#2;
+
+ inline$storm_IoSetCancelRoutine$1$Entry#2:
+ inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1 := 0;
+ goto inline$storm_IoSetCancelRoutine$1$start#2;
+
+ inline$storm_IoSetCancelRoutine$1$start#2:
+ inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine_.1;
+ inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine_.1;
+ goto inline$storm_IoSetCancelRoutine$1$label_3#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_3#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_4#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_4#2:
+ call inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ := storm_nondet();
+ goto inline$storm_IoSetCancelRoutine$1$label_7#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_7_true#2, inline$storm_IoSetCancelRoutine$1$label_7_false#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7_false#2:
+ assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ == 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_7_true#2:
+ assume inline$storm_IoSetCancelRoutine$1$$result.storm_nondet$391.2$2$ != 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_11#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_11#2:
+ havoc raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$anon11_Then#2, inline$storm_IoSetCancelRoutine$1$anon11_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon11_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$anon1#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_12#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12#2:
+ goto inline$storm_IoSetCancelRoutine$1$label_12_true#2, inline$storm_IoSetCancelRoutine$1$label_12_false#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_13#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_13#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCancelRoutine$1$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_12_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoSetCancelRoutine$1$label_8#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoSetCancelRoutine$1$label_16#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_16#2:
+ goto inline$storm_IoSetCancelRoutine$1$anon12_Then#2, inline$storm_IoSetCancelRoutine$1$anon12_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon12_Else#2:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$1$anon13_Then#2, inline$storm_IoSetCancelRoutine$1$anon13_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon13_Else#2:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$1$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon13_Then#2:
+ assume k == 1;
+ inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
+ goto inline$storm_IoSetCancelRoutine$1$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon12_Then#2:
+ assume k == 0;
+ inline$storm_IoSetCancelRoutine$1$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine)];
+ goto inline$storm_IoSetCancelRoutine$1$anon5#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon5#2:
+ call contextSwitch();
+ inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine := inline$storm_IoSetCancelRoutine$1$myVar_0;
+ goto inline$storm_IoSetCancelRoutine$1$label_17#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_17#2:
+ goto inline$storm_IoSetCancelRoutine$1$anon14_Then#2, inline$storm_IoSetCancelRoutine$1$anon14_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon14_Else#2:
+ assume k != 0;
+ goto inline$storm_IoSetCancelRoutine$1$anon15_Then#2, inline$storm_IoSetCancelRoutine$1$anon15_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon15_Else#2:
+ assume k != 1;
+ goto inline$storm_IoSetCancelRoutine$1$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon15_Then#2:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$1$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon14_Then#2:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoSetCancelRoutine$1$$pirp$1$386.10$storm_IoSetCancelRoutine) := inline$storm_IoSetCancelRoutine$1$$CancelRoutine$2$387.20$storm_IoSetCancelRoutine];
+ goto inline$storm_IoSetCancelRoutine$1$anon8#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon8#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_18#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_18#2:
+ goto inline$storm_IoSetCancelRoutine$1$anon16_Then#2, inline$storm_IoSetCancelRoutine$1$anon16_Else#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon16_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoSetCancelRoutine$1$anon10#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon16_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoSetCancelRoutine$1$anon10#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon10#2:
+ call contextSwitch();
+ goto inline$storm_IoSetCancelRoutine$1$label_21#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_21#2:
+ inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$ := inline$storm_IoSetCancelRoutine$1$$oldCancelRoutine$3$390.17$storm_IoSetCancelRoutine;
+ goto inline$storm_IoSetCancelRoutine$1$label_1#2;
+
+ inline$storm_IoSetCancelRoutine$1$label_1#2:
+ goto inline$storm_IoSetCancelRoutine$1$Return#2;
+
+ inline$storm_IoSetCancelRoutine$1$anon11_Then#2:
+ assume raiseException;
+ goto inline$storm_IoSetCancelRoutine$1$Return#2;
+
+ inline$storm_IoSetCancelRoutine$1$Return#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ := inline$storm_IoSetCancelRoutine$1$$result.storm_IoSetCancelRoutine$385.0$1$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_69$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon50_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon50_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon50_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon31#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon31#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_72#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72_false#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_73#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_73#2:
+ goto inline$storm_IoMarkIrpPending$2$Entry#2;
+
+ inline$storm_IoMarkIrpPending$2$Entry#2:
+ inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$2$start#2;
+
+ inline$storm_IoMarkIrpPending$2$start#2:
+ inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$2$label_3#2;
+
+ inline$storm_IoMarkIrpPending$2$label_3#2:
+ call inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$2$label_6#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6#2:
+ goto inline$storm_IoMarkIrpPending$2$label_6_true#2, inline$storm_IoMarkIrpPending$2$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$2$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$2$label_7#2;
+
+ inline$storm_IoMarkIrpPending$2$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$2$anon3_Then#2, inline$storm_IoMarkIrpPending$2$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$2$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$2$anon1#2;
+
+ inline$storm_IoMarkIrpPending$2$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$2$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$2$label_8#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8#2:
+ goto inline$storm_IoMarkIrpPending$2$label_8_true#2, inline$storm_IoMarkIrpPending$2$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$2$label_9#2;
+
+ inline$storm_IoMarkIrpPending$2$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$2$label_1#2;
+
+ inline$storm_IoMarkIrpPending$2$label_1#2:
+ goto inline$storm_IoMarkIrpPending$2$Return#2;
+
+ inline$storm_IoMarkIrpPending$2$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$2$Return#2;
+
+ inline$storm_IoMarkIrpPending$2$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_73$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon51_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon51_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon51_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon33#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_78#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_78#2:
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$ := storm_nondet();
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_81#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_81#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_nondet$257.41$7$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon51_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_72_true#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_IoSetCancelRoutine$237.37$6$ != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_76#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_76#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_77#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_77#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741536;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon50_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon44_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_56_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_58#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_58#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741823;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_59#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_1;
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$0$Entry#2;
+
+ inline$storm_KeReleaseSpinLock$0$Entry#2:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xKeyboardGetSysButtonEvent$0$$KeyboardExtension$1$148.29$I8xKeyboardGetSysButtonEvent);
+ goto inline$storm_KeReleaseSpinLock$0$start#2;
+
+ inline$storm_KeReleaseSpinLock$0$start#2:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
+ goto inline$storm_KeReleaseSpinLock$0$label_3#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_3#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_4#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_4#2:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$0$label_7#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_7#2:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon8_Then#2, inline$storm_KeReleaseSpinLock$0$anon8_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon1#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_8#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_8#2:
+ goto inline$storm_getThreadID$1$Entry#2;
+
+ inline$storm_getThreadID$1$Entry#2:
+ goto inline$storm_getThreadID$1$anon0#2;
+
+ inline$storm_getThreadID$1$anon0#2:
+ inline$storm_getThreadID$1$tidRet := tid;
+ goto inline$storm_getThreadID$1$Return#2;
+
+ inline$storm_getThreadID$1$Return#2:
+ inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tidRet;
+ goto inline$storm_KeReleaseSpinLock$0$label_8$1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_8$1#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_11#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11#2:
+ goto inline$storm_KeReleaseSpinLock$0$label_11_true#2, inline$storm_KeReleaseSpinLock$0$label_11_false#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_false#2:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_12#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$0$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_true#2:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_15#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_15#2:
+ goto inline$storm_KeReleaseSpinLock$0$anon9_Then#2, inline$storm_KeReleaseSpinLock$0$anon9_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon9_Else#2:
+ assume k != 0;
+ goto inline$storm_KeReleaseSpinLock$0$anon10_Then#2, inline$storm_KeReleaseSpinLock$0$anon10_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon10_Else#2:
+ assume k != 1;
+ goto inline$storm_KeReleaseSpinLock$0$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon10_Then#2:
+ assume k == 1;
+ Res_1_LOCK := Res_1_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ goto inline$storm_KeReleaseSpinLock$0$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon9_Then#2:
+ assume k == 0;
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ goto inline$storm_KeReleaseSpinLock$0$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_16#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_16#2:
+ goto inline$storm_KeReleaseSpinLock$0$anon11_Then#2, inline$storm_KeReleaseSpinLock$0$anon11_Else#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon11_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$0$anon7#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon11_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$0$anon7#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon7#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$0$label_1#2:
+ goto inline$storm_KeReleaseSpinLock$0$Return#2;
+
+ inline$storm_KeReleaseSpinLock$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$Return#2;
+
+ inline$storm_KeReleaseSpinLock$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_59$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon43_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon43_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon43_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon19#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon19#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon43_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon36_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_15_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_26#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_27#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_27#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741670;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_28#2:
+ goto inline$storm_ExAllocatePoolWithTag$0$Entry#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$Entry#2:
+ inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1 := 12;
+ goto inline$storm_ExAllocatePoolWithTag$0$start#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$start#2:
+ inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag := inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag_.1;
+ goto inline$storm_ExAllocatePoolWithTag$0$label_3#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_3#2:
+ call inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$ := __HAVOC_malloc(inline$storm_ExAllocatePoolWithTag$0$$NumberOfBytes$2$511.12$storm_ExAllocatePoolWithTag);
+ goto inline$storm_ExAllocatePoolWithTag$0$label_6#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_6#2:
+ inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$ := inline$storm_ExAllocatePoolWithTag$0$$result.malloc$515.15$2$;
+ goto inline$storm_ExAllocatePoolWithTag$0$label_1#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$label_1#2:
+ goto inline$storm_ExAllocatePoolWithTag$0$Return#2;
+
+ inline$storm_ExAllocatePoolWithTag$0$Return#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$ := inline$storm_ExAllocatePoolWithTag$0$$result.storm_ExAllocatePoolWithTag$509.0$1$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_28$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon37_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon7#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon7#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_31#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_31#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent := inline$I8xKeyboardGetSysButtonEvent$0$$result.storm_ExAllocatePoolWithTag$177.12$3$;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_32#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32_false#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_32_true#2:
+ assume inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_34#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_34#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ call inline$I8xKeyboardGetSysButtonEvent$0$$result.IoAllocateWorkItem$180.43$4$ := IoAllocateWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon38_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon9#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon9#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_37#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_37#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_38#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38_false#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 == 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_39#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_39#2:
+ call ExFreePoolWithTag(inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent, 0);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon39_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon11#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon11#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon39_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_38_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0 != 0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_42#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_42#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_43#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_43#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_44#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_44#2:
+ goto inline$storm_IoMarkIrpPending$0$Entry#2;
+
+ inline$storm_IoMarkIrpPending$0$Entry#2:
+ inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoMarkIrpPending$0$start#2;
+
+ inline$storm_IoMarkIrpPending$0$start#2:
+ inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending := inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending_.1;
+ goto inline$storm_IoMarkIrpPending$0$label_3#2;
+
+ inline$storm_IoMarkIrpPending$0$label_3#2:
+ call inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ := storm_nondet();
+ goto inline$storm_IoMarkIrpPending$0$label_6#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6#2:
+ goto inline$storm_IoMarkIrpPending$0$label_6_true#2, inline$storm_IoMarkIrpPending$0$label_6_false#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6_false#2:
+ assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ == 0;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_6_true#2:
+ assume inline$storm_IoMarkIrpPending$0$$result.storm_nondet$379.2$1$ != 0;
+ goto inline$storm_IoMarkIrpPending$0$label_7#2;
+
+ inline$storm_IoMarkIrpPending$0$label_7#2:
+ havoc raiseException;
+ goto inline$storm_IoMarkIrpPending$0$anon3_Then#2, inline$storm_IoMarkIrpPending$0$anon3_Else#2;
+
+ inline$storm_IoMarkIrpPending$0$anon3_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoMarkIrpPending$0$anon1#2;
+
+ inline$storm_IoMarkIrpPending$0$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoMarkIrpPending$0$$pirp$1$376.14$storm_IoMarkIrpPending], 1);
+ call contextSwitch();
+ goto inline$storm_IoMarkIrpPending$0$label_8#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8#2:
+ goto inline$storm_IoMarkIrpPending$0$label_8_true#2, inline$storm_IoMarkIrpPending$0$label_8_false#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoMarkIrpPending$0$label_9#2;
+
+ inline$storm_IoMarkIrpPending$0$label_9#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_8_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoMarkIrpPending$0$label_1#2;
+
+ inline$storm_IoMarkIrpPending$0$label_1#2:
+ goto inline$storm_IoMarkIrpPending$0$Return#2;
+
+ inline$storm_IoMarkIrpPending$0$anon3_Then#2:
+ assume raiseException;
+ goto inline$storm_IoMarkIrpPending$0$Return#2;
+
+ inline$storm_IoMarkIrpPending$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_44$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon40_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon13#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon13#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_47#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_47#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ call IoQueueWorkItem(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, I8xCompleteSysButtonEventWorker, 1, inline$I8xKeyboardGetSysButtonEvent$0$$item$9$172.32$I8xKeyboardGetSysButtonEvent);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon41_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon15#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon15#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_50#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_50#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 259;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_33#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_33#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2, inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51_false#2:
+ assume !INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_51_true#2:
+ assume INT_NEQ(inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent, 259);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_53#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_53#2:
+ goto inline$I8xCompleteSysButtonIrp$0$Entry#2;
+
+ inline$I8xCompleteSysButtonIrp$0$Entry#2:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$I8xCompleteSysButtonIrp$0$start#2;
+
+ inline$I8xCompleteSysButtonIrp$0$start#2:
+ inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp_.1;
+ goto inline$I8xCompleteSysButtonIrp$0$label_3#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_3#2:
+ havoc inline$I8xCompleteSysButtonIrp$0$myNondetVar_0;
+ goto inline$I8xCompleteSysButtonIrp$0$label_4#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_4#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_5#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_5#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_6#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_6#2:
+ goto inline$storm_IoCompleteRequest$2$Entry#2;
+
+ inline$storm_IoCompleteRequest$2$Entry#2:
+ inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xCompleteSysButtonIrp$0$$Irp$1$50.9$I8xCompleteSysButtonIrp;
+ goto inline$storm_IoCompleteRequest$2$start#2;
+
+ inline$storm_IoCompleteRequest$2$start#2:
+ inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$2$label_3#2;
+
+ inline$storm_IoCompleteRequest$2$label_3#2:
+ call inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$2$label_6#2;
+
+ inline$storm_IoCompleteRequest$2$label_6#2:
+ goto inline$storm_IoCompleteRequest$2$label_6_true#2, inline$storm_IoCompleteRequest$2$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$2$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#2;
+
+ inline$storm_IoCompleteRequest$2$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$2$label_8#2;
+
+ inline$storm_IoCompleteRequest$2$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon8_Then#2, inline$storm_IoCompleteRequest$2$anon8_Else#2;
+
+ inline$storm_IoCompleteRequest$2$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon4#2;
+
+ inline$storm_IoCompleteRequest$2$anon4#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_9#2;
+
+ inline$storm_IoCompleteRequest$2$label_9#2:
+ goto inline$storm_IoCompleteRequest$2$label_9_true#2, inline$storm_IoCompleteRequest$2$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$2$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$2$label_10#2;
+
+ inline$storm_IoCompleteRequest$2$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$2$label_1#2;
+
+ inline$storm_IoCompleteRequest$2$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#2;
+
+ inline$storm_IoCompleteRequest$2$label_7#2:
+ goto inline$storm_IoCompleteRequest$2$anon6_Then#2, inline$storm_IoCompleteRequest$2$anon6_Else#2;
+
+ inline$storm_IoCompleteRequest$2$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$2$anon7_Then#2, inline$storm_IoCompleteRequest$2$anon7_Else#2;
+
+ inline$storm_IoCompleteRequest$2$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$2$anon2#2;
+
+ inline$storm_IoCompleteRequest$2$anon7_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$2$anon2#2;
+
+ inline$storm_IoCompleteRequest$2$anon6_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$2$anon2#2;
+
+ inline$storm_IoCompleteRequest$2$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_1#2;
+
+ inline$storm_IoCompleteRequest$2$label_1#2:
+ goto inline$storm_IoCompleteRequest$2$Return#2;
+
+ inline$storm_IoCompleteRequest$2$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$2$Return#2;
+
+ inline$storm_IoCompleteRequest$2$Return#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_6$1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_6$1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$anon2_Then#2, inline$I8xCompleteSysButtonIrp$0$anon2_Else#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon2_Else#2:
+ assume !raiseException;
+ goto inline$I8xCompleteSysButtonIrp$0$anon1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$label_1#2;
+
+ inline$I8xCompleteSysButtonIrp$0$label_1#2:
+ goto inline$I8xCompleteSysButtonIrp$0$Return#2;
+
+ inline$I8xCompleteSysButtonIrp$0$anon2_Then#2:
+ assume raiseException;
+ goto inline$I8xCompleteSysButtonIrp$0$Return#2;
+
+ inline$I8xCompleteSysButtonIrp$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_53$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon42_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon42_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon42_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon17#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon17#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_52#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_52#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon42_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon41_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon40_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon38_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon37_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_14_true#2:
+ havoc inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0;
+ assume INT_ULT(inline$I8xKeyboardGetSysButtonEvent$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_16#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_16#2:
+ inline$I8xKeyboardGetSysButtonEvent$0$$status$6$154.24$I8xKeyboardGetSysButtonEvent := 0 - 1073741306;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_17#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_17#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_18#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_19#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_19#2:
+ goto inline$storm_IoCompleteRequest$1$Entry#2;
+
+ inline$storm_IoCompleteRequest$1$Entry#2:
+ inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonEvent$0$$Irp$2$149.9$I8xKeyboardGetSysButtonEvent;
+ goto inline$storm_IoCompleteRequest$1$start#2;
+
+ inline$storm_IoCompleteRequest$1$start#2:
+ inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$1$label_3#2;
+
+ inline$storm_IoCompleteRequest$1$label_3#2:
+ call inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$1$label_6#2;
+
+ inline$storm_IoCompleteRequest$1$label_6#2:
+ goto inline$storm_IoCompleteRequest$1$label_6_true#2, inline$storm_IoCompleteRequest$1$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$1$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#2;
+
+ inline$storm_IoCompleteRequest$1$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$1$label_8#2;
+
+ inline$storm_IoCompleteRequest$1$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon8_Then#2, inline$storm_IoCompleteRequest$1$anon8_Else#2;
+
+ inline$storm_IoCompleteRequest$1$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon4#2;
+
+ inline$storm_IoCompleteRequest$1$anon4#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_9#2;
+
+ inline$storm_IoCompleteRequest$1$label_9#2:
+ goto inline$storm_IoCompleteRequest$1$label_9_true#2, inline$storm_IoCompleteRequest$1$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$1$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$1$label_10#2;
+
+ inline$storm_IoCompleteRequest$1$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$1$label_1#2;
+
+ inline$storm_IoCompleteRequest$1$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#2;
+
+ inline$storm_IoCompleteRequest$1$label_7#2:
+ goto inline$storm_IoCompleteRequest$1$anon6_Then#2, inline$storm_IoCompleteRequest$1$anon6_Else#2;
+
+ inline$storm_IoCompleteRequest$1$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$1$anon7_Then#2, inline$storm_IoCompleteRequest$1$anon7_Else#2;
+
+ inline$storm_IoCompleteRequest$1$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$1$anon2#2;
+
+ inline$storm_IoCompleteRequest$1$anon7_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$1$anon2#2;
+
+ inline$storm_IoCompleteRequest$1$anon6_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$1$anon2#2;
+
+ inline$storm_IoCompleteRequest$1$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_1#2;
+
+ inline$storm_IoCompleteRequest$1$label_1#2:
+ goto inline$storm_IoCompleteRequest$1$Return#2;
+
+ inline$storm_IoCompleteRequest$1$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$1$Return#2;
+
+ inline$storm_IoCompleteRequest$1$Return#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_19$1#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2, inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon35_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$anon3#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon3#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_22#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_22#2:
+ goto inline$I8xKeyboardGetSysButtonEvent$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$label_1#2:
+ call __HAVOC_free(inline$I8xKeyboardGetSysButtonEvent$0$$irql$8$156.24$I8xKeyboardGetSysButtonEvent);
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon35_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$anon34_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonEvent$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonEvent$0$Return#2:
+ goto inline$I8xDeviceControl$0$label_24$1#2;
+
+ inline$I8xDeviceControl$0$label_24$1#2:
+ goto inline$I8xDeviceControl$0$anon18_Then#2, inline$I8xDeviceControl$0$anon18_Else#2;
+
+ inline$I8xDeviceControl$0$anon18_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon10#2;
+
+ inline$I8xDeviceControl$0$anon10#2:
+ goto inline$I8xDeviceControl$0$label_27#2;
+
+ inline$I8xDeviceControl$0$label_27#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$anon18_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_19_case_1#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 == 2703680;
+ goto inline$I8xDeviceControl$0$label_21#2;
+
+ inline$I8xDeviceControl$0$label_21#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Entry#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$Entry#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$start#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$start#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps_.1;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_3#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_3#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_4#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_4#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_5#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_5#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_6#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_6#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_7#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_7#2:
+ call __PREfastPagedCode();
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon6_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_10#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_10#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$Entry#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$start#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$3$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$3$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$3$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$anon4_Then#2:
+ assume k == 1;
+ goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$anon3_Then#2:
+ assume k == 0;
+ goto inline$IoGetCurrentIrpStackLocation$3$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$anon2#2:
+ call contextSwitch();
+ goto inline$IoGetCurrentIrpStackLocation$3$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$3$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$3$Return#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_10$1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon7_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon3#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon3#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_13#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_13#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_14#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_14#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_15#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume !INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_16#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_16#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_24#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_24#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_25#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_25_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 1) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_27#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_27#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 1);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_26_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 2) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_29#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_29#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, 2);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2, inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28_false#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) == 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_28_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4) != 0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_31#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_31#2:
+ inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0 := BIT_BOR(inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps, BOOGIE_LARGE_INT_2147483648);
+ inline$I8xKeyboardGetSysButtonCaps$0$$caps$5$75.24$I8xKeyboardGetSysButtonCaps := inline$I8xKeyboardGetSysButtonCaps$0$tempBoogie0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_30#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_30#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_32#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_32#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_15_true#2:
+ havoc inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0;
+ assume INT_ULT(inline$I8xKeyboardGetSysButtonCaps$0$myNondetVar_0, 4);
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_17#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_17#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_18#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_18#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_19#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_19#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_20#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_20#2:
+ goto inline$storm_IoCompleteRequest$0$Entry#2;
+
+ inline$storm_IoCompleteRequest$0$Entry#2:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xKeyboardGetSysButtonCaps$0$$Irp$2$70.9$I8xKeyboardGetSysButtonCaps;
+ goto inline$storm_IoCompleteRequest$0$start#2;
+
+ inline$storm_IoCompleteRequest$0$start#2:
+ inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$0$label_3#2;
+
+ inline$storm_IoCompleteRequest$0$label_3#2:
+ call inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$0$label_6#2;
+
+ inline$storm_IoCompleteRequest$0$label_6#2:
+ goto inline$storm_IoCompleteRequest$0$label_6_true#2, inline$storm_IoCompleteRequest$0$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$0$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#2;
+
+ inline$storm_IoCompleteRequest$0$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$0$label_8#2;
+
+ inline$storm_IoCompleteRequest$0$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon8_Then#2, inline$storm_IoCompleteRequest$0$anon8_Else#2;
+
+ inline$storm_IoCompleteRequest$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon4#2;
+
+ inline$storm_IoCompleteRequest$0$anon4#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_9#2;
+
+ inline$storm_IoCompleteRequest$0$label_9#2:
+ goto inline$storm_IoCompleteRequest$0$label_9_true#2, inline$storm_IoCompleteRequest$0$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$0$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$0$label_10#2;
+
+ inline$storm_IoCompleteRequest$0$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$0$label_1#2;
+
+ inline$storm_IoCompleteRequest$0$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#2;
+
+ inline$storm_IoCompleteRequest$0$label_7#2:
+ goto inline$storm_IoCompleteRequest$0$anon6_Then#2, inline$storm_IoCompleteRequest$0$anon6_Else#2;
+
+ inline$storm_IoCompleteRequest$0$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$0$anon7_Then#2, inline$storm_IoCompleteRequest$0$anon7_Else#2;
+
+ inline$storm_IoCompleteRequest$0$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$0$anon2#2;
+
+ inline$storm_IoCompleteRequest$0$anon7_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$0$anon2#2;
+
+ inline$storm_IoCompleteRequest$0$anon6_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$0$anon2#2;
+
+ inline$storm_IoCompleteRequest$0$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_1#2;
+
+ inline$storm_IoCompleteRequest$0$label_1#2:
+ goto inline$storm_IoCompleteRequest$0$Return#2;
+
+ inline$storm_IoCompleteRequest$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$0$Return#2;
+
+ inline$storm_IoCompleteRequest$0$Return#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_20$1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2, inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$anon5#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon5#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_23#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_23#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$label_1#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$label_1#2:
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon7_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$anon6_Then#2:
+ assume raiseException;
+ goto inline$I8xKeyboardGetSysButtonCaps$0$Return#2;
+
+ inline$I8xKeyboardGetSysButtonCaps$0$Return#2:
+ goto inline$I8xDeviceControl$0$label_21$1#2;
+
+ inline$I8xDeviceControl$0$label_21$1#2:
+ goto inline$I8xDeviceControl$0$anon17_Then#2, inline$I8xDeviceControl$0$anon17_Else#2;
+
+ inline$I8xDeviceControl$0$anon17_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon8#2;
+
+ inline$I8xDeviceControl$0$anon8#2:
+ goto inline$I8xDeviceControl$0$label_28#2;
+
+ inline$I8xDeviceControl$0$label_28#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$anon17_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_19_case_0#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703680;
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume inline$I8xDeviceControl$0$myNondetVar_0 != 2703684;
+ goto inline$I8xDeviceControl$0$label_20#2;
+
+ inline$I8xDeviceControl$0$label_20#2:
+ goto inline$I8xDeviceControl$0$label_29#2;
+
+ inline$I8xDeviceControl$0$anon16_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$label_14_true#2:
+ havoc inline$I8xDeviceControl$0$myNondetVar_0;
+ assume BIT_BAND(inline$I8xDeviceControl$0$myNondetVar_0, 8) != 0;
+ goto inline$I8xDeviceControl$0$label_12#2;
+
+ inline$I8xDeviceControl$0$label_12#2:
+ goto inline$I8xDeviceControl$0$label_29#2;
+
+ inline$I8xDeviceControl$0$label_29#2:
+ goto inline$I8xDeviceControl$0$label_30#2;
+
+ inline$I8xDeviceControl$0$label_30#2:
+ goto inline$storm_IoCompleteRequest$3$Entry#2;
+
+ inline$storm_IoCompleteRequest$3$Entry#2:
+ inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xDeviceControl$0$$Irp$2$465.12$I8xDeviceControl;
+ goto inline$storm_IoCompleteRequest$3$start#2;
+
+ inline$storm_IoCompleteRequest$3$start#2:
+ inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$3$label_3#2;
+
+ inline$storm_IoCompleteRequest$3$label_3#2:
+ call inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$3$label_6#2;
+
+ inline$storm_IoCompleteRequest$3$label_6#2:
+ goto inline$storm_IoCompleteRequest$3$label_6_true#2, inline$storm_IoCompleteRequest$3$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$3$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#2;
+
+ inline$storm_IoCompleteRequest$3$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$3$label_8#2;
+
+ inline$storm_IoCompleteRequest$3$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon8_Then#2, inline$storm_IoCompleteRequest$3$anon8_Else#2;
+
+ inline$storm_IoCompleteRequest$3$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon4#2;
+
+ inline$storm_IoCompleteRequest$3$anon4#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_9#2;
+
+ inline$storm_IoCompleteRequest$3$label_9#2:
+ goto inline$storm_IoCompleteRequest$3$label_9_true#2, inline$storm_IoCompleteRequest$3$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$3$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$3$label_10#2;
+
+ inline$storm_IoCompleteRequest$3$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$3$label_1#2;
+
+ inline$storm_IoCompleteRequest$3$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#2;
+
+ inline$storm_IoCompleteRequest$3$label_7#2:
+ goto inline$storm_IoCompleteRequest$3$anon6_Then#2, inline$storm_IoCompleteRequest$3$anon6_Else#2;
+
+ inline$storm_IoCompleteRequest$3$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$3$anon7_Then#2, inline$storm_IoCompleteRequest$3$anon7_Else#2;
+
+ inline$storm_IoCompleteRequest$3$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$3$anon2#2;
+
+ inline$storm_IoCompleteRequest$3$anon7_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$3$anon2#2;
+
+ inline$storm_IoCompleteRequest$3$anon6_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$3$anon2#2;
+
+ inline$storm_IoCompleteRequest$3$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_1#2;
+
+ inline$storm_IoCompleteRequest$3$label_1#2:
+ goto inline$storm_IoCompleteRequest$3$Return#2;
+
+ inline$storm_IoCompleteRequest$3$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$3$Return#2;
+
+ inline$storm_IoCompleteRequest$3$Return#2:
+ goto inline$I8xDeviceControl$0$label_30$1#2;
+
+ inline$I8xDeviceControl$0$label_30$1#2:
+ goto inline$I8xDeviceControl$0$anon19_Then#2, inline$I8xDeviceControl$0$anon19_Else#2;
+
+ inline$I8xDeviceControl$0$anon19_Else#2:
+ assume !raiseException;
+ goto inline$I8xDeviceControl$0$anon12#2;
+
+ inline$I8xDeviceControl$0$anon12#2:
+ goto inline$I8xDeviceControl$0$label_33#2;
+
+ inline$I8xDeviceControl$0$label_33#2:
+ goto inline$I8xDeviceControl$0$label_1#2;
+
+ inline$I8xDeviceControl$0$label_1#2:
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$anon19_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$anon13_Then#2:
+ assume raiseException;
+ goto inline$I8xDeviceControl$0$Return#2;
+
+ inline$I8xDeviceControl$0$Return#2:
+ goto inline$dispatch$0$label_8$1#2;
+
+ inline$dispatch$0$label_8$1#2:
+ goto inline$dispatch$0$anon5_Then#2, inline$dispatch$0$anon5_Else#2;
+
+ inline$dispatch$0$anon5_Else#2:
+ assume !raiseException;
+ goto inline$dispatch$0$anon3#2;
+
+ inline$dispatch$0$anon3#2:
+ goto inline$dispatch$0$label_11#2;
+
+ inline$dispatch$0$label_11#2:
+ goto inline$dispatch$0$label_1#2;
+
+ inline$dispatch$0$label_1#2:
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$anon5_Then#2:
+ assume raiseException;
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$anon4_Then#2:
+ assume raiseException;
+ goto inline$dispatch$0$Return#2;
+
+ inline$dispatch$0$Return#2:
+ goto label_23$1#2;
+
+ label_23$1#2:
+ goto anon31_Then#2, anon31_Else#2;
+
+ anon31_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon17#2;
+
+ anon31_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_1 := true;
+ goto anon17#2;
+
+ anon17#2:
+ k := k_old_0;
+ tid := tid_old_0;
+ goto label_26#2;
+
+ label_26#2:
+ goto label_27#2;
+
+ label_27#2:
+ k_old_1 := k;
+ tid_old_1 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$cancel$0$Entry#2;
+
+ inline$cancel$0$Entry#2:
+ inline$cancel$0$$Irp$1$64.17$cancel_.1 := $irp$1$91.7$storm_main;
+ goto inline$cancel$0$start#2;
+
+ inline$cancel$0$start#2:
+ inline$cancel$0$$Irp$1$64.17$cancel := inline$cancel$0$$Irp$1$64.17$cancel_.1;
+ goto inline$cancel$0$label_3#2;
+
+ inline$cancel$0$label_3#2:
+ goto inline$storm_IoCancelIrp$0$Entry#2;
+
+ inline$storm_IoCancelIrp$0$Entry#2:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1 := inline$cancel$0$$Irp$1$64.17$cancel;
+ goto inline$storm_IoCancelIrp$0$start#2;
+
+ inline$storm_IoCancelIrp$0$start#2:
+ inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp_.1;
+ goto inline$storm_IoCancelIrp$0$label_3#2;
+
+ inline$storm_IoCancelIrp$0$label_3#2:
+ goto inline$storm_IoCancelIrp$0$label_4#2;
+
+ inline$storm_IoCancelIrp$0$label_4#2:
+ goto inline$storm_IoCancelIrp$0$anon23_Then#2, inline$storm_IoCancelIrp$0$anon23_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon23_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon24_Then#2, inline$storm_IoCancelIrp$0$anon24_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon24_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon2#2;
+
+ inline$storm_IoCancelIrp$0$anon24_Then#2:
+ assume k == 1;
+ Mem_1_T.Cancel__IRP := Mem_1_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
+ goto inline$storm_IoCancelIrp$0$anon2#2;
+
+ inline$storm_IoCancelIrp$0$anon23_Then#2:
+ assume k == 0;
+ Mem_0_T.Cancel__IRP := Mem_0_T.Cancel__IRP[Cancel__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 1];
+ goto inline$storm_IoCancelIrp$0$anon2#2;
+
+ inline$storm_IoCancelIrp$0$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_5#2;
+
+ inline$storm_IoCancelIrp$0$label_5#2:
+ __storm_atomic := true;
+ goto inline$storm_IoCancelIrp$0$label_8#2;
+
+ inline$storm_IoCancelIrp$0$label_8#2:
+ goto inline$storm_IoCancelIrp$0$anon25_Then#2, inline$storm_IoCancelIrp$0$anon25_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon25_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon26_Then#2, inline$storm_IoCancelIrp$0$anon26_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon26_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon5#2;
+
+ inline$storm_IoCancelIrp$0$anon26_Then#2:
+ assume k == 1;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon5#2;
+
+ inline$storm_IoCancelIrp$0$anon25_Then#2:
+ assume k == 0;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon5#2;
+
+ inline$storm_IoCancelIrp$0$anon5#2:
+ call contextSwitch();
+ inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$myVar_0;
+ goto inline$storm_IoCancelIrp$0$label_9#2;
+
+ inline$storm_IoCancelIrp$0$label_9#2:
+ goto inline$storm_IoCancelIrp$0$anon27_Then#2, inline$storm_IoCancelIrp$0$anon27_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon27_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon28_Then#2, inline$storm_IoCancelIrp$0$anon28_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon28_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon8#2;
+
+ inline$storm_IoCancelIrp$0$anon28_Then#2:
+ assume k == 1;
+ Mem_1_T.CancelRoutine__IRP := Mem_1_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
+ goto inline$storm_IoCancelIrp$0$anon8#2;
+
+ inline$storm_IoCancelIrp$0$anon27_Then#2:
+ assume k == 0;
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp) := 0];
+ goto inline$storm_IoCancelIrp$0$anon8#2;
+
+ inline$storm_IoCancelIrp$0$anon8#2:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_10#2;
+
+ inline$storm_IoCancelIrp$0$label_10#2:
+ goto inline$storm_IoCancelIrp$0$anon29_Then#2, inline$storm_IoCancelIrp$0$anon29_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon29_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoCancelIrp$0$anon10#2;
+
+ inline$storm_IoCancelIrp$0$anon29_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoCancelIrp$0$anon10#2;
+
+ inline$storm_IoCancelIrp$0$anon10#2:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_13#2;
+
+ inline$storm_IoCancelIrp$0$label_13#2:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
+ assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Entry#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Entry#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$start#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$start#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_3#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_3#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4#2:
+ goto inline$storm_getThreadID$2$Entry#2;
+
+ inline$storm_getThreadID$2$Entry#2:
+ goto inline$storm_getThreadID$2$anon0#2;
+
+ inline$storm_getThreadID$2$anon0#2:
+ inline$storm_getThreadID$2$tidRet := tid;
+ goto inline$storm_getThreadID$2$Return#2;
+
+ inline$storm_getThreadID$2$Return#2:
+ inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$ := inline$storm_getThreadID$2$tidRet;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_4$1#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_7#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_7#2:
+ inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock := inline$storm_IoAcquireCancelSpinLock$0$$result.storm_getThreadID$185.29$1$;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_8#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_8#2:
+ __storm_atomic := true;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2, inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_false#2:
+ assume k == 0 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ assume k == 1 ==> !INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_12#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2:
+ assume k == 0 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_0);
+ assume k == 1 ==> INT_NEQ(inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock, cancelLockStatus_1);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_15#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_15#2:
+ havoc raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon2#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon2#2:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
+ assume k == 1 ==> INT_EQ(cancelLockStatus_1, 0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_16#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_16#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon9_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon9_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon9_Else#2:
+ assume k != 0;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon10_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon10_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon10_Else#2:
+ assume k != 1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon10_Then#2:
+ assume k == 1;
+ cancelLockStatus_1 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon9_Then#2:
+ assume k == 0;
+ cancelLockStatus_0 := inline$storm_IoAcquireCancelSpinLock$0$$tid$2$185.6$storm_IoAcquireCancelSpinLock;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_17#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_17#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon11_Then#2, inline$storm_IoAcquireCancelSpinLock$0$anon11_Else#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon11_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon7#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon11_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon7#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon7#2:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_1#2:
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#2;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Return#2:
+ goto inline$storm_IoCancelIrp$0$label_13$1#2;
+
+ inline$storm_IoCancelIrp$0$label_13$1#2:
+ goto inline$storm_IoCancelIrp$0$anon30_Then#2, inline$storm_IoCancelIrp$0$anon30_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon30_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon12#2;
+
+ inline$storm_IoCancelIrp$0$anon12#2:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ goto inline$storm_IoCancelIrp$0$label_16#2;
+
+ inline$storm_IoCancelIrp$0$label_16#2:
+ goto inline$storm_IoCancelIrp$0$label_16_true#2, inline$storm_IoCancelIrp$0$label_16_false#2;
+
+ inline$storm_IoCancelIrp$0$label_16_false#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == 0;
+ goto inline$storm_IoCancelIrp$0$label_17#2;
+
+ inline$storm_IoCancelIrp$0$label_17#2:
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_16_true#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp != 0;
+ goto inline$storm_IoCancelIrp$0$label_18#2;
+
+ inline$storm_IoCancelIrp$0$label_18#2:
+ goto inline$storm_IoCancelIrp$0$label_19#2;
+
+ inline$storm_IoCancelIrp$0$label_19#2:
+ call inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ := storm_nondet();
+ goto inline$storm_IoCancelIrp$0$label_22#2;
+
+ inline$storm_IoCancelIrp$0$label_22#2:
+ goto inline$storm_IoCancelIrp$0$label_22_true#2, inline$storm_IoCancelIrp$0$label_22_false#2;
+
+ inline$storm_IoCancelIrp$0$label_22_false#2:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ == 0;
+ goto inline$storm_IoCancelIrp$0$label_23#2;
+
+ inline$storm_IoCancelIrp$0$label_22_true#2:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$365.4$2$ != 0;
+ goto inline$storm_IoCancelIrp$0$label_26#2;
+
+ inline$storm_IoCancelIrp$0$label_26#2:
+ havoc raiseException;
+ goto inline$storm_IoCancelIrp$0$anon32_Then#2, inline$storm_IoCancelIrp$0$anon32_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon32_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon16#2;
+
+ inline$storm_IoCancelIrp$0$anon16#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp], 1);
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_27#2;
+
+ inline$storm_IoCancelIrp$0$label_27#2:
+ goto inline$storm_IoCancelIrp$0$label_27_true#2, inline$storm_IoCancelIrp$0$label_27_false#2;
+
+ inline$storm_IoCancelIrp$0$label_27_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCancelIrp$0$label_28#2;
+
+ inline$storm_IoCancelIrp$0$label_28#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_27_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCancelIrp$0$label_23#2;
+
+ inline$storm_IoCancelIrp$0$label_23#2:
+ goto inline$IoGetCurrentIrpStackLocation$5$Entry#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$Entry#2:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
+ goto inline$IoGetCurrentIrpStackLocation$5$start#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$start#2:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation_.1;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_3#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_3#2:
+ goto inline$IoGetCurrentIrpStackLocation$5$anon3_Then#2, inline$IoGetCurrentIrpStackLocation$5$anon3_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$anon3_Else#2:
+ assume k != 0;
+ goto inline$IoGetCurrentIrpStackLocation$5$anon4_Then#2, inline$IoGetCurrentIrpStackLocation$5$anon4_Else#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$anon4_Else#2:
+ assume k != 1;
+ goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$anon4_Then#2:
+ assume k == 1;
+ inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_1_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$anon3_Then#2:
+ assume k == 0;
+ inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23[CurrentStackLocation___unnamed_4_3c640f23(__unnamed_4_3c640f23___unnamed_12_41c62b26(__unnamed_12_41c62b26___unnamed_40_32307de2(Overlay___unnamed_48_e2bbfb0b(Tail__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$23298.14$IoGetCurrentIrpStackLocation)))))];
+ goto inline$IoGetCurrentIrpStackLocation$5$anon2#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$anon2#2:
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$ := inline$IoGetCurrentIrpStackLocation$5$myVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_1#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_1#2:
+ goto inline$IoGetCurrentIrpStackLocation$5$Return#2;
+
+ inline$IoGetCurrentIrpStackLocation$5$Return#2:
+ inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$ := inline$IoGetCurrentIrpStackLocation$5$$result.IoGetCurrentIrpStackLocation$23297.0$1$;
+ goto inline$storm_IoCancelIrp$0$label_23$1#2;
+
+ inline$storm_IoCancelIrp$0$label_23$1#2:
+ goto inline$storm_IoCancelIrp$0$anon31_Then#2, inline$storm_IoCancelIrp$0$anon31_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon31_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon14#2;
+
+ inline$storm_IoCancelIrp$0$anon14#2:
+ goto inline$storm_IoCancelIrp$0$label_31#2;
+
+ inline$storm_IoCancelIrp$0$label_31#2:
+ inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp := inline$storm_IoCancelIrp$0$$result.IoGetCurrentIrpStackLocation$366.40$3$;
+ goto inline$storm_IoCancelIrp$0$label_32#2;
+
+ inline$storm_IoCancelIrp$0$label_32#2:
+ goto inline$storm_IoCancelIrp$0$label_32_icall_1#2;
+
+ inline$storm_IoCancelIrp$0$label_32_icall_1#2:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$352.17$storm_IoCancelIrp == I8xSysButtonCancelRoutine;
+ goto inline$storm_IoCancelIrp$0$anon33_Then#2, inline$storm_IoCancelIrp$0$anon33_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon33_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCancelIrp$0$anon34_Then#2, inline$storm_IoCancelIrp$0$anon34_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon34_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCancelIrp$0$anon20#2;
+
+ inline$storm_IoCancelIrp$0$anon34_Then#2:
+ assume k == 1;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_1_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon20#2;
+
+ inline$storm_IoCancelIrp$0$anon33_Then#2:
+ assume k == 0;
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.DeviceObject__IO_STACK_LOCATION[DeviceObject__IO_STACK_LOCATION(inline$storm_IoCancelIrp$0$$irpSp$3$364.23$storm_IoCancelIrp)];
+ goto inline$storm_IoCancelIrp$0$anon20#2;
+
+ inline$storm_IoCancelIrp$0$anon20#2:
+ call contextSwitch();
+ goto inline$I8xSysButtonCancelRoutine$0$Entry#2;
+
+ inline$I8xSysButtonCancelRoutine$0$Entry#2:
+ inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$myVar_0;
+ inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1 := inline$storm_IoCancelIrp$0$$Irp$1$349.10$storm_IoCancelIrp;
+ goto inline$I8xSysButtonCancelRoutine$0$start#2;
+
+ inline$I8xSysButtonCancelRoutine$0$start#2:
+ call inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine := __HAVOC_malloc(1);
+ inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine_.1;
+ inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine_.1;
+ goto inline$I8xSysButtonCancelRoutine$0$label_3#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_3#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_4#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_4#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon11_Then#2, inline$I8xSysButtonCancelRoutine$0$anon11_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon11_Else#2:
+ assume k != 0;
+ goto inline$I8xSysButtonCancelRoutine$0$anon12_Then#2, inline$I8xSysButtonCancelRoutine$0$anon12_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon12_Else#2:
+ assume k != 1;
+ goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon12_Then#2:
+ assume k == 1;
+ inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_1_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
+ goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon11_Then#2:
+ assume k == 0;
+ inline$I8xSysButtonCancelRoutine$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$I8xSysButtonCancelRoutine$0$$DeviceObject$1$373.22$I8xSysButtonCancelRoutine)];
+ goto inline$I8xSysButtonCancelRoutine$0$anon2#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon2#2:
+ call contextSwitch();
+ inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine := inline$I8xSysButtonCancelRoutine$0$myVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_5#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_5#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_6#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_6#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_7#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_7#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$1$Entry#2;
+
+ inline$storm_KeAcquireSpinLock$1$Entry#2:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
+ goto inline$storm_KeAcquireSpinLock$1$start#2;
+
+ inline$storm_KeAcquireSpinLock$1$start#2:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock_.1;
+ goto inline$storm_KeAcquireSpinLock$1$label_3#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_3#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_4#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_4#2:
+ goto inline$storm_getThreadID$3$Entry#2;
+
+ inline$storm_getThreadID$3$Entry#2:
+ goto inline$storm_getThreadID$3$anon0#2;
+
+ inline$storm_getThreadID$3$anon0#2:
+ inline$storm_getThreadID$3$tidRet := tid;
+ goto inline$storm_getThreadID$3$Return#2;
+
+ inline$storm_getThreadID$3$Return#2:
+ inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tidRet;
+ goto inline$storm_KeAcquireSpinLock$1$label_4$1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_4$1#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_7#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_7#2:
+ inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$1$label_8#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_8#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_9#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_9#2:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$1$label_12#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_12#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon10_Then#2, inline$storm_KeAcquireSpinLock$1$anon10_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon10_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon1#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_13#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13#2:
+ goto inline$storm_KeAcquireSpinLock$1$label_13_true#2, inline$storm_KeAcquireSpinLock$1$label_13_false#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_false#2:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$1$label_14#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_14#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$1$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_true#2:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock);
+ goto inline$storm_KeAcquireSpinLock$1$label_17#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_17#2:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon11_Then#2, inline$storm_KeAcquireSpinLock$1$anon11_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon11_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon4#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon4#2:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock, 0);
+ goto inline$storm_KeAcquireSpinLock$1$label_18#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_18#2:
+ goto inline$storm_KeAcquireSpinLock$1$anon12_Then#2, inline$storm_KeAcquireSpinLock$1$anon12_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon12_Else#2:
+ assume k != 0;
+ goto inline$storm_KeAcquireSpinLock$1$anon13_Then#2, inline$storm_KeAcquireSpinLock$1$anon13_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon13_Else#2:
+ assume k != 1;
+ goto inline$storm_KeAcquireSpinLock$1$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon13_Then#2:
+ assume k == 1;
+ Res_1_LOCK := Res_1_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
+ goto inline$storm_KeAcquireSpinLock$1$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon12_Then#2:
+ assume k == 0;
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock];
+ goto inline$storm_KeAcquireSpinLock$1$anon7#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon7#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_19#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_19#2:
+ goto inline$storm_KeAcquireSpinLock$1$anon14_Then#2, inline$storm_KeAcquireSpinLock$1$anon14_Else#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon14_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$1$anon9#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon14_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$1$anon9#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon9#2:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_1#2;
+
+ inline$storm_KeAcquireSpinLock$1$label_1#2:
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon11_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$anon10_Then#2:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#2;
+
+ inline$storm_KeAcquireSpinLock$1$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_7$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_7$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon13_Then#2, inline$I8xSysButtonCancelRoutine$0$anon13_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon13_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon4#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon4#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_10#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_10#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_11#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_11#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_12#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_12#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ assume inline$I8xSysButtonCancelRoutine$0$myNondetVar_0 == inline$I8xSysButtonCancelRoutine$0$myNondetVar_1;
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$1$Entry#2;
+
+ inline$storm_KeReleaseSpinLock$1$Entry#2:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1 := SysButtonSpinLock__PORT_KEYBOARD_EXTENSION(inline$I8xSysButtonCancelRoutine$0$$kbExtension$3$377.29$I8xSysButtonCancelRoutine);
+ goto inline$storm_KeReleaseSpinLock$1$start#2;
+
+ inline$storm_KeReleaseSpinLock$1$start#2:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock_.1;
+ goto inline$storm_KeReleaseSpinLock$1$label_3#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_3#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_4#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_4#2:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$1$label_7#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_7#2:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon8_Then#2, inline$storm_KeReleaseSpinLock$1$anon8_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon1#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon1#2:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ assume k == 1 ==> INT_EQ(Res_1_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_8#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_8#2:
+ goto inline$storm_getThreadID$4$Entry#2;
+
+ inline$storm_getThreadID$4$Entry#2:
+ goto inline$storm_getThreadID$4$anon0#2;
+
+ inline$storm_getThreadID$4$anon0#2:
+ inline$storm_getThreadID$4$tidRet := tid;
+ goto inline$storm_getThreadID$4$Return#2;
+
+ inline$storm_getThreadID$4$Return#2:
+ inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tidRet;
+ goto inline$storm_KeReleaseSpinLock$1$label_8$1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_8$1#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_11#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11#2:
+ goto inline$storm_KeReleaseSpinLock$1$label_11_true#2, inline$storm_KeReleaseSpinLock$1$label_11_false#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_false#2:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_12#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_12#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$1$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_true#2:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_15#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_15#2:
+ goto inline$storm_KeReleaseSpinLock$1$anon9_Then#2, inline$storm_KeReleaseSpinLock$1$anon9_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon9_Else#2:
+ assume k != 0;
+ goto inline$storm_KeReleaseSpinLock$1$anon10_Then#2, inline$storm_KeReleaseSpinLock$1$anon10_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon10_Else#2:
+ assume k != 1;
+ goto inline$storm_KeReleaseSpinLock$1$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon10_Then#2:
+ assume k == 1;
+ Res_1_LOCK := Res_1_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ goto inline$storm_KeReleaseSpinLock$1$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon9_Then#2:
+ assume k == 0;
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock := 0];
+ goto inline$storm_KeReleaseSpinLock$1$anon5#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon5#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_16#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_16#2:
+ goto inline$storm_KeReleaseSpinLock$1$anon11_Then#2, inline$storm_KeReleaseSpinLock$1$anon11_Else#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon11_Else#2:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$1$anon7#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon11_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$1$anon7#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon7#2:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_1#2;
+
+ inline$storm_KeReleaseSpinLock$1$label_1#2:
+ goto inline$storm_KeReleaseSpinLock$1$Return#2;
+
+ inline$storm_KeReleaseSpinLock$1$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$Return#2;
+
+ inline$storm_KeReleaseSpinLock$1$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_12$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_12$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon14_Then#2, inline$I8xSysButtonCancelRoutine$0$anon14_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon14_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon6#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon6#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$I8xSysButtonCancelRoutine$0$label_15#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_15#2:
+ havoc inline$I8xSysButtonCancelRoutine$0$myNondetVar_0;
+ goto inline$storm_IoReleaseCancelSpinLock$0$Entry#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$Entry#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$start#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$start#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_3#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_3#2:
+ __storm_atomic := true;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_6#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_6#2:
+ goto inline$storm_getThreadID$5$Entry#2;
+
+ inline$storm_getThreadID$5$Entry#2:
+ goto inline$storm_getThreadID$5$anon0#2;
+
+ inline$storm_getThreadID$5$anon0#2:
+ inline$storm_getThreadID$5$tidRet := tid;
+ goto inline$storm_getThreadID$5$Return#2;
+
+ inline$storm_getThreadID$5$Return#2:
+ inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$ := inline$storm_getThreadID$5$tidRet;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_6$1#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_9#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2, inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9_false#2:
+ assume k == 0 ==> !INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ assume k == 1 ==> !INT_EQ(cancelLockStatus_1, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_10#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_9_true#2:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ assume k == 1 ==> INT_EQ(cancelLockStatus_1, inline$storm_IoReleaseCancelSpinLock$0$$result.storm_getThreadID$198.0$1$);
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_13#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_13#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon6_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon6_Else#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon7_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon7_Else#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon7_Then#2:
+ assume k == 1;
+ cancelLockStatus_1 := 0;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon6_Then#2:
+ assume k == 0;
+ cancelLockStatus_0 := 0;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon3#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon3#2:
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_14#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_14#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon8_Then#2, inline$storm_IoReleaseCancelSpinLock$0$anon8_Else#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon8_Else#2:
+ assume __storm_init;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon5#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon8_Then#2:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoReleaseCancelSpinLock$0$anon5#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$anon5#2:
+ call contextSwitch();
+ goto inline$storm_IoReleaseCancelSpinLock$0$label_1#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$label_1#2:
+ goto inline$storm_IoReleaseCancelSpinLock$0$Return#2;
+
+ inline$storm_IoReleaseCancelSpinLock$0$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_15$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_15$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon15_Then#2, inline$I8xSysButtonCancelRoutine$0$anon15_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon15_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon8#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon8#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_18#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_18#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_19#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_19#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_20#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_20#2:
+ goto inline$storm_IoCompleteRequest$4$Entry#2;
+
+ inline$storm_IoCompleteRequest$4$Entry#2:
+ inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1 := inline$I8xSysButtonCancelRoutine$0$$Irp$2$374.12$I8xSysButtonCancelRoutine;
+ goto inline$storm_IoCompleteRequest$4$start#2;
+
+ inline$storm_IoCompleteRequest$4$start#2:
+ inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest_.1;
+ goto inline$storm_IoCompleteRequest$4$label_3#2;
+
+ inline$storm_IoCompleteRequest$4$label_3#2:
+ call inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$4$label_6#2;
+
+ inline$storm_IoCompleteRequest$4$label_6#2:
+ goto inline$storm_IoCompleteRequest$4$label_6_true#2, inline$storm_IoCompleteRequest$4$label_6_false#2;
+
+ inline$storm_IoCompleteRequest$4$label_6_false#2:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#2;
+
+ inline$storm_IoCompleteRequest$4$label_6_true#2:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$343.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$4$label_8#2;
+
+ inline$storm_IoCompleteRequest$4$label_8#2:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon8_Then#2, inline$storm_IoCompleteRequest$4$anon8_Else#2;
+
+ inline$storm_IoCompleteRequest$4$anon8_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon4#2;
+
+ inline$storm_IoCompleteRequest$4$anon4#2:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ assume k == 1 ==> INT_EQ(Res_1_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_9#2;
+
+ inline$storm_IoCompleteRequest$4$label_9#2:
+ goto inline$storm_IoCompleteRequest$4$label_9_true#2, inline$storm_IoCompleteRequest$4$label_9_false#2;
+
+ inline$storm_IoCompleteRequest$4$label_9_false#2:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$4$label_10#2;
+
+ inline$storm_IoCompleteRequest$4$label_10#2:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$4$label_1#2;
+
+ inline$storm_IoCompleteRequest$4$label_9_true#2:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#2;
+
+ inline$storm_IoCompleteRequest$4$label_7#2:
+ goto inline$storm_IoCompleteRequest$4$anon6_Then#2, inline$storm_IoCompleteRequest$4$anon6_Else#2;
+
+ inline$storm_IoCompleteRequest$4$anon6_Else#2:
+ assume k != 0;
+ goto inline$storm_IoCompleteRequest$4$anon7_Then#2, inline$storm_IoCompleteRequest$4$anon7_Else#2;
+
+ inline$storm_IoCompleteRequest$4$anon7_Else#2:
+ assume k != 1;
+ goto inline$storm_IoCompleteRequest$4$anon2#2;
+
+ inline$storm_IoCompleteRequest$4$anon7_Then#2:
+ assume k == 1;
+ Res_1_COMPLETED := Res_1_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$4$anon2#2;
+
+ inline$storm_IoCompleteRequest$4$anon6_Then#2:
+ assume k == 0;
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$339.10$storm_IoCompleteRequest := 1];
+ goto inline$storm_IoCompleteRequest$4$anon2#2;
+
+ inline$storm_IoCompleteRequest$4$anon2#2:
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_1#2;
+
+ inline$storm_IoCompleteRequest$4$label_1#2:
+ goto inline$storm_IoCompleteRequest$4$Return#2;
+
+ inline$storm_IoCompleteRequest$4$anon8_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$4$Return#2;
+
+ inline$storm_IoCompleteRequest$4$Return#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_20$1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_20$1#2:
+ goto inline$I8xSysButtonCancelRoutine$0$anon16_Then#2, inline$I8xSysButtonCancelRoutine$0$anon16_Else#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon16_Else#2:
+ assume !raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$anon10#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon10#2:
+ goto inline$I8xSysButtonCancelRoutine$0$label_1#2;
+
+ inline$I8xSysButtonCancelRoutine$0$label_1#2:
+ call __HAVOC_free(inline$I8xSysButtonCancelRoutine$0$$irql$5$379.10$I8xSysButtonCancelRoutine);
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon16_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon15_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon14_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$anon13_Then#2:
+ assume raiseException;
+ goto inline$I8xSysButtonCancelRoutine$0$Return#2;
+
+ inline$I8xSysButtonCancelRoutine$0$Return#2:
+ goto inline$storm_IoCancelIrp$0$anon20$1#2;
+
+ inline$storm_IoCancelIrp$0$anon20$1#2:
+ goto inline$storm_IoCancelIrp$0$anon35_Then#2, inline$storm_IoCancelIrp$0$anon35_Else#2;
+
+ inline$storm_IoCancelIrp$0$anon35_Else#2:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon22#2;
+
+ inline$storm_IoCancelIrp$0$anon22#2:
+ goto inline$storm_IoCancelIrp$0$label_32_icall_return#2;
+
+ inline$storm_IoCancelIrp$0$label_32_icall_return#2:
+ goto inline$storm_IoCancelIrp$0$label_35#2;
+
+ inline$storm_IoCancelIrp$0$label_35#2:
+ goto inline$storm_IoCancelIrp$0$label_1#2;
+
+ inline$storm_IoCancelIrp$0$label_1#2:
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon35_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon31_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon32_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$anon30_Then#2:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#2;
+
+ inline$storm_IoCancelIrp$0$Return#2:
+ goto inline$cancel$0$label_3$1#2;
+
+ inline$cancel$0$label_3$1#2:
+ goto inline$cancel$0$anon2_Then#2, inline$cancel$0$anon2_Else#2;
+
+ inline$cancel$0$anon2_Else#2:
+ assume !raiseException;
+ goto inline$cancel$0$anon1#2;
+
+ inline$cancel$0$anon1#2:
+ goto inline$cancel$0$label_1#2;
+
+ inline$cancel$0$label_1#2:
+ goto inline$cancel$0$Return#2;
+
+ inline$cancel$0$anon2_Then#2:
+ assume raiseException;
+ goto inline$cancel$0$Return#2;
+
+ inline$cancel$0$Return#2:
+ goto label_27$1#2;
+
+ label_27$1#2:
+ goto anon32_Then#2, anon32_Else#2;
+
+ anon32_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon19#2;
+
+ anon32_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_2 := true;
+ goto anon19#2;
+
+ anon19#2:
+ k := k_old_1;
+ tid := tid_old_1;
+ goto label_30#2;
+
+ label_30#2:
+ goto label_31#2;
+
+ label_31#2:
+ k_old_2 := k;
+ tid_old_2 := tid;
+ tidCount_old := tidCount;
+ havoc tidCount;
+ assume tidCount_old < tidCount;
+ tid := tidCount;
+ raiseException := false;
+ call contextSwitch();
+ goto inline$dpc$0$Entry#2;
+
+ inline$dpc$0$Entry#2:
+ goto inline$dpc$0$start#2;
+
+ inline$dpc$0$start#2:
+ goto inline$dpc$0$label_1#2;
+
+ inline$dpc$0$label_1#2:
+ goto inline$dpc$0$Return#2;
+
+ inline$dpc$0$Return#2:
+ goto label_31$1#2;
+
+ label_31$1#2:
+ goto anon33_Then#2, anon33_Else#2;
+
+ anon33_Else#2:
+ assume !(errorReached || !raiseException);
+ goto anon21#2;
+
+ anon33_Then#2:
+ assume errorReached || !raiseException;
+ __storm_thread_done_3 := true;
+ goto anon21#2;
+
+ anon21#2:
+ k := k_old_2;
+ tid := tid_old_2;
+ goto label_1#2;
+
+ label_1#2:
+ assume Mem_0_T.CancelRoutine__IRP == Mem_s_1_T.CancelRoutine__IRP;
+ assume Mem_0_T.Cancel__IRP == Mem_s_1_T.Cancel__IRP;
+ assume Mem_0_T.CurrentStackLocation___unnamed_4_3c640f23 == Mem_s_1_T.CurrentStackLocation___unnamed_4_3c640f23;
+ assume Mem_0_T.DeviceExtension__DEVICE_OBJECT == Mem_s_1_T.DeviceExtension__DEVICE_OBJECT;
+ assume Mem_0_T.DeviceObject__IO_STACK_LOCATION == Mem_s_1_T.DeviceObject__IO_STACK_LOCATION;
+ assume cancelLockStatus_0 == cancelLockStatus_s_1;
+ assume Res_0_COMPLETED == Res_s_1_COMPLETED;
+ assume Res_0_LOCK == Res_s_1_LOCK;
+ assert !errorReached;
+ return;
+
+ anon30_Then#2:
+ assume raiseException;
+ return;
+
+ anon27_Then#2:
+ assume raiseException;
+ return;
+
+ anon26_Then#2:
+ assume raiseException;
+ return;
+
+ anon25_Then#2:
+ assume raiseException;
+ return;
+
+ anon24_Then#2:
+ assume raiseException;
+ return;
+}
+
+
+
diff --git a/Test/livevars/daytona_bug2_ioctl_example_2.bpl.expect b/Test/livevars/daytona_bug2_ioctl_example_2.bpl.expect
index 9f960f26..3c0d0b20 100644
--- a/Test/livevars/daytona_bug2_ioctl_example_2.bpl.expect
+++ b/Test/livevars/daytona_bug2_ioctl_example_2.bpl.expect
@@ -127,7 +127,8 @@ Execution trace:
daytona_bug2_ioctl_example_2.bpl(3832,3): inline$storm_IoCancelIrp$0$anon10#2
daytona_bug2_ioctl_example_2.bpl(3892,3): inline$storm_IoAcquireCancelSpinLock$0$label_11_true#2
daytona_bug2_ioctl_example_2.bpl(3902,3): inline$storm_IoAcquireCancelSpinLock$0$anon8_Else#2
- daytona_bug2_ioctl_example_2.bpl(3928,3): inline$storm_IoAcquireCancelSpinLock$0$anon9_Then#2
+ daytona_bug2_ioctl_example_2.bpl(3915,3): inline$storm_IoAcquireCancelSpinLock$0$anon9_Else#2
+ daytona_bug2_ioctl_example_2.bpl(3923,3): inline$storm_IoAcquireCancelSpinLock$0$anon10_Then#2
daytona_bug2_ioctl_example_2.bpl(3933,3): inline$storm_IoAcquireCancelSpinLock$0$anon5#2
daytona_bug2_ioctl_example_2.bpl(3944,3): inline$storm_IoAcquireCancelSpinLock$0$anon11_Then#2
daytona_bug2_ioctl_example_2.bpl(3949,3): inline$storm_IoAcquireCancelSpinLock$0$anon7#2
diff --git a/Test/livevars/stack_overflow.bpl b/Test/livevars/stack_overflow.bpl
index aec86556..a8687e0a 100644
--- a/Test/livevars/stack_overflow.bpl
+++ b/Test/livevars/stack_overflow.bpl
@@ -1,97969 +1,97969 @@
-// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var raiseException : bool;
-var errorReached : bool;
-var k : int;
-var __storm_atomic : bool;
-var __storm_init : bool;
-var __storm_thread_id : int;
-var __storm_thread_done_1 : bool;
-var __storm_thread_done_2 : bool;
-var __storm_thread_done_3 : bool;
-var __storm_thread_done_4 : bool;
-var __storm_thread_done_5 : bool;
-var __storm_thread_done_6 : bool;
-
-procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tid:int)
-{
- tid := __storm_thread_id;
- return;
-}
-
-procedure storm_context_0();
-
-procedure contextSwitch();
-modifies k;
-ensures __storm_atomic ==> old(k) == k;
-ensures(old(k) <= k);
-ensures(k < 1);
-
-
-
-// Memory model
-
-// Mutable
-var alloc:int;
-
-// Immutable
-
-var Mem_0_T.CancelRoutine__IRP : [int]int;
-var Mem_0_T.CurrentLocation__IRP : [int]int;
-var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
-var Mem_0_T.StackCount__IRP : [int]int;
-
-
-// Field declarations
-
-
-// Type declarations
-
-
-// Field offset definitions
-
-function AllocatedResourcesTranslated___unnamed_8_634fb90c(int) returns (int);
-
-
-//axiom (forall x:int :: {AllocatedResourcesTranslated___unnamed_8_634fb90c(x)} AllocatedResourcesTranslated___unnamed_8_634fb90c(x) == x + 4);
-axiom (forall x:int :: {AllocatedResourcesTranslated___unnamed_8_634fb90c(x)} AllocatedResourcesTranslated___unnamed_8_634fb90c(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function AllocatedResources___unnamed_8_634fb90c(int) returns (int);
-
-
-//axiom (forall x:int :: {AllocatedResources___unnamed_8_634fb90c(x)} AllocatedResources___unnamed_8_634fb90c(x) == x + 0);
-axiom (forall x:int :: {AllocatedResources___unnamed_8_634fb90c(x)} AllocatedResources___unnamed_8_634fb90c(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1364);
-axiom (forall x:int :: {BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1364));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDBuildNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDBuildNumber__BDSI_INITIALIZERESOURCES(x)} BDDBuildNumber__BDSI_INITIALIZERESOURCES(x) == x + 544);
-axiom (forall x:int :: {BDDBuildNumber__BDSI_INITIALIZERESOURCES(x)} BDDBuildNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 544));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1356);
-axiom (forall x:int :: {BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1356));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDVersionMajor__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDVersionMajor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMajor__BDSI_INITIALIZERESOURCES(x) == x + 536);
-axiom (forall x:int :: {BDDVersionMajor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMajor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 536));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1360);
-axiom (forall x:int :: {BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1360));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BDDVersionMinor__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {BDDVersionMinor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMinor__BDSI_INITIALIZERESOURCES(x) == x + 540);
-axiom (forall x:int :: {BDDVersionMinor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMinor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 540));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x)} BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x)} BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Blink__LIST_ENTRY(int) returns (int);
-
-
-//axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
-axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Block__BDDI_ITEM_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Block__BDDI_ITEM_DATA(x)} Block__BDDI_ITEM_DATA(x) == x + 0);
-axiom (forall x:int :: {Block__BDDI_ITEM_DATA(x)} Block__BDDI_ITEM_DATA(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelIrql__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
-axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CancelRoutine__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
-axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Cancel__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
-axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ChannelId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
-
-
-//axiom (forall x:int :: {ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x)} ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 12);
-axiom (forall x:int :: {ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x)} ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
-
-
-//axiom (forall x:int :: {ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 4);
-axiom (forall x:int :: {ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
-axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ComponentId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
-
-
-//axiom (forall x:int :: {ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x)} ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 8);
-axiom (forall x:int :: {ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x)} ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
-
-
-//axiom (forall x:int :: {ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 0);
-axiom (forall x:int :: {ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Context__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
-axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == x + 620);
-axiom (forall x:int :: {ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 620));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x) == x + 636);
-axiom (forall x:int :: {ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 636));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x)} ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 160);
-axiom (forall x:int :: {ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x)} ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 160));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControlId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
-
-
-//axiom (forall x:int :: {ControlId__BDDI_PARAMS_REGISTERNOTIFY(x)} ControlId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 16);
-axiom (forall x:int :: {ControlId__BDDI_PARAMS_REGISTERNOTIFY(x)} ControlId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 16));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ControlId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
-
-
-//axiom (forall x:int :: {ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 8);
-axiom (forall x:int :: {ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Control__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
-axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentLocation__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
-axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function CurrentStackLocation___unnamed_12_3a099e68(int) returns (int);
-
-
-//axiom (forall x:int :: {CurrentStackLocation___unnamed_12_3a099e68(x)} CurrentStackLocation___unnamed_12_3a099e68(x) == x + 8);
-axiom (forall x:int :: {CurrentStackLocation___unnamed_12_3a099e68(x)} CurrentStackLocation___unnamed_12_3a099e68(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Data__BDDI_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Data__BDDI_ITEM(x)} Data__BDDI_ITEM(x) == x + 4);
-axiom (forall x:int :: {Data__BDDI_ITEM(x)} Data__BDDI_ITEM(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 140);
-axiom (forall x:int :: {DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 140));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceExtension__DEVICE_OBJECT(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
-axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceObject__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
-axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 136);
-axiom (forall x:int :: {DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 136));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 28);
-axiom (forall x:int :: {DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function DeviceState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Flink__LIST_ENTRY(int) returns (int);
-
-
-//axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
-axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1352);
-axiom (forall x:int :: {HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1352));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWBuildNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {HWBuildNumber__BDSI_INITIALIZERESOURCES(x)} HWBuildNumber__BDSI_INITIALIZERESOURCES(x) == x + 532);
-axiom (forall x:int :: {HWBuildNumber__BDSI_INITIALIZERESOURCES(x)} HWBuildNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 532));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1344);
-axiom (forall x:int :: {HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1344));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWVersionMajor__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {HWVersionMajor__BDSI_INITIALIZERESOURCES(x)} HWVersionMajor__BDSI_INITIALIZERESOURCES(x) == x + 524);
-axiom (forall x:int :: {HWVersionMajor__BDSI_INITIALIZERESOURCES(x)} HWVersionMajor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 524));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1348);
-axiom (forall x:int :: {HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1348));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HWVersionMinor__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {HWVersionMinor__BDSI_INITIALIZERESOURCES(x)} HWVersionMinor__BDSI_INITIALIZERESOURCES(x) == x + 528);
-axiom (forall x:int :: {HWVersionMinor__BDSI_INITIALIZERESOURCES(x)} HWVersionMinor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 528));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 816);
-axiom (forall x:int :: {HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 816));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function HandleList__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 820);
-axiom (forall x:int :: {HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 820));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Handle__BDDI_ITEM_DATA(int) returns (int);
-
-
-//axiom (forall x:int :: {Handle__BDDI_ITEM_DATA(x)} Handle__BDDI_ITEM_DATA(x) == x + 0);
-axiom (forall x:int :: {Handle__BDDI_ITEM_DATA(x)} Handle__BDDI_ITEM_DATA(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == x + 624);
-axiom (forall x:int :: {IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 624));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == x + 0);
-axiom (forall x:int :: {ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == x + 36);
-axiom (forall x:int :: {ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 36));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Information__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
-axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoCount__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x)} IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 44);
-axiom (forall x:int :: {IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x)} IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 44));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function IoStatus__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
-axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MajorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
-axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function MinorFunction__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
-axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function NumChannels__BDL_COMPONENT(int) returns (int);
-
-
-//axiom (forall x:int :: {NumChannels__BDL_COMPONENT(x)} NumChannels__BDL_COMPONENT(x) == x + 12);
-axiom (forall x:int :: {NumChannels__BDL_COMPONENT(x)} NumChannels__BDL_COMPONENT(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function NumComponents__BDL_DEVICE_CAPABILITIES(int) returns (int);
-
-
-//axiom (forall x:int :: {NumComponents__BDL_DEVICE_CAPABILITIES(x)} NumComponents__BDL_DEVICE_CAPABILITIES(x) == x + 8);
-axiom (forall x:int :: {NumComponents__BDL_DEVICE_CAPABILITIES(x)} NumComponents__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function NumHandles_HANDLELIST_(int) returns (int);
-
-
-//axiom (forall x:int :: {NumHandles_HANDLELIST_(x)} NumHandles_HANDLELIST_(x) == x + 8);
-axiom (forall x:int :: {NumHandles_HANDLELIST_(x)} NumHandles_HANDLELIST_(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Overlay___unnamed_48_7c673e0a(int) returns (int);
-
-
-//axiom (forall x:int :: {Overlay___unnamed_48_7c673e0a(x)} Overlay___unnamed_48_7c673e0a(x) == x + 0);
-axiom (forall x:int :: {Overlay___unnamed_48_7c673e0a(x)} Overlay___unnamed_48_7c673e0a(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Parameters__IO_STACK_LOCATION(int) returns (int);
-
-
-//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
-axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PendingReturned__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
-axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function PowerState__BDSI_SETPOWERSTATE(int) returns (int);
-
-
-//axiom (forall x:int :: {PowerState__BDSI_SETPOWERSTATE(x)} PowerState__BDSI_SETPOWERSTATE(x) == x + 4);
-axiom (forall x:int :: {PowerState__BDSI_SETPOWERSTATE(x)} PowerState__BDSI_SETPOWERSTATE(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Power___unnamed_16_357c4db4(int) returns (int);
-
-
-//axiom (forall x:int :: {Power___unnamed_16_357c4db4(x)} Power___unnamed_16_357c4db4(x) == x + 0);
-axiom (forall x:int :: {Power___unnamed_16_357c4db4(x)} Power___unnamed_16_357c4db4(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 48);
-axiom (forall x:int :: {RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 48));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Size__BDDI_PARAMS_CLOSEHANDLE(int) returns (int);
-
-
-//axiom (forall x:int :: {Size__BDDI_PARAMS_CLOSEHANDLE(x)} Size__BDDI_PARAMS_CLOSEHANDLE(x) == x + 0);
-axiom (forall x:int :: {Size__BDDI_PARAMS_CLOSEHANDLE(x)} Size__BDDI_PARAMS_CLOSEHANDLE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Size__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {Size__BDSI_INITIALIZERESOURCES(x)} Size__BDSI_INITIALIZERESOURCES(x) == x + 0);
-axiom (forall x:int :: {Size__BDSI_INITIALIZERESOURCES(x)} Size__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Size__BDSI_SETPOWERSTATE(int) returns (int);
-
-
-//axiom (forall x:int :: {Size__BDSI_SETPOWERSTATE(x)} Size__BDSI_SETPOWERSTATE(x) == x + 0);
-axiom (forall x:int :: {Size__BDSI_SETPOWERSTATE(x)} Size__BDSI_SETPOWERSTATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 24);
-axiom (forall x:int :: {SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function StackCount__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {StackCount__IRP(x)} StackCount__IRP(x) == x + 34);
-axiom (forall x:int :: {StackCount__IRP(x)} StackCount__IRP(x) == INT_ADD(x, 34));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function StartDevice___unnamed_16_357c4db4(int) returns (int);
-
-
-//axiom (forall x:int :: {StartDevice___unnamed_16_357c4db4(x)} StartDevice___unnamed_16_357c4db4(x) == x + 0);
-axiom (forall x:int :: {StartDevice___unnamed_16_357c4db4(x)} StartDevice___unnamed_16_357c4db4(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function State___unnamed_16_72a8bca0(int) returns (int);
-
-
-//axiom (forall x:int :: {State___unnamed_16_72a8bca0(x)} State___unnamed_16_72a8bca0(x) == x + 8);
-axiom (forall x:int :: {State___unnamed_16_72a8bca0(x)} State___unnamed_16_72a8bca0(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Status___unnamed_4_46d45f1c(int) returns (int);
-
-
-//axiom (forall x:int :: {Status___unnamed_4_46d45f1c(x)} Status___unnamed_4_46d45f1c(x) == x + 0);
-axiom (forall x:int :: {Status___unnamed_4_46d45f1c(x)} Status___unnamed_4_46d45f1c(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x)} SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 16);
-axiom (forall x:int :: {SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x)} SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 16));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function SystemState__POWER_STATE(int) returns (int);
-
-
-//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
-axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Tail__IRP(int) returns (int);
-
-
-//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
-axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function Type__BDDI_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {Type__BDDI_ITEM(x)} Type__BDDI_ITEM(x) == x + 0);
-axiom (forall x:int :: {Type__BDDI_ITEM(x)} Type__BDDI_ITEM(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_12_3a099e68___unnamed_40_3fd77f3c(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_12_3a099e68___unnamed_40_3fd77f3c(x)} __unnamed_12_3a099e68___unnamed_40_3fd77f3c(x) == x + 24);
-axiom (forall x:int :: {__unnamed_12_3a099e68___unnamed_40_3fd77f3c(x)} __unnamed_12_3a099e68___unnamed_40_3fd77f3c(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function __unnamed_4_46d45f1c__IO_STATUS_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {__unnamed_4_46d45f1c__IO_STATUS_BLOCK(x)} __unnamed_4_46d45f1c__IO_STATUS_BLOCK(x) == x + 0);
-axiom (forall x:int :: {__unnamed_4_46d45f1c__IO_STATUS_BLOCK(x)} __unnamed_4_46d45f1c__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function bddiFunctions__BDL_DRIVER_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {bddiFunctions__BDL_DRIVER_EXTENSION(x)} bddiFunctions__BDL_DRIVER_EXTENSION(x) == x + 0);
-axiom (forall x:int :: {bddiFunctions__BDL_DRIVER_EXTENSION(x)} bddiFunctions__BDL_DRIVER_EXTENSION(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function bdsiFunctions__BDL_DRIVER_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {bdsiFunctions__BDL_DRIVER_EXTENSION(x)} bdsiFunctions__BDL_DRIVER_EXTENSION(x) == x + 32);
-axiom (forall x:int :: {bdsiFunctions__BDL_DRIVER_EXTENSION(x)} bdsiFunctions__BDL_DRIVER_EXTENSION(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x)} fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 813);
-axiom (forall x:int :: {fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x)} fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 813));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function fRegister__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
-
-
-//axiom (forall x:int :: {fRegister__BDDI_PARAMS_REGISTERNOTIFY(x)} fRegister__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 4);
-axiom (forall x:int :: {fRegister__BDDI_PARAMS_REGISTERNOTIFY(x)} fRegister__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x)} fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 812);
-axiom (forall x:int :: {fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x)} fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 812));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(int) returns (int);
-
-
-//axiom (forall x:int :: {fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x)} fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x) == x + 24);
-axiom (forall x:int :: {fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x)} fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function hData__BDDI_PARAMS_CLOSEHANDLE(int) returns (int);
-
-
-//axiom (forall x:int :: {hData__BDDI_PARAMS_CLOSEHANDLE(x)} hData__BDDI_PARAMS_CLOSEHANDLE(x) == x + 4);
-axiom (forall x:int :: {hData__BDDI_PARAMS_CLOSEHANDLE(x)} hData__BDDI_PARAMS_CLOSEHANDLE(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function handle_LIST_NODE_(int) returns (int);
-
-
-//axiom (forall x:int :: {handle_LIST_NODE_(x)} handle_LIST_NODE_(x) == x + 4);
-axiom (forall x:int :: {handle_LIST_NODE_(x)} handle_LIST_NODE_(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x)} pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x) == x + 8);
-axiom (forall x:int :: {pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x)} pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pAllocatedResources__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {pAllocatedResources__BDSI_INITIALIZERESOURCES(x)} pAllocatedResources__BDSI_INITIALIZERESOURCES(x) == x + 4);
-axiom (forall x:int :: {pAllocatedResources__BDSI_INITIALIZERESOURCES(x)} pAllocatedResources__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pAttachedDeviceObject__BDL_DEVICEEXT(int) returns (int);
-
-
-//axiom (forall x:int :: {pAttachedDeviceObject__BDL_DEVICEEXT(x)} pAttachedDeviceObject__BDL_DEVICEEXT(x) == x + 4);
-axiom (forall x:int :: {pAttachedDeviceObject__BDL_DEVICEEXT(x)} pAttachedDeviceObject__BDL_DEVICEEXT(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pBDLExtension__POWER_IRP_CONTEXT(int) returns (int);
-
-
-//axiom (forall x:int :: {pBDLExtension__POWER_IRP_CONTEXT(x)} pBDLExtension__POWER_IRP_CONTEXT(x) == x + 0);
-axiom (forall x:int :: {pBDLExtension__POWER_IRP_CONTEXT(x)} pBDLExtension__POWER_IRP_CONTEXT(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pBuffer__BDDI_ITEM_DATA_BLOCK(int) returns (int);
-
-
-//axiom (forall x:int :: {pBuffer__BDDI_ITEM_DATA_BLOCK(x)} pBuffer__BDDI_ITEM_DATA_BLOCK(x) == x + 4);
-axiom (forall x:int :: {pBuffer__BDDI_ITEM_DATA_BLOCK(x)} pBuffer__BDDI_ITEM_DATA_BLOCK(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x)} pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 12);
-axiom (forall x:int :: {pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x)} pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pHead_HANDLELIST_(int) returns (int);
-
-
-//axiom (forall x:int :: {pHead_HANDLELIST_(x)} pHead_HANDLELIST_(x) == x + 0);
-axiom (forall x:int :: {pHead_HANDLELIST_(x)} pHead_HANDLELIST_(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pIrp__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
-
-
-//axiom (forall x:int :: {pIrp__BDL_CONTROL_CHANGE_STRUCT(x)} pIrp__BDL_CONTROL_CHANGE_STRUCT(x) == x + 632);
-axiom (forall x:int :: {pIrp__BDL_CONTROL_CHANGE_STRUCT(x)} pIrp__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 632));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pNext_LIST_NODE_(int) returns (int);
-
-
-//axiom (forall x:int :: {pNext_LIST_NODE_(x)} pNext_LIST_NODE_(x) == x + 0);
-axiom (forall x:int :: {pNext_LIST_NODE_(x)} pNext_LIST_NODE_(x) == INT_ADD(x, 0));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pTail_HANDLELIST_(int) returns (int);
-
-
-//axiom (forall x:int :: {pTail_HANDLELIST_(x)} pTail_HANDLELIST_(x) == x + 4);
-axiom (forall x:int :: {pTail_HANDLELIST_(x)} pTail_HANDLELIST_(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x)} pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x) == x + 24);
-axiom (forall x:int :: {pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x)} pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x) == INT_ADD(x, 24));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x)} pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x) == x + 4);
-axiom (forall x:int :: {pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x)} pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x) == x + 12);
-axiom (forall x:int :: {pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x) == x + 16);
-axiom (forall x:int :: {pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 16));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x)} pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x) == x + 8);
-axiom (forall x:int :: {pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x)} pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(int) returns (int);
-
-
-//axiom (forall x:int :: {pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x)} pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x) == x + 32);
-axiom (forall x:int :: {pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x)} pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 32));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgChannels__BDL_COMPONENT(int) returns (int);
-
-
-//axiom (forall x:int :: {rgChannels__BDL_COMPONENT(x)} rgChannels__BDL_COMPONENT(x) == x + 16);
-axiom (forall x:int :: {rgChannels__BDL_COMPONENT(x)} rgChannels__BDL_COMPONENT(x) == INT_ADD(x, 16));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgComponents__BDL_DEVICE_CAPABILITIES(int) returns (int);
-
-
-//axiom (forall x:int :: {rgComponents__BDL_DEVICE_CAPABILITIES(x)} rgComponents__BDL_DEVICE_CAPABILITIES(x) == x + 12);
-axiom (forall x:int :: {rgComponents__BDL_DEVICE_CAPABILITIES(x)} rgComponents__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgControls__BDL_CHANNEL(int) returns (int);
-
-
-//axiom (forall x:int :: {rgControls__BDL_CHANNEL(x)} rgControls__BDL_CHANNEL(x) == x + 8);
-axiom (forall x:int :: {rgControls__BDL_CHANNEL(x)} rgControls__BDL_CHANNEL(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgControls__BDL_COMPONENT(int) returns (int);
-
-
-//axiom (forall x:int :: {rgControls__BDL_COMPONENT(x)} rgControls__BDL_COMPONENT(x) == x + 8);
-axiom (forall x:int :: {rgControls__BDL_COMPONENT(x)} rgControls__BDL_COMPONENT(x) == INT_ADD(x, 8));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgControls__BDL_DEVICE_CAPABILITIES(int) returns (int);
-
-
-//axiom (forall x:int :: {rgControls__BDL_DEVICE_CAPABILITIES(x)} rgControls__BDL_DEVICE_CAPABILITIES(x) == x + 4);
-axiom (forall x:int :: {rgControls__BDL_DEVICE_CAPABILITIES(x)} rgControls__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 4));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgProducts__BDL_CHANNEL(int) returns (int);
-
-
-//axiom (forall x:int :: {rgProducts__BDL_CHANNEL(x)} rgProducts__BDL_CHANNEL(x) == x + 28);
-axiom (forall x:int :: {rgProducts__BDL_CHANNEL(x)} rgProducts__BDL_CHANNEL(x) == INT_ADD(x, 28));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function rgSourceLists__BDL_CHANNEL(int) returns (int);
-
-
-//axiom (forall x:int :: {rgSourceLists__BDL_CHANNEL(x)} rgSourceLists__BDL_CHANNEL(x) == x + 20);
-axiom (forall x:int :: {rgSourceLists__BDL_CHANNEL(x)} rgSourceLists__BDL_CHANNEL(x) == INT_ADD(x, 20));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
-
-
-//axiom (forall x:int :: {wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 832);
-axiom (forall x:int :: {wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 832));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-function wszSerialNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
-
-
-//axiom (forall x:int :: {wszSerialNumber__BDSI_INITIALIZERESOURCES(x)} wszSerialNumber__BDSI_INITIALIZERESOURCES(x) == x + 12);
-axiom (forall x:int :: {wszSerialNumber__BDSI_INITIALIZERESOURCES(x)} wszSerialNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 12));
-//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
-
-
-///////////////////////////////////
-// will be replaced by:
-// "//" when using bv mode
-// "" when using int mode
-// main reason is to avoid using bv for constants
-// or avoid translating lines that are complex or unsound
-//////////////////////////////////
-
-////////////////////////////////////////////
-/////// functions for int type /////////////
-// Theorem prover does not see INT_ADD etc.
-////////////////////////////////////////////
-function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
-function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
-
-function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
-function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
-function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
-function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
-function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
-function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
-function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
-function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
-function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
-
-
-////////////////////////////////////////////
-/////// functions for bv32 type /////////////
-// Theorem prover does not see INT_ADD etc.
-// we are treating unsigned ops now
-////////////////////////////////////////////
-function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
-function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
-
-function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
-function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
-function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
-
-//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
-//only enabled with bv theory
-// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
-// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
-
-//////////////////////////////////
-// Generic C Arithmetic operations
-/////////////////////////////////
-
-//Is this sound for bv32?
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
- axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
- INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
-
-//we just keep this axiom for size = 1
-axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
-
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
-
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
-
-function MULT(a:int, b:int) returns (int); // a*b
-//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-// Not sure if these axioms hold for BV too, just commet them for BV
-
-
-
-//uninterpreted binary op
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-
-//////////////////////////////////////////
-//// Bitwise ops (uninterpreted, used with int)
-//////////////////////////////////////////
-
-
- function BIT_BAND(a:int, b:int) returns (x:int);
-
-
- function BIT_BOR(a:int, b:int) returns (x:int);
- function BIT_BXOR(a:int, b:int) returns (x:int);
- function BIT_BNOT(a:int) returns (int);
-
-
-
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function PTR_NOT(a:int) returns (int);
-axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
-axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-
-function NewAlloc(x:int, y:int) returns (z:int);
-
-//Comments below make HAVOC_malloc deterministic
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-//requires obj_size >= 0;
-free requires INT_GEQ(obj_size, 0);
-modifies alloc;
-ensures new == old(alloc);
-//ensures alloc > new + obj_size;
-ensures INT_GT(alloc, INT_ADD(new, obj_size));
-//ensures alloc == NewAlloc(old(alloc), obj_size);
-
-
-
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-/*
-//bv functions
-function bv8ToInt(bv8) returns (int);
-function bv16ToInt(bv16) returns (int);
-function bv32ToInt(bv32) returns (int);
-function bv64ToInt(bv64) returns (int);
-
-function intToBv8(int) returns (bv8);
-function intToBv16(int) returns (bv16);
-function intToBv32(int) returns (bv32);
-function intToBv64(int) returns (bv64);
-
-axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
-axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
-axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
-axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
-
-axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
-axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
-axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
-axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
-*/
-
-
-
-var Res_0_COMPLETED : [int]int;
-var Res_0_EVENT : [int]int;
-var Res_KERNEL_SOURCE:[int]int;
-var Res_0_LOCK : [int]int;
-var Res_PROBED:[int]int;
-
-//Pointer constants
-
-//Function pointer constants
-const unique BDLCallDriverCompletionRoutine : int;
-const unique BDLDevicePowerIoCompletion : int;
-const unique BDLSystemPowerIoCompletion : int;
-
-
-axiom(BDLCallDriverCompletionRoutine != 0);
-const unique BDLSystemPowerCompleted : int;
-axiom(BDLSystemPowerCompleted != 0);
-var cancelLockStatus_0 : int;
-
-var created_irp_0 : int;
-
-const unique g_DebugLevel : int;
-axiom(g_DebugLevel != 0);
-const unique hdevobj : int;
-axiom(hdevobj != 0);
-
-
-procedure DRIVER_CANCEL(a0:int, a1:int);
-
-
-
-procedure ExFreePoolWithTag(a0:int, a1:int);
-
-
-
-procedure FN_BDDI_CLOSEHANDLE(a0:int, a1:int) returns (ret:int);
-
-
-
-procedure FN_BDDI_REGISTERNOTIFY(a0:int, a1:int) returns (ret:int);
-
-
-
-procedure FN_BDSI_INITIALIZERESOURCES(a0:int, a1:int) returns (ret:int);
-
-
-
-procedure FN_BDSI_RELEASERESOURCES(a0:int) returns (ret:int);
-
-
-
-procedure FN_BDSI_REMOVEDEVICE(a0:int) returns (ret:int);
-
-
-
-procedure FN_BDSI_SETPOWERSTATE(a0:int, a1:int) returns (ret:int);
-
-
-
-procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
-
-
-
-procedure IoDeleteDevice(a0:int);
-
-
-
-procedure IoDetachDevice(a0:int);
-
-
-
-procedure IoReleaseRemoveLockAndWaitEx(a0:int, a1:int, a2:int);
-
-
-
-procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
-
-
-
-procedure IoSetDeviceInterfaceState(a0:int, a1:int) returns (ret:int);
-
-
-
-procedure KfLowerIrql(a0:int);
-
-
-
-procedure KfRaiseIrql(a0:int) returns (ret:int);
-
-
-
-procedure PoRequestPowerIrp(a0:int, a1:int, a2:int, a3:int, a4:int, a5:int) returns (ret:int);
-
-
-
-procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
-
-
-
-procedure PoStartNextPowerIrp(a0:int);
-
-
-
-procedure RtlFreeUnicodeString(a0:int);
-
-
-
-procedure __storm_assert_dummy();
-
-
-
-procedure __storm_atomic_begin_dummy();
-
-
-
-procedure __storm_atomic_end_dummy();
-
-
-
-procedure __storm_init_begin_dummy();
-
-
-
-procedure __storm_init_end_dummy();
-
-
-
-
-
-
-procedure storm_nondet() returns (ret:int);
-
-
-
-procedure storm_main();
- free requires 0 < alloc;
- requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
- modifies alloc, k, errorReached, raiseException, __storm_atomic, __storm_init, cancelLockStatus_0, created_irp_0, alloc, __storm_thread_id, __storm_thread_done_1, __storm_thread_done_2, __storm_thread_done_3, __storm_thread_done_4, Res_0_COMPLETED, Res_0_EVENT, Res_KERNEL_SOURCE, Res_0_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_0_T.CurrentLocation__IRP, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.StackCount__IRP;
-
-
-
-implementation storm_main()
-{
- var inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$407$myNondetVar_0: int, inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$: int, inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$55$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$2$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$21$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$487$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$567$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$: int, inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$375$myNondetVar_0: int, inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$548$myNondetVar_0: int, inline$BDLGetDebugLevel$49$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.memset$1683.8$14$: int, inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$596$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$31$myVar_0: int, inline$IoGetCurrentIrpStackLocation$31$myVar_1: int, inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$529$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$6$havoc_stringTemp: int, inline$CallCompletionRoutine$28$myNondetVar_0: int, inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$: int, inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLGetDebugLevel$8$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$71$myVar_0: int, inline$IoGetCurrentIrpStackLocation$71$myVar_1: int, inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetNextIrpStackLocation$8$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp: int, inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$702$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$792$myNondetVar_0: int, inline$BDLGetDebugLevel$733$myNondetVar_0: int, inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.memset$1683.8$14$: int, inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$10$myNondetVar_0: int, inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$555$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$79$myVar_0: int, inline$IoGetCurrentIrpStackLocation$79$myVar_1: int, inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$: int, inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp: int, inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$CallCompletionRoutine$30$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$: int, inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$1$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$113$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1: int, inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$315$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$284$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.memset$1683.8$14$: int, inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$41$myNondetVar_0: int, inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$44$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetNextIrpStackLocation$5$myNondetVar_0: int, inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$21$: int, inline$IoSetNextIrpStackLocation$9$havoc_stringTemp: int, inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$519$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp: int, inline$BDLGetDebugLevel$530$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$210$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$471$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$2$myVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$305$myNondetVar_0: int, inline$BDLGetDebugLevel$506$myNondetVar_0: int, inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$147$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$636$myNondetVar_0: int, inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$111$myNondetVar_0: int, inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$3$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$42$myVar_0: int, inline$IoGetCurrentIrpStackLocation$42$myVar_1: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$24$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$22$myNondetVar_0: int, inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$18$: int, inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$352$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1: int, inline$BDLGetDebugLevel$100$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetNextIrpStackLocation$6$myVar_0: int, inline$IoGetCurrentIrpStackLocation$23$myVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$143$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$107$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoSetNextIrpStackLocation$14$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$35$myNondetVar_0: int, inline$BDLGetDebugLevel$624$myNondetVar_0: int, inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$360$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$56$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$38$myVar_1: int, inline$IoGetCurrentIrpStackLocation$38$myVar_0: int, inline$BDLGetDebugLevel$525$myNondetVar_0: int, inline$BDLGetDebugLevel$450$myNondetVar_0: int, inline$BDLGetDebugLevel$363$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$771$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$54$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLGetDebugLevel$578$myNondetVar_0: int, inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$29$myNondetVar_0: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$: int, inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_getThreadID$3$tid: int, inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoSetNextIrpStackLocation$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$: int, inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$670$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$96$myVar_1: int, inline$IoGetCurrentIrpStackLocation$96$myVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$23$: int, inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$799$myNondetVar_0: int, inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$IoGetCurrentIrpStackLocation$47$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$303$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$: int, inline$BDLGetDebugLevel$60$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$640$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp: int, inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$743$myNondetVar_0: int, inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$99$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.memset$1683.8$14$: int, inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$: int, inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$561$myNondetVar_0: int, inline$BDLGetDebugLevel$56$myNondetVar_0: int, inline$BDLGetDebugLevel$583$myNondetVar_0: int, inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$41$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$477$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$: int, inline$IoSetNextIrpStackLocation$13$myVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$681$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$421$myNondetVar_0: int, inline$BDLGetDebugLevel$59$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$47$myNondetVar_0: int, inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$690$myNondetVar_0: int, inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$: int, inline$BDLGetDebugLevel$165$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$myNondetVar_0: int, inline$BDLGetDebugLevel$458$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$20$: int, inline$IsListEmpty$5$myNondetVar_0: int, inline$BDLGetDebugLevel$324$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$17$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1: int, inline$BDLGetDebugLevel$309$myNondetVar_0: int, inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$25$myNondetVar_0: int, inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$94$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$473$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLHandleRemove$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$3$myVar_0: int, inline$IoGetCurrentIrpStackLocation$3$myVar_1: int, inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$10$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$437$myNondetVar_0: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLPnP$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$12$myVar_1: int, inline$IoGetCurrentIrpStackLocation$12$myVar_0: int, inline$BDLGetDebugLevel$633$myNondetVar_0: int, inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$63$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$649$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$14$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$27$: int, inline$BDLGetDebugLevel$729$myNondetVar_0: int, inline$BDLGetDebugLevel$274$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$144$myVar_0: int, inline$IoGetCurrentIrpStackLocation$144$myVar_1: int, inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnP$0$$result.DbgPrint$987.0$42$: int, inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$73$myVar_0: int, inline$IoGetCurrentIrpStackLocation$73$myVar_1: int, inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$57$myVar_0: int, inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$2037.0$48$: int, inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$465$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$5$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$: int, inline$IoGetCurrentIrpStackLocation$86$myVar_0: int, inline$IoGetCurrentIrpStackLocation$86$myVar_1: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$4$: int, inline$CallCompletionRoutine$9$myNondetVar_0: int, inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$803$myNondetVar_0: int, inline$BDLGetDebugLevel$137$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$280$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$326$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp: int, inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp: int, inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$260$myNondetVar_0: int, inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$: int, inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$: int, inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$241$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0: int, inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$3$: int, inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$8$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$250$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp: int, inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$147$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$725$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$: int, inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$: int, inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$603$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$82$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$myVar_1: int, inline$IoGetCurrentIrpStackLocation$118$myVar_0: int, inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$IoGetCurrentIrpStackLocation$112$myVar_1: int, inline$IoGetCurrentIrpStackLocation$112$myVar_0: int, inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$19$myNondetVar_0: int, inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$416$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$33$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$: int, inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$289$myNondetVar_0: int, inline$BDLGetDebugLevel$572$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$501$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp: int, inline$BDLGetDebugLevel$16$myNondetVar_0: int, inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$151$myVar_0: int, inline$IoGetCurrentIrpStackLocation$151$myVar_1: int, inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$665$myNondetVar_0: int, inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$: int, inline$BDLGetDebugLevel$214$myNondetVar_0: int, inline$BDLGetDebugLevel$77$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$83$myNondetVar_0: int, inline$BDLGetDebugLevel$80$myNondetVar_0: int, inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4: int, inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$: int, inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$601$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$34$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$IoGetCurrentIrpStackLocation$65$myVar_0: int, inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8: int, inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$109$myVar_1: int, inline$IoGetCurrentIrpStackLocation$109$myVar_0: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$34$: int, inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$8$: int, inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetCurrentIrpStackLocation$50$myVar_1: int, inline$IoGetCurrentIrpStackLocation$50$myVar_0: int, inline$BDLGetDebugLevel$133$myNondetVar_0: int, inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$51$myNondetVar_0: int, inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8: int, inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$145$myNondetVar_0: int, inline$BDLGetDebugLevel$128$myNondetVar_0: int, inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$21$: int, inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetNextIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$160$myNondetVar_0: int, inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp: int, inline$BDLGetDebugLevel$314$myNondetVar_0: int, inline$BDLGetDebugLevel$696$myNondetVar_0: int, inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$654$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$14$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$635$myNondetVar_0: int, inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$204$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$3$myNondetVar_0: int, inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1: int, inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$102$myVar_1: int, inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$628$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$: int, inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$478$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp: int, inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$643$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$129$myNondetVar_0: int, inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$92$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$myVar_1: int, inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$: int, inline$IoGetCurrentIrpStackLocation$48$myVar_0: int, inline$IoGetCurrentIrpStackLocation$48$myVar_1: int, inline$BDLGetDebugLevel$316$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$20$: int, inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp: int, inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp: int, inline$BDLHandleRemove$0$$callresult.$2437.63$27$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$29$: int, inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0: int, inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$17$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$0$myVar_1: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$BDLGetDebugLevel$58$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$310$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0: int, inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$377$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$11$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$41$: int, inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$: int, inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$169$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLPnPQueryRemove$0$havoc_stringTemp: int, inline$storm_getThreadID$8$tid: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$20$: int, inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp: int, inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$88$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp: int, inline$IoGetNextIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$659$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$740$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$9$myVar_0: int, inline$BDLGetDebugLevel$523$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$177$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$25$$callresult.$1687.68$15$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16: int, inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$256$myNondetVar_0: int, inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$294$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$myNondetVar_0: int, inline$BDLGetDebugLevel$485$myNondetVar_0: int, inline$BDLGetDebugLevel$2$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$475$myNondetVar_0: int, inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$9$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$573$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoSetNextIrpStackLocation$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$87$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetCurrentIrpStackLocation$14$myNondetVar_0: int, inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$283$myNondetVar_0: int, inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$647$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$myNondetVar_0: int, inline$BDLGetDebugLevel$194$myNondetVar_0: int, inline$BDLGetDebugLevel$610$myNondetVar_0: int, inline$BDLGetDebugLevel$772$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$: int, inline$IoGetCurrentIrpStackLocation$148$myVar_0: int, inline$IoGetCurrentIrpStackLocation$148$myVar_1: int, inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$: int, inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$: int, inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp: int, inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1: int, inline$IoGetCurrentIrpStackLocation$51$myVar_0: int, inline$IoGetCurrentIrpStackLocation$51$myVar_1: int, inline$BDLDevicePowerIoCompletion$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$30$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$779$myNondetVar_0: int, inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$419$myNondetVar_0: int, inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetNextIrpStackLocation$11$myVar_0: int, inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$8$: int, inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$595$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$58$myVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$232$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$28$myVar_1: int, inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$409$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$114$myVar_1: int, inline$IoGetCurrentIrpStackLocation$114$myVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$: int, inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp: int, inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$23$: int, inline$IoSetNextIrpStackLocation$15$myVar_0: int, inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$17$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0: int, inline$BDLGetDebugLevel$64$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$8$: int, inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$: int, inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$: int, inline$BDLDevicePowerIoCompletion$9$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$714$myNondetVar_0: int, inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLGetDebugLevel$299$myNondetVar_0: int, inline$BDLGetDebugLevel$380$myNondetVar_0: int, inline$BDLGetDebugLevel$774$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4: int, inline$BDLGetDebugLevel$322$myNondetVar_0: int, inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$3$: int, inline$BDLGetDebugLevel$197$myNondetVar_0: int, inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$22$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$607$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$: int, inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$: int, inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$9$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoSetNextIrpStackLocation$3$tempBoogie0: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$12$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$: int, inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8: int, inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$: int, inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoSetNextIrpStackLocation$10$tempBoogie0: int, inline$BDLGetDebugLevel$287$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$22$myNondetVar_0: int, inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$28$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$32$: int, inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$604$myNondetVar_0: int, inline$BDLGetDebugLevel$223$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$52$myVar_1: int, inline$IoGetCurrentIrpStackLocation$52$myVar_0: int, inline$IoGetCurrentIrpStackLocation$71$myNondetVar_0: int, inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$: int, inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$: int, inline$RemoveHeadList$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$500$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$: int, inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$775$myNondetVar_0: int, inline$BDLGetDebugLevel$266$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$4$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$16$: int, inline$BDLDevicePowerIoCompletion$15$$result.memset$1683.8$14$: int, inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$652$myNondetVar_0: int, inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$2$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp: int, inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$myVar_1: int, inline$IoGetCurrentIrpStackLocation$61$myVar_0: int, inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$599$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$11$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp: int, inline$BDLGetDebugLevel$273$myNondetVar_0: int, inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$125$myNondetVar_0: int, inline$CallCompletionRoutine$21$myNondetVar_0: int, inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$155$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetNextIrpStackLocation$4$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$: int, inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$23$myVar_1: int, inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$24$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$446$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$133$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$712$myNondetVar_0: int, inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$18$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp: int, inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$762$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$43$myVar_1: int, inline$IoGetCurrentIrpStackLocation$43$myVar_0: int, inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLGetDebugLevel$428$myNondetVar_0: int, inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$737$myNondetVar_0: int, inline$BDLGetDebugLevel$208$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$320$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8: int, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$229$myNondetVar_0: int, inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$100$myVar_0: int, inline$IoGetCurrentIrpStackLocation$100$myVar_1: int, inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8: int, inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$759$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$591$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$: int, inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0: int, inline$BDLGetDebugLevel$716$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$: int, inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$91$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$292$myNondetVar_0: int, inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$84$myNondetVar_0: int, inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$40$: int, inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$551$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$35$myNondetVar_0: int, inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$2$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$103$myVar_1: int, inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$662$myNondetVar_0: int, inline$BDLGetDebugLevel$726$myNondetVar_0: int, inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$668$myNondetVar_0: int, inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$: int, inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$122$myVar_0: int, inline$IoGetCurrentIrpStackLocation$122$myVar_1: int, inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$13$myVar_0: int, inline$IoGetCurrentIrpStackLocation$13$myVar_1: int, inline$BDLGetDebugLevel$123$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0: int, inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$36$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$230$myNondetVar_0: int, inline$BDLGetDebugLevel$415$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp: int, inline$BDLGetDebugLevel$787$myNondetVar_0: int, inline$BDLGetDebugLevel$74$myNondetVar_0: int, inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$3$: int, inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1: int, inline$BDLGetDebugLevel$359$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$: int, inline$BDLGetDebugLevel$502$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4: int, inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$5$myVar_1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$: int, inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp: int, inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$535$myNondetVar_0: int, inline$BDLGetDebugLevel$403$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$135$myNondetVar_0: int, inline$BDLGetDebugLevel$620$myNondetVar_0: int, inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$189$myNondetVar_0: int, inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$: int, inline$BDLDevicePowerIoCompletion$8$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$32$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$18$: int, inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$: int, inline$BDLGetDebugLevel$399$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$result.memcpy$25110.4$3$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$1$tempBoogie0: int, inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$: int, inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$22$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$4$myNondetVar_0: int, inline$BDLGetDebugLevel$664$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$495$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$result.memcpy$25110.4$3$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$52$: int, inline$IoGetCurrentIrpStackLocation$14$myVar_1: int, inline$IoGetCurrentIrpStackLocation$14$myVar_0: int, inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$579$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$499$myNondetVar_0: int, inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$60$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$279$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1: int, inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$callresult.$3172.84$15$: int, inline$BDLGetDebugLevel$275$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$: int, inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2190.0$3$: int, inline$IoGetCurrentIrpStackLocation$10$myVar_1: int, inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$129$myVar_1: int, inline$IoGetCurrentIrpStackLocation$129$myVar_0: int, inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp: int, inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$: int, inline$IoGetCurrentIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1: int, inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$347$myNondetVar_0: int, inline$BDLGetDebugLevel$150$myNondetVar_0: int, inline$BDLGetDebugLevel$510$myNondetVar_0: int, inline$BDLGetDebugLevel$369$myNondetVar_0: int, inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$125$myNondetVar_0: int, inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$233$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$547$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$7$myNondetVar_0: int, inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$: int, inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$20$: int, inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.question.16$: int, inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$17$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$580$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$457$myNondetVar_0: int, inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$: int, inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$512$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$8$: int, inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$231$myNondetVar_0: int, inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$211$myNondetVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$: int, inline$IoGetCurrentIrpStackLocation$54$myVar_1: int, inline$IoGetCurrentIrpStackLocation$54$myVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$5$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$146$myNondetVar_0: int, inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$status$3$859.36$BDLPnP$8: int, inline$BDLGetDebugLevel$791$myNondetVar_0: int, inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetNextIrpStackLocation$9$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$111$myVar_0: int, inline$IoGetCurrentIrpStackLocation$111$myVar_1: int, inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$11$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$52$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$237$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$107$myVar_1: int, inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$23$: int, inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$582$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$364$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$: int, inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$: int, inline$BDLGetDebugLevel$371$myNondetVar_0: int, inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$: int, inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$: int, inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$CallCompletionRoutine$3$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$: int, inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoSetNextIrpStackLocation$15$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp: int, inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$27$: int, inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$: int, inline$BDLGetDebugLevel$723$myNondetVar_0: int, inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$88$myVar_0: int, inline$IoGetCurrentIrpStackLocation$88$myVar_1: int, inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$174$myNondetVar_0: int, inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$533$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$710$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$115$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$17$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$494$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$: int, inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1: int, inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$98$myNondetVar_0: int, inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoSetNextIrpStackLocation$11$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$15$myVar_0: int, inline$IoGetCurrentIrpStackLocation$15$myVar_1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLHandleRemove$0$myNondetVar_0: int, inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp: int, inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$: int, inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$509$myNondetVar_0: int, inline$BDLGetDebugLevel$278$myNondetVar_0: int, inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$12$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$: int, inline$IoGetCurrentIrpStackLocation$146$myVar_1: int, inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$110$myNondetVar_0: int, inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$29$: int, inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$89$myVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$26$myNondetVar_0: int, inline$BDLLockHandleList$0$myNondetVar_0: int, inline$BDLLockHandleList$0$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$140$myNondetVar_0: int, inline$BDLGetDebugLevel$609$myNondetVar_0: int, inline$BDLGetDebugLevel$728$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$351$myNondetVar_0: int, inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$9$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$15$: int, inline$BDLGetDebugLevel$18$myNondetVar_0: int, inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$11$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$59$myNondetVar_0: int, inline$BDLGetDebugLevel$788$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$476$myNondetVar_0: int, inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$20$: int, inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$: int, inline$RemoveHeadList$5$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$179$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetNextIrpStackLocation$5$havoc_stringTemp: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$: int, inline$BDLGetDebugLevel$107$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$100$myNondetVar_0: int, inline$BDLGetDebugLevel$27$myNondetVar_0: int, inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$: int, inline$BDLGetDebugLevel$62$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$: int, inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$29$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$: int, inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$45$: int, inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$80$myNondetVar_0: int, inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$516$myNondetVar_0: int, inline$BDLGetDebugLevel$302$myNondetVar_0: int, inline$BDLGetDebugLevel$754$myNondetVar_0: int, inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$276$myNondetVar_0: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$: int, inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$: int, inline$BDLGetDebugLevel$105$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$29$: int, inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12: int, inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$190$myNondetVar_0: int, inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$3$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$29$: int, inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$80$myVar_1: int, inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSetNextIrpStackLocation$11$myVar_0: int, inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$425$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$141$myVar_1: int, inline$IoGetCurrentIrpStackLocation$141$myVar_0: int, inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$40$: int, inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$48$myNondetVar_0: int, inline$BDLGetDebugLevel$564$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$180$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$374$myNondetVar_0: int, inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$756$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$440$myNondetVar_0: int, inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$166$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$782$myNondetVar_0: int, inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$656$myNondetVar_0: int, inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$27$: int, inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$: int, inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12: int, inline$BDLGetDebugLevel$348$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$result.memcpy$25110.4$3$: int, inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$317$myNondetVar_0: int, inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.DbgPrint$886.0$23$: int, inline$IoGetCurrentIrpStackLocation$53$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$11$myNondetVar_0: int, inline$BDLGetDebugLevel$602$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp: int, inline$BDLPnPRemove$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp: int, inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$: int, inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$: int, inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$: int, inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4: int, inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$: int, inline$CallCompletionRoutine$11$myNondetVar_0: int, inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0: int, inline$BDLGetDebugLevel$768$myNondetVar_0: int, inline$BDLGetDebugLevel$556$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$43$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$538$myNondetVar_0: int, inline$BDLGetDebugLevel$666$myNondetVar_0: int, inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetNextIrpStackLocation$3$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoGetNextIrpStackLocation$7$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$18$: int, inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12: int, inline$IoGetCurrentIrpStackLocation$108$myNondetVar_0: int, inline$BDLGetDebugLevel$17$myNondetVar_0: int, inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0: int, inline$BDLGetDebugLevel$311$myNondetVar_0: int, inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$430$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$10$havoc_stringTemp: int, inline$BDLGetDebugLevel$584$myNondetVar_0: int, inline$BDLPnPStop$0$$result.DbgPrint$2208.0$21$: int, inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$394$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp: int, inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$: int, inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$329$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0: int, inline$BDLGetDebugLevel$709$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$780$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$187$myNondetVar_0: int, inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1: int, inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0: int, inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp: int, inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$614$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$41$myVar_1: int, inline$IoGetCurrentIrpStackLocation$41$myVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$686$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp: int, inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$: int, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1: int, inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$412$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$105$myVar_1: int, inline$IoGetCurrentIrpStackLocation$105$myVar_0: int, inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$73$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$587$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$105$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$: int, inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLGetDebugLevel$472$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$396$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$63$myVar_1: int, inline$IoGetCurrentIrpStackLocation$63$myVar_0: int, inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$7$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$342$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$639$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$323$myNondetVar_0: int, inline$BDLGetDebugLevel$296$myNondetVar_0: int, inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$93$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$callresult.$1687.68$15$: int, inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12: int, inline$BDLGetDebugLevel$158$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$74$myVar_0: int, inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$451$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$81$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$26$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myVar_1: int, inline$BDLGetDebugLevel$619$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$623$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$168$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$101$myNondetVar_0: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$36$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$139$myVar_0: int, inline$IoGetCurrentIrpStackLocation$139$myVar_1: int, inline$IoGetNextIrpStackLocation$8$havoc_stringTemp: int, inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$93$myVar_0: int, inline$IoGetCurrentIrpStackLocation$93$myVar_1: int, inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$15$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$8$myNondetVar_0: int, inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$: int, inline$BDLCleanupNotificationStruct$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$: int, inline$BDLGetDebugLevel$438$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$6$myNondetVar_0: int, inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$340$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$368$myNondetVar_0: int, inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12: int, inline$BDLGetDebugLevel$34$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$: int, inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$myNondetVar_0: int, inline$BDLGetDebugLevel$481$myNondetVar_0: int, inline$BDLGetDebugLevel$339$myNondetVar_0: int, inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$246$myNondetVar_0: int, inline$BDLGetDebugLevel$400$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$20$: int, inline$IoGetNextIrpStackLocation$12$havoc_stringTemp: int, inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$: int, inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp: int, inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$20$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$44$myNondetVar_0: int, inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoSetNextIrpStackLocation$6$myVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$83$myVar_1: int, inline$IoGetCurrentIrpStackLocation$83$myVar_0: int, inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$29$: int, inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLPnPStop$0$$result.DbgPrint$2243.0$39$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$136$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$152$myVar_1: int, inline$IoGetCurrentIrpStackLocation$152$myVar_0: int, inline$BDLGetDebugLevel$698$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$25$myNondetVar_0: int, inline$BDLGetDebugLevel$435$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$70$myNondetVar_0: int, inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$35$myVar_0: int, inline$IoGetCurrentIrpStackLocation$35$myVar_1: int, inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$45$: int, inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$125$myVar_1: int, inline$IoGetCurrentIrpStackLocation$125$myVar_0: int, inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$: int, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$9$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$103$myVar_0: int, inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$272$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$0$myNondetVar_0: int, inline$BDLGetDebugLevel$692$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp: int, inline$BDLGetDebugLevel$550$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$747$myNondetVar_0: int, inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$16$myVar_1: int, inline$IoGetCurrentIrpStackLocation$16$myVar_0: int, inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp: int, inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$: int, inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$: int, inline$IoGetCurrentIrpStackLocation$103$myNondetVar_0: int, inline$BDLGetDebugLevel$378$myNondetVar_0: int, inline$BDLGetDebugLevel$202$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$9$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$: int, inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$: int, inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$175$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$18$: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$: int, inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$: int, inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$57$myVar_1: int, inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1: int, inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12: int, inline$BDLGetDebugLevel$384$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$: int, inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$678$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$193$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$632$myNondetVar_0: int, inline$BDLGetDebugLevel$445$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$183$myNondetVar_0: int, inline$BDLGetDebugLevel$196$myNondetVar_0: int, inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$: int, inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2231.0$33$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$15$: int, inline$BDLPnP$0$$result.DbgPrint$865.0$3$: int, inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4: int, inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$44$myVar_0: int, inline$IoGetCurrentIrpStackLocation$44$myVar_1: int, inline$BDLGetDebugLevel$629$myNondetVar_0: int, inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1: int, inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$258$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLGetDebugLevel$338$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$29$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$: int, inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$4$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$131$myVar_0: int, inline$IoGetCurrentIrpStackLocation$131$myVar_1: int, inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$72$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4: int, inline$BDLGetDebugLevel$7$myNondetVar_0: int, inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$447$myNondetVar_0: int, inline$BDLPnPStart$0$$result.memset$1992.4$25$: int, inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$261$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$252$myNondetVar_0: int, inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$71$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$40$myVar_0: int, inline$IoGetCurrentIrpStackLocation$40$myVar_1: int, inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$10$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$124$myNondetVar_0: int, inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0: int, inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$143$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$: int, inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$: int, inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$433$myNondetVar_0: int, inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8: int, inline$CallCompletionRoutine$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$151$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$784$myNondetVar_0: int, inline$BDLGetDebugLevel$422$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$callresult.$1687.68$15$: int, inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$64$myVar_0: int, inline$IoGetCurrentIrpStackLocation$64$myVar_1: int, inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$480$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$20$: int, inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0: int, inline$BDLGetDebugLevel$40$myNondetVar_0: int, inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$42$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$result.memcpy$25110.4$3$: int, inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$17$myVar_0: int, inline$IoGetCurrentIrpStackLocation$17$myVar_1: int, inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$: int, inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$138$myVar_1: int, inline$IoGetCurrentIrpStackLocation$138$myVar_0: int, inline$IoGetCurrentIrpStackLocation$127$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$: int, inline$BDLGetDebugLevel$498$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp: int, inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$15$: int, inline$IoSetNextIrpStackLocation$5$myVar_0: int, inline$BDLGetDebugLevel$697$myNondetVar_0: int, inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$99$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$: int, inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp: int, inline$BDLGetDebugLevel$116$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$713$myNondetVar_0: int, inline$CallCompletionRoutine$19$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$188$myNondetVar_0: int, inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp: int, inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$: int, $result.storm_IoAllocateIrp$100.0$1$: int, inline$BDLGetDebugLevel$683$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$680$myNondetVar_0: int, inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$: int, inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$195$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$198$myNondetVar_0: int, inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$: int, inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp: int, inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$8$: int, inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$218$myNondetVar_0: int, inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp: int, inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$32$: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$18$: int, inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$: int, inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$: int, inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$108$myVar_0: int, inline$IoGetCurrentIrpStackLocation$108$myVar_1: int, inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$325$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$4$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$568$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$22$: int, inline$IoSetNextIrpStackLocation$12$myVar_0: int, inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$96$myNondetVar_0: int, inline$BDLGetDebugLevel$414$myNondetVar_0: int, inline$BDLGetDebugLevel$95$myNondetVar_0: int, inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$673$myNondetVar_0: int, inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$553$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$7$: int, inline$BDLGetDebugLevel$732$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$222$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$150$myNondetVar_0: int, inline$BDLGetDebugLevel$112$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$21$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$16$: int, inline$BDLGetDebugLevel$344$myNondetVar_0: int, inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp: int, inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$34$: int, inline$BDLRemoveHandleFromList$0$myNondetVar_1: int, inline$BDLRemoveHandleFromList$0$myNondetVar_0: int, inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$: int, inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$131$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$8$: int, inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$20$: int, inline$BDLGetDebugLevel$766$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$34$: int, inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$257$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$: int, inline$BDLGetDebugLevel$671$myNondetVar_0: int, inline$BDLGetDebugLevel$786$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$131$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$793$myNondetVar_0: int, inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$308$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$2$: int, inline$IoGetCurrentIrpStackLocation$33$myVar_0: int, inline$IoGetCurrentIrpStackLocation$33$myVar_1: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$243$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$15$myNondetVar_0: int, inline$BDLGetDebugLevel$240$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$: int, inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$634$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp: int, inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1: int, inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.DbgPrint$2037.0$43$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$20$: int, inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$8$$result.memset$1683.8$14$: int, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp: int, inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$57$: int, inline$BDLGetDebugLevel$130$myNondetVar_0: int, inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$23$: int, inline$IsListEmpty$4$myNondetVar_0: int, inline$BDLGetDebugLevel$417$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$236$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$441$myNondetVar_0: int, inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IsListEmpty$2$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$107$myVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$184$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$7$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$224$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$: int, inline$BDLGetDebugLevel$39$myNondetVar_0: int, inline$RemoveHeadList$2$myNondetVar_0: int, inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$: int, inline$BDLGetDebugLevel$332$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$21$myNondetVar_0: int, inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$69$myVar_0: int, inline$IoGetCurrentIrpStackLocation$2$myNondetVar_0: int, inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16: int, inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$486$myNondetVar_0: int, inline$BDLGetDebugLevel$460$myNondetVar_0: int, inline$BDLPnP$0$$result.DbgPrint$865.0$8$: int, inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$734$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$145$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$401$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$704$myNondetVar_0: int, inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp: int, inline$BDLGetDebugLevel$442$myNondetVar_0: int, inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$myNondetVar_0: int, inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$717$myNondetVar_0: int, inline$BDLGetDebugLevel$346$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$: int, inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$207$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$: int, inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$: int, inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp: int, inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$581$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$: int, inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$96$myNondetVar_0: int, inline$BDLGetDebugLevel$730$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$: int, inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$127$myVar_1: int, inline$IoGetCurrentIrpStackLocation$127$myVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$598$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$85$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$53$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$: int, inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnPStart$0$$result.DbgPrint$2063.0$56$: int, inline$BDLDevicePowerIoCompletion$11$myNondetVar_0: int, inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1: int, inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$: int, inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$BDLPnPStop$0$$result.DbgPrint$2208.0$16$: int, inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$4$: int, inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$186$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$27$: int, inline$BDLGetDebugLevel$205$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$result.memset$1683.8$14$: int, inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$50$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp: int, inline$BDLGetDebugLevel$689$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$505$myNondetVar_0: int, inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$: int, inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$334$myNondetVar_0: int, inline$BDLGetDebugLevel$185$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$513$myNondetVar_0: int, inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16: int, inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.memcpy$2018.0$38$: int, inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$606$myNondetVar_0: int, inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$: int, inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$storm_getThreadID$9$tid: int, inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_getThreadID$6$tid: int, inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$: int, inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp: int, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$: int, inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4: int, inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$26$myNondetVar_0: int, inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$104$myVar_0: int, inline$IoGetCurrentIrpStackLocation$104$myVar_1: int, inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1: int, inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$29$: int, inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$94$myVar_1: int, inline$IoGetCurrentIrpStackLocation$94$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$426$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$27$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$75$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$751$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$: int, inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$68$myVar_0: int, inline$IoGetCurrentIrpStackLocation$68$myVar_1: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$38$myNondetVar_0: int, inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$myVar_1: int, inline$IoGetCurrentIrpStackLocation$98$myVar_0: int, inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$20$myVar_0: int, inline$IoGetCurrentIrpStackLocation$20$myVar_1: int, inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp: int, inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$557$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$28$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$29$: int, inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$15$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoSetNextIrpStackLocation$7$tempBoogie0: int, inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$: int, inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$4$tempBoogie0: int, inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$541$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$796$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$128$myVar_0: int, inline$IoGetCurrentIrpStackLocation$128$myVar_1: int, inline$IoGetCurrentIrpStackLocation$151$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$75$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$: int, inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$81$myVar_1: int, inline$IoGetCurrentIrpStackLocation$81$myVar_0: int, inline$BDLGetDebugLevel$306$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$249$myNondetVar_0: int, inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$387$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$13$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$144$myNondetVar_0: int, inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$34$: int, inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$651$myNondetVar_0: int, inline$BDLGetDebugLevel$521$myNondetVar_0: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$65$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$794$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$: int, inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$: int, inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$367$myNondetVar_0: int, inline$BDLLockHandleList$1$myNondetVar_1: int, inline$BDLLockHandleList$1$myNondetVar_0: int, inline$BDLGetDebugLevel$120$myNondetVar_0: int, inline$BDLGetDebugLevel$118$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$110$myVar_1: int, inline$IoGetCurrentIrpStackLocation$110$myVar_0: int, inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$119$myVar_0: int, inline$IoGetCurrentIrpStackLocation$119$myVar_1: int, inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$72$myVar_1: int, inline$IoGetCurrentIrpStackLocation$72$myVar_0: int, inline$BDLGetDebugLevel$391$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp: int, inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$114$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$708$myNondetVar_0: int, inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$78$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$33$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp: int, inline$BDLGetDebugLevel$537$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$result.memset$1683.8$14$: int, inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$3$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$: int, inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$115$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$18$: int, inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$667$myNondetVar_0: int, inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0: int, inline$BDLGetDebugLevel$104$myNondetVar_0: int, inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$761$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$515$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$291$myNondetVar_0: int, inline$BDLGetDebugLevel$736$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$: int, inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$75$myVar_0: int, inline$IoGetCurrentIrpStackLocation$75$myVar_1: int, inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$789$myNondetVar_0: int, inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1: int, inline$BDLGetDebugLevel$354$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$23$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$22$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$IoGetNextIrpStackLocation$1$myVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp: int, inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$54$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$: int, inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$85$myVar_1: int, inline$IoGetCurrentIrpStackLocation$85$myVar_0: int, inline$IoGetCurrentIrpStackLocation$78$myVar_1: int, inline$IoGetCurrentIrpStackLocation$78$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp: int, inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$4$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$3$myNondetVar_0: int, inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$73$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$: int, inline$BDLGetDebugLevel$575$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$424$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$20$$result.memset$1683.8$14$: int, inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4: int, inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$: int, inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$29$: int, inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$228$myNondetVar_0: int, inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$161$myNondetVar_0: int, inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$37$: int, inline$IoGetCurrentIrpStackLocation$39$myNondetVar_0: int, inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$: int, inline$IoGetCurrentIrpStackLocation$69$myVar_1: int, inline$BDLGetDebugLevel$790$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$611$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$8$myNondetVar_0: int, inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$49$myVar_1: int, inline$IoGetCurrentIrpStackLocation$49$myVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$565$myNondetVar_0: int, inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$11$myVar_1: int, inline$BDLGetDebugLevel$201$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$8$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$3$: int, inline$BDLGetDebugLevel$227$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$307$myNondetVar_0: int, inline$BDLGetDebugLevel$801$myNondetVar_0: int, inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$328$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$65$myVar_1: int, inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$7$myVar_0: int, inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$17$myNondetVar_0: int, inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$349$myNondetVar_0: int, inline$BDLGetDebugLevel$163$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$30$myVar_1: int, inline$IoGetCurrentIrpStackLocation$30$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$20$: int, inline$BDLPnPStart$0$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$callresult.$3284.85$14$: int, inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$: int, inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$532$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$593$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$171$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$335$myNondetVar_0: int, inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStart$0$$result.DbgPrint$2063.0$61$: int, inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$23$: int, inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$: int, inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_getThreadID$7$tid: int, inline$BDLGetDebugLevel$785$myNondetVar_0: int, inline$BDLGetDebugLevel$630$myNondetVar_0: int, inline$BDLGetDebugLevel$503$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$46$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$: int, inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$: int, inline$CallCompletionRoutine$2$myNondetVar_0: int, inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$79$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$: int, inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$6$tempBoogie0: int, inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1: int, inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$3$: int, inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$IoGetNextIrpStackLocation$1$havoc_stringTemp: int, inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0: int, inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4: int, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1: int, inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$102$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$379$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$65$myNondetVar_0: int, inline$BDLGetDebugLevel$350$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$12$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$153$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$563$myNondetVar_0: int, inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$366$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$140$myVar_0: int, inline$IoGetCurrentIrpStackLocation$140$myVar_1: int, inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$callresult.$1999.70$26$: int, inline$IoGetCurrentIrpStackLocation$46$myVar_0: int, inline$IoGetCurrentIrpStackLocation$46$myVar_1: int, inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$70$myVar_1: int, inline$IoGetCurrentIrpStackLocation$70$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$124$myVar_0: int, inline$IoGetCurrentIrpStackLocation$124$myVar_1: int, inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$32$: int, inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0: int, inline$BDLGetDebugLevel$617$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$: int, inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1: int, inline$storm_getThreadID$2$tid: int, inline$IoGetCurrentIrpStackLocation$146$myVar_0: int, inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$89$myVar_1: int, inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$746$myNondetVar_0: int, inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$641$myNondetVar_0: int, inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$myNondetVar_0: int, inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$638$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$: int, inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$203$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$544$myNondetVar_0: int, inline$BDLGetDebugLevel$83$myNondetVar_0: int, inline$BDLGetDebugLevel$569$myNondetVar_0: int, inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$34$: int, inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$3$myVar_0: int, inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0: int, inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$25$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp: int, inline$BDLGetDebugLevel$444$myNondetVar_0: int, inline$BDLGetDebugLevel$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$613$myNondetVar_0: int, inline$BDLGetDebugLevel$345$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$657$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$: int, inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12: int, inline$BDLGetDebugLevel$69$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLPnP$0$$result.DbgPrint$886.0$18$: int, inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$318$myNondetVar_0: int, inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$: int, inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$410$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$605$myNondetVar_0: int, inline$BDLGetDebugLevel$142$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$167$myNondetVar_0: int, inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$8$: int, inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp: int, inline$BDLGetDebugLevel$420$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLCleanupDataHandles$1$$callresult.$3284.85$14$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$546$myNondetVar_0: int, inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$myNondetVar_0: int, inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$577$myNondetVar_0: int, inline$BDLGetDebugLevel$752$myNondetVar_0: int, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$301$myNondetVar_0: int, inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$217$myNondetVar_0: int, inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$: int, inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$10$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$386$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$281$myNondetVar_0: int, inline$BDLGetDebugLevel$52$myNondetVar_0: int, inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$: int, inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp: int, inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$20$: int, inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$24$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$: int, inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1: int, inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$141$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$62$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$23$: int, inline$IoSetNextIrpStackLocation$10$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$31$myNondetVar_0: int, inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$76$myVar_1: int, inline$IoGetCurrentIrpStackLocation$76$myVar_0: int, inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$1$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$765$myNondetVar_0: int, inline$BDLGetDebugLevel$238$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$9$myVar_0: int, inline$IoGetCurrentIrpStackLocation$9$myVar_1: int, inline$BDLGetDebugLevel$297$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$676$myNondetVar_0: int, inline$BDLGetDebugLevel$453$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$20$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$15$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$0$myNondetVar_0: int, inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$429$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$127$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$109$myNondetVar_0: int, inline$BDLGetDebugLevel$589$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$9$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$: int, inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$: int, inline$IoSkipCurrentIrpStackLocation$2$myVar_1: int, inline$IoSkipCurrentIrpStackLocation$2$myVar_0: int, inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp: int, inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$687$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$: int, inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$89$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$43$: int, inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$104$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$20$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$: int, inline$BDLGetDebugLevel$392$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0: int, inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IsListEmpty$3$myNondetVar_0: int, inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$769$myNondetVar_0: int, inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp: int, inline$BDLGetDebugLevel$574$myNondetVar_0: int, inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$113$myNondetVar_0: int, inline$BDLGetDebugLevel$92$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$67$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$: int, inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$585$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$618$myNondetVar_0: int, inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$126$myVar_0: int, inline$IoGetCurrentIrpStackLocation$126$myVar_1: int, inline$BDLGetDebugLevel$463$myNondetVar_0: int, inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$718$myNondetVar_0: int, inline$CallCompletionRoutine$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_getThreadID$12$tid: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$: int, inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$58$myNondetVar_0: int, inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$15$: int, inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp: int, inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$576$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$: int, inline$BDLGetDebugLevel$262$myNondetVar_0: int, inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$40$: int, inline$IoSetNextIrpStackLocation$14$myVar_0: int, inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$BDLGetDebugLevel$694$myNondetVar_0: int, inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp: int, inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$312$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$361$myNondetVar_0: int, inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$: int, inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8: int, inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$405$myNondetVar_0: int, inline$BDLGetDebugLevel$570$myNondetVar_0: int, inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp: int, inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp: int, inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$: int, inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$461$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$: int, inline$BDLGetDebugLevel$247$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$109$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$: int, inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$: int, inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$: int, inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$94$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$20$: int, inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp: int, inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$: int, inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$152$myNondetVar_0: int, inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$783$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$: int, inline$IoSetNextIrpStackLocation$5$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$20$: int, inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$434$myNondetVar_0: int, inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$455$myNondetVar_0: int, inline$BDLGetDebugLevel$615$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$140$myNondetVar_0: int, inline$storm_getThreadID$0$tid: int, inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0: int, inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$143$myVar_1: int, inline$BDLGetDebugLevel$448$myNondetVar_0: int, inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$34$: int, inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$191$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$68$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$3$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$102$myVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$: int, inline$BDLGetDebugLevel$423$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$64$myNondetVar_0: int, inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.IoSetDeviceInterfaceState$2272.29$13$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLPnPStop$0$$callresult.$2204.67$14$: int, inline$CallCompletionRoutine$8$myNondetVar_0: int, inline$BDLPnPStart$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12: int, inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$37$myNondetVar_0: int, inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLPnPStop$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$269$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$: int, inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$679$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$19$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$254$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$7$: int, inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$176$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp: int, inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$800$myNondetVar_0: int, inline$BDLGetDebugLevel$735$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$99$myVar_0: int, inline$IoGetCurrentIrpStackLocation$99$myVar_1: int, inline$BDLGetDebugLevel$121$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$492$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$767$myNondetVar_0: int, inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$31$myNondetVar_0: int, inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$669$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myVar_1: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$: int, inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$: int, inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$86$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$: int, inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$122$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$23$: int, inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$20$myNondetVar_0: int, inline$CallCompletionRoutine$5$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$136$myVar_1: int, inline$IoGetCurrentIrpStackLocation$136$myVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$439$myNondetVar_0: int, inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$1966.0$3$: int, inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$8$tempBoogie0: int, inline$BDLGetDebugLevel$343$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.DbgPrint$1023.0$49$: int, inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$542$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$3$myVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$560$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4: int, inline$BDLGetDebugLevel$225$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$26$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$32$: int, inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$67$myVar_1: int, inline$IoGetCurrentIrpStackLocation$67$myVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$15$: int, inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$: int, inline$BDLHandleRemove$0$havoc_stringTemp: int, inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$313$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4: int, inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp: int, inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$1979.0$20$: int, inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$154$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$: int, inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$: int, inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$3$: int, inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$700$myNondetVar_0: int, inline$BDLGetDebugLevel$427$myNondetVar_0: int, inline$BDLGetDebugLevel$170$myNondetVar_0: int, inline$BDLGetDebugLevel$470$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$19$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$15$: int, inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$699$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$myVar_0: int, inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$483$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$16$: int, inline$BDLGetDebugLevel$216$myNondetVar_0: int, inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$102$myNondetVar_0: int, inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$21$myVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$15$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$4$: int, inline$BDLCleanupNotificationStruct$1$myNondetVar_1: int, inline$BDLCleanupNotificationStruct$1$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLDevicePowerIoCompletion$27$$callresult.$1687.68$15$: int, inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$739$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$: int, inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$23$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$20$: int, inline$BDLDevicePowerIoCompletion$20$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$69$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0: int, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$263$myNondetVar_0: int, inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$: int, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLReleaseHandleList$1$myNondetVar_0: int, inline$BDLReleaseHandleList$1$myNondetVar_1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$38$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$467$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp: int, inline$BDLGetDebugLevel$626$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$: int, inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$2$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$: int, inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp: int, inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$648$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$: int, inline$BDLGetDebugLevel$540$myNondetVar_0: int, inline$BDLGetDebugLevel$755$myNondetVar_0: int, inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$489$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$259$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.memset$1683.8$14$: int, inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp: int, inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$464$myNondetVar_0: int, inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$76$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_getThreadID$11$tid: int, inline$BDLGetDebugLevel$731$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$20$: int, inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoSkipCurrentIrpStackLocation$0$myVar_1: int, inline$IoSkipCurrentIrpStackLocation$0$myVar_0: int, inline$BDLSystemPowerIoCompletion$7$myNondetVar_0: int, inline$BDLGetDebugLevel$200$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$67$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$53$myVar_0: int, inline$IoGetCurrentIrpStackLocation$53$myVar_1: int, inline$BDLGetDebugLevel$432$myNondetVar_0: int, inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$132$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$: int, inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$138$myNondetVar_0: int, inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$14$myNondetVar_0: int, inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$119$myNondetVar_0: int, inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$: int, inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$84$myVar_0: int, inline$IoGetCurrentIrpStackLocation$84$myVar_1: int, inline$BDLGetDebugLevel$122$myNondetVar_0: int, inline$BDLGetDebugLevel$79$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$: int, inline$IoGetCurrentIrpStackLocation$116$myVar_1: int, inline$IoGetCurrentIrpStackLocation$116$myVar_0: int, inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$29$: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$763$myNondetVar_0: int, inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$: int, inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$45$myNondetVar_0: int, inline$BDLGetDebugLevel$490$myNondetVar_0: int, inline$BDLGetDebugLevel$675$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$539$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$16$$result.memset$1683.8$14$: int, inline$IoGetNextIrpStackLocation$10$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$397$myNondetVar_0: int, inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$: int, inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$288$myNondetVar_0: int, inline$BDLGetDebugLevel$597$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$663$myNondetVar_0: int, inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$myNondetVar_0: int, inline$CallCompletionRoutine$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$myVar_0: int, inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$395$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12: int, inline$BDLDevicePowerIoCompletion$28$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$29$$result.memset$1683.8$14$: int, inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$62$myVar_0: int, inline$IoGetCurrentIrpStackLocation$62$myVar_1: int, inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$143$myVar_0: int, inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$134$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$7$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$18$: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IsListEmpty$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$myNondetVar_0: int, inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPQueryStop$0$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$: int, inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$myVar_1: int, inline$IoGetCurrentIrpStackLocation$90$myVar_0: int, inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1: int, inline$BDLGetDebugLevel$776$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$18$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$: int, inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$28$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$749$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$331$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$192$myNondetVar_0: int, inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$storm_getThreadID$5$tid: int, inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetNextIrpStackLocation$4$myVar_0: int, inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$66$myVar_0: int, inline$IoGetCurrentIrpStackLocation$66$myVar_1: int, inline$BDLGetDebugLevel$402$myNondetVar_0: int, inline$BDLGetDebugLevel$586$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$408$myNondetVar_0: int, inline$storm_getThreadID$10$tid: int, inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoGetCurrentIrpStackLocation$91$myVar_1: int, inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1: int, inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp: int, inline$BDLGetDebugLevel$38$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoSetNextIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$150$myVar_1: int, inline$IoGetCurrentIrpStackLocation$150$myVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLPnP$0$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$14$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$66$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$527$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$29$: int, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$493$myNondetVar_0: int, inline$BDLGetDebugLevel$362$myNondetVar_0: int, inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16: int, inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$3$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$355$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$147$myVar_1: int, inline$IoGetCurrentIrpStackLocation$147$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$720$myNondetVar_0: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$: int, inline$BDLGetDebugLevel$637$myNondetVar_0: int, inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$15$: int, inline$BDLPnPQueryStop$0$myNondetVar_1: int, inline$BDLPnPQueryStop$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$74$myVar_1: int, inline$BDLGetDebugLevel$491$myNondetVar_0: int, inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$callresult.$2419.71$15$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$404$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$101$myVar_1: int, inline$IoGetCurrentIrpStackLocation$101$myVar_0: int, inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$: int, inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$235$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$264$myNondetVar_0: int, inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$389$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$: int, inline$BDLGetDebugLevel$172$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$26$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$742$myNondetVar_0: int, inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$319$myNondetVar_0: int, inline$BDLGetDebugLevel$497$myNondetVar_0: int, inline$BDLRemoveHandleFromList$1$myNondetVar_0: int, inline$BDLRemoveHandleFromList$1$myNondetVar_1: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$myNondetVar_0: int, inline$BDLGetDebugLevel$239$myNondetVar_0: int, inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp: int, inline$BDLHandleRemove$1$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp: int, inline$BDLGetDebugLevel$146$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$: int, inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$722$myNondetVar_0: int, inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$: int, inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$504$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$: int, inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$59$myVar_0: int, inline$IoGetCurrentIrpStackLocation$59$myVar_1: int, inline$BDLGetDebugLevel$511$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$25$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$27$: int, inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoSetNextIrpStackLocation$8$myVar_0: int, inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12: int, inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoSetNextIrpStackLocation$2$myVar_0: int, inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$: int, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8: int, inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$132$myVar_1: int, inline$IoGetCurrentIrpStackLocation$132$myVar_0: int, inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$115$myVar_0: int, inline$IoGetCurrentIrpStackLocation$115$myVar_1: int, inline$BDLGetDebugLevel$370$myNondetVar_0: int, inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$27$myNondetVar_0: int, inline$BDLGetDebugLevel$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$61$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$82$myVar_0: int, inline$IoGetCurrentIrpStackLocation$82$myVar_1: int, inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$770$myNondetVar_0: int, inline$BDLGetDebugLevel$327$myNondetVar_0: int, inline$BDLGetDebugLevel$139$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPStart$0$$result.DbgPrint$2005.0$28$: int, inline$BDLGetDebugLevel$162$myNondetVar_0: int, inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$707$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoSetNextIrpStackLocation$15$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetNextIrpStackLocation$6$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.IoSetDeviceInterfaceState$2406.29$14$: int, inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$63$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$myVar_1: int, inline$IoGetCurrentIrpStackLocation$25$myVar_0: int, inline$IoGetCurrentIrpStackLocation$18$myNondetVar_0: int, inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4: int, inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$372$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2: int, inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$268$myNondetVar_0: int, inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$: int, inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.DbgPrint$2231.0$28$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$55$myVar_0: int, inline$IoGetCurrentIrpStackLocation$55$myVar_1: int, inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12: int, inline$BDLCleanupDataHandles$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$10$myNondetVar_0: int, inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp: int, inline$BDLGetDebugLevel$531$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$130$myVar_1: int, inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$382$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$126$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp: int, inline$BDLGetDebugLevel$616$myNondetVar_0: int, inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$: int, inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$129$myNondetVar_0: int, inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$22$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$: int, inline$IoGetNextIrpStackLocation$9$myVar_0: int, inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0: int, inline$BDLGetDebugLevel$356$myNondetVar_0: int, inline$BDLGetDebugLevel$182$myNondetVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$23$: int, inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$16$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$: int, inline$IoSetNextIrpStackLocation$7$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$206$myNondetVar_0: int, inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$9$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$36$myVar_1: int, inline$IoGetCurrentIrpStackLocation$36$myVar_0: int, inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$353$myNondetVar_0: int, inline$BDLGetDebugLevel$753$myNondetVar_0: int, inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$562$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$132$myNondetVar_0: int, inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$748$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$: int, inline$BDLGetDebugLevel$234$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$209$myNondetVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$: int, inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$: int, inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp: int, inline$RemoveHeadList$1$myNondetVar_0: int, inline$BDLPnPStop$0$myNondetVar_0: int, inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$57$: int, inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$: int, inline$BDLGetDebugLevel$149$myNondetVar_0: int, inline$BDLGetDebugLevel$645$myNondetVar_0: int, inline$BDLGetDebugLevel$764$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$715$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$149$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$154$myVar_1: int, inline$IoGetCurrentIrpStackLocation$154$myVar_0: int, inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$469$myNondetVar_0: int, inline$BDLGetDebugLevel$588$myNondetVar_0: int, inline$BDLGetDebugLevel$514$myNondetVar_0: int, inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$: int, inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$22$myVar_0: int, inline$IoGetCurrentIrpStackLocation$22$myVar_1: int, inline$BDLGetFirstHandle$1$myNondetVar_0: int, inline$BDLGetFirstHandle$1$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$750$myNondetVar_0: int, inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$: int, inline$BDLPnP$0$$result.DbgPrint$987.0$37$: int, inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$157$myNondetVar_0: int, inline$BDLGetDebugLevel$528$myNondetVar_0: int, inline$BDLGetDebugLevel$106$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$RemoveHeadList$3$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$callresult.$1687.68$15$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$: int, inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$92$myVar_1: int, inline$IoGetCurrentIrpStackLocation$92$myVar_0: int, inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$34$: int, inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$136$myNondetVar_0: int, inline$BDLPnPRemove$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$20$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$145$myVar_1: int, inline$IoGetCurrentIrpStackLocation$145$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$24$myVar_0: int, inline$IoGetCurrentIrpStackLocation$24$myVar_1: int, inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$: int, inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$798$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$2$tempBoogie0: int, inline$IoSetNextIrpStackLocation$13$tempBoogie0: int, inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$result.memcpy$25110.4$3$: int, inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$456$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$40$: int, inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$571$myNondetVar_0: int, inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$650$myNondetVar_0: int, inline$BDLGetDebugLevel$724$myNondetVar_0: int, inline$BDLGetDebugLevel$164$myNondetVar_0: int, inline$BDLGetDebugLevel$393$myNondetVar_0: int, inline$BDLGetDebugLevel$376$myNondetVar_0: int, inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$9$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$18$myVar_1: int, inline$IoGetCurrentIrpStackLocation$18$myVar_0: int, inline$BDLGetDebugLevel$549$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$myNondetVar_0: int, inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$388$myNondetVar_0: int, inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0: int, inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$91$myVar_0: int, inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$138$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$277$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$4$myVar_0: int, inline$IoSetNextIrpStackLocation$10$havoc_stringTemp: int, inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$myVar_0: int, inline$IoGetCurrentIrpStackLocation$77$myVar_1: int, inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1: int, inline$IoGetCurrentIrpStackLocation$135$myVar_0: int, inline$IoGetCurrentIrpStackLocation$135$myVar_1: int, inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$49$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$285$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$93$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetNextIrpStackLocation$8$myVar_0: int, inline$BDLGetDebugLevel$672$myNondetVar_0: int, inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$4$: int, inline$IoSetNextIrpStackLocation$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$26$myNondetVar_0: int, inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLGetDebugLevel$727$myNondetVar_0: int, inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8: int, inline$BDLGetDebugLevel$304$myNondetVar_0: int, inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelStop$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$612$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$130$myVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$: int, inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2243.0$44$: int, inline$storm_getThreadID$4$tid: int, inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$522$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$543$myNondetVar_0: int, inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$436$myNondetVar_0: int, inline$BDLGetDebugLevel$390$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$22$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoSetNextIrpStackLocation$14$tempBoogie0: int, inline$IoGetCurrentIrpStackLocation$37$myVar_0: int, inline$IoGetCurrentIrpStackLocation$37$myVar_1: int, inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.IoSetDeviceInterfaceState$2406.29$14$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$0$myNondetVar_0: int, inline$BDLGetDebugLevel$84$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$51$myNondetVar_0: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$: int, inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$: int, inline$BDLGetDebugLevel$462$myNondetVar_0: int, inline$BDLGetDebugLevel$32$myNondetVar_0: int, inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1: int, inline$BDLCleanupNotificationStruct$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$15$: int, inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp: int, inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16: int, inline$BDLGetDebugLevel$631$myNondetVar_0: int, inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$10$$result.memset$1683.8$14$: int, inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$336$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$358$myNondetVar_0: int, inline$BDLGetDebugLevel$406$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$: int, inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$46$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$290$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0: int, inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$: int, inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp: int, inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp: int, inline$BDLPnPStop$0$$result.DbgPrint$2190.0$8$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$23$: int, inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$: int, inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4: int, inline$BDLGetDebugLevel$658$myNondetVar_0: int, inline$BDLGetDebugLevel$30$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$219$myNondetVar_0: int, inline$BDLGetFirstHandle$0$myNondetVar_1: int, inline$BDLGetFirstHandle$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$520$myNondetVar_0: int, inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$508$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$2$myVar_1: int, inline$IoGetCurrentIrpStackLocation$2$myVar_0: int, inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$: int, inline$storm_getThreadID$1$tid: int, inline$BDLGetDebugLevel$559$myNondetVar_0: int, inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$474$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$124$myNondetVar_0: int, inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$660$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$103$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$271$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$: int, inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$78$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$39$myVar_0: int, inline$IoGetCurrentIrpStackLocation$39$myVar_1: int, inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$27$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$117$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$459$myNondetVar_0: int, inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$114$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp: int, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$: int, inline$IoGetCurrentIrpStackLocation$34$myVar_1: int, inline$IoGetCurrentIrpStackLocation$34$myVar_0: int, inline$IoGetCurrentIrpStackLocation$7$myNondetVar_0: int, inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0: int, inline$BDLGetDebugLevel$655$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$133$myVar_0: int, inline$IoGetCurrentIrpStackLocation$133$myVar_1: int, inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp: int, inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$684$myNondetVar_0: int, inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$703$myNondetVar_0: int, inline$BDLGetDebugLevel$212$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$13$myNondetVar_0: int, inline$BDLGetDebugLevel$213$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$479$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$745$myNondetVar_0: int, inline$BDLGetDebugLevel$443$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$: int, inline$IoGetCurrentIrpStackLocation$47$myVar_1: int, inline$IoGetCurrentIrpStackLocation$47$myVar_0: int, inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp: int, inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetCurrentIrpStackLocation$87$myVar_1: int, inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$91$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$58$myVar_1: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$37$: int, inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$298$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$95$myVar_0: int, inline$IoGetCurrentIrpStackLocation$95$myVar_1: int, inline$BDLGetDebugLevel$693$myNondetVar_0: int, inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$68$myNondetVar_0: int, inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$267$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp: int, inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$callresult.$1687.68$15$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$: int, inline$IoGetCurrentIrpStackLocation$128$myNondetVar_0: int, inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$221$myNondetVar_0: int, inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$: int, inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$3$: int, inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$: int, inline$BDLGetDebugLevel$625$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$29$myVar_1: int, inline$IoGetCurrentIrpStackLocation$29$myVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$20$: int, inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$24$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$691$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetNextIrpStackLocation$0$havoc_stringTemp: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$295$myNondetVar_0: int, inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$72$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$34$: int, inline$IoSetNextIrpStackLocation$6$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$558$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$244$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetNextIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$4$: int, inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$: int, inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp: int, inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp: int, inline$BDLPnPStart$0$$result.DbgPrint$2005.0$33$: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$385$myNondetVar_0: int, inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1: int, inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp: int, inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$627$myNondetVar_0: int, inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$5$myVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$0$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$330$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8: int, inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$4$: int, inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$15$: int, inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$4$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$253$myNondetVar_0: int, inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$: int, inline$BDLGetDebugLevel$85$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$26$myVar_0: int, inline$IoGetCurrentIrpStackLocation$26$myVar_1: int, inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$653$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$4$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$642$myNondetVar_0: int, inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$IoGetCurrentIrpStackLocation$56$myVar_1: int, inline$IoGetCurrentIrpStackLocation$56$myVar_0: int, inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$callresult.$2419.71$15$: int, inline$BDLGetDebugLevel$418$myNondetVar_0: int, inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$106$myVar_0: int, inline$IoGetCurrentIrpStackLocation$106$myVar_1: int, inline$BDLGetDebugLevel$452$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp: int, inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$: int, inline$BDLGetDebugLevel$251$myNondetVar_0: int, inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp: int, inline$BDLGetDebugLevel$449$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$5$havoc_stringTemp: int, inline$BDLGetDebugLevel$383$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$result.memcpy$25110.4$3$: int, inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$4$: int, inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$795$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$17$: int, inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$87$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$48$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$117$myVar_0: int, inline$IoGetCurrentIrpStackLocation$117$myVar_1: int, inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$701$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myVar_1: int, inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$57$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$123$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$15$myNondetVar_0: int, inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$545$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$: int, inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$callresult.$2437.63$27$: int, inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$19$myVar_0: int, inline$IoGetCurrentIrpStackLocation$19$myVar_1: int, inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$5$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$60$myVar_0: int, inline$IoGetCurrentIrpStackLocation$60$myVar_1: int, inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$1$myVar_0: int, inline$BDLGetDebugLevel$674$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$695$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$27$myVar_1: int, inline$IoGetCurrentIrpStackLocation$27$myVar_0: int, inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$293$myNondetVar_0: int, inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4: int, inline$IoGetCurrentIrpStackLocation$95$myNondetVar_0: int, inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$482$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$685$myNondetVar_0: int, inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$524$myNondetVar_0: int, inline$BDLGetDebugLevel$282$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupNotificationStruct$1$$callresult.$3172.84$15$: int, inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$220$myNondetVar_0: int, inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$111$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$: int, inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$123$myVar_1: int, inline$IoGetCurrentIrpStackLocation$123$myVar_0: int, inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1: int, inline$BDLGetDebugLevel$300$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLPnPStop$0$$result.IoSetDeviceInterfaceState$2199.29$13$: int, $irp$1$96.7$storm_main$0: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$: int, inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$18$: int, inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$341$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$137$myVar_0: int, inline$IoGetCurrentIrpStackLocation$137$myVar_1: int, inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$11$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$90$myNondetVar_0: int, inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$156$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp: int, inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$: int, inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IsListEmpty$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$677$myNondetVar_0: int, inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$32$myVar_1: int, inline$IoGetCurrentIrpStackLocation$32$myVar_0: int, inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$270$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$: int, inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$16$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myVar_1: int, inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoSetNextIrpStackLocation$12$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$34$: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$8$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$88$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$: int, inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$: int, inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$496$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$621$myNondetVar_0: int, inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$: int, inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4: int, inline$BDLPnP$0$myVar_0: int, inline$BDLGetDebugLevel$255$myNondetVar_0: int, inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$413$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$333$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$592$myNondetVar_0: int, inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$484$myNondetVar_0: int, inline$BDLGetDebugLevel$245$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$113$myVar_0: int, inline$IoGetCurrentIrpStackLocation$113$myVar_1: int, inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$719$myNondetVar_0: int, inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$13$myNondetVar_0: int, inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$: int, inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$552$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$29$: int, inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$: int, inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$6$myVar_1: int, inline$IoGetCurrentIrpStackLocation$6$myVar_0: int, inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$600$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4: int, inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$661$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$622$myNondetVar_0: int, inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$: int, inline$IoSkipCurrentIrpStackLocation$1$myVar_0: int, inline$IoSkipCurrentIrpStackLocation$1$myVar_1: int, inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4: int, inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp: int, inline$BDLGetDebugLevel$411$myNondetVar_0: int, inline$BDLGetDebugLevel$526$myNondetVar_0: int, inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4: int, inline$IoSetNextIrpStackLocation$9$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$8$myVar_1: int, inline$IoGetCurrentIrpStackLocation$8$myVar_0: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp: int, inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$15$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$: int, inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$721$myNondetVar_0: int, inline$BDLGetDebugLevel$802$myNondetVar_0: int, inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$76$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$89$myNondetVar_0: int, inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$: int, inline$BDLSystemPowerIoCompletion$18$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$11$myVar_0: int, inline$BDLGetDebugLevel$608$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4: int, inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$454$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoAllocateIrp$0$$result.malloc$279.0$4$: int, inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$215$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$23$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$741$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$738$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$34$: int, inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$173$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$: int, inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$34$: int, inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$757$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$705$myNondetVar_0: int, inline$BDLGetDebugLevel$778$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLSystemPowerIoCompletion$13$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$744$myNondetVar_0: int, inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$711$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$226$myNondetVar_0: int, inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$46$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$554$myNondetVar_0: int, inline$BDLGetDebugLevel$594$myNondetVar_0: int, inline$BDLGetDebugLevel$12$myNondetVar_0: int, inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$: int, inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$15$: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$21$: int, inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$34$: int, inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$134$myVar_1: int, inline$IoGetCurrentIrpStackLocation$134$myVar_0: int, inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$52$: int, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$82$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$4$myNondetVar_0: int, inline$BDLPnPStart$0$$result.DbgPrint$1966.0$8$: int, inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$152$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$590$myNondetVar_0: int, inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$myNondetVar_0: int, inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$646$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$: int, inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp: int, inline$CallCompletionRoutine$16$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$21$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$: int, inline$BDLGetDebugLevel$688$myNondetVar_0: int, inline$BDLGetDebugLevel$42$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$: int, inline$BDLGetDebugLevel$682$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp: int, inline$CallCompletionRoutine$29$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$2$: int, inline$BDLGetDebugLevel$381$myNondetVar_0: int, inline$BDLGetDebugLevel$760$myNondetVar_0: int, inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp: int, inline$RemoveHeadList$4$myNondetVar_0: int, inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$18$: int, inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$74$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.memset$1683.8$14$: int, inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$7$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$97$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$3$myNondetVar_0: int, inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$45$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$566$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$773$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$199$myNondetVar_0: int, inline$BDLGetDebugLevel$365$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$32$: int, inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$265$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp: int, inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$781$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$9$myNondetVar_0: int, inline$BDLGetDebugLevel$55$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$373$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$myNondetVar_0: int, inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$466$myNondetVar_0: int, inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$34$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$23$: int, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$: int, inline$IoSetNextIrpStackLocation$13$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$7$: int, inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$1$myVar_0: int, inline$IoGetCurrentIrpStackLocation$1$myVar_1: int, inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$758$myNondetVar_0: int, inline$BDLPnPStart$0$$result.DbgPrint$1979.0$15$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$45$myVar_1: int, inline$IoGetCurrentIrpStackLocation$45$myVar_0: int, inline$BDLDevicePowerIoCompletion$18$$callresult.$1687.68$15$: int, inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$507$myNondetVar_0: int, inline$BDLGetDebugLevel$286$myNondetVar_0: int, inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$87$myVar_0: int, inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$: int, inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1: int, inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$4$myVar_1: int, inline$IoGetCurrentIrpStackLocation$4$myVar_0: int, inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$3$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0: int, inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$248$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$29$: int, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$242$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$154$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$CallCompletionRoutine$22$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$7$myVar_1: int, inline$BDLGetDebugLevel$337$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$797$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$20$: int, inline$IoGetNextIrpStackLocation$7$myVar_0: int, inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetNextIrpStackLocation$12$myVar_0: int, inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$137$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLGetDebugLevel$321$myNondetVar_0: int, inline$BDLGetDebugLevel$518$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$536$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$25$myNondetVar_0: int, inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp: int, inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$108$myNondetVar_0: int, inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$: int, inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$29$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$7$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$178$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$121$myVar_1: int, inline$IoGetCurrentIrpStackLocation$121$myVar_0: int, inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$149$myVar_1: int, inline$IoGetCurrentIrpStackLocation$149$myVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$4$: int, inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$159$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$777$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$431$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$15$: int, inline$CallCompletionRoutine$10$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$148$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$534$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$9$: int, inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp: int, inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1: int, inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$: int, inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12: int, inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp: int, inline$BDLGetDebugLevel$134$myNondetVar_0: int, inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0: int, inline$BDLGetDebugLevel$706$myNondetVar_0: int, inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$398$myNondetVar_0: int, inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$: int, inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$: int, inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$34$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp: int, inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLReleaseHandleList$0$myNondetVar_1: int, inline$BDLReleaseHandleList$0$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$: int, inline$BDLGetDebugLevel$517$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1: int, inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$181$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$357$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLPnP$0$$result.DbgPrint$1023.0$54$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$: int, inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$32$: int, inline$BDLGetDebugLevel$644$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$7$myVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$141$myNondetVar_0: int, inline$BDLGetDebugLevel$488$myNondetVar_0: int, inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$468$myNondetVar_0: int, inline$BDLGetDebugLevel$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$22$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$9$: int;
-
- start#1:
- __storm_thread_done_1 := false;
- __storm_thread_done_2 := false;
- __storm_thread_done_3 := false;
- __storm_thread_done_4 := false;
- k := 0;
- errorReached := false;
- __storm_atomic := false;
- __storm_init := false;
- goto label_3#1;
-
- label_3#1:
- goto label_4#1;
-
- label_4#1:
- goto label_5#1;
-
- label_5#1:
- __storm_atomic := true;
- __storm_init := true;
- goto label_8#1;
-
- label_8#1:
- cancelLockStatus_0 := 0;
- call contextSwitch();
- goto label_9#1;
-
- label_9#1:
- created_irp_0 := 0;
- call contextSwitch();
- goto label_10#1;
-
- label_10#1:
- goto inline$storm_IoAllocateIrp$0$Entry#1;
-
- inline$storm_IoAllocateIrp$0$Entry#1:
- inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1 := 2;
- goto inline$storm_IoAllocateIrp$0$start#1;
-
- inline$storm_IoAllocateIrp$0$start#1:
- inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8 := inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1;
- goto inline$storm_IoAllocateIrp$0$label_3#1;
-
- inline$storm_IoAllocateIrp$0$label_3#1:
- goto inline$storm_IoAllocateIrp$0$label_4#1;
-
- inline$storm_IoAllocateIrp$0$label_4#1:
- goto inline$storm_IoAllocateIrp$0$label_5#1;
-
- inline$storm_IoAllocateIrp$0$label_5#1:
- call inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ := storm_nondet();
- goto inline$storm_IoAllocateIrp$0$label_8#1;
-
- inline$storm_IoAllocateIrp$0$label_8#1:
- goto inline$storm_IoAllocateIrp$0$label_8_case_0#1, inline$storm_IoAllocateIrp$0$label_8_case_1#1;
-
- inline$storm_IoAllocateIrp$0$label_8_case_1#1:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ == 0;
- goto inline$storm_IoAllocateIrp$0$label_10#1;
-
- inline$storm_IoAllocateIrp$0$label_10#1:
- __storm_atomic := true;
- goto inline$storm_IoAllocateIrp$0$label_13#1;
-
- inline$storm_IoAllocateIrp$0$label_13#1:
- call inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$ := __HAVOC_malloc(112);
- goto inline$storm_IoAllocateIrp$0$label_16#1;
-
- inline$storm_IoAllocateIrp$0$label_16#1:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$;
- goto inline$storm_IoAllocateIrp$0$label_17#1;
-
- inline$storm_IoAllocateIrp$0$label_17#1:
- goto inline$storm_IoAllocateIrp$0$label_18#1;
-
- inline$storm_IoAllocateIrp$0$label_18#1:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8) := 0];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_19#1;
-
- inline$storm_IoAllocateIrp$0$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := 0];
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_20#1;
-
- inline$storm_IoAllocateIrp$0$label_20#1:
- havoc raiseException;
- goto inline$storm_IoAllocateIrp$0$anon6_Then#1, inline$storm_IoAllocateIrp$0$anon6_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon1#1;
-
- inline$storm_IoAllocateIrp$0$anon1#1:
- assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8);
- goto inline$storm_IoAllocateIrp$0$label_21#1;
-
- inline$storm_IoAllocateIrp$0$label_21#1:
- inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8, 36);
- goto inline$storm_IoAllocateIrp$0$label_22#1;
-
- inline$storm_IoAllocateIrp$0$label_22#1:
- call inline$storm_IoAllocateIrp$0$$result.malloc$279.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
- goto inline$storm_IoAllocateIrp$0$label_25#1;
-
- inline$storm_IoAllocateIrp$0$label_25#1:
- goto inline$storm_IoAllocateIrp$0$label_26#1;
-
- inline$storm_IoAllocateIrp$0$label_26#1:
- goto inline$storm_IoAllocateIrp$0$label_27#1;
-
- inline$storm_IoAllocateIrp$0$label_27#1:
- goto inline$IoGetNextIrpStackLocation$0$Entry#1;
-
- inline$IoGetNextIrpStackLocation$0$Entry#1:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8;
- goto inline$IoGetNextIrpStackLocation$0$start#1;
-
- inline$IoGetNextIrpStackLocation$0$start#1:
- inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$0$label_3#1;
-
- inline$IoGetNextIrpStackLocation$0$label_3#1:
- goto inline$IoGetNextIrpStackLocation$0$label_3_true#1, inline$IoGetNextIrpStackLocation$0$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$0$label_3_false#1:
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$0$myVar_0);
- goto inline$IoGetNextIrpStackLocation$0$label_4#1;
-
- inline$IoGetNextIrpStackLocation$0$label_4#1:
- call inline$IoGetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$0$label_6#1;
-
- inline$IoGetNextIrpStackLocation$0$label_6#1:
- call inline$IoGetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$0$label_7#1;
-
- inline$IoGetNextIrpStackLocation$0$label_7#1:
- goto inline$IoGetNextIrpStackLocation$0$label_5#1;
-
- inline$IoGetNextIrpStackLocation$0$label_3_true#1:
- inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$0$myVar_0);
- goto inline$IoGetNextIrpStackLocation$0$label_5#1;
-
- inline$IoGetNextIrpStackLocation$0$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$0$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$0$label_1#1;
-
- inline$IoGetNextIrpStackLocation$0$label_1#1:
- goto inline$IoGetNextIrpStackLocation$0$Return#1;
-
- inline$IoGetNextIrpStackLocation$0$Return#1:
- goto inline$storm_IoAllocateIrp$0$label_27$1#1;
-
- inline$storm_IoAllocateIrp$0$label_27$1#1:
- goto inline$storm_IoAllocateIrp$0$anon7_Then#1, inline$storm_IoAllocateIrp$0$anon7_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_IoAllocateIrp$0$anon3#1;
-
- inline$storm_IoAllocateIrp$0$anon3#1:
- goto inline$storm_IoAllocateIrp$0$label_30#1;
-
- inline$storm_IoAllocateIrp$0$label_30#1:
- goto inline$storm_IoAllocateIrp$0$label_31#1;
-
- inline$storm_IoAllocateIrp$0$label_31#1:
- goto inline$storm_IoAllocateIrp$0$label_32#1;
-
- inline$storm_IoAllocateIrp$0$label_32#1:
- goto inline$storm_IoAllocateIrp$0$label_33#1;
-
- inline$storm_IoAllocateIrp$0$label_33#1:
- goto inline$storm_IoAllocateIrp$0$anon8_Then#1, inline$storm_IoAllocateIrp$0$anon8_Else#1;
-
- inline$storm_IoAllocateIrp$0$anon8_Else#1:
- assume __storm_init;
- goto inline$storm_IoAllocateIrp$0$anon5#1;
-
- inline$storm_IoAllocateIrp$0$anon8_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAllocateIrp$0$anon5#1;
-
- inline$storm_IoAllocateIrp$0$anon5#1:
- call contextSwitch();
- goto inline$storm_IoAllocateIrp$0$label_36#1;
-
- inline$storm_IoAllocateIrp$0$anon7_Then#1:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#1;
-
- inline$storm_IoAllocateIrp$0$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoAllocateIrp$0$Return#1;
-
- inline$storm_IoAllocateIrp$0$label_8_case_0#1:
- assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ != 0;
- goto inline$storm_IoAllocateIrp$0$label_9#1;
-
- inline$storm_IoAllocateIrp$0$label_9#1:
- inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := 0;
- goto inline$storm_IoAllocateIrp$0$label_36#1;
-
- inline$storm_IoAllocateIrp$0$label_36#1:
- inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8;
- goto inline$storm_IoAllocateIrp$0$label_1#1;
-
- inline$storm_IoAllocateIrp$0$label_1#1:
- goto inline$storm_IoAllocateIrp$0$Return#1;
-
- inline$storm_IoAllocateIrp$0$Return#1:
- $result.storm_IoAllocateIrp$100.0$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$;
- goto label_10$1#1;
-
- label_10$1#1:
- goto anon10_Then#1, anon10_Else#1;
-
- anon10_Else#1:
- assume !raiseException;
- goto anon1#1;
-
- anon1#1:
- goto label_13#1;
-
- label_13#1:
- $irp$1$96.7$storm_main$0 := $result.storm_IoAllocateIrp$100.0$1$;
- goto label_14#1;
-
- label_14#1:
- havoc raiseException;
- goto anon11_Then#1, anon11_Else#1;
-
- anon11_Else#1:
- assume !raiseException;
- goto anon3#1;
-
- anon3#1:
- assume INT_NEQ($irp$1$96.7$storm_main$0, 0);
- goto label_15#1;
-
- label_15#1:
- goto inline$IoSetNextIrpStackLocation$0$Entry#1;
-
- inline$IoSetNextIrpStackLocation$0$Entry#1:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := $irp$1$96.7$storm_main$0;
- goto inline$IoSetNextIrpStackLocation$0$start#1;
-
- inline$IoSetNextIrpStackLocation$0$start#1:
- inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$0$label_3#1;
-
- inline$IoSetNextIrpStackLocation$0$label_3#1:
- goto inline$IoSetNextIrpStackLocation$0$label_3_true#1, inline$IoSetNextIrpStackLocation$0$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$0$label_3_false#1:
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$0$myVar_0);
- goto inline$IoSetNextIrpStackLocation$0$label_4#1;
-
- inline$IoSetNextIrpStackLocation$0$label_4#1:
- call inline$IoSetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$0$label_7#1;
-
- inline$IoSetNextIrpStackLocation$0$label_7#1:
- call inline$IoSetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$0$label_8#1;
-
- inline$IoSetNextIrpStackLocation$0$label_8#1:
- goto inline$IoSetNextIrpStackLocation$0$label_5#1;
-
- inline$IoSetNextIrpStackLocation$0$label_3_true#1:
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$0$myVar_0);
- goto inline$IoSetNextIrpStackLocation$0$label_5#1;
-
- inline$IoSetNextIrpStackLocation$0$label_5#1:
- inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$0$label_6#1;
-
- inline$IoSetNextIrpStackLocation$0$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$0$label_1#1;
-
- inline$IoSetNextIrpStackLocation$0$label_1#1:
- goto inline$IoSetNextIrpStackLocation$0$Return#1;
-
- inline$IoSetNextIrpStackLocation$0$Return#1:
- goto label_15$1#1;
-
- label_15$1#1:
- goto anon12_Then#1, anon12_Else#1;
-
- anon12_Else#1:
- assume !raiseException;
- goto anon5#1;
-
- anon5#1:
- goto label_18#1;
-
- label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$0$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$0$Entry#1:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := $irp$1$96.7$storm_main$0;
- goto inline$IoGetCurrentIrpStackLocation$0$start#1;
-
- inline$IoGetCurrentIrpStackLocation$0$start#1:
- inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$0$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$0$label_3_true#1, inline$IoGetCurrentIrpStackLocation$0$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$0$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$0$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$0$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$0$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$0$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$0$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$0$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$0$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$0$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$0$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$0$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$0$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$0$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$0$Return#1:
- goto label_18$1#1;
-
- label_18$1#1:
- goto anon13_Then#1, anon13_Else#1;
-
- anon13_Else#1:
- assume !raiseException;
- goto anon7#1;
-
- anon7#1:
- goto label_21#1;
-
- label_21#1:
- goto label_22#1;
-
- label_22#1:
- goto label_23#1;
-
- label_23#1:
- goto inline$myInitDriver$0$Entry#1;
-
- inline$myInitDriver$0$Entry#1:
- goto inline$myInitDriver$0$start#1;
-
- inline$myInitDriver$0$start#1:
- goto inline$myInitDriver$0$label_3#1;
-
- inline$myInitDriver$0$label_3#1:
- goto inline$myInitDriver$0$label_4#1;
-
- inline$myInitDriver$0$label_4#1:
- inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
- call contextSwitch();
- inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4 := inline$myInitDriver$0$myVar_0;
- goto inline$myInitDriver$0$label_5#1;
-
- inline$myInitDriver$0$label_5#1:
- goto inline$myInitDriver$0$label_6#1;
-
- inline$myInitDriver$0$label_6#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$0$Entry#1;
-
- inline$storm_KeInitializeSpinLock$0$Entry#1:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4);
- goto inline$storm_KeInitializeSpinLock$0$start#1;
-
- inline$storm_KeInitializeSpinLock$0$start#1:
- inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
- goto inline$storm_KeInitializeSpinLock$0$label_3#1;
-
- inline$storm_KeInitializeSpinLock$0$label_3#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$0$label_1#1;
-
- inline$storm_KeInitializeSpinLock$0$label_1#1:
- goto inline$storm_KeInitializeSpinLock$0$Return#1;
-
- inline$storm_KeInitializeSpinLock$0$Return#1:
- goto inline$myInitDriver$0$label_6$1#1;
-
- inline$myInitDriver$0$label_6$1#1:
- goto inline$myInitDriver$0$anon8_Then#1, inline$myInitDriver$0$anon8_Else#1;
-
- inline$myInitDriver$0$anon8_Else#1:
- assume !raiseException;
- goto inline$myInitDriver$0$anon1#1;
-
- inline$myInitDriver$0$anon1#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_9#1;
-
- inline$myInitDriver$0$label_9#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$1$Entry#1;
-
- inline$storm_KeInitializeSpinLock$1$Entry#1:
- inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4));
- goto inline$storm_KeInitializeSpinLock$1$start#1;
-
- inline$storm_KeInitializeSpinLock$1$start#1:
- inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
- goto inline$storm_KeInitializeSpinLock$1$label_3#1;
-
- inline$storm_KeInitializeSpinLock$1$label_3#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$1$label_1#1;
-
- inline$storm_KeInitializeSpinLock$1$label_1#1:
- goto inline$storm_KeInitializeSpinLock$1$Return#1;
-
- inline$storm_KeInitializeSpinLock$1$Return#1:
- goto inline$myInitDriver$0$label_9$1#1;
-
- inline$myInitDriver$0$label_9$1#1:
- goto inline$myInitDriver$0$anon9_Then#1, inline$myInitDriver$0$anon9_Else#1;
-
- inline$myInitDriver$0$anon9_Else#1:
- assume !raiseException;
- goto inline$myInitDriver$0$anon3#1;
-
- inline$myInitDriver$0$anon3#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_12#1;
-
- inline$myInitDriver$0$label_12#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$2$Entry#1;
-
- inline$storm_KeInitializeSpinLock$2$Entry#1:
- inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4));
- goto inline$storm_KeInitializeSpinLock$2$start#1;
-
- inline$storm_KeInitializeSpinLock$2$start#1:
- inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
- goto inline$storm_KeInitializeSpinLock$2$label_3#1;
-
- inline$storm_KeInitializeSpinLock$2$label_3#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$2$label_1#1;
-
- inline$storm_KeInitializeSpinLock$2$label_1#1:
- goto inline$storm_KeInitializeSpinLock$2$Return#1;
-
- inline$storm_KeInitializeSpinLock$2$Return#1:
- goto inline$myInitDriver$0$label_12$1#1;
-
- inline$myInitDriver$0$label_12$1#1:
- goto inline$myInitDriver$0$anon10_Then#1, inline$myInitDriver$0$anon10_Else#1;
-
- inline$myInitDriver$0$anon10_Else#1:
- assume !raiseException;
- goto inline$myInitDriver$0$anon5#1;
-
- inline$myInitDriver$0$anon5#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_15#1;
-
- inline$myInitDriver$0$label_15#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- havoc inline$myInitDriver$0$myNondetVar_1;
- assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
- goto inline$storm_KeInitializeSpinLock$3$Entry#1;
-
- inline$storm_KeInitializeSpinLock$3$Entry#1:
- inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4);
- goto inline$storm_KeInitializeSpinLock$3$start#1;
-
- inline$storm_KeInitializeSpinLock$3$start#1:
- inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
- goto inline$storm_KeInitializeSpinLock$3$label_3#1;
-
- inline$storm_KeInitializeSpinLock$3$label_3#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
- call contextSwitch();
- goto inline$storm_KeInitializeSpinLock$3$label_1#1;
-
- inline$storm_KeInitializeSpinLock$3$label_1#1:
- goto inline$storm_KeInitializeSpinLock$3$Return#1;
-
- inline$storm_KeInitializeSpinLock$3$Return#1:
- goto inline$myInitDriver$0$label_15$1#1;
-
- inline$myInitDriver$0$label_15$1#1:
- goto inline$myInitDriver$0$anon11_Then#1, inline$myInitDriver$0$anon11_Else#1;
-
- inline$myInitDriver$0$anon11_Else#1:
- assume !raiseException;
- goto inline$myInitDriver$0$anon7#1;
-
- inline$myInitDriver$0$anon7#1:
- havoc inline$myInitDriver$0$myNondetVar_0;
- goto inline$myInitDriver$0$label_1#1;
-
- inline$myInitDriver$0$label_1#1:
- goto inline$myInitDriver$0$Return#1;
-
- inline$myInitDriver$0$anon11_Then#1:
- assume raiseException;
- goto inline$myInitDriver$0$Return#1;
-
- inline$myInitDriver$0$anon10_Then#1:
- assume raiseException;
- goto inline$myInitDriver$0$Return#1;
-
- inline$myInitDriver$0$anon9_Then#1:
- assume raiseException;
- goto inline$myInitDriver$0$Return#1;
-
- inline$myInitDriver$0$anon8_Then#1:
- assume raiseException;
- goto inline$myInitDriver$0$Return#1;
-
- inline$myInitDriver$0$Return#1:
- goto label_23$1#1;
-
- label_23$1#1:
- goto anon14_Then#1, anon14_Else#1;
-
- anon14_Else#1:
- assume !raiseException;
- goto anon9#1;
-
- anon9#1:
- goto label_26#1;
-
- label_26#1:
- __storm_atomic := false;
- __storm_init := false;
- goto label_29#1;
-
- label_29#1:
- goto inline$storm_thread_dispatch$0$Entry#1;
-
- inline$storm_thread_dispatch$0$Entry#1:
- inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1 := $irp$1$96.7$storm_main$0;
- goto inline$storm_thread_dispatch$0$start#1;
-
- inline$storm_thread_dispatch$0$start#1:
- k := 0;
- raiseException := false;
- __storm_thread_id := 3;
- call contextSwitch();
- inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1;
- goto inline$storm_thread_dispatch$0$label_3#1;
-
- inline$storm_thread_dispatch$0$label_3#1:
- goto inline$storm_thread_dispatch$0$label_4#1;
-
- inline$storm_thread_dispatch$0$label_4#1:
- goto inline$IoGetCurrentIrpStackLocation$1$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$1$Entry#1:
- inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4;
- goto inline$IoGetCurrentIrpStackLocation$1$start#1;
-
- inline$IoGetCurrentIrpStackLocation$1$start#1:
- inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$1$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$1$label_3_true#1, inline$IoGetCurrentIrpStackLocation$1$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$1$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$1$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$1$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$1$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$1$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$1$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$1$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$1$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$1$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$1$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$1$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$1$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$1$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$1$Return#1:
- goto inline$storm_thread_dispatch$0$label_4$1#1;
-
- inline$storm_thread_dispatch$0$label_4$1#1:
- goto inline$storm_thread_dispatch$0$anon4_Then#1, inline$storm_thread_dispatch$0$anon4_Else#1;
-
- inline$storm_thread_dispatch$0$anon4_Else#1:
- assume !raiseException;
- goto inline$storm_thread_dispatch$0$anon1#1;
-
- inline$storm_thread_dispatch$0$anon1#1:
- goto inline$storm_thread_dispatch$0$label_7#1;
-
- inline$storm_thread_dispatch$0$label_7#1:
- goto inline$storm_thread_dispatch$0$label_8#1;
-
- inline$storm_thread_dispatch$0$label_8#1:
- goto inline$BDLPnP$0$Entry#1;
-
- inline$BDLPnP$0$Entry#1:
- inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1 := hdevobj;
- inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4;
- goto inline$BDLPnP$0$start#1;
-
- inline$BDLPnP$0$start#1:
- inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8 := inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1;
- inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1;
- goto inline$BDLPnP$0$label_3#1;
-
- inline$BDLPnP$0$label_3#1:
- goto inline$BDLPnP$0$label_4#1;
-
- inline$BDLPnP$0$label_4#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := 0;
- goto inline$BDLPnP$0$label_5#1;
-
- inline$BDLPnP$0$label_5#1:
- goto inline$BDLPnP$0$label_6#1;
-
- inline$BDLPnP$0$label_6#1:
- inline$BDLPnP$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8)];
- call contextSwitch();
- inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8 := inline$BDLPnP$0$myVar_0;
- goto inline$BDLPnP$0$label_7#1;
-
- inline$BDLPnP$0$label_7#1:
- goto inline$BDLPnP$0$label_8#1;
-
- inline$BDLPnP$0$label_8#1:
- goto inline$BDLPnP$0$label_9#1;
-
- inline$BDLPnP$0$label_9#1:
- goto inline$BDLPnP$0$label_10#1;
-
- inline$BDLPnP$0$label_10#1:
- inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8 := 0;
- goto inline$BDLPnP$0$label_11#1;
-
- inline$BDLPnP$0$label_11#1:
- goto inline$BDLPnP$0$label_12#1;
-
- inline$BDLPnP$0$label_12#1:
- inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 1;
- goto inline$BDLPnP$0$label_13#1;
-
- inline$BDLPnP$0$label_13#1:
- goto inline$BDLGetDebugLevel$0$Entry#1;
-
- inline$BDLGetDebugLevel$0$Entry#1:
- goto inline$BDLGetDebugLevel$0$start#1;
-
- inline$BDLGetDebugLevel$0$start#1:
- goto inline$BDLGetDebugLevel$0$label_3#1;
-
- inline$BDLGetDebugLevel$0$label_3#1:
- havoc inline$BDLGetDebugLevel$0$myNondetVar_0;
- inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$0$myNondetVar_0;
- goto inline$BDLGetDebugLevel$0$label_1#1;
-
- inline$BDLGetDebugLevel$0$label_1#1:
- goto inline$BDLGetDebugLevel$0$Return#1;
-
- inline$BDLGetDebugLevel$0$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$ := inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_13$1#1;
-
- inline$BDLPnP$0$label_13$1#1:
- goto inline$BDLPnP$0$anon54_Then#1, inline$BDLPnP$0$anon54_Else#1;
-
- inline$BDLPnP$0$anon54_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon1#1;
-
- inline$BDLPnP$0$anon1#1:
- goto inline$BDLPnP$0$label_16#1;
-
- inline$BDLPnP$0$label_16#1:
- goto inline$BDLPnP$0$label_16_true#1, inline$BDLPnP$0$label_16_false#1;
-
- inline$BDLPnP$0$label_16_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$) == 0;
- goto inline$BDLPnP$0$label_17#1;
-
- inline$BDLPnP$0$label_16_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$) != 0;
- goto inline$BDLPnP$0$label_20#1;
-
- inline$BDLPnP$0$label_20#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_21#1;
-
- inline$BDLPnP$0$label_21#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_22#1;
-
- inline$BDLPnP$0$label_22#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_23#1;
-
- inline$BDLPnP$0$label_23#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$865.0$3$;
- goto inline$BDLPnP$0$label_17#1;
-
- inline$BDLPnP$0$label_17#1:
- goto inline$BDLGetDebugLevel$1$Entry#1;
-
- inline$BDLGetDebugLevel$1$Entry#1:
- goto inline$BDLGetDebugLevel$1$start#1;
-
- inline$BDLGetDebugLevel$1$start#1:
- goto inline$BDLGetDebugLevel$1$label_3#1;
-
- inline$BDLGetDebugLevel$1$label_3#1:
- havoc inline$BDLGetDebugLevel$1$myNondetVar_0;
- inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$1$myNondetVar_0;
- goto inline$BDLGetDebugLevel$1$label_1#1;
-
- inline$BDLGetDebugLevel$1$label_1#1:
- goto inline$BDLGetDebugLevel$1$Return#1;
-
- inline$BDLGetDebugLevel$1$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$ := inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_17$1#1;
-
- inline$BDLPnP$0$label_17$1#1:
- goto inline$BDLPnP$0$anon55_Then#1, inline$BDLPnP$0$anon55_Else#1;
-
- inline$BDLPnP$0$anon55_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon3#1;
-
- inline$BDLPnP$0$anon3#1:
- goto inline$BDLPnP$0$label_26#1;
-
- inline$BDLPnP$0$label_26#1:
- goto inline$BDLPnP$0$label_26_true#1, inline$BDLPnP$0$label_26_false#1;
-
- inline$BDLPnP$0$label_26_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$) == 0;
- goto inline$BDLPnP$0$label_27#1;
-
- inline$BDLPnP$0$label_26_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$) != 0;
- goto inline$BDLPnP$0$label_30#1;
-
- inline$BDLPnP$0$label_30#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_31#1;
-
- inline$BDLPnP$0$label_31#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_32#1;
-
- inline$BDLPnP$0$label_32#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_33#1;
-
- inline$BDLPnP$0$label_33#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$865.0$8$;
- goto inline$BDLPnP$0$label_27#1;
-
- inline$BDLPnP$0$label_27#1:
- goto inline$BDLGetDebugLevel$2$Entry#1;
-
- inline$BDLGetDebugLevel$2$Entry#1:
- goto inline$BDLGetDebugLevel$2$start#1;
-
- inline$BDLGetDebugLevel$2$start#1:
- goto inline$BDLGetDebugLevel$2$label_3#1;
-
- inline$BDLGetDebugLevel$2$label_3#1:
- havoc inline$BDLGetDebugLevel$2$myNondetVar_0;
- inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$2$myNondetVar_0;
- goto inline$BDLGetDebugLevel$2$label_1#1;
-
- inline$BDLGetDebugLevel$2$label_1#1:
- goto inline$BDLGetDebugLevel$2$Return#1;
-
- inline$BDLGetDebugLevel$2$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$ := inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_27$1#1;
-
- inline$BDLPnP$0$label_27$1#1:
- goto inline$BDLPnP$0$anon56_Then#1, inline$BDLPnP$0$anon56_Else#1;
-
- inline$BDLPnP$0$anon56_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon5#1;
-
- inline$BDLPnP$0$anon5#1:
- goto inline$BDLPnP$0$label_36#1;
-
- inline$BDLPnP$0$label_36#1:
- goto inline$BDLPnP$0$label_36_true#1, inline$BDLPnP$0$label_36_false#1;
-
- inline$BDLPnP$0$label_36_false#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$, 4) == 0;
- goto inline$BDLPnP$0$label_37#1;
-
- inline$BDLPnP$0$label_36_true#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$, 4) != 0;
- goto inline$BDLPnP$0$label_38#1;
-
- inline$BDLPnP$0$label_38#1:
- goto inline$BDLPnP$0$label_37#1;
-
- inline$BDLPnP$0$label_37#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8 := inline$BDLPnP$0$myNondetVar_0;
- goto inline$BDLPnP$0$label_39#1;
-
- inline$BDLPnP$0$label_39#1:
- goto inline$IoGetCurrentIrpStackLocation$2$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$2$Entry#1:
- inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$IoGetCurrentIrpStackLocation$2$start#1;
-
- inline$IoGetCurrentIrpStackLocation$2$start#1:
- inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$2$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$2$label_3_true#1, inline$IoGetCurrentIrpStackLocation$2$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$2$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$2$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$2$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$2$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$2$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$2$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$2$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$2$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$2$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$2$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$2$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$2$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$2$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$2$Return#1:
- goto inline$BDLPnP$0$label_39$1#1;
-
- inline$BDLPnP$0$label_39$1#1:
- goto inline$BDLPnP$0$anon57_Then#1, inline$BDLPnP$0$anon57_Else#1;
-
- inline$BDLPnP$0$anon57_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon7#1;
-
- inline$BDLPnP$0$anon7#1:
- goto inline$BDLPnP$0$label_42#1;
-
- inline$BDLPnP$0$label_42#1:
- goto inline$BDLPnP$0$label_43#1;
-
- inline$BDLPnP$0$label_43#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$ := inline$BDLPnP$0$havoc_stringTemp;
- goto inline$BDLPnP$0$label_44#1;
-
- inline$BDLPnP$0$label_44#1:
- goto inline$BDLPnP$0$label_44_true#1, inline$BDLPnP$0$label_44_false#1;
-
- inline$BDLPnP$0$label_44_false#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume !INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
- goto inline$BDLPnP$0$label_45#1;
-
- inline$BDLPnP$0$label_45#1:
- inline$BDLPnP$0$$result.question.16$ := 544632146;
- goto inline$BDLPnP$0$label_47#1;
-
- inline$BDLPnP$0$label_44_true#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
- goto inline$BDLPnP$0$label_46#1;
-
- inline$BDLPnP$0$label_46#1:
- inline$BDLPnP$0$$result.question.16$ := 542142032;
- goto inline$BDLPnP$0$label_47#1;
-
- inline$BDLPnP$0$label_47#1:
- call inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$ := IoAcquireRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8), inline$BDLPnP$0$$result.question.16$, inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$, 882, 88);
- goto inline$BDLPnP$0$anon58_Then#1, inline$BDLPnP$0$anon58_Else#1;
-
- inline$BDLPnP$0$anon58_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon9#1;
-
- inline$BDLPnP$0$anon9#1:
- goto inline$BDLPnP$0$label_50#1;
-
- inline$BDLPnP$0$label_50#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$;
- goto inline$BDLPnP$0$label_51#1;
-
- inline$BDLPnP$0$label_51#1:
- goto inline$BDLPnP$0$label_51_true#1, inline$BDLPnP$0$label_51_false#1;
-
- inline$BDLPnP$0$label_51_false#1:
- assume inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 == 0;
- goto inline$BDLPnP$0$label_52#1;
-
- inline$BDLPnP$0$label_52#1:
- goto inline$BDLPnP$0$label_52_case_0#1, inline$BDLPnP$0$label_52_case_1#1, inline$BDLPnP$0$label_52_case_2#1, inline$BDLPnP$0$label_52_case_3#1, inline$BDLPnP$0$label_52_case_4#1, inline$BDLPnP$0$label_52_case_5#1, inline$BDLPnP$0$label_52_case_6#1, inline$BDLPnP$0$label_52_case_7#1, inline$BDLPnP$0$label_52_case_8#1;
-
- inline$BDLPnP$0$label_52_case_8#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 23;
- goto inline$BDLPnP$0$label_134#1;
-
- inline$BDLPnP$0$label_134#1:
- goto inline$BDLPnPSurpriseRemoval$0$Entry#1;
-
- inline$BDLPnPSurpriseRemoval$0$Entry#1:
- inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPSurpriseRemoval$0$start#1;
-
- inline$BDLPnPSurpriseRemoval$0$start#1:
- inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12 := inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1;
- inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12 := inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1;
- goto inline$BDLPnPSurpriseRemoval$0$label_3#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_3#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_4#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_4#1:
- inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12 := 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_5#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_5#1:
- goto inline$BDLGetDebugLevel$658$Entry#1;
-
- inline$BDLGetDebugLevel$658$Entry#1:
- goto inline$BDLGetDebugLevel$658$start#1;
-
- inline$BDLGetDebugLevel$658$start#1:
- goto inline$BDLGetDebugLevel$658$label_3#1;
-
- inline$BDLGetDebugLevel$658$label_3#1:
- havoc inline$BDLGetDebugLevel$658$myNondetVar_0;
- inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$658$myNondetVar_0;
- goto inline$BDLGetDebugLevel$658$label_1#1;
-
- inline$BDLGetDebugLevel$658$label_1#1:
- goto inline$BDLGetDebugLevel$658$Return#1;
-
- inline$BDLGetDebugLevel$658$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$ := inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_5$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_5$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon14_Then#1, inline$BDLPnPSurpriseRemoval$0$anon14_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon14_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon1#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon1#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_8#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_8#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_8_true#1, inline$BDLPnPSurpriseRemoval$0$label_8_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_8_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_9#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_8_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_12#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_12#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_13#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_13#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_14#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_14#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_15#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_15#1:
- havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$3$;
- goto inline$BDLPnPSurpriseRemoval$0$label_9#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_9#1:
- goto inline$BDLGetDebugLevel$659$Entry#1;
-
- inline$BDLGetDebugLevel$659$Entry#1:
- goto inline$BDLGetDebugLevel$659$start#1;
-
- inline$BDLGetDebugLevel$659$start#1:
- goto inline$BDLGetDebugLevel$659$label_3#1;
-
- inline$BDLGetDebugLevel$659$label_3#1:
- havoc inline$BDLGetDebugLevel$659$myNondetVar_0;
- inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$659$myNondetVar_0;
- goto inline$BDLGetDebugLevel$659$label_1#1;
-
- inline$BDLGetDebugLevel$659$label_1#1:
- goto inline$BDLGetDebugLevel$659$Return#1;
-
- inline$BDLGetDebugLevel$659$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$ := inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_9$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_9$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon15_Then#1, inline$BDLPnPSurpriseRemoval$0$anon15_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon15_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon3#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon3#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_18#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_18#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_18_true#1, inline$BDLPnPSurpriseRemoval$0$label_18_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_19#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_22#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_22#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_23#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_23#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_24#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_24#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_25#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_25#1:
- havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$8$;
- goto inline$BDLPnPSurpriseRemoval$0$label_19#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_19#1:
- goto inline$BDLGetDebugLevel$660$Entry#1;
-
- inline$BDLGetDebugLevel$660$Entry#1:
- goto inline$BDLGetDebugLevel$660$start#1;
-
- inline$BDLGetDebugLevel$660$start#1:
- goto inline$BDLGetDebugLevel$660$label_3#1;
-
- inline$BDLGetDebugLevel$660$label_3#1:
- havoc inline$BDLGetDebugLevel$660$myNondetVar_0;
- inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$660$myNondetVar_0;
- goto inline$BDLGetDebugLevel$660$label_1#1;
-
- inline$BDLGetDebugLevel$660$label_1#1:
- goto inline$BDLGetDebugLevel$660$Return#1;
-
- inline$BDLGetDebugLevel$660$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$ := inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_19$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_19$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon16_Then#1, inline$BDLPnPSurpriseRemoval$0$anon16_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon5#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon5#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_28#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_28#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_28_true#1, inline$BDLPnPSurpriseRemoval$0$label_28_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_28_false#1:
- assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$, 4) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_29#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_28_true#1:
- assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$, 4) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_30#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_30#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_29#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_29#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_31#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_31#1:
- goto inline$BDLHandleRemove$1$Entry#1;
-
- inline$BDLHandleRemove$1$Entry#1:
- inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1 := inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12;
- inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1 := inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12;
- goto inline$BDLHandleRemove$1$start#1;
-
- inline$BDLHandleRemove$1$start#1:
- inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1;
- inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1;
- goto inline$BDLHandleRemove$1$label_3#1;
-
- inline$BDLHandleRemove$1$label_3#1:
- goto inline$BDLHandleRemove$1$label_4#1;
-
- inline$BDLHandleRemove$1$label_4#1:
- inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := 0;
- goto inline$BDLHandleRemove$1$label_5#1;
-
- inline$BDLHandleRemove$1$label_5#1:
- goto inline$BDLHandleRemove$1$label_6#1;
-
- inline$BDLHandleRemove$1$label_6#1:
- havoc inline$BDLHandleRemove$1$myNondetVar_0;
- goto inline$BDLHandleRemove$1$label_7#1;
-
- inline$BDLHandleRemove$1$label_7#1:
- goto inline$BDLGetDebugLevel$661$Entry#1;
-
- inline$BDLGetDebugLevel$661$Entry#1:
- goto inline$BDLGetDebugLevel$661$start#1;
-
- inline$BDLGetDebugLevel$661$start#1:
- goto inline$BDLGetDebugLevel$661$label_3#1;
-
- inline$BDLGetDebugLevel$661$label_3#1:
- havoc inline$BDLGetDebugLevel$661$myNondetVar_0;
- inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$661$myNondetVar_0;
- goto inline$BDLGetDebugLevel$661$label_1#1;
-
- inline$BDLGetDebugLevel$661$label_1#1:
- goto inline$BDLGetDebugLevel$661$Return#1;
-
- inline$BDLGetDebugLevel$661$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$ := inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_7$1#1;
-
- inline$BDLHandleRemove$1$label_7$1#1:
- goto inline$BDLHandleRemove$1$anon42_Then#1, inline$BDLHandleRemove$1$anon42_Else#1;
-
- inline$BDLHandleRemove$1$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon1#1;
-
- inline$BDLHandleRemove$1$anon1#1:
- goto inline$BDLHandleRemove$1$label_10#1;
-
- inline$BDLHandleRemove$1$label_10#1:
- goto inline$BDLHandleRemove$1$label_10_true#1, inline$BDLHandleRemove$1$label_10_false#1;
-
- inline$BDLHandleRemove$1$label_10_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$) == 0;
- goto inline$BDLHandleRemove$1$label_11#1;
-
- inline$BDLHandleRemove$1$label_10_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$) != 0;
- goto inline$BDLHandleRemove$1$label_14#1;
-
- inline$BDLHandleRemove$1$label_14#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_15#1;
-
- inline$BDLHandleRemove$1$label_15#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_16#1;
-
- inline$BDLHandleRemove$1$label_16#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_17#1;
-
- inline$BDLHandleRemove$1$label_17#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$3$;
- goto inline$BDLHandleRemove$1$label_11#1;
-
- inline$BDLHandleRemove$1$label_11#1:
- goto inline$BDLGetDebugLevel$662$Entry#1;
-
- inline$BDLGetDebugLevel$662$Entry#1:
- goto inline$BDLGetDebugLevel$662$start#1;
-
- inline$BDLGetDebugLevel$662$start#1:
- goto inline$BDLGetDebugLevel$662$label_3#1;
-
- inline$BDLGetDebugLevel$662$label_3#1:
- havoc inline$BDLGetDebugLevel$662$myNondetVar_0;
- inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$662$myNondetVar_0;
- goto inline$BDLGetDebugLevel$662$label_1#1;
-
- inline$BDLGetDebugLevel$662$label_1#1:
- goto inline$BDLGetDebugLevel$662$Return#1;
-
- inline$BDLGetDebugLevel$662$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$ := inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_11$1#1;
-
- inline$BDLHandleRemove$1$label_11$1#1:
- goto inline$BDLHandleRemove$1$anon43_Then#1, inline$BDLHandleRemove$1$anon43_Else#1;
-
- inline$BDLHandleRemove$1$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon3#1;
-
- inline$BDLHandleRemove$1$anon3#1:
- goto inline$BDLHandleRemove$1$label_20#1;
-
- inline$BDLHandleRemove$1$label_20#1:
- goto inline$BDLHandleRemove$1$label_20_true#1, inline$BDLHandleRemove$1$label_20_false#1;
-
- inline$BDLHandleRemove$1$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$) == 0;
- goto inline$BDLHandleRemove$1$label_21#1;
-
- inline$BDLHandleRemove$1$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$) != 0;
- goto inline$BDLHandleRemove$1$label_24#1;
-
- inline$BDLHandleRemove$1$label_24#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_25#1;
-
- inline$BDLHandleRemove$1$label_25#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_26#1;
-
- inline$BDLHandleRemove$1$label_26#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_27#1;
-
- inline$BDLHandleRemove$1$label_27#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$8$;
- goto inline$BDLHandleRemove$1$label_21#1;
-
- inline$BDLHandleRemove$1$label_21#1:
- goto inline$BDLGetDebugLevel$663$Entry#1;
-
- inline$BDLGetDebugLevel$663$Entry#1:
- goto inline$BDLGetDebugLevel$663$start#1;
-
- inline$BDLGetDebugLevel$663$start#1:
- goto inline$BDLGetDebugLevel$663$label_3#1;
-
- inline$BDLGetDebugLevel$663$label_3#1:
- havoc inline$BDLGetDebugLevel$663$myNondetVar_0;
- inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$663$myNondetVar_0;
- goto inline$BDLGetDebugLevel$663$label_1#1;
-
- inline$BDLGetDebugLevel$663$label_1#1:
- goto inline$BDLGetDebugLevel$663$Return#1;
-
- inline$BDLGetDebugLevel$663$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$ := inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_21$1#1;
-
- inline$BDLHandleRemove$1$label_21$1#1:
- goto inline$BDLHandleRemove$1$anon44_Then#1, inline$BDLHandleRemove$1$anon44_Else#1;
-
- inline$BDLHandleRemove$1$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon5#1;
-
- inline$BDLHandleRemove$1$anon5#1:
- goto inline$BDLHandleRemove$1$label_30#1;
-
- inline$BDLHandleRemove$1$label_30#1:
- goto inline$BDLHandleRemove$1$label_30_true#1, inline$BDLHandleRemove$1$label_30_false#1;
-
- inline$BDLHandleRemove$1$label_30_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$, 4) == 0;
- goto inline$BDLHandleRemove$1$label_31#1;
-
- inline$BDLHandleRemove$1$label_30_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$, 4) != 0;
- goto inline$BDLHandleRemove$1$label_34#1;
-
- inline$BDLHandleRemove$1$label_34#1:
- goto inline$BDLHandleRemove$1$label_31#1;
-
- inline$BDLHandleRemove$1$label_31#1:
- goto inline$storm_KeSetEvent$29$Entry#1;
-
- inline$storm_KeSetEvent$29$Entry#1:
- inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12);
- goto inline$storm_KeSetEvent$29$start#1;
-
- inline$storm_KeSetEvent$29$start#1:
- inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$29$label_3#1;
-
- inline$storm_KeSetEvent$29$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$29$label_1#1;
-
- inline$storm_KeSetEvent$29$label_1#1:
- goto inline$storm_KeSetEvent$29$Return#1;
-
- inline$storm_KeSetEvent$29$Return#1:
- goto inline$BDLHandleRemove$1$label_31$1#1;
-
- inline$BDLHandleRemove$1$label_31$1#1:
- goto inline$BDLHandleRemove$1$anon45_Then#1, inline$BDLHandleRemove$1$anon45_Else#1;
-
- inline$BDLHandleRemove$1$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon7#1;
-
- inline$BDLHandleRemove$1$anon7#1:
- goto inline$BDLHandleRemove$1$label_35#1;
-
- inline$BDLHandleRemove$1$label_35#1:
- call inline$BDLHandleRemove$1$$result.IoSetDeviceInterfaceState$2406.29$14$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12), 0);
- goto inline$BDLHandleRemove$1$anon46_Then#1, inline$BDLHandleRemove$1$anon46_Else#1;
-
- inline$BDLHandleRemove$1$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon9#1;
-
- inline$BDLHandleRemove$1$anon9#1:
- goto inline$BDLHandleRemove$1$label_38#1;
-
- inline$BDLHandleRemove$1$label_38#1:
- goto inline$BDLCleanupNotificationStruct$1$Entry#1;
-
- inline$BDLCleanupNotificationStruct$1$Entry#1:
- inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
- goto inline$BDLCleanupNotificationStruct$1$start#1;
-
- inline$BDLCleanupNotificationStruct$1$start#1:
- call inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(20);
- call inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(1);
- inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1;
- goto inline$BDLCleanupNotificationStruct$1$label_3#1;
-
- inline$BDLCleanupNotificationStruct$1$label_3#1:
- goto inline$BDLCleanupNotificationStruct$1$label_4#1;
-
- inline$BDLCleanupNotificationStruct$1$label_4#1:
- goto inline$BDLCleanupNotificationStruct$1$label_5#1;
-
- inline$BDLCleanupNotificationStruct$1$label_5#1:
- goto inline$BDLCleanupNotificationStruct$1$label_6#1;
-
- inline$BDLCleanupNotificationStruct$1$label_6#1:
- goto inline$BDLCleanupNotificationStruct$1$label_7#1;
-
- inline$BDLCleanupNotificationStruct$1$label_7#1:
- goto inline$BDLCleanupNotificationStruct$1$label_8#1;
-
- inline$BDLCleanupNotificationStruct$1$label_8#1:
- goto inline$BDLCleanupNotificationStruct$1$label_9#1;
-
- inline$BDLCleanupNotificationStruct$1$label_9#1:
- goto inline$BDLCleanupNotificationStruct$1$label_10#1;
-
- inline$BDLCleanupNotificationStruct$1$label_10#1:
- inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$1$label_11#1;
-
- inline$BDLCleanupNotificationStruct$1$label_11#1:
- goto inline$BDLCleanupNotificationStruct$1$label_12#1;
-
- inline$BDLCleanupNotificationStruct$1$label_12#1:
- inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$1$label_13#1;
-
- inline$BDLCleanupNotificationStruct$1$label_13#1:
- goto inline$BDLCleanupNotificationStruct$1$label_14#1;
-
- inline$BDLCleanupNotificationStruct$1$label_14#1:
- inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$1$label_15#1;
-
- inline$BDLCleanupNotificationStruct$1$label_15#1:
- goto inline$BDLCleanupNotificationStruct$1$label_16#1;
-
- inline$BDLCleanupNotificationStruct$1$label_16#1:
- inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$1$label_17#1;
-
- inline$BDLCleanupNotificationStruct$1$label_17#1:
- goto inline$BDLCleanupNotificationStruct$1$label_18#1;
-
- inline$BDLCleanupNotificationStruct$1$label_18#1:
- goto inline$BDLCleanupNotificationStruct$1$label_19#1;
-
- inline$BDLCleanupNotificationStruct$1$label_19#1:
- goto inline$BDLGetDebugLevel$664$Entry#1;
-
- inline$BDLGetDebugLevel$664$Entry#1:
- goto inline$BDLGetDebugLevel$664$start#1;
-
- inline$BDLGetDebugLevel$664$start#1:
- goto inline$BDLGetDebugLevel$664$label_3#1;
-
- inline$BDLGetDebugLevel$664$label_3#1:
- havoc inline$BDLGetDebugLevel$664$myNondetVar_0;
- inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$664$myNondetVar_0;
- goto inline$BDLGetDebugLevel$664$label_1#1;
-
- inline$BDLGetDebugLevel$664$label_1#1:
- goto inline$BDLGetDebugLevel$664$Return#1;
-
- inline$BDLGetDebugLevel$664$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$ := inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_19$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_19$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon46_Then#1, inline$BDLCleanupNotificationStruct$1$anon46_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon1#1;
-
- inline$BDLCleanupNotificationStruct$1$anon1#1:
- goto inline$BDLCleanupNotificationStruct$1$label_22#1;
-
- inline$BDLCleanupNotificationStruct$1$label_22#1:
- goto inline$BDLCleanupNotificationStruct$1$label_22_true#1, inline$BDLCleanupNotificationStruct$1$label_22_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_22_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_23#1;
-
- inline$BDLCleanupNotificationStruct$1$label_22_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_26#1;
-
- inline$BDLCleanupNotificationStruct$1$label_26#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_27#1;
-
- inline$BDLCleanupNotificationStruct$1$label_27#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_28#1;
-
- inline$BDLCleanupNotificationStruct$1$label_28#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_29#1;
-
- inline$BDLCleanupNotificationStruct$1$label_29#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$2$;
- goto inline$BDLCleanupNotificationStruct$1$label_23#1;
-
- inline$BDLCleanupNotificationStruct$1$label_23#1:
- goto inline$BDLGetDebugLevel$665$Entry#1;
-
- inline$BDLGetDebugLevel$665$Entry#1:
- goto inline$BDLGetDebugLevel$665$start#1;
-
- inline$BDLGetDebugLevel$665$start#1:
- goto inline$BDLGetDebugLevel$665$label_3#1;
-
- inline$BDLGetDebugLevel$665$label_3#1:
- havoc inline$BDLGetDebugLevel$665$myNondetVar_0;
- inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$665$myNondetVar_0;
- goto inline$BDLGetDebugLevel$665$label_1#1;
-
- inline$BDLGetDebugLevel$665$label_1#1:
- goto inline$BDLGetDebugLevel$665$Return#1;
-
- inline$BDLGetDebugLevel$665$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$ := inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_23$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_23$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon47_Then#1, inline$BDLCleanupNotificationStruct$1$anon47_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon3#1;
-
- inline$BDLCleanupNotificationStruct$1$anon3#1:
- goto inline$BDLCleanupNotificationStruct$1$label_32#1;
-
- inline$BDLCleanupNotificationStruct$1$label_32#1:
- goto inline$BDLCleanupNotificationStruct$1$label_32_true#1, inline$BDLCleanupNotificationStruct$1$label_32_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_32_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_33#1;
-
- inline$BDLCleanupNotificationStruct$1$label_32_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_36#1;
-
- inline$BDLCleanupNotificationStruct$1$label_36#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_37#1;
-
- inline$BDLCleanupNotificationStruct$1$label_37#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_38#1;
-
- inline$BDLCleanupNotificationStruct$1$label_38#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_39#1;
-
- inline$BDLCleanupNotificationStruct$1$label_39#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$7$;
- goto inline$BDLCleanupNotificationStruct$1$label_33#1;
-
- inline$BDLCleanupNotificationStruct$1$label_33#1:
- goto inline$BDLGetDebugLevel$666$Entry#1;
-
- inline$BDLGetDebugLevel$666$Entry#1:
- goto inline$BDLGetDebugLevel$666$start#1;
-
- inline$BDLGetDebugLevel$666$start#1:
- goto inline$BDLGetDebugLevel$666$label_3#1;
-
- inline$BDLGetDebugLevel$666$label_3#1:
- havoc inline$BDLGetDebugLevel$666$myNondetVar_0;
- inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$666$myNondetVar_0;
- goto inline$BDLGetDebugLevel$666$label_1#1;
-
- inline$BDLGetDebugLevel$666$label_1#1:
- goto inline$BDLGetDebugLevel$666$Return#1;
-
- inline$BDLGetDebugLevel$666$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$ := inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_33$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_33$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon48_Then#1, inline$BDLCleanupNotificationStruct$1$anon48_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon5#1;
-
- inline$BDLCleanupNotificationStruct$1$anon5#1:
- goto inline$BDLCleanupNotificationStruct$1$label_42#1;
-
- inline$BDLCleanupNotificationStruct$1$label_42#1:
- goto inline$BDLCleanupNotificationStruct$1$label_42_true#1, inline$BDLCleanupNotificationStruct$1$label_42_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_42_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_43#1;
-
- inline$BDLCleanupNotificationStruct$1$label_42_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_44#1;
-
- inline$BDLCleanupNotificationStruct$1$label_44#1:
- goto inline$BDLCleanupNotificationStruct$1$label_43#1;
-
- inline$BDLCleanupNotificationStruct$1$label_43#1:
- goto inline$BDLCleanupNotificationStruct$1$label_45#1;
-
- inline$BDLCleanupNotificationStruct$1$label_45#1:
- goto inline$BDLCleanupNotificationStruct$1$label_45_head#1;
-
- inline$BDLCleanupNotificationStruct$1$label_45_head#1:
- goto inline$BDLCleanupNotificationStruct$1$label_45_true#1, inline$BDLCleanupNotificationStruct$1$label_45_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_45_false#1:
- assume 1 == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_46#1;
-
- inline$BDLCleanupNotificationStruct$1$label_45_true#1:
- assume 1 != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_49#1;
-
- inline$BDLCleanupNotificationStruct$1$label_49#1:
- call inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$ := KfRaiseIrql(2);
- goto inline$BDLCleanupNotificationStruct$1$anon50_Then#1, inline$BDLCleanupNotificationStruct$1$anon50_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon9#1;
-
- inline$BDLCleanupNotificationStruct$1$anon9#1:
- goto inline$BDLCleanupNotificationStruct$1$label_52#1;
-
- inline$BDLCleanupNotificationStruct$1$label_52#1:
- inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$;
- goto inline$BDLCleanupNotificationStruct$1$label_53#1;
-
- inline$BDLCleanupNotificationStruct$1$label_53#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$3$Entry#1;
-
- inline$storm_KeAcquireSpinLock$3$Entry#1:
- inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeAcquireSpinLock$3$start#1;
-
- inline$storm_KeAcquireSpinLock$3$start#1:
- inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
- goto inline$storm_KeAcquireSpinLock$3$label_3#1;
-
- inline$storm_KeAcquireSpinLock$3$label_3#1:
- goto inline$storm_KeAcquireSpinLock$3$label_4#1;
-
- inline$storm_KeAcquireSpinLock$3$label_4#1:
- goto inline$storm_getThreadID$8$Entry#1;
-
- inline$storm_getThreadID$8$Entry#1:
- goto inline$storm_getThreadID$8$anon0#1;
-
- inline$storm_getThreadID$8$anon0#1:
- inline$storm_getThreadID$8$tid := __storm_thread_id;
- goto inline$storm_getThreadID$8$Return#1;
-
- inline$storm_getThreadID$8$Return#1:
- inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$8$tid;
- goto inline$storm_KeAcquireSpinLock$3$label_4$1#1;
-
- inline$storm_KeAcquireSpinLock$3$label_4$1#1:
- goto inline$storm_KeAcquireSpinLock$3$label_7#1;
-
- inline$storm_KeAcquireSpinLock$3$label_7#1:
- inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$3$label_8#1;
-
- inline$storm_KeAcquireSpinLock$3$label_8#1:
- goto inline$storm_KeAcquireSpinLock$3$label_9#1;
-
- inline$storm_KeAcquireSpinLock$3$label_9#1:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$3$label_12#1;
-
- inline$storm_KeAcquireSpinLock$3$label_12#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$3$anon7_Then#1, inline$storm_KeAcquireSpinLock$3$anon7_Else#1;
-
- inline$storm_KeAcquireSpinLock$3$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$3$anon1#1;
-
- inline$storm_KeAcquireSpinLock$3$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$3$label_13#1;
-
- inline$storm_KeAcquireSpinLock$3$label_13#1:
- goto inline$storm_KeAcquireSpinLock$3$label_13_true#1, inline$storm_KeAcquireSpinLock$3$label_13_false#1;
-
- inline$storm_KeAcquireSpinLock$3$label_13_false#1:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$3$label_14#1;
-
- inline$storm_KeAcquireSpinLock$3$label_14#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$3$label_1#1;
-
- inline$storm_KeAcquireSpinLock$3$label_13_true#1:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$3$label_17#1;
-
- inline$storm_KeAcquireSpinLock$3$label_17#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$3$anon8_Then#1, inline$storm_KeAcquireSpinLock$3$anon8_Else#1;
-
- inline$storm_KeAcquireSpinLock$3$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$3$anon4#1;
-
- inline$storm_KeAcquireSpinLock$3$anon4#1:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
- goto inline$storm_KeAcquireSpinLock$3$label_18#1;
-
- inline$storm_KeAcquireSpinLock$3$label_18#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$3$label_19#1;
-
- inline$storm_KeAcquireSpinLock$3$label_19#1:
- goto inline$storm_KeAcquireSpinLock$3$anon9_Then#1, inline$storm_KeAcquireSpinLock$3$anon9_Else#1;
-
- inline$storm_KeAcquireSpinLock$3$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$3$anon6#1;
-
- inline$storm_KeAcquireSpinLock$3$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$3$anon6#1;
-
- inline$storm_KeAcquireSpinLock$3$anon6#1:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$3$label_1#1;
-
- inline$storm_KeAcquireSpinLock$3$label_1#1:
- goto inline$storm_KeAcquireSpinLock$3$Return#1;
-
- inline$storm_KeAcquireSpinLock$3$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$3$Return#1;
-
- inline$storm_KeAcquireSpinLock$3$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$3$Return#1;
-
- inline$storm_KeAcquireSpinLock$3$Return#1:
- goto inline$BDLCleanupNotificationStruct$1$label_53$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_53$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon51_Then#1, inline$BDLCleanupNotificationStruct$1$anon51_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon11#1;
-
- inline$BDLCleanupNotificationStruct$1$anon11#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_56#1;
-
- inline$BDLCleanupNotificationStruct$1$label_56#1:
- goto inline$IsListEmpty$4$Entry#1;
-
- inline$IsListEmpty$4$Entry#1:
- inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1 := ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$4$start#1;
-
- inline$IsListEmpty$4$start#1:
- inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$4$label_3#1;
-
- inline$IsListEmpty$4$label_3#1:
- havoc inline$IsListEmpty$4$myNondetVar_0;
- inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$4$myNondetVar_0, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$4$label_1#1;
-
- inline$IsListEmpty$4$label_1#1:
- goto inline$IsListEmpty$4$Return#1;
-
- inline$IsListEmpty$4$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ := inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_56$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_56$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon52_Then#1, inline$BDLCleanupNotificationStruct$1$anon52_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon13#1;
-
- inline$BDLCleanupNotificationStruct$1$anon13#1:
- goto inline$BDLCleanupNotificationStruct$1$label_59#1;
-
- inline$BDLCleanupNotificationStruct$1$label_59#1:
- goto inline$BDLCleanupNotificationStruct$1$label_59_true#1, inline$BDLCleanupNotificationStruct$1$label_59_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_59_false#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_60#1;
-
- inline$BDLCleanupNotificationStruct$1$label_60#1:
- goto inline$RemoveHeadList$3$Entry#1;
-
- inline$RemoveHeadList$3$Entry#1:
- goto inline$RemoveHeadList$3$start#1;
-
- inline$RemoveHeadList$3$start#1:
- goto inline$RemoveHeadList$3$label_3#1;
-
- inline$RemoveHeadList$3$label_3#1:
- goto inline$RemoveHeadList$3$label_4#1;
-
- inline$RemoveHeadList$3$label_4#1:
- goto inline$RemoveHeadList$3$label_5#1;
-
- inline$RemoveHeadList$3$label_5#1:
- havoc inline$RemoveHeadList$3$myNondetVar_0;
- inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$3$myNondetVar_0;
- goto inline$RemoveHeadList$3$label_6#1;
-
- inline$RemoveHeadList$3$label_6#1:
- havoc inline$RemoveHeadList$3$myNondetVar_0;
- goto inline$RemoveHeadList$3$label_7#1;
-
- inline$RemoveHeadList$3$label_7#1:
- goto inline$RemoveHeadList$3$label_8#1;
-
- inline$RemoveHeadList$3$label_8#1:
- goto inline$RemoveHeadList$3$label_9#1;
-
- inline$RemoveHeadList$3$label_9#1:
- inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4;
- goto inline$RemoveHeadList$3$label_1#1;
-
- inline$RemoveHeadList$3$label_1#1:
- goto inline$RemoveHeadList$3$Return#1;
-
- inline$RemoveHeadList$3$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$ := inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_60$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_60$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon53_Then#1, inline$BDLCleanupNotificationStruct$1$anon53_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon15#1;
-
- inline$BDLCleanupNotificationStruct$1$anon15#1:
- goto inline$BDLCleanupNotificationStruct$1$label_63#1;
-
- inline$BDLCleanupNotificationStruct$1$label_63#1:
- inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$;
- goto inline$BDLCleanupNotificationStruct$1$label_64#1;
-
- inline$BDLCleanupNotificationStruct$1$label_64#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$5$Entry#1;
-
- inline$storm_KeReleaseSpinLock$5$Entry#1:
- inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeReleaseSpinLock$5$start#1;
-
- inline$storm_KeReleaseSpinLock$5$start#1:
- inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$5$label_3#1;
-
- inline$storm_KeReleaseSpinLock$5$label_3#1:
- goto inline$storm_KeReleaseSpinLock$5$label_4#1;
-
- inline$storm_KeReleaseSpinLock$5$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$5$label_7#1;
-
- inline$storm_KeReleaseSpinLock$5$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$5$anon5_Then#1, inline$storm_KeReleaseSpinLock$5$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$5$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$5$anon1#1;
-
- inline$storm_KeReleaseSpinLock$5$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$5$label_8#1;
-
- inline$storm_KeReleaseSpinLock$5$label_8#1:
- goto inline$storm_getThreadID$9$Entry#1;
-
- inline$storm_getThreadID$9$Entry#1:
- goto inline$storm_getThreadID$9$anon0#1;
-
- inline$storm_getThreadID$9$anon0#1:
- inline$storm_getThreadID$9$tid := __storm_thread_id;
- goto inline$storm_getThreadID$9$Return#1;
-
- inline$storm_getThreadID$9$Return#1:
- inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$9$tid;
- goto inline$storm_KeReleaseSpinLock$5$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$5$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$5$label_11#1;
-
- inline$storm_KeReleaseSpinLock$5$label_11#1:
- goto inline$storm_KeReleaseSpinLock$5$label_11_true#1, inline$storm_KeReleaseSpinLock$5$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$5$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$5$label_12#1;
-
- inline$storm_KeReleaseSpinLock$5$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$5$label_1#1;
-
- inline$storm_KeReleaseSpinLock$5$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$5$label_15#1;
-
- inline$storm_KeReleaseSpinLock$5$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$5$label_16#1;
-
- inline$storm_KeReleaseSpinLock$5$label_16#1:
- goto inline$storm_KeReleaseSpinLock$5$anon6_Then#1, inline$storm_KeReleaseSpinLock$5$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$5$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$5$anon4#1;
-
- inline$storm_KeReleaseSpinLock$5$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$5$anon4#1;
-
- inline$storm_KeReleaseSpinLock$5$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$5$label_1#1;
-
- inline$storm_KeReleaseSpinLock$5$label_1#1:
- goto inline$storm_KeReleaseSpinLock$5$Return#1;
-
- inline$storm_KeReleaseSpinLock$5$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$5$Return#1;
-
- inline$storm_KeReleaseSpinLock$5$Return#1:
- goto inline$BDLCleanupNotificationStruct$1$label_64$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_64$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon54_Then#1, inline$BDLCleanupNotificationStruct$1$anon54_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon54_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon17#1;
-
- inline$BDLCleanupNotificationStruct$1$anon17#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_67#1;
-
- inline$BDLCleanupNotificationStruct$1$label_67#1:
- call KfLowerIrql(inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$1$anon55_Then#1, inline$BDLCleanupNotificationStruct$1$anon55_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon55_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon19#1;
-
- inline$BDLCleanupNotificationStruct$1$anon19#1:
- goto inline$BDLCleanupNotificationStruct$1$label_70#1;
-
- inline$BDLCleanupNotificationStruct$1$label_70#1:
- inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4, 1, 12);
- goto inline$BDLCleanupNotificationStruct$1$label_71#1;
-
- inline$BDLCleanupNotificationStruct$1$label_71#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_72#1;
-
- inline$BDLCleanupNotificationStruct$1$label_72#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_73#1;
-
- inline$BDLCleanupNotificationStruct$1$label_73#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_74#1;
-
- inline$BDLCleanupNotificationStruct$1$label_74#1:
- call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4, 541869122);
- goto inline$BDLCleanupNotificationStruct$1$anon56_Then#1, inline$BDLCleanupNotificationStruct$1$anon56_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon56_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon21#1;
-
- inline$BDLCleanupNotificationStruct$1$anon21#1:
- goto inline$BDLCleanupNotificationStruct$1$label_77#1;
-
- inline$BDLCleanupNotificationStruct$1$label_77#1:
- goto inline$BDLCleanupNotificationStruct$1$label_80#1;
-
- inline$BDLCleanupNotificationStruct$1$label_80#1:
- inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$callresult.$3172.84$15$;
- goto inline$BDLCleanupNotificationStruct$1$label_81#1;
-
- inline$BDLCleanupNotificationStruct$1$label_81#1:
- goto inline$BDLCleanupNotificationStruct$1$label_81_true#1, inline$BDLCleanupNotificationStruct$1$label_81_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_81_false#1:
- assume inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$1$label_81_true#1:
- assume inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_82#1;
-
- inline$BDLCleanupNotificationStruct$1$label_82#1:
- goto inline$BDLGetDebugLevel$667$Entry#1;
-
- inline$BDLGetDebugLevel$667$Entry#1:
- goto inline$BDLGetDebugLevel$667$start#1;
-
- inline$BDLGetDebugLevel$667$start#1:
- goto inline$BDLGetDebugLevel$667$label_3#1;
-
- inline$BDLGetDebugLevel$667$label_3#1:
- havoc inline$BDLGetDebugLevel$667$myNondetVar_0;
- inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$667$myNondetVar_0;
- goto inline$BDLGetDebugLevel$667$label_1#1;
-
- inline$BDLGetDebugLevel$667$label_1#1:
- goto inline$BDLGetDebugLevel$667$Return#1;
-
- inline$BDLGetDebugLevel$667$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$ := inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_82$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_82$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon57_Then#1, inline$BDLCleanupNotificationStruct$1$anon57_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon57_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon23#1;
-
- inline$BDLCleanupNotificationStruct$1$anon23#1:
- goto inline$BDLCleanupNotificationStruct$1$label_85#1;
-
- inline$BDLCleanupNotificationStruct$1$label_85#1:
- goto inline$BDLCleanupNotificationStruct$1$label_85_true#1, inline$BDLCleanupNotificationStruct$1$label_85_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_85_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_86#1;
-
- inline$BDLCleanupNotificationStruct$1$label_85_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_89#1;
-
- inline$BDLCleanupNotificationStruct$1$label_89#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_90#1;
-
- inline$BDLCleanupNotificationStruct$1$label_90#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_91#1;
-
- inline$BDLCleanupNotificationStruct$1$label_91#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_92#1;
-
- inline$BDLCleanupNotificationStruct$1$label_92#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$17$;
- goto inline$BDLCleanupNotificationStruct$1$label_86#1;
-
- inline$BDLCleanupNotificationStruct$1$label_86#1:
- goto inline$BDLGetDebugLevel$668$Entry#1;
-
- inline$BDLGetDebugLevel$668$Entry#1:
- goto inline$BDLGetDebugLevel$668$start#1;
-
- inline$BDLGetDebugLevel$668$start#1:
- goto inline$BDLGetDebugLevel$668$label_3#1;
-
- inline$BDLGetDebugLevel$668$label_3#1:
- havoc inline$BDLGetDebugLevel$668$myNondetVar_0;
- inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$668$myNondetVar_0;
- goto inline$BDLGetDebugLevel$668$label_1#1;
-
- inline$BDLGetDebugLevel$668$label_1#1:
- goto inline$BDLGetDebugLevel$668$Return#1;
-
- inline$BDLGetDebugLevel$668$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$ := inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_86$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_86$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon58_Then#1, inline$BDLCleanupNotificationStruct$1$anon58_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon58_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon25#1;
-
- inline$BDLCleanupNotificationStruct$1$anon25#1:
- goto inline$BDLCleanupNotificationStruct$1$label_95#1;
-
- inline$BDLCleanupNotificationStruct$1$label_95#1:
- goto inline$BDLCleanupNotificationStruct$1$label_95_true#1, inline$BDLCleanupNotificationStruct$1$label_95_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_95_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_96#1;
-
- inline$BDLCleanupNotificationStruct$1$label_95_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_99#1;
-
- inline$BDLCleanupNotificationStruct$1$label_99#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_100#1;
-
- inline$BDLCleanupNotificationStruct$1$label_100#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_101#1;
-
- inline$BDLCleanupNotificationStruct$1$label_101#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_102#1;
-
- inline$BDLCleanupNotificationStruct$1$label_102#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$22$;
- goto inline$BDLCleanupNotificationStruct$1$label_96#1;
-
- inline$BDLCleanupNotificationStruct$1$label_96#1:
- goto inline$BDLGetDebugLevel$669$Entry#1;
-
- inline$BDLGetDebugLevel$669$Entry#1:
- goto inline$BDLGetDebugLevel$669$start#1;
-
- inline$BDLGetDebugLevel$669$start#1:
- goto inline$BDLGetDebugLevel$669$label_3#1;
-
- inline$BDLGetDebugLevel$669$label_3#1:
- havoc inline$BDLGetDebugLevel$669$myNondetVar_0;
- inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$669$myNondetVar_0;
- goto inline$BDLGetDebugLevel$669$label_1#1;
-
- inline$BDLGetDebugLevel$669$label_1#1:
- goto inline$BDLGetDebugLevel$669$Return#1;
-
- inline$BDLGetDebugLevel$669$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$ := inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_96$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_96$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon59_Then#1, inline$BDLCleanupNotificationStruct$1$anon59_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon59_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon27#1;
-
- inline$BDLCleanupNotificationStruct$1$anon27#1:
- goto inline$BDLCleanupNotificationStruct$1$label_105#1;
-
- inline$BDLCleanupNotificationStruct$1$label_105#1:
- goto inline$BDLCleanupNotificationStruct$1$label_105_true#1, inline$BDLCleanupNotificationStruct$1$label_105_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_105_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$1$label_105_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_106#1;
-
- inline$BDLCleanupNotificationStruct$1$label_106#1:
- goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$1$label_45_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$1$anon59_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon58_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon57_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon56_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon55_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon54_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon53_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$label_59_true#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_46#1;
-
- inline$BDLCleanupNotificationStruct$1$label_46#1:
- goto inline$BDLCleanupNotificationStruct$1$label_46_head#1;
-
- inline$BDLCleanupNotificationStruct$1$label_46_head#1:
- goto inline$IsListEmpty$3$Entry#1;
-
- inline$IsListEmpty$3$Entry#1:
- inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1 := ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$3$start#1;
-
- inline$IsListEmpty$3$start#1:
- inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$3$label_3#1;
-
- inline$IsListEmpty$3$label_3#1:
- havoc inline$IsListEmpty$3$myNondetVar_0;
- inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$3$myNondetVar_0, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$3$label_1#1;
-
- inline$IsListEmpty$3$label_1#1:
- goto inline$IsListEmpty$3$Return#1;
-
- inline$IsListEmpty$3$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ := inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_46_head$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_46_head$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon49_Then#1, inline$BDLCleanupNotificationStruct$1$anon49_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon7#1;
-
- inline$BDLCleanupNotificationStruct$1$anon7#1:
- goto inline$BDLCleanupNotificationStruct$1$label_107#1;
-
- inline$BDLCleanupNotificationStruct$1$label_107#1:
- goto inline$BDLCleanupNotificationStruct$1$label_107_true#1, inline$BDLCleanupNotificationStruct$1$label_107_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_107_false#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_108#1;
-
- inline$BDLCleanupNotificationStruct$1$label_108#1:
- goto inline$RemoveHeadList$4$Entry#1;
-
- inline$RemoveHeadList$4$Entry#1:
- goto inline$RemoveHeadList$4$start#1;
-
- inline$RemoveHeadList$4$start#1:
- goto inline$RemoveHeadList$4$label_3#1;
-
- inline$RemoveHeadList$4$label_3#1:
- goto inline$RemoveHeadList$4$label_4#1;
-
- inline$RemoveHeadList$4$label_4#1:
- goto inline$RemoveHeadList$4$label_5#1;
-
- inline$RemoveHeadList$4$label_5#1:
- havoc inline$RemoveHeadList$4$myNondetVar_0;
- goto inline$RemoveHeadList$4$label_6#1;
-
- inline$RemoveHeadList$4$label_6#1:
- havoc inline$RemoveHeadList$4$myNondetVar_0;
- goto inline$RemoveHeadList$4$label_7#1;
-
- inline$RemoveHeadList$4$label_7#1:
- goto inline$RemoveHeadList$4$label_8#1;
-
- inline$RemoveHeadList$4$label_8#1:
- goto inline$RemoveHeadList$4$label_9#1;
-
- inline$RemoveHeadList$4$label_9#1:
- goto inline$RemoveHeadList$4$label_1#1;
-
- inline$RemoveHeadList$4$label_1#1:
- goto inline$RemoveHeadList$4$Return#1;
-
- inline$RemoveHeadList$4$Return#1:
- goto inline$BDLCleanupNotificationStruct$1$label_108$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_108$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon60_Then#1, inline$BDLCleanupNotificationStruct$1$anon60_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon60_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon29#1;
-
- inline$BDLCleanupNotificationStruct$1$anon29#1:
- goto inline$BDLCleanupNotificationStruct$1$label_154#1;
-
- inline$BDLCleanupNotificationStruct$1$label_154#1:
- goto inline$BDLCleanupNotificationStruct$1$label_155#1;
-
- inline$BDLCleanupNotificationStruct$1$label_155#1:
- goto inline$BDLCleanupNotificationStruct$1$label_156#1;
-
- inline$BDLCleanupNotificationStruct$1$label_156#1:
- goto inline$BDLCleanupNotificationStruct$1$label_46_head#0;
-
- inline$BDLCleanupNotificationStruct$1$label_46_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$1$anon60_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$label_107_true#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_111#1;
-
- inline$BDLCleanupNotificationStruct$1$label_111#1:
- goto inline$BDLCleanupNotificationStruct$1$label_111_head#1;
-
- inline$BDLCleanupNotificationStruct$1$label_111_head#1:
- goto inline$IsListEmpty$5$Entry#1;
-
- inline$IsListEmpty$5$Entry#1:
- inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1 := IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$5$start#1;
-
- inline$IsListEmpty$5$start#1:
- inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$5$label_3#1;
-
- inline$IsListEmpty$5$label_3#1:
- havoc inline$IsListEmpty$5$myNondetVar_0;
- inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$5$myNondetVar_0, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$5$label_1#1;
-
- inline$IsListEmpty$5$label_1#1:
- goto inline$IsListEmpty$5$Return#1;
-
- inline$IsListEmpty$5$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ := inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_111_head$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_111_head$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon61_Then#1, inline$BDLCleanupNotificationStruct$1$anon61_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon61_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon31#1;
-
- inline$BDLCleanupNotificationStruct$1$anon31#1:
- goto inline$BDLCleanupNotificationStruct$1$label_114#1;
-
- inline$BDLCleanupNotificationStruct$1$label_114#1:
- goto inline$BDLCleanupNotificationStruct$1$label_114_true#1, inline$BDLCleanupNotificationStruct$1$label_114_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_114_false#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_115#1;
-
- inline$BDLCleanupNotificationStruct$1$label_115#1:
- goto inline$RemoveHeadList$5$Entry#1;
-
- inline$RemoveHeadList$5$Entry#1:
- goto inline$RemoveHeadList$5$start#1;
-
- inline$RemoveHeadList$5$start#1:
- goto inline$RemoveHeadList$5$label_3#1;
-
- inline$RemoveHeadList$5$label_3#1:
- goto inline$RemoveHeadList$5$label_4#1;
-
- inline$RemoveHeadList$5$label_4#1:
- goto inline$RemoveHeadList$5$label_5#1;
-
- inline$RemoveHeadList$5$label_5#1:
- havoc inline$RemoveHeadList$5$myNondetVar_0;
- inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$5$myNondetVar_0;
- goto inline$RemoveHeadList$5$label_6#1;
-
- inline$RemoveHeadList$5$label_6#1:
- havoc inline$RemoveHeadList$5$myNondetVar_0;
- goto inline$RemoveHeadList$5$label_7#1;
-
- inline$RemoveHeadList$5$label_7#1:
- goto inline$RemoveHeadList$5$label_8#1;
-
- inline$RemoveHeadList$5$label_8#1:
- goto inline$RemoveHeadList$5$label_9#1;
-
- inline$RemoveHeadList$5$label_9#1:
- inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4;
- goto inline$RemoveHeadList$5$label_1#1;
-
- inline$RemoveHeadList$5$label_1#1:
- goto inline$RemoveHeadList$5$Return#1;
-
- inline$RemoveHeadList$5$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$ := inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_115$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_115$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon62_Then#1, inline$BDLCleanupNotificationStruct$1$anon62_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon62_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon33#1;
-
- inline$BDLCleanupNotificationStruct$1$anon33#1:
- goto inline$BDLCleanupNotificationStruct$1$label_149#1;
-
- inline$BDLCleanupNotificationStruct$1$label_149#1:
- inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$;
- goto inline$BDLCleanupNotificationStruct$1$label_150#1;
-
- inline$BDLCleanupNotificationStruct$1$label_150#1:
- inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4, 1, 16);
- goto inline$BDLCleanupNotificationStruct$1$label_151#1;
-
- inline$BDLCleanupNotificationStruct$1$label_151#1:
- call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4, 541869122);
- goto inline$BDLCleanupNotificationStruct$1$anon68_Then#1, inline$BDLCleanupNotificationStruct$1$anon68_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon68_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon45#1;
-
- inline$BDLCleanupNotificationStruct$1$anon45#1:
- goto inline$BDLCleanupNotificationStruct$1$label_111_head#0;
-
- inline$BDLCleanupNotificationStruct$1$label_111_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$1$anon68_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon62_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$label_114_true#1:
- assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_118#1;
-
- inline$BDLCleanupNotificationStruct$1$label_118#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$6$Entry#1;
-
- inline$storm_KeReleaseSpinLock$6$Entry#1:
- inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeReleaseSpinLock$6$start#1;
-
- inline$storm_KeReleaseSpinLock$6$start#1:
- inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$6$label_3#1;
-
- inline$storm_KeReleaseSpinLock$6$label_3#1:
- goto inline$storm_KeReleaseSpinLock$6$label_4#1;
-
- inline$storm_KeReleaseSpinLock$6$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$6$label_7#1;
-
- inline$storm_KeReleaseSpinLock$6$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$6$anon5_Then#1, inline$storm_KeReleaseSpinLock$6$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$6$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$6$anon1#1;
-
- inline$storm_KeReleaseSpinLock$6$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$6$label_8#1;
-
- inline$storm_KeReleaseSpinLock$6$label_8#1:
- goto inline$storm_getThreadID$10$Entry#1;
-
- inline$storm_getThreadID$10$Entry#1:
- goto inline$storm_getThreadID$10$anon0#1;
-
- inline$storm_getThreadID$10$anon0#1:
- inline$storm_getThreadID$10$tid := __storm_thread_id;
- goto inline$storm_getThreadID$10$Return#1;
-
- inline$storm_getThreadID$10$Return#1:
- inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$10$tid;
- goto inline$storm_KeReleaseSpinLock$6$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$6$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$6$label_11#1;
-
- inline$storm_KeReleaseSpinLock$6$label_11#1:
- goto inline$storm_KeReleaseSpinLock$6$label_11_true#1, inline$storm_KeReleaseSpinLock$6$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$6$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$6$label_12#1;
-
- inline$storm_KeReleaseSpinLock$6$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$6$label_1#1;
-
- inline$storm_KeReleaseSpinLock$6$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$6$label_15#1;
-
- inline$storm_KeReleaseSpinLock$6$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$6$label_16#1;
-
- inline$storm_KeReleaseSpinLock$6$label_16#1:
- goto inline$storm_KeReleaseSpinLock$6$anon6_Then#1, inline$storm_KeReleaseSpinLock$6$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$6$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$6$anon4#1;
-
- inline$storm_KeReleaseSpinLock$6$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$6$anon4#1;
-
- inline$storm_KeReleaseSpinLock$6$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$6$label_1#1;
-
- inline$storm_KeReleaseSpinLock$6$label_1#1:
- goto inline$storm_KeReleaseSpinLock$6$Return#1;
-
- inline$storm_KeReleaseSpinLock$6$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$6$Return#1;
-
- inline$storm_KeReleaseSpinLock$6$Return#1:
- goto inline$BDLCleanupNotificationStruct$1$label_118$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_118$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon63_Then#1, inline$BDLCleanupNotificationStruct$1$anon63_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon63_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon35#1;
-
- inline$BDLCleanupNotificationStruct$1$anon35#1:
- havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$1$label_121#1;
-
- inline$BDLCleanupNotificationStruct$1$label_121#1:
- call KfLowerIrql(inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$1$anon64_Then#1, inline$BDLCleanupNotificationStruct$1$anon64_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon64_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon37#1;
-
- inline$BDLCleanupNotificationStruct$1$anon37#1:
- goto inline$BDLCleanupNotificationStruct$1$label_124#1;
-
- inline$BDLCleanupNotificationStruct$1$label_124#1:
- goto inline$BDLGetDebugLevel$670$Entry#1;
-
- inline$BDLGetDebugLevel$670$Entry#1:
- goto inline$BDLGetDebugLevel$670$start#1;
-
- inline$BDLGetDebugLevel$670$start#1:
- goto inline$BDLGetDebugLevel$670$label_3#1;
-
- inline$BDLGetDebugLevel$670$label_3#1:
- havoc inline$BDLGetDebugLevel$670$myNondetVar_0;
- inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$670$myNondetVar_0;
- goto inline$BDLGetDebugLevel$670$label_1#1;
-
- inline$BDLGetDebugLevel$670$label_1#1:
- goto inline$BDLGetDebugLevel$670$Return#1;
-
- inline$BDLGetDebugLevel$670$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$ := inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_124$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_124$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon65_Then#1, inline$BDLCleanupNotificationStruct$1$anon65_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon65_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon39#1;
-
- inline$BDLCleanupNotificationStruct$1$anon39#1:
- goto inline$BDLCleanupNotificationStruct$1$label_127#1;
-
- inline$BDLCleanupNotificationStruct$1$label_127#1:
- goto inline$BDLCleanupNotificationStruct$1$label_127_true#1, inline$BDLCleanupNotificationStruct$1$label_127_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_127_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_128#1;
-
- inline$BDLCleanupNotificationStruct$1$label_127_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_131#1;
-
- inline$BDLCleanupNotificationStruct$1$label_131#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_132#1;
-
- inline$BDLCleanupNotificationStruct$1$label_132#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_133#1;
-
- inline$BDLCleanupNotificationStruct$1$label_133#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_134#1;
-
- inline$BDLCleanupNotificationStruct$1$label_134#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$32$;
- goto inline$BDLCleanupNotificationStruct$1$label_128#1;
-
- inline$BDLCleanupNotificationStruct$1$label_128#1:
- goto inline$BDLGetDebugLevel$671$Entry#1;
-
- inline$BDLGetDebugLevel$671$Entry#1:
- goto inline$BDLGetDebugLevel$671$start#1;
-
- inline$BDLGetDebugLevel$671$start#1:
- goto inline$BDLGetDebugLevel$671$label_3#1;
-
- inline$BDLGetDebugLevel$671$label_3#1:
- havoc inline$BDLGetDebugLevel$671$myNondetVar_0;
- inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$671$myNondetVar_0;
- goto inline$BDLGetDebugLevel$671$label_1#1;
-
- inline$BDLGetDebugLevel$671$label_1#1:
- goto inline$BDLGetDebugLevel$671$Return#1;
-
- inline$BDLGetDebugLevel$671$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$ := inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_128$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_128$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon66_Then#1, inline$BDLCleanupNotificationStruct$1$anon66_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon66_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon41#1;
-
- inline$BDLCleanupNotificationStruct$1$anon41#1:
- goto inline$BDLCleanupNotificationStruct$1$label_137#1;
-
- inline$BDLCleanupNotificationStruct$1$label_137#1:
- goto inline$BDLCleanupNotificationStruct$1$label_137_true#1, inline$BDLCleanupNotificationStruct$1$label_137_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_137_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_138#1;
-
- inline$BDLCleanupNotificationStruct$1$label_137_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_141#1;
-
- inline$BDLCleanupNotificationStruct$1$label_141#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_142#1;
-
- inline$BDLCleanupNotificationStruct$1$label_142#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_143#1;
-
- inline$BDLCleanupNotificationStruct$1$label_143#1:
- call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$1$label_144#1;
-
- inline$BDLCleanupNotificationStruct$1$label_144#1:
- havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$37$;
- goto inline$BDLCleanupNotificationStruct$1$label_138#1;
-
- inline$BDLCleanupNotificationStruct$1$label_138#1:
- goto inline$BDLGetDebugLevel$672$Entry#1;
-
- inline$BDLGetDebugLevel$672$Entry#1:
- goto inline$BDLGetDebugLevel$672$start#1;
-
- inline$BDLGetDebugLevel$672$start#1:
- goto inline$BDLGetDebugLevel$672$label_3#1;
-
- inline$BDLGetDebugLevel$672$label_3#1:
- havoc inline$BDLGetDebugLevel$672$myNondetVar_0;
- inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$672$myNondetVar_0;
- goto inline$BDLGetDebugLevel$672$label_1#1;
-
- inline$BDLGetDebugLevel$672$label_1#1:
- goto inline$BDLGetDebugLevel$672$Return#1;
-
- inline$BDLGetDebugLevel$672$Return#1:
- inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$ := inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$1$label_138$1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_138$1#1:
- goto inline$BDLCleanupNotificationStruct$1$anon67_Then#1, inline$BDLCleanupNotificationStruct$1$anon67_Else#1;
-
- inline$BDLCleanupNotificationStruct$1$anon67_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$1$anon43#1;
-
- inline$BDLCleanupNotificationStruct$1$anon43#1:
- goto inline$BDLCleanupNotificationStruct$1$label_147#1;
-
- inline$BDLCleanupNotificationStruct$1$label_147#1:
- goto inline$BDLCleanupNotificationStruct$1$label_147_true#1, inline$BDLCleanupNotificationStruct$1$label_147_false#1;
-
- inline$BDLCleanupNotificationStruct$1$label_147_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$1$label_1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_147_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$1$label_148#1;
-
- inline$BDLCleanupNotificationStruct$1$label_148#1:
- goto inline$BDLCleanupNotificationStruct$1$label_1#1;
-
- inline$BDLCleanupNotificationStruct$1$label_1#1:
- call __HAVOC_free(inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4);
- call __HAVOC_free(inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon67_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon66_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon65_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon64_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon63_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon61_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon49_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon52_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon51_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon50_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon48_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon47_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$anon46_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$1$Return#1;
-
- inline$BDLCleanupNotificationStruct$1$Return#1:
- goto inline$BDLHandleRemove$1$label_38$1#1;
-
- inline$BDLHandleRemove$1$label_38$1#1:
- goto inline$BDLHandleRemove$1$anon47_Then#1, inline$BDLHandleRemove$1$anon47_Else#1;
-
- inline$BDLHandleRemove$1$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon11#1;
-
- inline$BDLHandleRemove$1$anon11#1:
- goto inline$BDLHandleRemove$1$label_41#1;
-
- inline$BDLHandleRemove$1$label_41#1:
- goto inline$BDLCleanupDataHandles$1$Entry#1;
-
- inline$BDLCleanupDataHandles$1$Entry#1:
- inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
- goto inline$BDLCleanupDataHandles$1$start#1;
-
- inline$BDLCleanupDataHandles$1$start#1:
- call inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(4);
- call inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(8);
- call inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(1);
- inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1;
- goto inline$BDLCleanupDataHandles$1$label_3#1;
-
- inline$BDLCleanupDataHandles$1$label_3#1:
- goto inline$BDLCleanupDataHandles$1$label_4#1;
-
- inline$BDLCleanupDataHandles$1$label_4#1:
- goto inline$BDLCleanupDataHandles$1$label_5#1;
-
- inline$BDLCleanupDataHandles$1$label_5#1:
- inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := 0;
- goto inline$BDLCleanupDataHandles$1$label_6#1;
-
- inline$BDLCleanupDataHandles$1$label_6#1:
- goto inline$BDLCleanupDataHandles$1$label_7#1;
-
- inline$BDLCleanupDataHandles$1$label_7#1:
- goto inline$BDLCleanupDataHandles$1$label_8#1;
-
- inline$BDLCleanupDataHandles$1$label_8#1:
- goto inline$BDLCleanupDataHandles$1$label_9#1;
-
- inline$BDLCleanupDataHandles$1$label_9#1:
- goto inline$BDLGetDebugLevel$673$Entry#1;
-
- inline$BDLGetDebugLevel$673$Entry#1:
- goto inline$BDLGetDebugLevel$673$start#1;
-
- inline$BDLGetDebugLevel$673$start#1:
- goto inline$BDLGetDebugLevel$673$label_3#1;
-
- inline$BDLGetDebugLevel$673$label_3#1:
- havoc inline$BDLGetDebugLevel$673$myNondetVar_0;
- inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$673$myNondetVar_0;
- goto inline$BDLGetDebugLevel$673$label_1#1;
-
- inline$BDLGetDebugLevel$673$label_1#1:
- goto inline$BDLGetDebugLevel$673$Return#1;
-
- inline$BDLGetDebugLevel$673$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$ := inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_9$1#1;
-
- inline$BDLCleanupDataHandles$1$label_9$1#1:
- goto inline$BDLCleanupDataHandles$1$anon30_Then#1, inline$BDLCleanupDataHandles$1$anon30_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon1#1;
-
- inline$BDLCleanupDataHandles$1$anon1#1:
- goto inline$BDLCleanupDataHandles$1$label_12#1;
-
- inline$BDLCleanupDataHandles$1$label_12#1:
- goto inline$BDLCleanupDataHandles$1$label_12_true#1, inline$BDLCleanupDataHandles$1$label_12_false#1;
-
- inline$BDLCleanupDataHandles$1$label_12_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_13#1;
-
- inline$BDLCleanupDataHandles$1$label_12_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_16#1;
-
- inline$BDLCleanupDataHandles$1$label_16#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_17#1;
-
- inline$BDLCleanupDataHandles$1$label_17#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_18#1;
-
- inline$BDLCleanupDataHandles$1$label_18#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_19#1;
-
- inline$BDLCleanupDataHandles$1$label_19#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$2$;
- goto inline$BDLCleanupDataHandles$1$label_13#1;
-
- inline$BDLCleanupDataHandles$1$label_13#1:
- goto inline$BDLGetDebugLevel$674$Entry#1;
-
- inline$BDLGetDebugLevel$674$Entry#1:
- goto inline$BDLGetDebugLevel$674$start#1;
-
- inline$BDLGetDebugLevel$674$start#1:
- goto inline$BDLGetDebugLevel$674$label_3#1;
-
- inline$BDLGetDebugLevel$674$label_3#1:
- havoc inline$BDLGetDebugLevel$674$myNondetVar_0;
- inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$674$myNondetVar_0;
- goto inline$BDLGetDebugLevel$674$label_1#1;
-
- inline$BDLGetDebugLevel$674$label_1#1:
- goto inline$BDLGetDebugLevel$674$Return#1;
-
- inline$BDLGetDebugLevel$674$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$ := inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_13$1#1;
-
- inline$BDLCleanupDataHandles$1$label_13$1#1:
- goto inline$BDLCleanupDataHandles$1$anon31_Then#1, inline$BDLCleanupDataHandles$1$anon31_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon3#1;
-
- inline$BDLCleanupDataHandles$1$anon3#1:
- goto inline$BDLCleanupDataHandles$1$label_22#1;
-
- inline$BDLCleanupDataHandles$1$label_22#1:
- goto inline$BDLCleanupDataHandles$1$label_22_true#1, inline$BDLCleanupDataHandles$1$label_22_false#1;
-
- inline$BDLCleanupDataHandles$1$label_22_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_23#1;
-
- inline$BDLCleanupDataHandles$1$label_22_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_26#1;
-
- inline$BDLCleanupDataHandles$1$label_26#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_27#1;
-
- inline$BDLCleanupDataHandles$1$label_27#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_28#1;
-
- inline$BDLCleanupDataHandles$1$label_28#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_29#1;
-
- inline$BDLCleanupDataHandles$1$label_29#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$7$;
- goto inline$BDLCleanupDataHandles$1$label_23#1;
-
- inline$BDLCleanupDataHandles$1$label_23#1:
- goto inline$BDLGetDebugLevel$675$Entry#1;
-
- inline$BDLGetDebugLevel$675$Entry#1:
- goto inline$BDLGetDebugLevel$675$start#1;
-
- inline$BDLGetDebugLevel$675$start#1:
- goto inline$BDLGetDebugLevel$675$label_3#1;
-
- inline$BDLGetDebugLevel$675$label_3#1:
- havoc inline$BDLGetDebugLevel$675$myNondetVar_0;
- inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$675$myNondetVar_0;
- goto inline$BDLGetDebugLevel$675$label_1#1;
-
- inline$BDLGetDebugLevel$675$label_1#1:
- goto inline$BDLGetDebugLevel$675$Return#1;
-
- inline$BDLGetDebugLevel$675$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$ := inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_23$1#1;
-
- inline$BDLCleanupDataHandles$1$label_23$1#1:
- goto inline$BDLCleanupDataHandles$1$anon32_Then#1, inline$BDLCleanupDataHandles$1$anon32_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon5#1;
-
- inline$BDLCleanupDataHandles$1$anon5#1:
- goto inline$BDLCleanupDataHandles$1$label_32#1;
-
- inline$BDLCleanupDataHandles$1$label_32#1:
- goto inline$BDLCleanupDataHandles$1$label_32_true#1, inline$BDLCleanupDataHandles$1$label_32_false#1;
-
- inline$BDLCleanupDataHandles$1$label_32_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$, 4) == 0;
- goto inline$BDLCleanupDataHandles$1$label_33#1;
-
- inline$BDLCleanupDataHandles$1$label_32_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$, 4) != 0;
- goto inline$BDLCleanupDataHandles$1$label_34#1;
-
- inline$BDLCleanupDataHandles$1$label_34#1:
- goto inline$BDLCleanupDataHandles$1$label_33#1;
-
- inline$BDLCleanupDataHandles$1$label_33#1:
- goto inline$BDLCleanupDataHandles$1$label_35#1;
-
- inline$BDLCleanupDataHandles$1$label_35#1:
- goto inline$BDLLockHandleList$1$Entry#1;
-
- inline$BDLLockHandleList$1$Entry#1:
- inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
- goto inline$BDLLockHandleList$1$start#1;
-
- inline$BDLLockHandleList$1$start#1:
- inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8 := inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1;
- goto inline$BDLLockHandleList$1$label_3#1;
-
- inline$BDLLockHandleList$1$label_3#1:
- havoc inline$BDLLockHandleList$1$myNondetVar_0;
- havoc inline$BDLLockHandleList$1$myNondetVar_1;
- assume inline$BDLLockHandleList$1$myNondetVar_0 == inline$BDLLockHandleList$1$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$4$Entry#1;
-
- inline$storm_KeAcquireSpinLock$4$Entry#1:
- inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8);
- goto inline$storm_KeAcquireSpinLock$4$start#1;
-
- inline$storm_KeAcquireSpinLock$4$start#1:
- inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
- goto inline$storm_KeAcquireSpinLock$4$label_3#1;
-
- inline$storm_KeAcquireSpinLock$4$label_3#1:
- goto inline$storm_KeAcquireSpinLock$4$label_4#1;
-
- inline$storm_KeAcquireSpinLock$4$label_4#1:
- goto inline$storm_getThreadID$11$Entry#1;
-
- inline$storm_getThreadID$11$Entry#1:
- goto inline$storm_getThreadID$11$anon0#1;
-
- inline$storm_getThreadID$11$anon0#1:
- inline$storm_getThreadID$11$tid := __storm_thread_id;
- goto inline$storm_getThreadID$11$Return#1;
-
- inline$storm_getThreadID$11$Return#1:
- inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$11$tid;
- goto inline$storm_KeAcquireSpinLock$4$label_4$1#1;
-
- inline$storm_KeAcquireSpinLock$4$label_4$1#1:
- goto inline$storm_KeAcquireSpinLock$4$label_7#1;
-
- inline$storm_KeAcquireSpinLock$4$label_7#1:
- inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$4$label_8#1;
-
- inline$storm_KeAcquireSpinLock$4$label_8#1:
- goto inline$storm_KeAcquireSpinLock$4$label_9#1;
-
- inline$storm_KeAcquireSpinLock$4$label_9#1:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$4$label_12#1;
-
- inline$storm_KeAcquireSpinLock$4$label_12#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$4$anon7_Then#1, inline$storm_KeAcquireSpinLock$4$anon7_Else#1;
-
- inline$storm_KeAcquireSpinLock$4$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$4$anon1#1;
-
- inline$storm_KeAcquireSpinLock$4$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$4$label_13#1;
-
- inline$storm_KeAcquireSpinLock$4$label_13#1:
- goto inline$storm_KeAcquireSpinLock$4$label_13_true#1, inline$storm_KeAcquireSpinLock$4$label_13_false#1;
-
- inline$storm_KeAcquireSpinLock$4$label_13_false#1:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$4$label_14#1;
-
- inline$storm_KeAcquireSpinLock$4$label_14#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$4$label_1#1;
-
- inline$storm_KeAcquireSpinLock$4$label_13_true#1:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$4$label_17#1;
-
- inline$storm_KeAcquireSpinLock$4$label_17#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$4$anon8_Then#1, inline$storm_KeAcquireSpinLock$4$anon8_Else#1;
-
- inline$storm_KeAcquireSpinLock$4$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$4$anon4#1;
-
- inline$storm_KeAcquireSpinLock$4$anon4#1:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
- goto inline$storm_KeAcquireSpinLock$4$label_18#1;
-
- inline$storm_KeAcquireSpinLock$4$label_18#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$4$label_19#1;
-
- inline$storm_KeAcquireSpinLock$4$label_19#1:
- goto inline$storm_KeAcquireSpinLock$4$anon9_Then#1, inline$storm_KeAcquireSpinLock$4$anon9_Else#1;
-
- inline$storm_KeAcquireSpinLock$4$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$4$anon6#1;
-
- inline$storm_KeAcquireSpinLock$4$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$4$anon6#1;
-
- inline$storm_KeAcquireSpinLock$4$anon6#1:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$4$label_1#1;
-
- inline$storm_KeAcquireSpinLock$4$label_1#1:
- goto inline$storm_KeAcquireSpinLock$4$Return#1;
-
- inline$storm_KeAcquireSpinLock$4$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$4$Return#1;
-
- inline$storm_KeAcquireSpinLock$4$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$4$Return#1;
-
- inline$storm_KeAcquireSpinLock$4$Return#1:
- goto inline$BDLLockHandleList$1$label_3$1#1;
-
- inline$BDLLockHandleList$1$label_3$1#1:
- goto inline$BDLLockHandleList$1$anon2_Then#1, inline$BDLLockHandleList$1$anon2_Else#1;
-
- inline$BDLLockHandleList$1$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLLockHandleList$1$anon1#1;
-
- inline$BDLLockHandleList$1$anon1#1:
- havoc inline$BDLLockHandleList$1$myNondetVar_0;
- goto inline$BDLLockHandleList$1$label_1#1;
-
- inline$BDLLockHandleList$1$label_1#1:
- goto inline$BDLLockHandleList$1$Return#1;
-
- inline$BDLLockHandleList$1$anon2_Then#1:
- assume raiseException;
- goto inline$BDLLockHandleList$1$Return#1;
-
- inline$BDLLockHandleList$1$Return#1:
- goto inline$BDLCleanupDataHandles$1$label_35$1#1;
-
- inline$BDLCleanupDataHandles$1$label_35$1#1:
- goto inline$BDLCleanupDataHandles$1$anon33_Then#1, inline$BDLCleanupDataHandles$1$anon33_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon7#1;
-
- inline$BDLCleanupDataHandles$1$anon7#1:
- goto inline$BDLCleanupDataHandles$1$label_38#1;
-
- inline$BDLCleanupDataHandles$1$label_38#1:
- goto inline$BDLCleanupDataHandles$1$label_38_head#1;
-
- inline$BDLCleanupDataHandles$1$label_38_head#1:
- goto inline$BDLGetFirstHandle$1$Entry#1;
-
- inline$BDLGetFirstHandle$1$Entry#1:
- goto inline$BDLGetFirstHandle$1$start#1;
-
- inline$BDLGetFirstHandle$1$start#1:
- goto inline$BDLGetFirstHandle$1$label_3#1;
-
- inline$BDLGetFirstHandle$1$label_3#1:
- goto inline$BDLGetFirstHandle$1$label_3_true#1, inline$BDLGetFirstHandle$1$label_3_false#1;
-
- inline$BDLGetFirstHandle$1$label_3_false#1:
- havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
- assume inline$BDLGetFirstHandle$1$myNondetVar_0 == 0;
- goto inline$BDLGetFirstHandle$1$label_4#1;
-
- inline$BDLGetFirstHandle$1$label_4#1:
- inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$ := 0;
- goto inline$BDLGetFirstHandle$1$label_1#1;
-
- inline$BDLGetFirstHandle$1$label_3_true#1:
- havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
- assume inline$BDLGetFirstHandle$1$myNondetVar_0 != 0;
- goto inline$BDLGetFirstHandle$1$label_5#1;
-
- inline$BDLGetFirstHandle$1$label_5#1:
- havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
- havoc inline$BDLGetFirstHandle$1$myNondetVar_1;
- goto inline$BDLGetFirstHandle$1$label_6#1;
-
- inline$BDLGetFirstHandle$1$label_6#1:
- inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$ := 1;
- goto inline$BDLGetFirstHandle$1$label_1#1;
-
- inline$BDLGetFirstHandle$1$label_1#1:
- goto inline$BDLGetFirstHandle$1$Return#1;
-
- inline$BDLGetFirstHandle$1$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$ := inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_38_head$1#1;
-
- inline$BDLCleanupDataHandles$1$label_38_head$1#1:
- goto inline$BDLCleanupDataHandles$1$anon34_Then#1, inline$BDLCleanupDataHandles$1$anon34_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon9#1;
-
- inline$BDLCleanupDataHandles$1$anon9#1:
- goto inline$BDLCleanupDataHandles$1$label_41#1;
-
- inline$BDLCleanupDataHandles$1$label_41#1:
- goto inline$BDLCleanupDataHandles$1$label_41_true#1, inline$BDLCleanupDataHandles$1$label_41_false#1;
-
- inline$BDLCleanupDataHandles$1$label_41_false#1:
- assume !INT_EQ(inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$, 1);
- goto inline$BDLCleanupDataHandles$1$label_42#1;
-
- inline$BDLCleanupDataHandles$1$label_42#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$BDLReleaseHandleList$1$Entry#1;
-
- inline$BDLReleaseHandleList$1$Entry#1:
- inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
- goto inline$BDLReleaseHandleList$1$start#1;
-
- inline$BDLReleaseHandleList$1$start#1:
- inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8 := inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1;
- goto inline$BDLReleaseHandleList$1$label_3#1;
-
- inline$BDLReleaseHandleList$1$label_3#1:
- havoc inline$BDLReleaseHandleList$1$myNondetVar_0;
- havoc inline$BDLReleaseHandleList$1$myNondetVar_1;
- assume inline$BDLReleaseHandleList$1$myNondetVar_0 == inline$BDLReleaseHandleList$1$myNondetVar_1;
- goto inline$storm_KeReleaseSpinLock$7$Entry#1;
-
- inline$storm_KeReleaseSpinLock$7$Entry#1:
- inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8);
- goto inline$storm_KeReleaseSpinLock$7$start#1;
-
- inline$storm_KeReleaseSpinLock$7$start#1:
- inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$7$label_3#1;
-
- inline$storm_KeReleaseSpinLock$7$label_3#1:
- goto inline$storm_KeReleaseSpinLock$7$label_4#1;
-
- inline$storm_KeReleaseSpinLock$7$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$7$label_7#1;
-
- inline$storm_KeReleaseSpinLock$7$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$7$anon5_Then#1, inline$storm_KeReleaseSpinLock$7$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$7$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$7$anon1#1;
-
- inline$storm_KeReleaseSpinLock$7$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$7$label_8#1;
-
- inline$storm_KeReleaseSpinLock$7$label_8#1:
- goto inline$storm_getThreadID$12$Entry#1;
-
- inline$storm_getThreadID$12$Entry#1:
- goto inline$storm_getThreadID$12$anon0#1;
-
- inline$storm_getThreadID$12$anon0#1:
- inline$storm_getThreadID$12$tid := __storm_thread_id;
- goto inline$storm_getThreadID$12$Return#1;
-
- inline$storm_getThreadID$12$Return#1:
- inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$12$tid;
- goto inline$storm_KeReleaseSpinLock$7$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$7$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$7$label_11#1;
-
- inline$storm_KeReleaseSpinLock$7$label_11#1:
- goto inline$storm_KeReleaseSpinLock$7$label_11_true#1, inline$storm_KeReleaseSpinLock$7$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$7$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$7$label_12#1;
-
- inline$storm_KeReleaseSpinLock$7$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$7$label_1#1;
-
- inline$storm_KeReleaseSpinLock$7$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$7$label_15#1;
-
- inline$storm_KeReleaseSpinLock$7$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$7$label_16#1;
-
- inline$storm_KeReleaseSpinLock$7$label_16#1:
- goto inline$storm_KeReleaseSpinLock$7$anon6_Then#1, inline$storm_KeReleaseSpinLock$7$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$7$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$7$anon4#1;
-
- inline$storm_KeReleaseSpinLock$7$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$7$anon4#1;
-
- inline$storm_KeReleaseSpinLock$7$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$7$label_1#1;
-
- inline$storm_KeReleaseSpinLock$7$label_1#1:
- goto inline$storm_KeReleaseSpinLock$7$Return#1;
-
- inline$storm_KeReleaseSpinLock$7$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$7$Return#1;
-
- inline$storm_KeReleaseSpinLock$7$Return#1:
- goto inline$BDLReleaseHandleList$1$label_3$1#1;
-
- inline$BDLReleaseHandleList$1$label_3$1#1:
- goto inline$BDLReleaseHandleList$1$anon2_Then#1, inline$BDLReleaseHandleList$1$anon2_Else#1;
-
- inline$BDLReleaseHandleList$1$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLReleaseHandleList$1$anon1#1;
-
- inline$BDLReleaseHandleList$1$anon1#1:
- havoc inline$BDLReleaseHandleList$1$myNondetVar_0;
- goto inline$BDLReleaseHandleList$1$label_1#1;
-
- inline$BDLReleaseHandleList$1$label_1#1:
- goto inline$BDLReleaseHandleList$1$Return#1;
-
- inline$BDLReleaseHandleList$1$anon2_Then#1:
- assume raiseException;
- goto inline$BDLReleaseHandleList$1$Return#1;
-
- inline$BDLReleaseHandleList$1$Return#1:
- goto inline$BDLCleanupDataHandles$1$label_42$1#1;
-
- inline$BDLCleanupDataHandles$1$label_42$1#1:
- goto inline$BDLCleanupDataHandles$1$anon35_Then#1, inline$BDLCleanupDataHandles$1$anon35_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon11#1;
-
- inline$BDLCleanupDataHandles$1$anon11#1:
- goto inline$BDLCleanupDataHandles$1$label_87#1;
-
- inline$BDLCleanupDataHandles$1$label_87#1:
- goto inline$BDLGetDebugLevel$679$Entry#1;
-
- inline$BDLGetDebugLevel$679$Entry#1:
- goto inline$BDLGetDebugLevel$679$start#1;
-
- inline$BDLGetDebugLevel$679$start#1:
- goto inline$BDLGetDebugLevel$679$label_3#1;
-
- inline$BDLGetDebugLevel$679$label_3#1:
- havoc inline$BDLGetDebugLevel$679$myNondetVar_0;
- inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$679$myNondetVar_0;
- goto inline$BDLGetDebugLevel$679$label_1#1;
-
- inline$BDLGetDebugLevel$679$label_1#1:
- goto inline$BDLGetDebugLevel$679$Return#1;
-
- inline$BDLGetDebugLevel$679$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$ := inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_87$1#1;
-
- inline$BDLCleanupDataHandles$1$label_87$1#1:
- goto inline$BDLCleanupDataHandles$1$anon42_Then#1, inline$BDLCleanupDataHandles$1$anon42_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon25#1;
-
- inline$BDLCleanupDataHandles$1$anon25#1:
- goto inline$BDLCleanupDataHandles$1$label_90#1;
-
- inline$BDLCleanupDataHandles$1$label_90#1:
- goto inline$BDLCleanupDataHandles$1$label_90_true#1, inline$BDLCleanupDataHandles$1$label_90_false#1;
-
- inline$BDLCleanupDataHandles$1$label_90_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_91#1;
-
- inline$BDLCleanupDataHandles$1$label_90_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_94#1;
-
- inline$BDLCleanupDataHandles$1$label_94#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_95#1;
-
- inline$BDLCleanupDataHandles$1$label_95#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_96#1;
-
- inline$BDLCleanupDataHandles$1$label_96#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_97#1;
-
- inline$BDLCleanupDataHandles$1$label_97#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$27$;
- goto inline$BDLCleanupDataHandles$1$label_91#1;
-
- inline$BDLCleanupDataHandles$1$label_91#1:
- goto inline$BDLGetDebugLevel$680$Entry#1;
-
- inline$BDLGetDebugLevel$680$Entry#1:
- goto inline$BDLGetDebugLevel$680$start#1;
-
- inline$BDLGetDebugLevel$680$start#1:
- goto inline$BDLGetDebugLevel$680$label_3#1;
-
- inline$BDLGetDebugLevel$680$label_3#1:
- havoc inline$BDLGetDebugLevel$680$myNondetVar_0;
- inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$680$myNondetVar_0;
- goto inline$BDLGetDebugLevel$680$label_1#1;
-
- inline$BDLGetDebugLevel$680$label_1#1:
- goto inline$BDLGetDebugLevel$680$Return#1;
-
- inline$BDLGetDebugLevel$680$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$ := inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_91$1#1;
-
- inline$BDLCleanupDataHandles$1$label_91$1#1:
- goto inline$BDLCleanupDataHandles$1$anon43_Then#1, inline$BDLCleanupDataHandles$1$anon43_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon27#1;
-
- inline$BDLCleanupDataHandles$1$anon27#1:
- goto inline$BDLCleanupDataHandles$1$label_100#1;
-
- inline$BDLCleanupDataHandles$1$label_100#1:
- goto inline$BDLCleanupDataHandles$1$label_100_true#1, inline$BDLCleanupDataHandles$1$label_100_false#1;
-
- inline$BDLCleanupDataHandles$1$label_100_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_101#1;
-
- inline$BDLCleanupDataHandles$1$label_100_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_104#1;
-
- inline$BDLCleanupDataHandles$1$label_104#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_105#1;
-
- inline$BDLCleanupDataHandles$1$label_105#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_106#1;
-
- inline$BDLCleanupDataHandles$1$label_106#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_107#1;
-
- inline$BDLCleanupDataHandles$1$label_107#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$32$;
- goto inline$BDLCleanupDataHandles$1$label_101#1;
-
- inline$BDLCleanupDataHandles$1$label_101#1:
- goto inline$BDLGetDebugLevel$681$Entry#1;
-
- inline$BDLGetDebugLevel$681$Entry#1:
- goto inline$BDLGetDebugLevel$681$start#1;
-
- inline$BDLGetDebugLevel$681$start#1:
- goto inline$BDLGetDebugLevel$681$label_3#1;
-
- inline$BDLGetDebugLevel$681$label_3#1:
- havoc inline$BDLGetDebugLevel$681$myNondetVar_0;
- inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$681$myNondetVar_0;
- goto inline$BDLGetDebugLevel$681$label_1#1;
-
- inline$BDLGetDebugLevel$681$label_1#1:
- goto inline$BDLGetDebugLevel$681$Return#1;
-
- inline$BDLGetDebugLevel$681$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$ := inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_101$1#1;
-
- inline$BDLCleanupDataHandles$1$label_101$1#1:
- goto inline$BDLCleanupDataHandles$1$anon44_Then#1, inline$BDLCleanupDataHandles$1$anon44_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon29#1;
-
- inline$BDLCleanupDataHandles$1$anon29#1:
- goto inline$BDLCleanupDataHandles$1$label_110#1;
-
- inline$BDLCleanupDataHandles$1$label_110#1:
- goto inline$BDLCleanupDataHandles$1$label_110_true#1, inline$BDLCleanupDataHandles$1$label_110_false#1;
-
- inline$BDLCleanupDataHandles$1$label_110_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$, 4) == 0;
- goto inline$BDLCleanupDataHandles$1$label_1#1;
-
- inline$BDLCleanupDataHandles$1$label_110_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$, 4) != 0;
- goto inline$BDLCleanupDataHandles$1$label_111#1;
-
- inline$BDLCleanupDataHandles$1$label_111#1:
- goto inline$BDLCleanupDataHandles$1$label_1#1;
-
- inline$BDLCleanupDataHandles$1$label_1#1:
- call __HAVOC_free(inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4);
- call __HAVOC_free(inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4);
- call __HAVOC_free(inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4);
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon44_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon43_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon42_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon35_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$label_41_true#1:
- assume INT_EQ(inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$, 1);
- goto inline$BDLCleanupDataHandles$1$label_45#1;
-
- inline$BDLCleanupDataHandles$1$label_45#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$Entry#1;
-
- inline$BDLRemoveHandleFromList$1$Entry#1:
- inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$start#1;
-
- inline$BDLRemoveHandleFromList$1$start#1:
- inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1;
- goto inline$BDLRemoveHandleFromList$1$label_3#1;
-
- inline$BDLRemoveHandleFromList$1$label_3#1:
- goto inline$BDLRemoveHandleFromList$1$label_4#1;
-
- inline$BDLRemoveHandleFromList$1$label_4#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_5#1;
-
- inline$BDLRemoveHandleFromList$1$label_5#1:
- goto inline$BDLRemoveHandleFromList$1$label_6#1;
-
- inline$BDLRemoveHandleFromList$1$label_6#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_7#1;
-
- inline$BDLRemoveHandleFromList$1$label_7#1:
- goto inline$BDLRemoveHandleFromList$1$label_7_true#1, inline$BDLRemoveHandleFromList$1$label_7_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_7_false#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$1$label_8#1;
-
- inline$BDLRemoveHandleFromList$1$label_8#1:
- goto inline$BDLRemoveHandleFromList$1$label_1#1;
-
- inline$BDLRemoveHandleFromList$1$label_7_true#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$1$label_9#1;
-
- inline$BDLRemoveHandleFromList$1$label_9#1:
- goto inline$BDLRemoveHandleFromList$1$label_9_true#1, inline$BDLRemoveHandleFromList$1$label_9_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_9_false#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_10#1;
-
- inline$BDLRemoveHandleFromList$1$label_10#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_20#1;
-
- inline$BDLRemoveHandleFromList$1$label_20#1:
- goto inline$BDLRemoveHandleFromList$1$label_20_head#1;
-
- inline$BDLRemoveHandleFromList$1$label_20_head#1:
- goto inline$BDLRemoveHandleFromList$1$label_20_true#1, inline$BDLRemoveHandleFromList$1$label_20_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_20_false#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$1$label_21#1;
-
- inline$BDLRemoveHandleFromList$1$label_20_true#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$1$label_22#1;
-
- inline$BDLRemoveHandleFromList$1$label_22#1:
- goto inline$BDLRemoveHandleFromList$1$label_22_true#1, inline$BDLRemoveHandleFromList$1$label_22_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_22_false#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume !INT_NEQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_21#1;
-
- inline$BDLRemoveHandleFromList$1$label_21#1:
- goto inline$BDLRemoveHandleFromList$1$label_21_true#1, inline$BDLRemoveHandleFromList$1$label_21_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_21_false#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$1$label_25#1;
-
- inline$BDLRemoveHandleFromList$1$label_25#1:
- goto inline$BDLRemoveHandleFromList$1$label_1#1;
-
- inline$BDLRemoveHandleFromList$1$label_21_true#1:
- assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$1$label_26#1;
-
- inline$BDLRemoveHandleFromList$1$label_26#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_27#1;
-
- inline$BDLRemoveHandleFromList$1$label_27#1:
- goto inline$BDLRemoveHandleFromList$1$label_27_true#1, inline$BDLRemoveHandleFromList$1$label_27_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_27_false#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_15#1;
-
- inline$BDLRemoveHandleFromList$1$label_27_true#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_28#1;
-
- inline$BDLRemoveHandleFromList$1$label_28#1:
- goto inline$BDLRemoveHandleFromList$1$label_15#1;
-
- inline$BDLRemoveHandleFromList$1$label_22_true#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume INT_NEQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_23#1;
-
- inline$BDLRemoveHandleFromList$1$label_23#1:
- goto inline$BDLRemoveHandleFromList$1$label_24#1;
-
- inline$BDLRemoveHandleFromList$1$label_24#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_20_head#0;
-
- inline$BDLRemoveHandleFromList$1$label_20_head#0:
- assume false;
- return;
-
- inline$BDLRemoveHandleFromList$1$label_9_true#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$1$label_11#1;
-
- inline$BDLRemoveHandleFromList$1$label_11#1:
- goto inline$BDLRemoveHandleFromList$1$label_11_true#1, inline$BDLRemoveHandleFromList$1$label_11_false#1;
-
- inline$BDLRemoveHandleFromList$1$label_11_false#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_1;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$myNondetVar_1);
- goto inline$BDLRemoveHandleFromList$1$label_12#1;
-
- inline$BDLRemoveHandleFromList$1$label_12#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_15#1;
-
- inline$BDLRemoveHandleFromList$1$label_11_true#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_1;
- assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$myNondetVar_1);
- goto inline$BDLRemoveHandleFromList$1$label_13#1;
-
- inline$BDLRemoveHandleFromList$1$label_13#1:
- goto inline$BDLRemoveHandleFromList$1$label_14#1;
-
- inline$BDLRemoveHandleFromList$1$label_14#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_15#1;
-
- inline$BDLRemoveHandleFromList$1$label_15#1:
- havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$1$label_16#1;
-
- inline$BDLRemoveHandleFromList$1$label_16#1:
- call ExFreePoolWithTag(inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8, 1280066626);
- goto inline$BDLRemoveHandleFromList$1$anon2_Then#1, inline$BDLRemoveHandleFromList$1$anon2_Else#1;
-
- inline$BDLRemoveHandleFromList$1$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLRemoveHandleFromList$1$anon1#1;
-
- inline$BDLRemoveHandleFromList$1$anon1#1:
- goto inline$BDLRemoveHandleFromList$1$label_19#1;
-
- inline$BDLRemoveHandleFromList$1$label_19#1:
- goto inline$BDLRemoveHandleFromList$1$label_1#1;
-
- inline$BDLRemoveHandleFromList$1$label_1#1:
- goto inline$BDLRemoveHandleFromList$1$Return#1;
-
- inline$BDLRemoveHandleFromList$1$anon2_Then#1:
- assume raiseException;
- goto inline$BDLRemoveHandleFromList$1$Return#1;
-
- inline$BDLRemoveHandleFromList$1$Return#1:
- goto inline$BDLCleanupDataHandles$1$label_45$1#1;
-
- inline$BDLCleanupDataHandles$1$label_45$1#1:
- goto inline$BDLCleanupDataHandles$1$anon36_Then#1, inline$BDLCleanupDataHandles$1$anon36_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon13#1;
-
- inline$BDLCleanupDataHandles$1$anon13#1:
- goto inline$BDLCleanupDataHandles$1$label_48#1;
-
- inline$BDLCleanupDataHandles$1$label_48#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$BDLCleanupDataHandles$1$label_49#1;
-
- inline$BDLCleanupDataHandles$1$label_49#1:
- goto inline$BDLCleanupDataHandles$1$label_49_true#1, inline$BDLCleanupDataHandles$1$label_49_false#1;
-
- inline$BDLCleanupDataHandles$1$label_49_false#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLCleanupDataHandles$1$myNondetVar_0, 2);
- goto inline$BDLCleanupDataHandles$1$label_50#1;
-
- inline$BDLCleanupDataHandles$1$label_50#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$BDLCleanupDataHandles$1$label_57#1;
-
- inline$BDLCleanupDataHandles$1$label_57#1:
- goto inline$BDLCleanupDataHandles$1$label_60#1;
-
- inline$BDLCleanupDataHandles$1$label_60#1:
- inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$$callresult.$3284.85$14$;
- goto inline$BDLCleanupDataHandles$1$label_61#1;
-
- inline$BDLCleanupDataHandles$1$label_61#1:
- goto inline$BDLCleanupDataHandles$1$label_61_true#1, inline$BDLCleanupDataHandles$1$label_61_false#1;
-
- inline$BDLCleanupDataHandles$1$label_61_false#1:
- assume inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 == 0;
- goto inline$BDLCleanupDataHandles$1$label_54#1;
-
- inline$BDLCleanupDataHandles$1$label_61_true#1:
- assume inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 != 0;
- goto inline$BDLCleanupDataHandles$1$label_62#1;
-
- inline$BDLCleanupDataHandles$1$label_62#1:
- goto inline$BDLGetDebugLevel$676$Entry#1;
-
- inline$BDLGetDebugLevel$676$Entry#1:
- goto inline$BDLGetDebugLevel$676$start#1;
-
- inline$BDLGetDebugLevel$676$start#1:
- goto inline$BDLGetDebugLevel$676$label_3#1;
-
- inline$BDLGetDebugLevel$676$label_3#1:
- havoc inline$BDLGetDebugLevel$676$myNondetVar_0;
- inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$676$myNondetVar_0;
- goto inline$BDLGetDebugLevel$676$label_1#1;
-
- inline$BDLGetDebugLevel$676$label_1#1:
- goto inline$BDLGetDebugLevel$676$Return#1;
-
- inline$BDLGetDebugLevel$676$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$ := inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_62$1#1;
-
- inline$BDLCleanupDataHandles$1$label_62$1#1:
- goto inline$BDLCleanupDataHandles$1$anon39_Then#1, inline$BDLCleanupDataHandles$1$anon39_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon19#1;
-
- inline$BDLCleanupDataHandles$1$anon19#1:
- goto inline$BDLCleanupDataHandles$1$label_65#1;
-
- inline$BDLCleanupDataHandles$1$label_65#1:
- goto inline$BDLCleanupDataHandles$1$label_65_true#1, inline$BDLCleanupDataHandles$1$label_65_false#1;
-
- inline$BDLCleanupDataHandles$1$label_65_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_66#1;
-
- inline$BDLCleanupDataHandles$1$label_65_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_69#1;
-
- inline$BDLCleanupDataHandles$1$label_69#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_70#1;
-
- inline$BDLCleanupDataHandles$1$label_70#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_71#1;
-
- inline$BDLCleanupDataHandles$1$label_71#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_72#1;
-
- inline$BDLCleanupDataHandles$1$label_72#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$16$;
- goto inline$BDLCleanupDataHandles$1$label_66#1;
-
- inline$BDLCleanupDataHandles$1$label_66#1:
- goto inline$BDLGetDebugLevel$677$Entry#1;
-
- inline$BDLGetDebugLevel$677$Entry#1:
- goto inline$BDLGetDebugLevel$677$start#1;
-
- inline$BDLGetDebugLevel$677$start#1:
- goto inline$BDLGetDebugLevel$677$label_3#1;
-
- inline$BDLGetDebugLevel$677$label_3#1:
- havoc inline$BDLGetDebugLevel$677$myNondetVar_0;
- inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$677$myNondetVar_0;
- goto inline$BDLGetDebugLevel$677$label_1#1;
-
- inline$BDLGetDebugLevel$677$label_1#1:
- goto inline$BDLGetDebugLevel$677$Return#1;
-
- inline$BDLGetDebugLevel$677$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$ := inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_66$1#1;
-
- inline$BDLCleanupDataHandles$1$label_66$1#1:
- goto inline$BDLCleanupDataHandles$1$anon40_Then#1, inline$BDLCleanupDataHandles$1$anon40_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon21#1;
-
- inline$BDLCleanupDataHandles$1$anon21#1:
- goto inline$BDLCleanupDataHandles$1$label_75#1;
-
- inline$BDLCleanupDataHandles$1$label_75#1:
- goto inline$BDLCleanupDataHandles$1$label_75_true#1, inline$BDLCleanupDataHandles$1$label_75_false#1;
-
- inline$BDLCleanupDataHandles$1$label_75_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$) == 0;
- goto inline$BDLCleanupDataHandles$1$label_76#1;
-
- inline$BDLCleanupDataHandles$1$label_75_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$) != 0;
- goto inline$BDLCleanupDataHandles$1$label_79#1;
-
- inline$BDLCleanupDataHandles$1$label_79#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_80#1;
-
- inline$BDLCleanupDataHandles$1$label_80#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_81#1;
-
- inline$BDLCleanupDataHandles$1$label_81#1:
- call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$1$label_82#1;
-
- inline$BDLCleanupDataHandles$1$label_82#1:
- havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$21$;
- goto inline$BDLCleanupDataHandles$1$label_76#1;
-
- inline$BDLCleanupDataHandles$1$label_76#1:
- goto inline$BDLGetDebugLevel$678$Entry#1;
-
- inline$BDLGetDebugLevel$678$Entry#1:
- goto inline$BDLGetDebugLevel$678$start#1;
-
- inline$BDLGetDebugLevel$678$start#1:
- goto inline$BDLGetDebugLevel$678$label_3#1;
-
- inline$BDLGetDebugLevel$678$label_3#1:
- havoc inline$BDLGetDebugLevel$678$myNondetVar_0;
- inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$678$myNondetVar_0;
- goto inline$BDLGetDebugLevel$678$label_1#1;
-
- inline$BDLGetDebugLevel$678$label_1#1:
- goto inline$BDLGetDebugLevel$678$Return#1;
-
- inline$BDLGetDebugLevel$678$Return#1:
- inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$ := inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$1$label_76$1#1;
-
- inline$BDLCleanupDataHandles$1$label_76$1#1:
- goto inline$BDLCleanupDataHandles$1$anon41_Then#1, inline$BDLCleanupDataHandles$1$anon41_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon23#1;
-
- inline$BDLCleanupDataHandles$1$anon23#1:
- goto inline$BDLCleanupDataHandles$1$label_85#1;
-
- inline$BDLCleanupDataHandles$1$label_85#1:
- goto inline$BDLCleanupDataHandles$1$label_85_true#1, inline$BDLCleanupDataHandles$1$label_85_false#1;
-
- inline$BDLCleanupDataHandles$1$label_85_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$, 4) == 0;
- goto inline$BDLCleanupDataHandles$1$label_54#1;
-
- inline$BDLCleanupDataHandles$1$label_85_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$, 4) != 0;
- goto inline$BDLCleanupDataHandles$1$label_86#1;
-
- inline$BDLCleanupDataHandles$1$label_86#1:
- goto inline$BDLCleanupDataHandles$1$label_54#1;
-
- inline$BDLCleanupDataHandles$1$anon41_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon40_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon39_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$label_49_true#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- assume INT_EQ(inline$BDLCleanupDataHandles$1$myNondetVar_0, 2);
- goto inline$BDLCleanupDataHandles$1$label_51#1;
-
- inline$BDLCleanupDataHandles$1$label_51#1:
- havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$bdliFree$1$Entry#1;
-
- inline$bdliFree$1$Entry#1:
- inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
- goto inline$bdliFree$1$start#1;
-
- inline$bdliFree$1$start#1:
- inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4 := inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1;
- goto inline$bdliFree$1$label_3#1;
-
- inline$bdliFree$1$label_3#1:
- call ExFreePoolWithTag(inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4, 1229734978);
- goto inline$bdliFree$1$anon2_Then#1, inline$bdliFree$1$anon2_Else#1;
-
- inline$bdliFree$1$anon2_Else#1:
- assume !raiseException;
- goto inline$bdliFree$1$anon1#1;
-
- inline$bdliFree$1$anon1#1:
- goto inline$bdliFree$1$label_1#1;
-
- inline$bdliFree$1$label_1#1:
- goto inline$bdliFree$1$Return#1;
-
- inline$bdliFree$1$anon2_Then#1:
- assume raiseException;
- goto inline$bdliFree$1$Return#1;
-
- inline$bdliFree$1$Return#1:
- goto inline$BDLCleanupDataHandles$1$label_51$1#1;
-
- inline$BDLCleanupDataHandles$1$label_51$1#1:
- goto inline$BDLCleanupDataHandles$1$anon37_Then#1, inline$BDLCleanupDataHandles$1$anon37_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon15#1;
-
- inline$BDLCleanupDataHandles$1$anon15#1:
- goto inline$BDLCleanupDataHandles$1$label_54#1;
-
- inline$BDLCleanupDataHandles$1$label_54#1:
- call ExFreePoolWithTag(inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4, 541869122);
- goto inline$BDLCleanupDataHandles$1$anon38_Then#1, inline$BDLCleanupDataHandles$1$anon38_Else#1;
-
- inline$BDLCleanupDataHandles$1$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$1$anon17#1;
-
- inline$BDLCleanupDataHandles$1$anon17#1:
- goto inline$BDLCleanupDataHandles$1$label_38_head#0;
-
- inline$BDLCleanupDataHandles$1$label_38_head#0:
- assume false;
- return;
-
- inline$BDLCleanupDataHandles$1$anon38_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon37_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon36_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon34_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon33_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon32_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon31_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$anon30_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$1$Return#1;
-
- inline$BDLCleanupDataHandles$1$Return#1:
- goto inline$BDLHandleRemove$1$label_41$1#1;
-
- inline$BDLHandleRemove$1$label_41$1#1:
- goto inline$BDLHandleRemove$1$anon48_Then#1, inline$BDLHandleRemove$1$anon48_Else#1;
-
- inline$BDLHandleRemove$1$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon13#1;
-
- inline$BDLHandleRemove$1$anon13#1:
- goto inline$BDLHandleRemove$1$label_44#1;
-
- inline$BDLHandleRemove$1$label_44#1:
- goto inline$BDLHandleRemove$1$label_44_true#1, inline$BDLHandleRemove$1$label_44_false#1;
-
- inline$BDLHandleRemove$1$label_44_false#1:
- havoc inline$BDLHandleRemove$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLHandleRemove$1$myNondetVar_0, 1);
- goto inline$BDLHandleRemove$1$label_45#1;
-
- inline$BDLHandleRemove$1$label_44_true#1:
- havoc inline$BDLHandleRemove$1$myNondetVar_0;
- assume INT_EQ(inline$BDLHandleRemove$1$myNondetVar_0, 1);
- goto inline$BDLHandleRemove$1$label_48#1;
-
- inline$BDLHandleRemove$1$label_48#1:
- goto inline$BDLHandleRemove$1$label_51#1;
-
- inline$BDLHandleRemove$1$label_51#1:
- inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$callresult.$2419.71$15$;
- goto inline$BDLHandleRemove$1$label_52#1;
-
- inline$BDLHandleRemove$1$label_52#1:
- goto inline$BDLHandleRemove$1$label_52_true#1, inline$BDLHandleRemove$1$label_52_false#1;
-
- inline$BDLHandleRemove$1$label_52_false#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$1$label_53#1;
-
- inline$BDLHandleRemove$1$label_52_true#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$1$label_54#1;
-
- inline$BDLHandleRemove$1$label_54#1:
- goto inline$BDLGetDebugLevel$682$Entry#1;
-
- inline$BDLGetDebugLevel$682$Entry#1:
- goto inline$BDLGetDebugLevel$682$start#1;
-
- inline$BDLGetDebugLevel$682$start#1:
- goto inline$BDLGetDebugLevel$682$label_3#1;
-
- inline$BDLGetDebugLevel$682$label_3#1:
- havoc inline$BDLGetDebugLevel$682$myNondetVar_0;
- inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$682$myNondetVar_0;
- goto inline$BDLGetDebugLevel$682$label_1#1;
-
- inline$BDLGetDebugLevel$682$label_1#1:
- goto inline$BDLGetDebugLevel$682$Return#1;
-
- inline$BDLGetDebugLevel$682$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$ := inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_54$1#1;
-
- inline$BDLHandleRemove$1$label_54$1#1:
- goto inline$BDLHandleRemove$1$anon49_Then#1, inline$BDLHandleRemove$1$anon49_Else#1;
-
- inline$BDLHandleRemove$1$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon15#1;
-
- inline$BDLHandleRemove$1$anon15#1:
- goto inline$BDLHandleRemove$1$label_57#1;
-
- inline$BDLHandleRemove$1$label_57#1:
- goto inline$BDLHandleRemove$1$label_57_true#1, inline$BDLHandleRemove$1$label_57_false#1;
-
- inline$BDLHandleRemove$1$label_57_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$) == 0;
- goto inline$BDLHandleRemove$1$label_58#1;
-
- inline$BDLHandleRemove$1$label_57_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$) != 0;
- goto inline$BDLHandleRemove$1$label_61#1;
-
- inline$BDLHandleRemove$1$label_61#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_62#1;
-
- inline$BDLHandleRemove$1$label_62#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_63#1;
-
- inline$BDLHandleRemove$1$label_63#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_64#1;
-
- inline$BDLHandleRemove$1$label_64#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$17$;
- goto inline$BDLHandleRemove$1$label_58#1;
-
- inline$BDLHandleRemove$1$label_58#1:
- goto inline$BDLGetDebugLevel$683$Entry#1;
-
- inline$BDLGetDebugLevel$683$Entry#1:
- goto inline$BDLGetDebugLevel$683$start#1;
-
- inline$BDLGetDebugLevel$683$start#1:
- goto inline$BDLGetDebugLevel$683$label_3#1;
-
- inline$BDLGetDebugLevel$683$label_3#1:
- havoc inline$BDLGetDebugLevel$683$myNondetVar_0;
- inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$683$myNondetVar_0;
- goto inline$BDLGetDebugLevel$683$label_1#1;
-
- inline$BDLGetDebugLevel$683$label_1#1:
- goto inline$BDLGetDebugLevel$683$Return#1;
-
- inline$BDLGetDebugLevel$683$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$ := inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_58$1#1;
-
- inline$BDLHandleRemove$1$label_58$1#1:
- goto inline$BDLHandleRemove$1$anon50_Then#1, inline$BDLHandleRemove$1$anon50_Else#1;
-
- inline$BDLHandleRemove$1$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon17#1;
-
- inline$BDLHandleRemove$1$anon17#1:
- goto inline$BDLHandleRemove$1$label_67#1;
-
- inline$BDLHandleRemove$1$label_67#1:
- goto inline$BDLHandleRemove$1$label_67_true#1, inline$BDLHandleRemove$1$label_67_false#1;
-
- inline$BDLHandleRemove$1$label_67_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$) == 0;
- goto inline$BDLHandleRemove$1$label_68#1;
-
- inline$BDLHandleRemove$1$label_67_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$) != 0;
- goto inline$BDLHandleRemove$1$label_71#1;
-
- inline$BDLHandleRemove$1$label_71#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_72#1;
-
- inline$BDLHandleRemove$1$label_72#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_73#1;
-
- inline$BDLHandleRemove$1$label_73#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_74#1;
-
- inline$BDLHandleRemove$1$label_74#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$22$;
- goto inline$BDLHandleRemove$1$label_68#1;
-
- inline$BDLHandleRemove$1$label_68#1:
- goto inline$BDLGetDebugLevel$684$Entry#1;
-
- inline$BDLGetDebugLevel$684$Entry#1:
- goto inline$BDLGetDebugLevel$684$start#1;
-
- inline$BDLGetDebugLevel$684$start#1:
- goto inline$BDLGetDebugLevel$684$label_3#1;
-
- inline$BDLGetDebugLevel$684$label_3#1:
- havoc inline$BDLGetDebugLevel$684$myNondetVar_0;
- inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$684$myNondetVar_0;
- goto inline$BDLGetDebugLevel$684$label_1#1;
-
- inline$BDLGetDebugLevel$684$label_1#1:
- goto inline$BDLGetDebugLevel$684$Return#1;
-
- inline$BDLGetDebugLevel$684$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$ := inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_68$1#1;
-
- inline$BDLHandleRemove$1$label_68$1#1:
- goto inline$BDLHandleRemove$1$anon51_Then#1, inline$BDLHandleRemove$1$anon51_Else#1;
-
- inline$BDLHandleRemove$1$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon19#1;
-
- inline$BDLHandleRemove$1$anon19#1:
- goto inline$BDLHandleRemove$1$label_77#1;
-
- inline$BDLHandleRemove$1$label_77#1:
- goto inline$BDLHandleRemove$1$label_77_true#1, inline$BDLHandleRemove$1$label_77_false#1;
-
- inline$BDLHandleRemove$1$label_77_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$, 4) == 0;
- goto inline$BDLHandleRemove$1$label_53#1;
-
- inline$BDLHandleRemove$1$label_77_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$, 4) != 0;
- goto inline$BDLHandleRemove$1$label_78#1;
-
- inline$BDLHandleRemove$1$label_78#1:
- goto inline$BDLHandleRemove$1$label_53#1;
-
- inline$BDLHandleRemove$1$label_53#1:
- goto inline$BDLHandleRemove$1$label_45#1;
-
- inline$BDLHandleRemove$1$label_45#1:
- goto inline$BDLHandleRemove$1$label_79#1;
-
- inline$BDLHandleRemove$1$label_79#1:
- inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$callresult.$2437.63$27$;
- goto inline$BDLHandleRemove$1$label_80#1;
-
- inline$BDLHandleRemove$1$label_80#1:
- goto inline$BDLHandleRemove$1$label_80_true#1, inline$BDLHandleRemove$1$label_80_false#1;
-
- inline$BDLHandleRemove$1$label_80_false#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$1$label_81#1;
-
- inline$BDLHandleRemove$1$label_80_true#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$1$label_84#1;
-
- inline$BDLHandleRemove$1$label_84#1:
- goto inline$BDLGetDebugLevel$685$Entry#1;
-
- inline$BDLGetDebugLevel$685$Entry#1:
- goto inline$BDLGetDebugLevel$685$start#1;
-
- inline$BDLGetDebugLevel$685$start#1:
- goto inline$BDLGetDebugLevel$685$label_3#1;
-
- inline$BDLGetDebugLevel$685$label_3#1:
- havoc inline$BDLGetDebugLevel$685$myNondetVar_0;
- inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$685$myNondetVar_0;
- goto inline$BDLGetDebugLevel$685$label_1#1;
-
- inline$BDLGetDebugLevel$685$label_1#1:
- goto inline$BDLGetDebugLevel$685$Return#1;
-
- inline$BDLGetDebugLevel$685$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$ := inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_84$1#1;
-
- inline$BDLHandleRemove$1$label_84$1#1:
- goto inline$BDLHandleRemove$1$anon53_Then#1, inline$BDLHandleRemove$1$anon53_Else#1;
-
- inline$BDLHandleRemove$1$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon23#1;
-
- inline$BDLHandleRemove$1$anon23#1:
- goto inline$BDLHandleRemove$1$label_87#1;
-
- inline$BDLHandleRemove$1$label_87#1:
- goto inline$BDLHandleRemove$1$label_87_true#1, inline$BDLHandleRemove$1$label_87_false#1;
-
- inline$BDLHandleRemove$1$label_87_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$) == 0;
- goto inline$BDLHandleRemove$1$label_88#1;
-
- inline$BDLHandleRemove$1$label_87_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$) != 0;
- goto inline$BDLHandleRemove$1$label_91#1;
-
- inline$BDLHandleRemove$1$label_91#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_92#1;
-
- inline$BDLHandleRemove$1$label_92#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_93#1;
-
- inline$BDLHandleRemove$1$label_93#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_94#1;
-
- inline$BDLHandleRemove$1$label_94#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$29$;
- goto inline$BDLHandleRemove$1$label_88#1;
-
- inline$BDLHandleRemove$1$label_88#1:
- goto inline$BDLGetDebugLevel$686$Entry#1;
-
- inline$BDLGetDebugLevel$686$Entry#1:
- goto inline$BDLGetDebugLevel$686$start#1;
-
- inline$BDLGetDebugLevel$686$start#1:
- goto inline$BDLGetDebugLevel$686$label_3#1;
-
- inline$BDLGetDebugLevel$686$label_3#1:
- havoc inline$BDLGetDebugLevel$686$myNondetVar_0;
- inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$686$myNondetVar_0;
- goto inline$BDLGetDebugLevel$686$label_1#1;
-
- inline$BDLGetDebugLevel$686$label_1#1:
- goto inline$BDLGetDebugLevel$686$Return#1;
-
- inline$BDLGetDebugLevel$686$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$ := inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_88$1#1;
-
- inline$BDLHandleRemove$1$label_88$1#1:
- goto inline$BDLHandleRemove$1$anon54_Then#1, inline$BDLHandleRemove$1$anon54_Else#1;
-
- inline$BDLHandleRemove$1$anon54_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon25#1;
-
- inline$BDLHandleRemove$1$anon25#1:
- goto inline$BDLHandleRemove$1$label_97#1;
-
- inline$BDLHandleRemove$1$label_97#1:
- goto inline$BDLHandleRemove$1$label_97_true#1, inline$BDLHandleRemove$1$label_97_false#1;
-
- inline$BDLHandleRemove$1$label_97_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$) == 0;
- goto inline$BDLHandleRemove$1$label_98#1;
-
- inline$BDLHandleRemove$1$label_97_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$) != 0;
- goto inline$BDLHandleRemove$1$label_101#1;
-
- inline$BDLHandleRemove$1$label_101#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_102#1;
-
- inline$BDLHandleRemove$1$label_102#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_103#1;
-
- inline$BDLHandleRemove$1$label_103#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_104#1;
-
- inline$BDLHandleRemove$1$label_104#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$34$;
- goto inline$BDLHandleRemove$1$label_98#1;
-
- inline$BDLHandleRemove$1$label_98#1:
- goto inline$BDLGetDebugLevel$687$Entry#1;
-
- inline$BDLGetDebugLevel$687$Entry#1:
- goto inline$BDLGetDebugLevel$687$start#1;
-
- inline$BDLGetDebugLevel$687$start#1:
- goto inline$BDLGetDebugLevel$687$label_3#1;
-
- inline$BDLGetDebugLevel$687$label_3#1:
- havoc inline$BDLGetDebugLevel$687$myNondetVar_0;
- inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$687$myNondetVar_0;
- goto inline$BDLGetDebugLevel$687$label_1#1;
-
- inline$BDLGetDebugLevel$687$label_1#1:
- goto inline$BDLGetDebugLevel$687$Return#1;
-
- inline$BDLGetDebugLevel$687$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$ := inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_98$1#1;
-
- inline$BDLHandleRemove$1$label_98$1#1:
- goto inline$BDLHandleRemove$1$anon55_Then#1, inline$BDLHandleRemove$1$anon55_Else#1;
-
- inline$BDLHandleRemove$1$anon55_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon27#1;
-
- inline$BDLHandleRemove$1$anon27#1:
- goto inline$BDLHandleRemove$1$label_107#1;
-
- inline$BDLHandleRemove$1$label_107#1:
- goto inline$BDLHandleRemove$1$label_107_true#1, inline$BDLHandleRemove$1$label_107_false#1;
-
- inline$BDLHandleRemove$1$label_107_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$, 4) == 0;
- goto inline$BDLHandleRemove$1$label_81#1;
-
- inline$BDLHandleRemove$1$label_107_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$, 4) != 0;
- goto inline$BDLHandleRemove$1$label_108#1;
-
- inline$BDLHandleRemove$1$label_108#1:
- goto inline$BDLHandleRemove$1$label_81#1;
-
- inline$BDLHandleRemove$1$label_81#1:
- goto inline$IoSkipCurrentIrpStackLocation$1$Entry#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$Entry#1:
- inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12;
- goto inline$IoSkipCurrentIrpStackLocation$1$start#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$start#1:
- inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
- goto inline$IoSkipCurrentIrpStackLocation$1$label_3#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_3#1:
- goto inline$IoSkipCurrentIrpStackLocation$1$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$1$label_3_false#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_3_false#1:
- inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, inline$IoSkipCurrentIrpStackLocation$1$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$1$label_4#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_4#1:
- call inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$1$label_7#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_7#1:
- call inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$1$label_8#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_8#1:
- goto inline$IoSkipCurrentIrpStackLocation$1$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_3_true#1:
- inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, inline$IoSkipCurrentIrpStackLocation$1$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$1$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_5#1:
- inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0];
- call contextSwitch();
- goto inline$IoSkipCurrentIrpStackLocation$1$label_6#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_6#1:
- havoc inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0;
- inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0, 36, 1);
- goto inline$IoSkipCurrentIrpStackLocation$1$label_1#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$label_1#1:
- goto inline$IoSkipCurrentIrpStackLocation$1$Return#1;
-
- inline$IoSkipCurrentIrpStackLocation$1$Return#1:
- goto inline$BDLHandleRemove$1$label_81$1#1;
-
- inline$BDLHandleRemove$1$label_81$1#1:
- goto inline$BDLHandleRemove$1$anon52_Then#1, inline$BDLHandleRemove$1$anon52_Else#1;
-
- inline$BDLHandleRemove$1$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon21#1;
-
- inline$BDLHandleRemove$1$anon21#1:
- goto inline$BDLHandleRemove$1$label_109#1;
-
- inline$BDLHandleRemove$1$label_109#1:
- goto inline$storm_IoCallDriver$13$Entry#1;
-
- inline$storm_IoCallDriver$13$Entry#1:
- inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12;
- goto inline$storm_IoCallDriver$13$start#1;
-
- inline$storm_IoCallDriver$13$start#1:
- inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$13$label_3#1;
-
- inline$storm_IoCallDriver$13$label_3#1:
- goto inline$storm_IoCallDriver$13$label_4#1;
-
- inline$storm_IoCallDriver$13$label_4#1:
- goto inline$storm_IoCallDriver$13$label_5#1;
-
- inline$storm_IoCallDriver$13$label_5#1:
- goto inline$storm_IoCallDriver$13$label_6#1;
-
- inline$storm_IoCallDriver$13$label_6#1:
- call inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$13$label_9#1;
-
- inline$storm_IoCallDriver$13$label_9#1:
- goto inline$storm_IoCallDriver$13$label_9_true#1, inline$storm_IoCallDriver$13$label_9_false#1;
-
- inline$storm_IoCallDriver$13$label_9_false#1:
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$13$label_10#1;
-
- inline$storm_IoCallDriver$13$label_9_true#1:
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$13$label_13#1;
-
- inline$storm_IoCallDriver$13$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$13$anon12_Then#1, inline$storm_IoCallDriver$13$anon12_Else#1;
-
- inline$storm_IoCallDriver$13$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$13$anon3#1;
-
- inline$storm_IoCallDriver$13$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$13$label_14#1;
-
- inline$storm_IoCallDriver$13$label_14#1:
- goto inline$storm_IoCallDriver$13$label_14_true#1, inline$storm_IoCallDriver$13$label_14_false#1;
-
- inline$storm_IoCallDriver$13$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$13$label_15#1;
-
- inline$storm_IoCallDriver$13$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$13$label_1#1;
-
- inline$storm_IoCallDriver$13$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$13$label_10#1;
-
- inline$storm_IoCallDriver$13$label_10#1:
- goto inline$IoSetNextIrpStackLocation$14$Entry#1;
-
- inline$IoSetNextIrpStackLocation$14$Entry#1:
- inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$14$start#1;
-
- inline$IoSetNextIrpStackLocation$14$start#1:
- inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$14$label_3#1;
-
- inline$IoSetNextIrpStackLocation$14$label_3#1:
- goto inline$IoSetNextIrpStackLocation$14$label_3_true#1, inline$IoSetNextIrpStackLocation$14$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$14$label_3_false#1:
- inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$14$myVar_0);
- goto inline$IoSetNextIrpStackLocation$14$label_4#1;
-
- inline$IoSetNextIrpStackLocation$14$label_4#1:
- call inline$IoSetNextIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$14$label_7#1;
-
- inline$IoSetNextIrpStackLocation$14$label_7#1:
- call inline$IoSetNextIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$14$label_8#1;
-
- inline$IoSetNextIrpStackLocation$14$label_8#1:
- goto inline$IoSetNextIrpStackLocation$14$label_5#1;
-
- inline$IoSetNextIrpStackLocation$14$label_3_true#1:
- inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$14$myVar_0);
- goto inline$IoSetNextIrpStackLocation$14$label_5#1;
-
- inline$IoSetNextIrpStackLocation$14$label_5#1:
- inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$14$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$14$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$14$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$14$label_6#1;
-
- inline$IoSetNextIrpStackLocation$14$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$14$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$14$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$14$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$14$label_1#1;
-
- inline$IoSetNextIrpStackLocation$14$label_1#1:
- goto inline$IoSetNextIrpStackLocation$14$Return#1;
-
- inline$IoSetNextIrpStackLocation$14$Return#1:
- goto inline$storm_IoCallDriver$13$label_10$1#1;
-
- inline$storm_IoCallDriver$13$label_10$1#1:
- goto inline$storm_IoCallDriver$13$anon11_Then#1, inline$storm_IoCallDriver$13$anon11_Else#1;
-
- inline$storm_IoCallDriver$13$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$13$anon1#1;
-
- inline$storm_IoCallDriver$13$anon1#1:
- goto inline$storm_IoCallDriver$13$label_18#1;
-
- inline$storm_IoCallDriver$13$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$132$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$132$Entry#1:
- inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$132$start#1;
-
- inline$IoGetCurrentIrpStackLocation$132$start#1:
- inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$132$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$132$label_3_true#1, inline$IoGetCurrentIrpStackLocation$132$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$132$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$132$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$132$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$132$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$132$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$132$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$132$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$132$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$132$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$132$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$132$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$132$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$132$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$132$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$132$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$132$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$132$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$132$Return#1:
- goto inline$storm_IoCallDriver$13$label_18$1#1;
-
- inline$storm_IoCallDriver$13$label_18$1#1:
- goto inline$storm_IoCallDriver$13$anon13_Then#1, inline$storm_IoCallDriver$13$anon13_Else#1;
-
- inline$storm_IoCallDriver$13$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$13$anon6#1;
-
- inline$storm_IoCallDriver$13$anon6#1:
- goto inline$storm_IoCallDriver$13$label_21#1;
-
- inline$storm_IoCallDriver$13$label_21#1:
- goto inline$storm_IoCallDriver$13$label_22#1;
-
- inline$storm_IoCallDriver$13$label_22#1:
- goto inline$storm_IoCallDriver$13$label_23#1;
-
- inline$storm_IoCallDriver$13$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$13$label_24#1;
-
- inline$storm_IoCallDriver$13$label_24#1:
- call inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$13$label_27#1;
-
- inline$storm_IoCallDriver$13$label_27#1:
- goto inline$storm_IoCallDriver$13$label_27_case_0#1, inline$storm_IoCallDriver$13$label_27_case_1#1, inline$storm_IoCallDriver$13$label_27_case_2#1;
-
- inline$storm_IoCallDriver$13$label_27_case_2#1:
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$13$label_30#1;
-
- inline$storm_IoCallDriver$13$label_30#1:
- inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$13$label_31#1;
-
- inline$storm_IoCallDriver$13$label_31#1:
- goto inline$storm_IoCallDriver$13$label_32#1;
-
- inline$storm_IoCallDriver$13$label_32#1:
- goto inline$storm_IoCallDriver$13$label_33#1;
-
- inline$storm_IoCallDriver$13$label_33#1:
- goto inline$CallCompletionRoutine$26$Entry#1;
-
- inline$CallCompletionRoutine$26$Entry#1:
- inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$26$start#1;
-
- inline$CallCompletionRoutine$26$start#1:
- inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$26$label_3#1;
-
- inline$CallCompletionRoutine$26$label_3#1:
- goto inline$CallCompletionRoutine$26$label_4#1;
-
- inline$CallCompletionRoutine$26$label_4#1:
- goto inline$CallCompletionRoutine$26$label_5#1;
-
- inline$CallCompletionRoutine$26$label_5#1:
- goto inline$CallCompletionRoutine$26$label_6#1;
-
- inline$CallCompletionRoutine$26$label_6#1:
- goto inline$CallCompletionRoutine$26$label_7#1;
-
- inline$CallCompletionRoutine$26$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$133$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$133$Entry#1:
- inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$133$start#1;
-
- inline$IoGetCurrentIrpStackLocation$133$start#1:
- inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$133$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$133$label_3_true#1, inline$IoGetCurrentIrpStackLocation$133$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$133$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$133$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$133$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$133$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$133$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$133$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$133$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$133$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$133$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$133$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$133$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$133$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$133$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$133$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$133$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$133$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$133$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$133$Return#1:
- goto inline$CallCompletionRoutine$26$label_7$1#1;
-
- inline$CallCompletionRoutine$26$label_7$1#1:
- goto inline$CallCompletionRoutine$26$anon10_Then#1, inline$CallCompletionRoutine$26$anon10_Else#1;
-
- inline$CallCompletionRoutine$26$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$26$anon1#1;
-
- inline$CallCompletionRoutine$26$anon1#1:
- goto inline$CallCompletionRoutine$26$label_10#1;
-
- inline$CallCompletionRoutine$26$label_10#1:
- goto inline$CallCompletionRoutine$26$label_11#1;
-
- inline$CallCompletionRoutine$26$label_11#1:
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$CallCompletionRoutine$26$label_12#1;
-
- inline$CallCompletionRoutine$26$label_12#1:
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$CallCompletionRoutine$26$label_13#1;
-
- inline$CallCompletionRoutine$26$label_13#1:
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$CallCompletionRoutine$26$label_14#1;
-
- inline$CallCompletionRoutine$26$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$134$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$134$Entry#1:
- inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$134$start#1;
-
- inline$IoGetCurrentIrpStackLocation$134$start#1:
- inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$134$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$134$label_3_true#1, inline$IoGetCurrentIrpStackLocation$134$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$134$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$134$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$134$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$134$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$134$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$134$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$134$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$134$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$134$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$134$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$134$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$134$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$134$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$134$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$134$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$134$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$134$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$134$Return#1:
- goto inline$CallCompletionRoutine$26$label_14$1#1;
-
- inline$CallCompletionRoutine$26$label_14$1#1:
- goto inline$CallCompletionRoutine$26$anon11_Then#1, inline$CallCompletionRoutine$26$anon11_Else#1;
-
- inline$CallCompletionRoutine$26$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$26$anon3#1;
-
- inline$CallCompletionRoutine$26$anon3#1:
- goto inline$CallCompletionRoutine$26$label_17#1;
-
- inline$CallCompletionRoutine$26$label_17#1:
- goto inline$CallCompletionRoutine$26$label_18#1;
-
- inline$CallCompletionRoutine$26$label_18#1:
- goto inline$CallCompletionRoutine$26$label_18_true#1, inline$CallCompletionRoutine$26$label_18_false#1;
-
- inline$CallCompletionRoutine$26$label_18_false#1:
- assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$26$label_1#1;
-
- inline$CallCompletionRoutine$26$label_18_true#1:
- assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$26$label_19#1;
-
- inline$CallCompletionRoutine$26$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$26$label_20#1;
-
- inline$CallCompletionRoutine$26$label_20#1:
- goto inline$CallCompletionRoutine$26$label_20_icall_1#1, inline$CallCompletionRoutine$26$label_20_icall_2#1, inline$CallCompletionRoutine$26$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$26$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$26$Entry#1:
- inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$26$start#1;
-
- inline$BDLSystemPowerIoCompletion$26$start#1:
- call inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$26$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_4#1:
- inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_6#1:
- inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$26$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$26$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$136$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$136$Entry#1:
- inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$136$start#1;
-
- inline$IoGetCurrentIrpStackLocation$136$start#1:
- inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$136$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$136$label_3_true#1, inline$IoGetCurrentIrpStackLocation$136$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$136$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$136$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$136$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$136$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$136$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$136$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$136$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$136$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$136$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$136$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$136$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$136$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$136$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$136$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$136$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$136$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$136$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$136$Return#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon36_Then#1, inline$BDLSystemPowerIoCompletion$26$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_15#1:
- goto inline$BDLGetDebugLevel$697$Entry#1;
-
- inline$BDLGetDebugLevel$697$Entry#1:
- goto inline$BDLGetDebugLevel$697$start#1;
-
- inline$BDLGetDebugLevel$697$start#1:
- goto inline$BDLGetDebugLevel$697$label_3#1;
-
- inline$BDLGetDebugLevel$697$label_3#1:
- havoc inline$BDLGetDebugLevel$697$myNondetVar_0;
- inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$697$myNondetVar_0;
- goto inline$BDLGetDebugLevel$697$label_1#1;
-
- inline$BDLGetDebugLevel$697$label_1#1:
- goto inline$BDLGetDebugLevel$697$Return#1;
-
- inline$BDLGetDebugLevel$697$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon37_Then#1, inline$BDLSystemPowerIoCompletion$26$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_18_true#1, inline$BDLSystemPowerIoCompletion$26$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_22#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_23#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_24#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$26$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_19#1:
- goto inline$BDLGetDebugLevel$698$Entry#1;
-
- inline$BDLGetDebugLevel$698$Entry#1:
- goto inline$BDLGetDebugLevel$698$start#1;
-
- inline$BDLGetDebugLevel$698$start#1:
- goto inline$BDLGetDebugLevel$698$label_3#1;
-
- inline$BDLGetDebugLevel$698$label_3#1:
- havoc inline$BDLGetDebugLevel$698$myNondetVar_0;
- inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$698$myNondetVar_0;
- goto inline$BDLGetDebugLevel$698$label_1#1;
-
- inline$BDLGetDebugLevel$698$label_1#1:
- goto inline$BDLGetDebugLevel$698$Return#1;
-
- inline$BDLGetDebugLevel$698$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon38_Then#1, inline$BDLSystemPowerIoCompletion$26$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_28_true#1, inline$BDLSystemPowerIoCompletion$26$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_32#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_33#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_34#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$26$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_29#1:
- goto inline$BDLGetDebugLevel$699$Entry#1;
-
- inline$BDLGetDebugLevel$699$Entry#1:
- goto inline$BDLGetDebugLevel$699$start#1;
-
- inline$BDLGetDebugLevel$699$start#1:
- goto inline$BDLGetDebugLevel$699$label_3#1;
-
- inline$BDLGetDebugLevel$699$label_3#1:
- havoc inline$BDLGetDebugLevel$699$myNondetVar_0;
- inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$699$myNondetVar_0;
- goto inline$BDLGetDebugLevel$699$label_1#1;
-
- inline$BDLGetDebugLevel$699$label_1#1:
- goto inline$BDLGetDebugLevel$699$Return#1;
-
- inline$BDLGetDebugLevel$699$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon39_Then#1, inline$BDLSystemPowerIoCompletion$26$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_38_true#1, inline$BDLSystemPowerIoCompletion$26$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_39_true#1, inline$BDLSystemPowerIoCompletion$26$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$26$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$26$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$26$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_106_true#1, inline$BDLSystemPowerIoCompletion$26$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_142#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_143#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$26$myNondetVar_0, inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$26$anon50_Then#1, inline$BDLSystemPowerIoCompletion$26$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_112#1:
- inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$26$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_113_true#1, inline$BDLSystemPowerIoCompletion$26$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$26$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_117#1:
- goto inline$BDLGetDebugLevel$706$Entry#1;
-
- inline$BDLGetDebugLevel$706$Entry#1:
- goto inline$BDLGetDebugLevel$706$start#1;
-
- inline$BDLGetDebugLevel$706$start#1:
- goto inline$BDLGetDebugLevel$706$label_3#1;
-
- inline$BDLGetDebugLevel$706$label_3#1:
- havoc inline$BDLGetDebugLevel$706$myNondetVar_0;
- inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$706$myNondetVar_0;
- goto inline$BDLGetDebugLevel$706$label_1#1;
-
- inline$BDLGetDebugLevel$706$label_1#1:
- goto inline$BDLGetDebugLevel$706$Return#1;
-
- inline$BDLGetDebugLevel$706$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon51_Then#1, inline$BDLSystemPowerIoCompletion$26$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_120_true#1, inline$BDLSystemPowerIoCompletion$26$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_124#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_125#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_126#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$26$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_121#1:
- goto inline$BDLGetDebugLevel$707$Entry#1;
-
- inline$BDLGetDebugLevel$707$Entry#1:
- goto inline$BDLGetDebugLevel$707$start#1;
-
- inline$BDLGetDebugLevel$707$start#1:
- goto inline$BDLGetDebugLevel$707$label_3#1;
-
- inline$BDLGetDebugLevel$707$label_3#1:
- havoc inline$BDLGetDebugLevel$707$myNondetVar_0;
- inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$707$myNondetVar_0;
- goto inline$BDLGetDebugLevel$707$label_1#1;
-
- inline$BDLGetDebugLevel$707$label_1#1:
- goto inline$BDLGetDebugLevel$707$Return#1;
-
- inline$BDLGetDebugLevel$707$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon52_Then#1, inline$BDLSystemPowerIoCompletion$26$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_130_true#1, inline$BDLSystemPowerIoCompletion$26$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_134#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_135#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_136#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$26$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_131#1:
- goto inline$BDLGetDebugLevel$708$Entry#1;
-
- inline$BDLGetDebugLevel$708$Entry#1:
- goto inline$BDLGetDebugLevel$708$start#1;
-
- inline$BDLGetDebugLevel$708$start#1:
- goto inline$BDLGetDebugLevel$708$label_3#1;
-
- inline$BDLGetDebugLevel$708$label_3#1:
- havoc inline$BDLGetDebugLevel$708$myNondetVar_0;
- inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$708$myNondetVar_0;
- goto inline$BDLGetDebugLevel$708$label_1#1;
-
- inline$BDLGetDebugLevel$708$label_1#1:
- goto inline$BDLGetDebugLevel$708$Return#1;
-
- inline$BDLGetDebugLevel$708$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon53_Then#1, inline$BDLSystemPowerIoCompletion$26$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_140_true#1, inline$BDLSystemPowerIoCompletion$26$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$26$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_115#1:
- inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$26$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$26$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_43#1:
- goto inline$BDLGetDebugLevel$700$Entry#1;
-
- inline$BDLGetDebugLevel$700$Entry#1:
- goto inline$BDLGetDebugLevel$700$start#1;
-
- inline$BDLGetDebugLevel$700$start#1:
- goto inline$BDLGetDebugLevel$700$label_3#1;
-
- inline$BDLGetDebugLevel$700$label_3#1:
- havoc inline$BDLGetDebugLevel$700$myNondetVar_0;
- inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$700$myNondetVar_0;
- goto inline$BDLGetDebugLevel$700$label_1#1;
-
- inline$BDLGetDebugLevel$700$label_1#1:
- goto inline$BDLGetDebugLevel$700$Return#1;
-
- inline$BDLGetDebugLevel$700$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon40_Then#1, inline$BDLSystemPowerIoCompletion$26$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_46_true#1, inline$BDLSystemPowerIoCompletion$26$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_50#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_51#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_52#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$26$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_47#1:
- goto inline$BDLGetDebugLevel$701$Entry#1;
-
- inline$BDLGetDebugLevel$701$Entry#1:
- goto inline$BDLGetDebugLevel$701$start#1;
-
- inline$BDLGetDebugLevel$701$start#1:
- goto inline$BDLGetDebugLevel$701$label_3#1;
-
- inline$BDLGetDebugLevel$701$label_3#1:
- havoc inline$BDLGetDebugLevel$701$myNondetVar_0;
- inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$701$myNondetVar_0;
- goto inline$BDLGetDebugLevel$701$label_1#1;
-
- inline$BDLGetDebugLevel$701$label_1#1:
- goto inline$BDLGetDebugLevel$701$Return#1;
-
- inline$BDLGetDebugLevel$701$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon41_Then#1, inline$BDLSystemPowerIoCompletion$26$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_56_true#1, inline$BDLSystemPowerIoCompletion$26$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_60#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_61#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_62#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$26$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_57#1:
- goto inline$BDLGetDebugLevel$702$Entry#1;
-
- inline$BDLGetDebugLevel$702$Entry#1:
- goto inline$BDLGetDebugLevel$702$start#1;
-
- inline$BDLGetDebugLevel$702$start#1:
- goto inline$BDLGetDebugLevel$702$label_3#1;
-
- inline$BDLGetDebugLevel$702$label_3#1:
- havoc inline$BDLGetDebugLevel$702$myNondetVar_0;
- inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$702$myNondetVar_0;
- goto inline$BDLGetDebugLevel$702$label_1#1;
-
- inline$BDLGetDebugLevel$702$label_1#1:
- goto inline$BDLGetDebugLevel$702$Return#1;
-
- inline$BDLGetDebugLevel$702$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon42_Then#1, inline$BDLSystemPowerIoCompletion$26$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_66_true#1, inline$BDLSystemPowerIoCompletion$26$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$26$anon43_Then#1, inline$BDLSystemPowerIoCompletion$26$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$26$anon44_Then#1, inline$BDLSystemPowerIoCompletion$26$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_74#1:
- goto inline$storm_IoCompleteRequest$54$Entry#1;
-
- inline$storm_IoCompleteRequest$54$Entry#1:
- inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$54$start#1;
-
- inline$storm_IoCompleteRequest$54$start#1:
- inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$54$label_3#1;
-
- inline$storm_IoCompleteRequest$54$label_3#1:
- call inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$54$label_6#1;
-
- inline$storm_IoCompleteRequest$54$label_6#1:
- goto inline$storm_IoCompleteRequest$54$label_6_true#1, inline$storm_IoCompleteRequest$54$label_6_false#1;
-
- inline$storm_IoCompleteRequest$54$label_6_false#1:
- assume inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$54$label_7#1;
-
- inline$storm_IoCompleteRequest$54$label_6_true#1:
- assume inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$54$label_8#1;
-
- inline$storm_IoCompleteRequest$54$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$54$anon3_Then#1, inline$storm_IoCompleteRequest$54$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$54$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$54$anon1#1;
-
- inline$storm_IoCompleteRequest$54$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$54$label_9#1;
-
- inline$storm_IoCompleteRequest$54$label_9#1:
- goto inline$storm_IoCompleteRequest$54$label_9_true#1, inline$storm_IoCompleteRequest$54$label_9_false#1;
-
- inline$storm_IoCompleteRequest$54$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$54$label_10#1;
-
- inline$storm_IoCompleteRequest$54$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$54$label_1#1;
-
- inline$storm_IoCompleteRequest$54$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$54$label_7#1;
-
- inline$storm_IoCompleteRequest$54$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$54$label_1#1;
-
- inline$storm_IoCompleteRequest$54$label_1#1:
- goto inline$storm_IoCompleteRequest$54$Return#1;
-
- inline$storm_IoCompleteRequest$54$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$54$Return#1;
-
- inline$storm_IoCompleteRequest$54$Return#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon45_Then#1, inline$BDLSystemPowerIoCompletion$26$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$26$anon46_Then#1, inline$BDLSystemPowerIoCompletion$26$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_80#1:
- goto inline$BDLGetDebugLevel$703$Entry#1;
-
- inline$BDLGetDebugLevel$703$Entry#1:
- goto inline$BDLGetDebugLevel$703$start#1;
-
- inline$BDLGetDebugLevel$703$start#1:
- goto inline$BDLGetDebugLevel$703$label_3#1;
-
- inline$BDLGetDebugLevel$703$label_3#1:
- havoc inline$BDLGetDebugLevel$703$myNondetVar_0;
- inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$703$myNondetVar_0;
- goto inline$BDLGetDebugLevel$703$label_1#1;
-
- inline$BDLGetDebugLevel$703$label_1#1:
- goto inline$BDLGetDebugLevel$703$Return#1;
-
- inline$BDLGetDebugLevel$703$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon47_Then#1, inline$BDLSystemPowerIoCompletion$26$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_83_true#1, inline$BDLSystemPowerIoCompletion$26$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_87#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_88#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_89#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$26$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_84#1:
- goto inline$BDLGetDebugLevel$704$Entry#1;
-
- inline$BDLGetDebugLevel$704$Entry#1:
- goto inline$BDLGetDebugLevel$704$start#1;
-
- inline$BDLGetDebugLevel$704$start#1:
- goto inline$BDLGetDebugLevel$704$label_3#1;
-
- inline$BDLGetDebugLevel$704$label_3#1:
- havoc inline$BDLGetDebugLevel$704$myNondetVar_0;
- inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$704$myNondetVar_0;
- goto inline$BDLGetDebugLevel$704$label_1#1;
-
- inline$BDLGetDebugLevel$704$label_1#1:
- goto inline$BDLGetDebugLevel$704$Return#1;
-
- inline$BDLGetDebugLevel$704$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon48_Then#1, inline$BDLSystemPowerIoCompletion$26$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_93_true#1, inline$BDLSystemPowerIoCompletion$26$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_97#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_98#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_99#1:
- call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$26$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$26$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_94#1:
- goto inline$BDLGetDebugLevel$705$Entry#1;
-
- inline$BDLGetDebugLevel$705$Entry#1:
- goto inline$BDLGetDebugLevel$705$start#1;
-
- inline$BDLGetDebugLevel$705$start#1:
- goto inline$BDLGetDebugLevel$705$label_3#1;
-
- inline$BDLGetDebugLevel$705$label_3#1:
- havoc inline$BDLGetDebugLevel$705$myNondetVar_0;
- inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$705$myNondetVar_0;
- goto inline$BDLGetDebugLevel$705$label_1#1;
-
- inline$BDLGetDebugLevel$705$label_1#1:
- goto inline$BDLGetDebugLevel$705$Return#1;
-
- inline$BDLGetDebugLevel$705$Return#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$26$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$26$anon49_Then#1, inline$BDLSystemPowerIoCompletion$26$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_103_true#1, inline$BDLSystemPowerIoCompletion$26$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$26$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$26$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_104#1:
- inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$26$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$26$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$26$Return#1;
-
- inline$BDLSystemPowerIoCompletion$26$Return#1:
- inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$26$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$26$anon14_Then#1, inline$CallCompletionRoutine$26$anon14_Else#1;
-
- inline$CallCompletionRoutine$26$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$26$anon9#1;
-
- inline$CallCompletionRoutine$26$anon9#1:
- goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$26$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$26$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$26$Entry#1:
- inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$26$start#1;
-
- inline$BDLDevicePowerIoCompletion$26$start#1:
- call inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$26$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_4#1:
- inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_6#1:
- inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$26$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$26$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$135$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$135$Entry#1:
- inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$135$start#1;
-
- inline$IoGetCurrentIrpStackLocation$135$start#1:
- inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$135$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$135$label_3_true#1, inline$IoGetCurrentIrpStackLocation$135$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$135$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$135$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$135$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$135$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$135$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$135$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$135$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$135$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$135$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$135$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$135$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$135$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$135$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$135$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$135$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$135$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$135$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon30_Then#1, inline$BDLDevicePowerIoCompletion$26$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_13#1:
- inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$26$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$26$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_17#1:
- goto inline$BDLGetDebugLevel$688$Entry#1;
-
- inline$BDLGetDebugLevel$688$Entry#1:
- goto inline$BDLGetDebugLevel$688$start#1;
-
- inline$BDLGetDebugLevel$688$start#1:
- goto inline$BDLGetDebugLevel$688$label_3#1;
-
- inline$BDLGetDebugLevel$688$label_3#1:
- havoc inline$BDLGetDebugLevel$688$myNondetVar_0;
- inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$688$myNondetVar_0;
- goto inline$BDLGetDebugLevel$688$label_1#1;
-
- inline$BDLGetDebugLevel$688$label_1#1:
- goto inline$BDLGetDebugLevel$688$Return#1;
-
- inline$BDLGetDebugLevel$688$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon31_Then#1, inline$BDLDevicePowerIoCompletion$26$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_20_true#1, inline$BDLDevicePowerIoCompletion$26$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_24#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_25#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_26#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$26$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_21#1:
- goto inline$BDLGetDebugLevel$689$Entry#1;
-
- inline$BDLGetDebugLevel$689$Entry#1:
- goto inline$BDLGetDebugLevel$689$start#1;
-
- inline$BDLGetDebugLevel$689$start#1:
- goto inline$BDLGetDebugLevel$689$label_3#1;
-
- inline$BDLGetDebugLevel$689$label_3#1:
- havoc inline$BDLGetDebugLevel$689$myNondetVar_0;
- inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$689$myNondetVar_0;
- goto inline$BDLGetDebugLevel$689$label_1#1;
-
- inline$BDLGetDebugLevel$689$label_1#1:
- goto inline$BDLGetDebugLevel$689$Return#1;
-
- inline$BDLGetDebugLevel$689$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon32_Then#1, inline$BDLDevicePowerIoCompletion$26$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_30_true#1, inline$BDLDevicePowerIoCompletion$26$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_34#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_35#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_36#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$26$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_31#1:
- goto inline$BDLGetDebugLevel$690$Entry#1;
-
- inline$BDLGetDebugLevel$690$Entry#1:
- goto inline$BDLGetDebugLevel$690$start#1;
-
- inline$BDLGetDebugLevel$690$start#1:
- goto inline$BDLGetDebugLevel$690$label_3#1;
-
- inline$BDLGetDebugLevel$690$label_3#1:
- havoc inline$BDLGetDebugLevel$690$myNondetVar_0;
- inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$690$myNondetVar_0;
- goto inline$BDLGetDebugLevel$690$label_1#1;
-
- inline$BDLGetDebugLevel$690$label_1#1:
- goto inline$BDLGetDebugLevel$690$Return#1;
-
- inline$BDLGetDebugLevel$690$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon33_Then#1, inline$BDLDevicePowerIoCompletion$26$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_40_true#1, inline$BDLDevicePowerIoCompletion$26$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_41_true#1, inline$BDLDevicePowerIoCompletion$26$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$26$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$26$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_44_true#1, inline$BDLDevicePowerIoCompletion$26$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$26$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_45_true#1, inline$BDLDevicePowerIoCompletion$26$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$26$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$26$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$26$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$26$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$26$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_54#1:
- inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$26$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_55_true#1, inline$BDLDevicePowerIoCompletion$26$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_56#1:
- call inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$26$anon34_Then#1, inline$BDLDevicePowerIoCompletion$26$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_59#1:
- goto inline$BDLGetDebugLevel$691$Entry#1;
-
- inline$BDLGetDebugLevel$691$Entry#1:
- goto inline$BDLGetDebugLevel$691$start#1;
-
- inline$BDLGetDebugLevel$691$start#1:
- goto inline$BDLGetDebugLevel$691$label_3#1;
-
- inline$BDLGetDebugLevel$691$label_3#1:
- havoc inline$BDLGetDebugLevel$691$myNondetVar_0;
- inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$691$myNondetVar_0;
- goto inline$BDLGetDebugLevel$691$label_1#1;
-
- inline$BDLGetDebugLevel$691$label_1#1:
- goto inline$BDLGetDebugLevel$691$Return#1;
-
- inline$BDLGetDebugLevel$691$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon35_Then#1, inline$BDLDevicePowerIoCompletion$26$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_62_true#1, inline$BDLDevicePowerIoCompletion$26$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_66#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_67#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_68#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$26$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_63#1:
- goto inline$BDLGetDebugLevel$692$Entry#1;
-
- inline$BDLGetDebugLevel$692$Entry#1:
- goto inline$BDLGetDebugLevel$692$start#1;
-
- inline$BDLGetDebugLevel$692$start#1:
- goto inline$BDLGetDebugLevel$692$label_3#1;
-
- inline$BDLGetDebugLevel$692$label_3#1:
- havoc inline$BDLGetDebugLevel$692$myNondetVar_0;
- inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$692$myNondetVar_0;
- goto inline$BDLGetDebugLevel$692$label_1#1;
-
- inline$BDLGetDebugLevel$692$label_1#1:
- goto inline$BDLGetDebugLevel$692$Return#1;
-
- inline$BDLGetDebugLevel$692$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon36_Then#1, inline$BDLDevicePowerIoCompletion$26$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_72_true#1, inline$BDLDevicePowerIoCompletion$26$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_76#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_77#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_78#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$26$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_73#1:
- goto inline$BDLGetDebugLevel$693$Entry#1;
-
- inline$BDLGetDebugLevel$693$Entry#1:
- goto inline$BDLGetDebugLevel$693$start#1;
-
- inline$BDLGetDebugLevel$693$start#1:
- goto inline$BDLGetDebugLevel$693$label_3#1;
-
- inline$BDLGetDebugLevel$693$label_3#1:
- havoc inline$BDLGetDebugLevel$693$myNondetVar_0;
- inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$693$myNondetVar_0;
- goto inline$BDLGetDebugLevel$693$label_1#1;
-
- inline$BDLGetDebugLevel$693$label_1#1:
- goto inline$BDLGetDebugLevel$693$Return#1;
-
- inline$BDLGetDebugLevel$693$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon37_Then#1, inline$BDLDevicePowerIoCompletion$26$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_82_true#1, inline$BDLDevicePowerIoCompletion$26$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$26$anon38_Then#1, inline$BDLDevicePowerIoCompletion$26$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$26$anon39_Then#1, inline$BDLDevicePowerIoCompletion$26$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_92#1:
- goto inline$storm_IoCompleteRequest$53$Entry#1;
-
- inline$storm_IoCompleteRequest$53$Entry#1:
- inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$53$start#1;
-
- inline$storm_IoCompleteRequest$53$start#1:
- inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$53$label_3#1;
-
- inline$storm_IoCompleteRequest$53$label_3#1:
- call inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$53$label_6#1;
-
- inline$storm_IoCompleteRequest$53$label_6#1:
- goto inline$storm_IoCompleteRequest$53$label_6_true#1, inline$storm_IoCompleteRequest$53$label_6_false#1;
-
- inline$storm_IoCompleteRequest$53$label_6_false#1:
- assume inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$53$label_7#1;
-
- inline$storm_IoCompleteRequest$53$label_6_true#1:
- assume inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$53$label_8#1;
-
- inline$storm_IoCompleteRequest$53$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$53$anon3_Then#1, inline$storm_IoCompleteRequest$53$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$53$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$53$anon1#1;
-
- inline$storm_IoCompleteRequest$53$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$53$label_9#1;
-
- inline$storm_IoCompleteRequest$53$label_9#1:
- goto inline$storm_IoCompleteRequest$53$label_9_true#1, inline$storm_IoCompleteRequest$53$label_9_false#1;
-
- inline$storm_IoCompleteRequest$53$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$53$label_10#1;
-
- inline$storm_IoCompleteRequest$53$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$53$label_1#1;
-
- inline$storm_IoCompleteRequest$53$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$53$label_7#1;
-
- inline$storm_IoCompleteRequest$53$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$53$label_1#1;
-
- inline$storm_IoCompleteRequest$53$label_1#1:
- goto inline$storm_IoCompleteRequest$53$Return#1;
-
- inline$storm_IoCompleteRequest$53$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$53$Return#1;
-
- inline$storm_IoCompleteRequest$53$Return#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon40_Then#1, inline$BDLDevicePowerIoCompletion$26$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$26$anon41_Then#1, inline$BDLDevicePowerIoCompletion$26$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_98#1:
- goto inline$BDLGetDebugLevel$694$Entry#1;
-
- inline$BDLGetDebugLevel$694$Entry#1:
- goto inline$BDLGetDebugLevel$694$start#1;
-
- inline$BDLGetDebugLevel$694$start#1:
- goto inline$BDLGetDebugLevel$694$label_3#1;
-
- inline$BDLGetDebugLevel$694$label_3#1:
- havoc inline$BDLGetDebugLevel$694$myNondetVar_0;
- inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$694$myNondetVar_0;
- goto inline$BDLGetDebugLevel$694$label_1#1;
-
- inline$BDLGetDebugLevel$694$label_1#1:
- goto inline$BDLGetDebugLevel$694$Return#1;
-
- inline$BDLGetDebugLevel$694$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon42_Then#1, inline$BDLDevicePowerIoCompletion$26$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_101_true#1, inline$BDLDevicePowerIoCompletion$26$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_105#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_106#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_107#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$26$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_102#1:
- goto inline$BDLGetDebugLevel$695$Entry#1;
-
- inline$BDLGetDebugLevel$695$Entry#1:
- goto inline$BDLGetDebugLevel$695$start#1;
-
- inline$BDLGetDebugLevel$695$start#1:
- goto inline$BDLGetDebugLevel$695$label_3#1;
-
- inline$BDLGetDebugLevel$695$label_3#1:
- havoc inline$BDLGetDebugLevel$695$myNondetVar_0;
- inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$695$myNondetVar_0;
- goto inline$BDLGetDebugLevel$695$label_1#1;
-
- inline$BDLGetDebugLevel$695$label_1#1:
- goto inline$BDLGetDebugLevel$695$Return#1;
-
- inline$BDLGetDebugLevel$695$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon43_Then#1, inline$BDLDevicePowerIoCompletion$26$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_111_true#1, inline$BDLDevicePowerIoCompletion$26$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_115#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_116#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_117#1:
- call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$26$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$26$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_112#1:
- goto inline$BDLGetDebugLevel$696$Entry#1;
-
- inline$BDLGetDebugLevel$696$Entry#1:
- goto inline$BDLGetDebugLevel$696$start#1;
-
- inline$BDLGetDebugLevel$696$start#1:
- goto inline$BDLGetDebugLevel$696$label_3#1;
-
- inline$BDLGetDebugLevel$696$label_3#1:
- havoc inline$BDLGetDebugLevel$696$myNondetVar_0;
- inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$696$myNondetVar_0;
- goto inline$BDLGetDebugLevel$696$label_1#1;
-
- inline$BDLGetDebugLevel$696$label_1#1:
- goto inline$BDLGetDebugLevel$696$Return#1;
-
- inline$BDLGetDebugLevel$696$Return#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$26$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$26$anon44_Then#1, inline$BDLDevicePowerIoCompletion$26$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_121_true#1, inline$BDLDevicePowerIoCompletion$26$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$26$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$26$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_122#1:
- inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$26$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$26$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$26$Return#1;
-
- inline$BDLDevicePowerIoCompletion$26$Return#1:
- inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$26$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$26$anon13_Then#1, inline$CallCompletionRoutine$26$anon13_Else#1;
-
- inline$CallCompletionRoutine$26$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$26$anon7#1;
-
- inline$CallCompletionRoutine$26$anon7#1:
- goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$26$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$26$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$26$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$26$Entry#1:
- inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$26$start#1;
-
- inline$BDLCallDriverCompletionRoutine$26$start#1:
- inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$26$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$26$label_3_true#1, inline$BDLCallDriverCompletionRoutine$26$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$26$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$26$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$26$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$26$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_6#1:
- goto inline$storm_KeSetEvent$30$Entry#1;
-
- inline$storm_KeSetEvent$30$Entry#1:
- inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$30$start#1;
-
- inline$storm_KeSetEvent$30$start#1:
- inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$30$label_3#1;
-
- inline$storm_KeSetEvent$30$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$30$label_1#1;
-
- inline$storm_KeSetEvent$30$label_1#1:
- goto inline$storm_KeSetEvent$30$Return#1;
-
- inline$storm_KeSetEvent$30$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$26$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$26$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$26$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$26$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$26$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$26$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$26$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_9#1:
- inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$26$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$26$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$26$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$26$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$26$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$26$Return#1:
- inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$26$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$26$anon12_Then#1, inline$CallCompletionRoutine$26$anon12_Else#1;
-
- inline$CallCompletionRoutine$26$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$26$anon5#1;
-
- inline$CallCompletionRoutine$26$anon5#1:
- goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$26$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$26$label_23#1;
-
- inline$CallCompletionRoutine$26$label_23#1:
- inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$26$label_24#1;
-
- inline$CallCompletionRoutine$26$label_24#1:
- goto inline$CallCompletionRoutine$26$label_24_true#1, inline$CallCompletionRoutine$26$label_24_false#1;
-
- inline$CallCompletionRoutine$26$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$26$label_1#1;
-
- inline$CallCompletionRoutine$26$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$26$label_25#1;
-
- inline$CallCompletionRoutine$26$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$26$label_1#1;
-
- inline$CallCompletionRoutine$26$label_1#1:
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$26$Return#1;
-
- inline$CallCompletionRoutine$26$Return#1:
- goto inline$storm_IoCallDriver$13$label_33$1#1;
-
- inline$storm_IoCallDriver$13$label_33$1#1:
- goto inline$storm_IoCallDriver$13$anon14_Then#1, inline$storm_IoCallDriver$13$anon14_Else#1;
-
- inline$storm_IoCallDriver$13$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$13$anon8#1;
-
- inline$storm_IoCallDriver$13$anon8#1:
- goto inline$storm_IoCallDriver$13$label_36#1;
-
- inline$storm_IoCallDriver$13$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$label_27_case_1#1:
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$13$label_29#1;
-
- inline$storm_IoCallDriver$13$label_29#1:
- inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$13$label_37#1;
-
- inline$storm_IoCallDriver$13$label_37#1:
- goto inline$storm_IoCallDriver$13$label_38#1;
-
- inline$storm_IoCallDriver$13$label_38#1:
- goto inline$storm_IoCallDriver$13$label_39#1;
-
- inline$storm_IoCallDriver$13$label_39#1:
- goto inline$CallCompletionRoutine$27$Entry#1;
-
- inline$CallCompletionRoutine$27$Entry#1:
- inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$27$start#1;
-
- inline$CallCompletionRoutine$27$start#1:
- inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$27$label_3#1;
-
- inline$CallCompletionRoutine$27$label_3#1:
- goto inline$CallCompletionRoutine$27$label_4#1;
-
- inline$CallCompletionRoutine$27$label_4#1:
- goto inline$CallCompletionRoutine$27$label_5#1;
-
- inline$CallCompletionRoutine$27$label_5#1:
- goto inline$CallCompletionRoutine$27$label_6#1;
-
- inline$CallCompletionRoutine$27$label_6#1:
- goto inline$CallCompletionRoutine$27$label_7#1;
-
- inline$CallCompletionRoutine$27$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$137$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$137$Entry#1:
- inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$137$start#1;
-
- inline$IoGetCurrentIrpStackLocation$137$start#1:
- inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$137$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$137$label_3_true#1, inline$IoGetCurrentIrpStackLocation$137$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$137$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$137$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$137$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$137$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$137$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$137$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$137$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$137$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$137$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$137$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$137$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$137$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$137$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$137$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$137$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$137$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$137$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$137$Return#1:
- goto inline$CallCompletionRoutine$27$label_7$1#1;
-
- inline$CallCompletionRoutine$27$label_7$1#1:
- goto inline$CallCompletionRoutine$27$anon10_Then#1, inline$CallCompletionRoutine$27$anon10_Else#1;
-
- inline$CallCompletionRoutine$27$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$27$anon1#1;
-
- inline$CallCompletionRoutine$27$anon1#1:
- goto inline$CallCompletionRoutine$27$label_10#1;
-
- inline$CallCompletionRoutine$27$label_10#1:
- goto inline$CallCompletionRoutine$27$label_11#1;
-
- inline$CallCompletionRoutine$27$label_11#1:
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$CallCompletionRoutine$27$label_12#1;
-
- inline$CallCompletionRoutine$27$label_12#1:
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$CallCompletionRoutine$27$label_13#1;
-
- inline$CallCompletionRoutine$27$label_13#1:
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$CallCompletionRoutine$27$label_14#1;
-
- inline$CallCompletionRoutine$27$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$138$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$138$Entry#1:
- inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$138$start#1;
-
- inline$IoGetCurrentIrpStackLocation$138$start#1:
- inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$138$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$138$label_3_true#1, inline$IoGetCurrentIrpStackLocation$138$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$138$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$138$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$138$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$138$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$138$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$138$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$138$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$138$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$138$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$138$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$138$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$138$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$138$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$138$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$138$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$138$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$138$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$138$Return#1:
- goto inline$CallCompletionRoutine$27$label_14$1#1;
-
- inline$CallCompletionRoutine$27$label_14$1#1:
- goto inline$CallCompletionRoutine$27$anon11_Then#1, inline$CallCompletionRoutine$27$anon11_Else#1;
-
- inline$CallCompletionRoutine$27$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$27$anon3#1;
-
- inline$CallCompletionRoutine$27$anon3#1:
- goto inline$CallCompletionRoutine$27$label_17#1;
-
- inline$CallCompletionRoutine$27$label_17#1:
- goto inline$CallCompletionRoutine$27$label_18#1;
-
- inline$CallCompletionRoutine$27$label_18#1:
- goto inline$CallCompletionRoutine$27$label_18_true#1, inline$CallCompletionRoutine$27$label_18_false#1;
-
- inline$CallCompletionRoutine$27$label_18_false#1:
- assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$27$label_1#1;
-
- inline$CallCompletionRoutine$27$label_18_true#1:
- assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$27$label_19#1;
-
- inline$CallCompletionRoutine$27$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$27$label_20#1;
-
- inline$CallCompletionRoutine$27$label_20#1:
- goto inline$CallCompletionRoutine$27$label_20_icall_1#1, inline$CallCompletionRoutine$27$label_20_icall_2#1, inline$CallCompletionRoutine$27$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$27$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$27$Entry#1:
- inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$27$start#1;
-
- inline$BDLSystemPowerIoCompletion$27$start#1:
- call inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$27$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_4#1:
- inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_6#1:
- inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$27$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$27$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$140$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$140$Entry#1:
- inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$140$start#1;
-
- inline$IoGetCurrentIrpStackLocation$140$start#1:
- inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$140$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$140$label_3_true#1, inline$IoGetCurrentIrpStackLocation$140$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$140$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$140$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$140$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$140$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$140$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$140$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$140$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$140$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$140$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$140$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$140$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$140$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$140$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$140$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$140$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$140$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$140$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$140$Return#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon36_Then#1, inline$BDLSystemPowerIoCompletion$27$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_15#1:
- goto inline$BDLGetDebugLevel$718$Entry#1;
-
- inline$BDLGetDebugLevel$718$Entry#1:
- goto inline$BDLGetDebugLevel$718$start#1;
-
- inline$BDLGetDebugLevel$718$start#1:
- goto inline$BDLGetDebugLevel$718$label_3#1;
-
- inline$BDLGetDebugLevel$718$label_3#1:
- havoc inline$BDLGetDebugLevel$718$myNondetVar_0;
- inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$718$myNondetVar_0;
- goto inline$BDLGetDebugLevel$718$label_1#1;
-
- inline$BDLGetDebugLevel$718$label_1#1:
- goto inline$BDLGetDebugLevel$718$Return#1;
-
- inline$BDLGetDebugLevel$718$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon37_Then#1, inline$BDLSystemPowerIoCompletion$27$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_18_true#1, inline$BDLSystemPowerIoCompletion$27$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_22#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_23#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_24#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$27$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_19#1:
- goto inline$BDLGetDebugLevel$719$Entry#1;
-
- inline$BDLGetDebugLevel$719$Entry#1:
- goto inline$BDLGetDebugLevel$719$start#1;
-
- inline$BDLGetDebugLevel$719$start#1:
- goto inline$BDLGetDebugLevel$719$label_3#1;
-
- inline$BDLGetDebugLevel$719$label_3#1:
- havoc inline$BDLGetDebugLevel$719$myNondetVar_0;
- inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$719$myNondetVar_0;
- goto inline$BDLGetDebugLevel$719$label_1#1;
-
- inline$BDLGetDebugLevel$719$label_1#1:
- goto inline$BDLGetDebugLevel$719$Return#1;
-
- inline$BDLGetDebugLevel$719$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon38_Then#1, inline$BDLSystemPowerIoCompletion$27$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_28_true#1, inline$BDLSystemPowerIoCompletion$27$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_32#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_33#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_34#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$27$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_29#1:
- goto inline$BDLGetDebugLevel$720$Entry#1;
-
- inline$BDLGetDebugLevel$720$Entry#1:
- goto inline$BDLGetDebugLevel$720$start#1;
-
- inline$BDLGetDebugLevel$720$start#1:
- goto inline$BDLGetDebugLevel$720$label_3#1;
-
- inline$BDLGetDebugLevel$720$label_3#1:
- havoc inline$BDLGetDebugLevel$720$myNondetVar_0;
- inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$720$myNondetVar_0;
- goto inline$BDLGetDebugLevel$720$label_1#1;
-
- inline$BDLGetDebugLevel$720$label_1#1:
- goto inline$BDLGetDebugLevel$720$Return#1;
-
- inline$BDLGetDebugLevel$720$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon39_Then#1, inline$BDLSystemPowerIoCompletion$27$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_38_true#1, inline$BDLSystemPowerIoCompletion$27$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_39_true#1, inline$BDLSystemPowerIoCompletion$27$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$27$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$27$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$27$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_106_true#1, inline$BDLSystemPowerIoCompletion$27$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_142#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_143#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$27$myNondetVar_0, inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$27$anon50_Then#1, inline$BDLSystemPowerIoCompletion$27$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_112#1:
- inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$27$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_113_true#1, inline$BDLSystemPowerIoCompletion$27$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$27$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_117#1:
- goto inline$BDLGetDebugLevel$727$Entry#1;
-
- inline$BDLGetDebugLevel$727$Entry#1:
- goto inline$BDLGetDebugLevel$727$start#1;
-
- inline$BDLGetDebugLevel$727$start#1:
- goto inline$BDLGetDebugLevel$727$label_3#1;
-
- inline$BDLGetDebugLevel$727$label_3#1:
- havoc inline$BDLGetDebugLevel$727$myNondetVar_0;
- inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$727$myNondetVar_0;
- goto inline$BDLGetDebugLevel$727$label_1#1;
-
- inline$BDLGetDebugLevel$727$label_1#1:
- goto inline$BDLGetDebugLevel$727$Return#1;
-
- inline$BDLGetDebugLevel$727$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon51_Then#1, inline$BDLSystemPowerIoCompletion$27$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_120_true#1, inline$BDLSystemPowerIoCompletion$27$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_124#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_125#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_126#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$27$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_121#1:
- goto inline$BDLGetDebugLevel$728$Entry#1;
-
- inline$BDLGetDebugLevel$728$Entry#1:
- goto inline$BDLGetDebugLevel$728$start#1;
-
- inline$BDLGetDebugLevel$728$start#1:
- goto inline$BDLGetDebugLevel$728$label_3#1;
-
- inline$BDLGetDebugLevel$728$label_3#1:
- havoc inline$BDLGetDebugLevel$728$myNondetVar_0;
- inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$728$myNondetVar_0;
- goto inline$BDLGetDebugLevel$728$label_1#1;
-
- inline$BDLGetDebugLevel$728$label_1#1:
- goto inline$BDLGetDebugLevel$728$Return#1;
-
- inline$BDLGetDebugLevel$728$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon52_Then#1, inline$BDLSystemPowerIoCompletion$27$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_130_true#1, inline$BDLSystemPowerIoCompletion$27$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_134#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_135#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_136#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$27$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_131#1:
- goto inline$BDLGetDebugLevel$729$Entry#1;
-
- inline$BDLGetDebugLevel$729$Entry#1:
- goto inline$BDLGetDebugLevel$729$start#1;
-
- inline$BDLGetDebugLevel$729$start#1:
- goto inline$BDLGetDebugLevel$729$label_3#1;
-
- inline$BDLGetDebugLevel$729$label_3#1:
- havoc inline$BDLGetDebugLevel$729$myNondetVar_0;
- inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$729$myNondetVar_0;
- goto inline$BDLGetDebugLevel$729$label_1#1;
-
- inline$BDLGetDebugLevel$729$label_1#1:
- goto inline$BDLGetDebugLevel$729$Return#1;
-
- inline$BDLGetDebugLevel$729$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon53_Then#1, inline$BDLSystemPowerIoCompletion$27$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_140_true#1, inline$BDLSystemPowerIoCompletion$27$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$27$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_115#1:
- inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$27$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$27$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_43#1:
- goto inline$BDLGetDebugLevel$721$Entry#1;
-
- inline$BDLGetDebugLevel$721$Entry#1:
- goto inline$BDLGetDebugLevel$721$start#1;
-
- inline$BDLGetDebugLevel$721$start#1:
- goto inline$BDLGetDebugLevel$721$label_3#1;
-
- inline$BDLGetDebugLevel$721$label_3#1:
- havoc inline$BDLGetDebugLevel$721$myNondetVar_0;
- inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$721$myNondetVar_0;
- goto inline$BDLGetDebugLevel$721$label_1#1;
-
- inline$BDLGetDebugLevel$721$label_1#1:
- goto inline$BDLGetDebugLevel$721$Return#1;
-
- inline$BDLGetDebugLevel$721$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon40_Then#1, inline$BDLSystemPowerIoCompletion$27$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_46_true#1, inline$BDLSystemPowerIoCompletion$27$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_50#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_51#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_52#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$27$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_47#1:
- goto inline$BDLGetDebugLevel$722$Entry#1;
-
- inline$BDLGetDebugLevel$722$Entry#1:
- goto inline$BDLGetDebugLevel$722$start#1;
-
- inline$BDLGetDebugLevel$722$start#1:
- goto inline$BDLGetDebugLevel$722$label_3#1;
-
- inline$BDLGetDebugLevel$722$label_3#1:
- havoc inline$BDLGetDebugLevel$722$myNondetVar_0;
- inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$722$myNondetVar_0;
- goto inline$BDLGetDebugLevel$722$label_1#1;
-
- inline$BDLGetDebugLevel$722$label_1#1:
- goto inline$BDLGetDebugLevel$722$Return#1;
-
- inline$BDLGetDebugLevel$722$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon41_Then#1, inline$BDLSystemPowerIoCompletion$27$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_56_true#1, inline$BDLSystemPowerIoCompletion$27$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_60#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_61#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_62#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$27$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_57#1:
- goto inline$BDLGetDebugLevel$723$Entry#1;
-
- inline$BDLGetDebugLevel$723$Entry#1:
- goto inline$BDLGetDebugLevel$723$start#1;
-
- inline$BDLGetDebugLevel$723$start#1:
- goto inline$BDLGetDebugLevel$723$label_3#1;
-
- inline$BDLGetDebugLevel$723$label_3#1:
- havoc inline$BDLGetDebugLevel$723$myNondetVar_0;
- inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$723$myNondetVar_0;
- goto inline$BDLGetDebugLevel$723$label_1#1;
-
- inline$BDLGetDebugLevel$723$label_1#1:
- goto inline$BDLGetDebugLevel$723$Return#1;
-
- inline$BDLGetDebugLevel$723$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon42_Then#1, inline$BDLSystemPowerIoCompletion$27$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_66_true#1, inline$BDLSystemPowerIoCompletion$27$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$27$anon43_Then#1, inline$BDLSystemPowerIoCompletion$27$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$27$anon44_Then#1, inline$BDLSystemPowerIoCompletion$27$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_74#1:
- goto inline$storm_IoCompleteRequest$56$Entry#1;
-
- inline$storm_IoCompleteRequest$56$Entry#1:
- inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$56$start#1;
-
- inline$storm_IoCompleteRequest$56$start#1:
- inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$56$label_3#1;
-
- inline$storm_IoCompleteRequest$56$label_3#1:
- call inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$56$label_6#1;
-
- inline$storm_IoCompleteRequest$56$label_6#1:
- goto inline$storm_IoCompleteRequest$56$label_6_true#1, inline$storm_IoCompleteRequest$56$label_6_false#1;
-
- inline$storm_IoCompleteRequest$56$label_6_false#1:
- assume inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$56$label_7#1;
-
- inline$storm_IoCompleteRequest$56$label_6_true#1:
- assume inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$56$label_8#1;
-
- inline$storm_IoCompleteRequest$56$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$56$anon3_Then#1, inline$storm_IoCompleteRequest$56$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$56$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$56$anon1#1;
-
- inline$storm_IoCompleteRequest$56$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$56$label_9#1;
-
- inline$storm_IoCompleteRequest$56$label_9#1:
- goto inline$storm_IoCompleteRequest$56$label_9_true#1, inline$storm_IoCompleteRequest$56$label_9_false#1;
-
- inline$storm_IoCompleteRequest$56$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$56$label_10#1;
-
- inline$storm_IoCompleteRequest$56$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$56$label_1#1;
-
- inline$storm_IoCompleteRequest$56$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$56$label_7#1;
-
- inline$storm_IoCompleteRequest$56$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$56$label_1#1;
-
- inline$storm_IoCompleteRequest$56$label_1#1:
- goto inline$storm_IoCompleteRequest$56$Return#1;
-
- inline$storm_IoCompleteRequest$56$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$56$Return#1;
-
- inline$storm_IoCompleteRequest$56$Return#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon45_Then#1, inline$BDLSystemPowerIoCompletion$27$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$27$anon46_Then#1, inline$BDLSystemPowerIoCompletion$27$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_80#1:
- goto inline$BDLGetDebugLevel$724$Entry#1;
-
- inline$BDLGetDebugLevel$724$Entry#1:
- goto inline$BDLGetDebugLevel$724$start#1;
-
- inline$BDLGetDebugLevel$724$start#1:
- goto inline$BDLGetDebugLevel$724$label_3#1;
-
- inline$BDLGetDebugLevel$724$label_3#1:
- havoc inline$BDLGetDebugLevel$724$myNondetVar_0;
- inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$724$myNondetVar_0;
- goto inline$BDLGetDebugLevel$724$label_1#1;
-
- inline$BDLGetDebugLevel$724$label_1#1:
- goto inline$BDLGetDebugLevel$724$Return#1;
-
- inline$BDLGetDebugLevel$724$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon47_Then#1, inline$BDLSystemPowerIoCompletion$27$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_83_true#1, inline$BDLSystemPowerIoCompletion$27$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_87#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_88#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_89#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$27$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_84#1:
- goto inline$BDLGetDebugLevel$725$Entry#1;
-
- inline$BDLGetDebugLevel$725$Entry#1:
- goto inline$BDLGetDebugLevel$725$start#1;
-
- inline$BDLGetDebugLevel$725$start#1:
- goto inline$BDLGetDebugLevel$725$label_3#1;
-
- inline$BDLGetDebugLevel$725$label_3#1:
- havoc inline$BDLGetDebugLevel$725$myNondetVar_0;
- inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$725$myNondetVar_0;
- goto inline$BDLGetDebugLevel$725$label_1#1;
-
- inline$BDLGetDebugLevel$725$label_1#1:
- goto inline$BDLGetDebugLevel$725$Return#1;
-
- inline$BDLGetDebugLevel$725$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon48_Then#1, inline$BDLSystemPowerIoCompletion$27$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_93_true#1, inline$BDLSystemPowerIoCompletion$27$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_97#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_98#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_99#1:
- call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$27$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$27$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_94#1:
- goto inline$BDLGetDebugLevel$726$Entry#1;
-
- inline$BDLGetDebugLevel$726$Entry#1:
- goto inline$BDLGetDebugLevel$726$start#1;
-
- inline$BDLGetDebugLevel$726$start#1:
- goto inline$BDLGetDebugLevel$726$label_3#1;
-
- inline$BDLGetDebugLevel$726$label_3#1:
- havoc inline$BDLGetDebugLevel$726$myNondetVar_0;
- inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$726$myNondetVar_0;
- goto inline$BDLGetDebugLevel$726$label_1#1;
-
- inline$BDLGetDebugLevel$726$label_1#1:
- goto inline$BDLGetDebugLevel$726$Return#1;
-
- inline$BDLGetDebugLevel$726$Return#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$27$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$27$anon49_Then#1, inline$BDLSystemPowerIoCompletion$27$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_103_true#1, inline$BDLSystemPowerIoCompletion$27$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$27$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$27$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_104#1:
- inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$27$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$27$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$27$Return#1;
-
- inline$BDLSystemPowerIoCompletion$27$Return#1:
- inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$27$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$27$anon14_Then#1, inline$CallCompletionRoutine$27$anon14_Else#1;
-
- inline$CallCompletionRoutine$27$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$27$anon9#1;
-
- inline$CallCompletionRoutine$27$anon9#1:
- goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$27$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$27$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$27$Entry#1:
- inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$27$start#1;
-
- inline$BDLDevicePowerIoCompletion$27$start#1:
- call inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$27$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_4#1:
- inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_6#1:
- inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$27$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$27$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$139$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$139$Entry#1:
- inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$139$start#1;
-
- inline$IoGetCurrentIrpStackLocation$139$start#1:
- inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$139$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$139$label_3_true#1, inline$IoGetCurrentIrpStackLocation$139$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$139$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$139$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$139$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$139$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$139$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$139$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$139$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$139$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$139$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$139$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$139$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$139$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$139$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$139$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$139$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$139$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$139$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon30_Then#1, inline$BDLDevicePowerIoCompletion$27$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_13#1:
- inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$27$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$27$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_17#1:
- goto inline$BDLGetDebugLevel$709$Entry#1;
-
- inline$BDLGetDebugLevel$709$Entry#1:
- goto inline$BDLGetDebugLevel$709$start#1;
-
- inline$BDLGetDebugLevel$709$start#1:
- goto inline$BDLGetDebugLevel$709$label_3#1;
-
- inline$BDLGetDebugLevel$709$label_3#1:
- havoc inline$BDLGetDebugLevel$709$myNondetVar_0;
- inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$709$myNondetVar_0;
- goto inline$BDLGetDebugLevel$709$label_1#1;
-
- inline$BDLGetDebugLevel$709$label_1#1:
- goto inline$BDLGetDebugLevel$709$Return#1;
-
- inline$BDLGetDebugLevel$709$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon31_Then#1, inline$BDLDevicePowerIoCompletion$27$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_20_true#1, inline$BDLDevicePowerIoCompletion$27$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_24#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_25#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_26#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$27$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_21#1:
- goto inline$BDLGetDebugLevel$710$Entry#1;
-
- inline$BDLGetDebugLevel$710$Entry#1:
- goto inline$BDLGetDebugLevel$710$start#1;
-
- inline$BDLGetDebugLevel$710$start#1:
- goto inline$BDLGetDebugLevel$710$label_3#1;
-
- inline$BDLGetDebugLevel$710$label_3#1:
- havoc inline$BDLGetDebugLevel$710$myNondetVar_0;
- inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$710$myNondetVar_0;
- goto inline$BDLGetDebugLevel$710$label_1#1;
-
- inline$BDLGetDebugLevel$710$label_1#1:
- goto inline$BDLGetDebugLevel$710$Return#1;
-
- inline$BDLGetDebugLevel$710$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon32_Then#1, inline$BDLDevicePowerIoCompletion$27$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_30_true#1, inline$BDLDevicePowerIoCompletion$27$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_34#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_35#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_36#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$27$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_31#1:
- goto inline$BDLGetDebugLevel$711$Entry#1;
-
- inline$BDLGetDebugLevel$711$Entry#1:
- goto inline$BDLGetDebugLevel$711$start#1;
-
- inline$BDLGetDebugLevel$711$start#1:
- goto inline$BDLGetDebugLevel$711$label_3#1;
-
- inline$BDLGetDebugLevel$711$label_3#1:
- havoc inline$BDLGetDebugLevel$711$myNondetVar_0;
- inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$711$myNondetVar_0;
- goto inline$BDLGetDebugLevel$711$label_1#1;
-
- inline$BDLGetDebugLevel$711$label_1#1:
- goto inline$BDLGetDebugLevel$711$Return#1;
-
- inline$BDLGetDebugLevel$711$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon33_Then#1, inline$BDLDevicePowerIoCompletion$27$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_40_true#1, inline$BDLDevicePowerIoCompletion$27$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_41_true#1, inline$BDLDevicePowerIoCompletion$27$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$27$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$27$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_44_true#1, inline$BDLDevicePowerIoCompletion$27$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$27$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_45_true#1, inline$BDLDevicePowerIoCompletion$27$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$27$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$27$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$27$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$27$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$27$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_54#1:
- inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$27$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_55_true#1, inline$BDLDevicePowerIoCompletion$27$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_56#1:
- call inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$27$anon34_Then#1, inline$BDLDevicePowerIoCompletion$27$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_59#1:
- goto inline$BDLGetDebugLevel$712$Entry#1;
-
- inline$BDLGetDebugLevel$712$Entry#1:
- goto inline$BDLGetDebugLevel$712$start#1;
-
- inline$BDLGetDebugLevel$712$start#1:
- goto inline$BDLGetDebugLevel$712$label_3#1;
-
- inline$BDLGetDebugLevel$712$label_3#1:
- havoc inline$BDLGetDebugLevel$712$myNondetVar_0;
- inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$712$myNondetVar_0;
- goto inline$BDLGetDebugLevel$712$label_1#1;
-
- inline$BDLGetDebugLevel$712$label_1#1:
- goto inline$BDLGetDebugLevel$712$Return#1;
-
- inline$BDLGetDebugLevel$712$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon35_Then#1, inline$BDLDevicePowerIoCompletion$27$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_62_true#1, inline$BDLDevicePowerIoCompletion$27$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_66#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_67#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_68#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$27$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_63#1:
- goto inline$BDLGetDebugLevel$713$Entry#1;
-
- inline$BDLGetDebugLevel$713$Entry#1:
- goto inline$BDLGetDebugLevel$713$start#1;
-
- inline$BDLGetDebugLevel$713$start#1:
- goto inline$BDLGetDebugLevel$713$label_3#1;
-
- inline$BDLGetDebugLevel$713$label_3#1:
- havoc inline$BDLGetDebugLevel$713$myNondetVar_0;
- inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$713$myNondetVar_0;
- goto inline$BDLGetDebugLevel$713$label_1#1;
-
- inline$BDLGetDebugLevel$713$label_1#1:
- goto inline$BDLGetDebugLevel$713$Return#1;
-
- inline$BDLGetDebugLevel$713$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon36_Then#1, inline$BDLDevicePowerIoCompletion$27$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_72_true#1, inline$BDLDevicePowerIoCompletion$27$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_76#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_77#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_78#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$27$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_73#1:
- goto inline$BDLGetDebugLevel$714$Entry#1;
-
- inline$BDLGetDebugLevel$714$Entry#1:
- goto inline$BDLGetDebugLevel$714$start#1;
-
- inline$BDLGetDebugLevel$714$start#1:
- goto inline$BDLGetDebugLevel$714$label_3#1;
-
- inline$BDLGetDebugLevel$714$label_3#1:
- havoc inline$BDLGetDebugLevel$714$myNondetVar_0;
- inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$714$myNondetVar_0;
- goto inline$BDLGetDebugLevel$714$label_1#1;
-
- inline$BDLGetDebugLevel$714$label_1#1:
- goto inline$BDLGetDebugLevel$714$Return#1;
-
- inline$BDLGetDebugLevel$714$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon37_Then#1, inline$BDLDevicePowerIoCompletion$27$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_82_true#1, inline$BDLDevicePowerIoCompletion$27$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$27$anon38_Then#1, inline$BDLDevicePowerIoCompletion$27$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$27$anon39_Then#1, inline$BDLDevicePowerIoCompletion$27$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_92#1:
- goto inline$storm_IoCompleteRequest$55$Entry#1;
-
- inline$storm_IoCompleteRequest$55$Entry#1:
- inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$55$start#1;
-
- inline$storm_IoCompleteRequest$55$start#1:
- inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$55$label_3#1;
-
- inline$storm_IoCompleteRequest$55$label_3#1:
- call inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$55$label_6#1;
-
- inline$storm_IoCompleteRequest$55$label_6#1:
- goto inline$storm_IoCompleteRequest$55$label_6_true#1, inline$storm_IoCompleteRequest$55$label_6_false#1;
-
- inline$storm_IoCompleteRequest$55$label_6_false#1:
- assume inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$55$label_7#1;
-
- inline$storm_IoCompleteRequest$55$label_6_true#1:
- assume inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$55$label_8#1;
-
- inline$storm_IoCompleteRequest$55$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$55$anon3_Then#1, inline$storm_IoCompleteRequest$55$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$55$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$55$anon1#1;
-
- inline$storm_IoCompleteRequest$55$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$55$label_9#1;
-
- inline$storm_IoCompleteRequest$55$label_9#1:
- goto inline$storm_IoCompleteRequest$55$label_9_true#1, inline$storm_IoCompleteRequest$55$label_9_false#1;
-
- inline$storm_IoCompleteRequest$55$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$55$label_10#1;
-
- inline$storm_IoCompleteRequest$55$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$55$label_1#1;
-
- inline$storm_IoCompleteRequest$55$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$55$label_7#1;
-
- inline$storm_IoCompleteRequest$55$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$55$label_1#1;
-
- inline$storm_IoCompleteRequest$55$label_1#1:
- goto inline$storm_IoCompleteRequest$55$Return#1;
-
- inline$storm_IoCompleteRequest$55$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$55$Return#1;
-
- inline$storm_IoCompleteRequest$55$Return#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon40_Then#1, inline$BDLDevicePowerIoCompletion$27$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$27$anon41_Then#1, inline$BDLDevicePowerIoCompletion$27$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_98#1:
- goto inline$BDLGetDebugLevel$715$Entry#1;
-
- inline$BDLGetDebugLevel$715$Entry#1:
- goto inline$BDLGetDebugLevel$715$start#1;
-
- inline$BDLGetDebugLevel$715$start#1:
- goto inline$BDLGetDebugLevel$715$label_3#1;
-
- inline$BDLGetDebugLevel$715$label_3#1:
- havoc inline$BDLGetDebugLevel$715$myNondetVar_0;
- inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$715$myNondetVar_0;
- goto inline$BDLGetDebugLevel$715$label_1#1;
-
- inline$BDLGetDebugLevel$715$label_1#1:
- goto inline$BDLGetDebugLevel$715$Return#1;
-
- inline$BDLGetDebugLevel$715$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon42_Then#1, inline$BDLDevicePowerIoCompletion$27$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_101_true#1, inline$BDLDevicePowerIoCompletion$27$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_105#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_106#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_107#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$27$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_102#1:
- goto inline$BDLGetDebugLevel$716$Entry#1;
-
- inline$BDLGetDebugLevel$716$Entry#1:
- goto inline$BDLGetDebugLevel$716$start#1;
-
- inline$BDLGetDebugLevel$716$start#1:
- goto inline$BDLGetDebugLevel$716$label_3#1;
-
- inline$BDLGetDebugLevel$716$label_3#1:
- havoc inline$BDLGetDebugLevel$716$myNondetVar_0;
- inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$716$myNondetVar_0;
- goto inline$BDLGetDebugLevel$716$label_1#1;
-
- inline$BDLGetDebugLevel$716$label_1#1:
- goto inline$BDLGetDebugLevel$716$Return#1;
-
- inline$BDLGetDebugLevel$716$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon43_Then#1, inline$BDLDevicePowerIoCompletion$27$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_111_true#1, inline$BDLDevicePowerIoCompletion$27$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_115#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_116#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_117#1:
- call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$27$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$27$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_112#1:
- goto inline$BDLGetDebugLevel$717$Entry#1;
-
- inline$BDLGetDebugLevel$717$Entry#1:
- goto inline$BDLGetDebugLevel$717$start#1;
-
- inline$BDLGetDebugLevel$717$start#1:
- goto inline$BDLGetDebugLevel$717$label_3#1;
-
- inline$BDLGetDebugLevel$717$label_3#1:
- havoc inline$BDLGetDebugLevel$717$myNondetVar_0;
- inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$717$myNondetVar_0;
- goto inline$BDLGetDebugLevel$717$label_1#1;
-
- inline$BDLGetDebugLevel$717$label_1#1:
- goto inline$BDLGetDebugLevel$717$Return#1;
-
- inline$BDLGetDebugLevel$717$Return#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$27$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$27$anon44_Then#1, inline$BDLDevicePowerIoCompletion$27$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_121_true#1, inline$BDLDevicePowerIoCompletion$27$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$27$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$27$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_122#1:
- inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$27$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$27$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$27$Return#1;
-
- inline$BDLDevicePowerIoCompletion$27$Return#1:
- inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$27$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$27$anon13_Then#1, inline$CallCompletionRoutine$27$anon13_Else#1;
-
- inline$CallCompletionRoutine$27$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$27$anon7#1;
-
- inline$CallCompletionRoutine$27$anon7#1:
- goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$27$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$27$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$27$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$27$Entry#1:
- inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$27$start#1;
-
- inline$BDLCallDriverCompletionRoutine$27$start#1:
- inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$27$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$27$label_3_true#1, inline$BDLCallDriverCompletionRoutine$27$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$27$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$27$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$27$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$27$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_6#1:
- goto inline$storm_KeSetEvent$31$Entry#1;
-
- inline$storm_KeSetEvent$31$Entry#1:
- inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$31$start#1;
-
- inline$storm_KeSetEvent$31$start#1:
- inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$31$label_3#1;
-
- inline$storm_KeSetEvent$31$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$31$label_1#1;
-
- inline$storm_KeSetEvent$31$label_1#1:
- goto inline$storm_KeSetEvent$31$Return#1;
-
- inline$storm_KeSetEvent$31$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$27$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$27$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$27$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$27$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$27$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$27$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$27$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_9#1:
- inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$27$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$27$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$27$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$27$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$27$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$27$Return#1:
- inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$27$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$27$anon12_Then#1, inline$CallCompletionRoutine$27$anon12_Else#1;
-
- inline$CallCompletionRoutine$27$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$27$anon5#1;
-
- inline$CallCompletionRoutine$27$anon5#1:
- goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$27$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$27$label_23#1;
-
- inline$CallCompletionRoutine$27$label_23#1:
- inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$27$label_24#1;
-
- inline$CallCompletionRoutine$27$label_24#1:
- goto inline$CallCompletionRoutine$27$label_24_true#1, inline$CallCompletionRoutine$27$label_24_false#1;
-
- inline$CallCompletionRoutine$27$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$27$label_1#1;
-
- inline$CallCompletionRoutine$27$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$27$label_25#1;
-
- inline$CallCompletionRoutine$27$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$27$label_1#1;
-
- inline$CallCompletionRoutine$27$label_1#1:
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$27$Return#1;
-
- inline$CallCompletionRoutine$27$Return#1:
- goto inline$storm_IoCallDriver$13$label_39$1#1;
-
- inline$storm_IoCallDriver$13$label_39$1#1:
- goto inline$storm_IoCallDriver$13$anon15_Then#1, inline$storm_IoCallDriver$13$anon15_Else#1;
-
- inline$storm_IoCallDriver$13$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$13$anon10#1;
-
- inline$storm_IoCallDriver$13$anon10#1:
- goto inline$storm_IoCallDriver$13$label_36#1;
-
- inline$storm_IoCallDriver$13$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$label_27_case_0#1:
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$13$label_28#1;
-
- inline$storm_IoCallDriver$13$label_28#1:
- inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$13$label_42#1;
-
- inline$storm_IoCallDriver$13$label_42#1:
- goto inline$storm_IoCallDriver$13$label_43#1;
-
- inline$storm_IoCallDriver$13$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$13$label_36#1;
-
- inline$storm_IoCallDriver$13$label_36#1:
- inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$13$label_1#1;
-
- inline$storm_IoCallDriver$13$label_1#1:
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$13$Return#1;
-
- inline$storm_IoCallDriver$13$Return#1:
- inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$ := inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLHandleRemove$1$label_109$1#1;
-
- inline$BDLHandleRemove$1$label_109$1#1:
- goto inline$BDLHandleRemove$1$anon56_Then#1, inline$BDLHandleRemove$1$anon56_Else#1;
-
- inline$BDLHandleRemove$1$anon56_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon29#1;
-
- inline$BDLHandleRemove$1$anon29#1:
- goto inline$BDLHandleRemove$1$label_112#1;
-
- inline$BDLHandleRemove$1$label_112#1:
- inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$;
- goto inline$BDLHandleRemove$1$label_113#1;
-
- inline$BDLHandleRemove$1$label_113#1:
- goto inline$BDLHandleRemove$1$label_113_true#1, inline$BDLHandleRemove$1$label_113_false#1;
-
- inline$BDLHandleRemove$1$label_113_false#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$1$label_114#1;
-
- inline$BDLHandleRemove$1$label_113_true#1:
- assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$1$label_117#1;
-
- inline$BDLHandleRemove$1$label_117#1:
- goto inline$BDLGetDebugLevel$731$Entry#1;
-
- inline$BDLGetDebugLevel$731$Entry#1:
- goto inline$BDLGetDebugLevel$731$start#1;
-
- inline$BDLGetDebugLevel$731$start#1:
- goto inline$BDLGetDebugLevel$731$label_3#1;
-
- inline$BDLGetDebugLevel$731$label_3#1:
- havoc inline$BDLGetDebugLevel$731$myNondetVar_0;
- inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$731$myNondetVar_0;
- goto inline$BDLGetDebugLevel$731$label_1#1;
-
- inline$BDLGetDebugLevel$731$label_1#1:
- goto inline$BDLGetDebugLevel$731$Return#1;
-
- inline$BDLGetDebugLevel$731$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$ := inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_117$1#1;
-
- inline$BDLHandleRemove$1$label_117$1#1:
- goto inline$BDLHandleRemove$1$anon58_Then#1, inline$BDLHandleRemove$1$anon58_Else#1;
-
- inline$BDLHandleRemove$1$anon58_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon33#1;
-
- inline$BDLHandleRemove$1$anon33#1:
- goto inline$BDLHandleRemove$1$label_120#1;
-
- inline$BDLHandleRemove$1$label_120#1:
- goto inline$BDLHandleRemove$1$label_120_true#1, inline$BDLHandleRemove$1$label_120_false#1;
-
- inline$BDLHandleRemove$1$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$) == 0;
- goto inline$BDLHandleRemove$1$label_121#1;
-
- inline$BDLHandleRemove$1$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$) != 0;
- goto inline$BDLHandleRemove$1$label_124#1;
-
- inline$BDLHandleRemove$1$label_124#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_125#1;
-
- inline$BDLHandleRemove$1$label_125#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_126#1;
-
- inline$BDLHandleRemove$1$label_126#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_127#1;
-
- inline$BDLHandleRemove$1$label_127#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$41$;
- goto inline$BDLHandleRemove$1$label_121#1;
-
- inline$BDLHandleRemove$1$label_121#1:
- goto inline$BDLGetDebugLevel$732$Entry#1;
-
- inline$BDLGetDebugLevel$732$Entry#1:
- goto inline$BDLGetDebugLevel$732$start#1;
-
- inline$BDLGetDebugLevel$732$start#1:
- goto inline$BDLGetDebugLevel$732$label_3#1;
-
- inline$BDLGetDebugLevel$732$label_3#1:
- havoc inline$BDLGetDebugLevel$732$myNondetVar_0;
- inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$732$myNondetVar_0;
- goto inline$BDLGetDebugLevel$732$label_1#1;
-
- inline$BDLGetDebugLevel$732$label_1#1:
- goto inline$BDLGetDebugLevel$732$Return#1;
-
- inline$BDLGetDebugLevel$732$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$ := inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_121$1#1;
-
- inline$BDLHandleRemove$1$label_121$1#1:
- goto inline$BDLHandleRemove$1$anon59_Then#1, inline$BDLHandleRemove$1$anon59_Else#1;
-
- inline$BDLHandleRemove$1$anon59_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon35#1;
-
- inline$BDLHandleRemove$1$anon35#1:
- goto inline$BDLHandleRemove$1$label_130#1;
-
- inline$BDLHandleRemove$1$label_130#1:
- goto inline$BDLHandleRemove$1$label_130_true#1, inline$BDLHandleRemove$1$label_130_false#1;
-
- inline$BDLHandleRemove$1$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$) == 0;
- goto inline$BDLHandleRemove$1$label_131#1;
-
- inline$BDLHandleRemove$1$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$) != 0;
- goto inline$BDLHandleRemove$1$label_134#1;
-
- inline$BDLHandleRemove$1$label_134#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_135#1;
-
- inline$BDLHandleRemove$1$label_135#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_136#1;
-
- inline$BDLHandleRemove$1$label_136#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_137#1;
-
- inline$BDLHandleRemove$1$label_137#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$46$;
- goto inline$BDLHandleRemove$1$label_131#1;
-
- inline$BDLHandleRemove$1$label_131#1:
- goto inline$BDLGetDebugLevel$733$Entry#1;
-
- inline$BDLGetDebugLevel$733$Entry#1:
- goto inline$BDLGetDebugLevel$733$start#1;
-
- inline$BDLGetDebugLevel$733$start#1:
- goto inline$BDLGetDebugLevel$733$label_3#1;
-
- inline$BDLGetDebugLevel$733$label_3#1:
- havoc inline$BDLGetDebugLevel$733$myNondetVar_0;
- inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$733$myNondetVar_0;
- goto inline$BDLGetDebugLevel$733$label_1#1;
-
- inline$BDLGetDebugLevel$733$label_1#1:
- goto inline$BDLGetDebugLevel$733$Return#1;
-
- inline$BDLGetDebugLevel$733$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$ := inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_131$1#1;
-
- inline$BDLHandleRemove$1$label_131$1#1:
- goto inline$BDLHandleRemove$1$anon60_Then#1, inline$BDLHandleRemove$1$anon60_Else#1;
-
- inline$BDLHandleRemove$1$anon60_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon37#1;
-
- inline$BDLHandleRemove$1$anon37#1:
- goto inline$BDLHandleRemove$1$label_140#1;
-
- inline$BDLHandleRemove$1$label_140#1:
- goto inline$BDLHandleRemove$1$label_140_true#1, inline$BDLHandleRemove$1$label_140_false#1;
-
- inline$BDLHandleRemove$1$label_140_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$, 4) == 0;
- goto inline$BDLHandleRemove$1$label_114#1;
-
- inline$BDLHandleRemove$1$label_140_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$, 4) != 0;
- goto inline$BDLHandleRemove$1$label_141#1;
-
- inline$BDLHandleRemove$1$label_141#1:
- goto inline$BDLHandleRemove$1$label_114#1;
-
- inline$BDLHandleRemove$1$label_114#1:
- goto inline$BDLGetDebugLevel$730$Entry#1;
-
- inline$BDLGetDebugLevel$730$Entry#1:
- goto inline$BDLGetDebugLevel$730$start#1;
-
- inline$BDLGetDebugLevel$730$start#1:
- goto inline$BDLGetDebugLevel$730$label_3#1;
-
- inline$BDLGetDebugLevel$730$label_3#1:
- havoc inline$BDLGetDebugLevel$730$myNondetVar_0;
- inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$730$myNondetVar_0;
- goto inline$BDLGetDebugLevel$730$label_1#1;
-
- inline$BDLGetDebugLevel$730$label_1#1:
- goto inline$BDLGetDebugLevel$730$Return#1;
-
- inline$BDLGetDebugLevel$730$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$ := inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_114$1#1;
-
- inline$BDLHandleRemove$1$label_114$1#1:
- goto inline$BDLHandleRemove$1$anon57_Then#1, inline$BDLHandleRemove$1$anon57_Else#1;
-
- inline$BDLHandleRemove$1$anon57_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon31#1;
-
- inline$BDLHandleRemove$1$anon31#1:
- goto inline$BDLHandleRemove$1$label_142#1;
-
- inline$BDLHandleRemove$1$label_142#1:
- goto inline$BDLHandleRemove$1$label_142_true#1, inline$BDLHandleRemove$1$label_142_false#1;
-
- inline$BDLHandleRemove$1$label_142_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$) == 0;
- goto inline$BDLHandleRemove$1$label_143#1;
-
- inline$BDLHandleRemove$1$label_142_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$) != 0;
- goto inline$BDLHandleRemove$1$label_146#1;
-
- inline$BDLHandleRemove$1$label_146#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_147#1;
-
- inline$BDLHandleRemove$1$label_147#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_148#1;
-
- inline$BDLHandleRemove$1$label_148#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_149#1;
-
- inline$BDLHandleRemove$1$label_149#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$52$;
- goto inline$BDLHandleRemove$1$label_143#1;
-
- inline$BDLHandleRemove$1$label_143#1:
- goto inline$BDLGetDebugLevel$734$Entry#1;
-
- inline$BDLGetDebugLevel$734$Entry#1:
- goto inline$BDLGetDebugLevel$734$start#1;
-
- inline$BDLGetDebugLevel$734$start#1:
- goto inline$BDLGetDebugLevel$734$label_3#1;
-
- inline$BDLGetDebugLevel$734$label_3#1:
- havoc inline$BDLGetDebugLevel$734$myNondetVar_0;
- inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$734$myNondetVar_0;
- goto inline$BDLGetDebugLevel$734$label_1#1;
-
- inline$BDLGetDebugLevel$734$label_1#1:
- goto inline$BDLGetDebugLevel$734$Return#1;
-
- inline$BDLGetDebugLevel$734$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$ := inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_143$1#1;
-
- inline$BDLHandleRemove$1$label_143$1#1:
- goto inline$BDLHandleRemove$1$anon61_Then#1, inline$BDLHandleRemove$1$anon61_Else#1;
-
- inline$BDLHandleRemove$1$anon61_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon39#1;
-
- inline$BDLHandleRemove$1$anon39#1:
- goto inline$BDLHandleRemove$1$label_152#1;
-
- inline$BDLHandleRemove$1$label_152#1:
- goto inline$BDLHandleRemove$1$label_152_true#1, inline$BDLHandleRemove$1$label_152_false#1;
-
- inline$BDLHandleRemove$1$label_152_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$) == 0;
- goto inline$BDLHandleRemove$1$label_153#1;
-
- inline$BDLHandleRemove$1$label_152_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$) != 0;
- goto inline$BDLHandleRemove$1$label_156#1;
-
- inline$BDLHandleRemove$1$label_156#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_157#1;
-
- inline$BDLHandleRemove$1$label_157#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_158#1;
-
- inline$BDLHandleRemove$1$label_158#1:
- call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$1$label_159#1;
-
- inline$BDLHandleRemove$1$label_159#1:
- havoc inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$57$;
- goto inline$BDLHandleRemove$1$label_153#1;
-
- inline$BDLHandleRemove$1$label_153#1:
- goto inline$BDLGetDebugLevel$735$Entry#1;
-
- inline$BDLGetDebugLevel$735$Entry#1:
- goto inline$BDLGetDebugLevel$735$start#1;
-
- inline$BDLGetDebugLevel$735$start#1:
- goto inline$BDLGetDebugLevel$735$label_3#1;
-
- inline$BDLGetDebugLevel$735$label_3#1:
- havoc inline$BDLGetDebugLevel$735$myNondetVar_0;
- inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$735$myNondetVar_0;
- goto inline$BDLGetDebugLevel$735$label_1#1;
-
- inline$BDLGetDebugLevel$735$label_1#1:
- goto inline$BDLGetDebugLevel$735$Return#1;
-
- inline$BDLGetDebugLevel$735$Return#1:
- inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$ := inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$1$label_153$1#1;
-
- inline$BDLHandleRemove$1$label_153$1#1:
- goto inline$BDLHandleRemove$1$anon62_Then#1, inline$BDLHandleRemove$1$anon62_Else#1;
-
- inline$BDLHandleRemove$1$anon62_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$1$anon41#1;
-
- inline$BDLHandleRemove$1$anon41#1:
- goto inline$BDLHandleRemove$1$label_162#1;
-
- inline$BDLHandleRemove$1$label_162#1:
- goto inline$BDLHandleRemove$1$label_162_true#1, inline$BDLHandleRemove$1$label_162_false#1;
-
- inline$BDLHandleRemove$1$label_162_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$, 4) == 0;
- goto inline$BDLHandleRemove$1$label_163#1;
-
- inline$BDLHandleRemove$1$label_162_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$, 4) != 0;
- goto inline$BDLHandleRemove$1$label_164#1;
-
- inline$BDLHandleRemove$1$label_164#1:
- goto inline$BDLHandleRemove$1$label_163#1;
-
- inline$BDLHandleRemove$1$label_163#1:
- goto inline$BDLHandleRemove$1$label_1#1;
-
- inline$BDLHandleRemove$1$label_1#1:
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon62_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon61_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon57_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon60_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon59_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon58_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon56_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon52_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon55_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon54_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon53_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon51_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon50_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon49_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon48_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon47_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon46_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon45_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon44_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon43_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$anon42_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$1$Return#1;
-
- inline$BDLHandleRemove$1$Return#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_31$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_31$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon17_Then#1, inline$BDLPnPSurpriseRemoval$0$anon17_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon7#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon7#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_34#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_34#1:
- goto inline$BDLGetDebugLevel$736$Entry#1;
-
- inline$BDLGetDebugLevel$736$Entry#1:
- goto inline$BDLGetDebugLevel$736$start#1;
-
- inline$BDLGetDebugLevel$736$start#1:
- goto inline$BDLGetDebugLevel$736$label_3#1;
-
- inline$BDLGetDebugLevel$736$label_3#1:
- havoc inline$BDLGetDebugLevel$736$myNondetVar_0;
- inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$736$myNondetVar_0;
- goto inline$BDLGetDebugLevel$736$label_1#1;
-
- inline$BDLGetDebugLevel$736$label_1#1:
- goto inline$BDLGetDebugLevel$736$Return#1;
-
- inline$BDLGetDebugLevel$736$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$ := inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_34$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_34$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon18_Then#1, inline$BDLPnPSurpriseRemoval$0$anon18_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon9#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon9#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_37#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_37#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_37_true#1, inline$BDLPnPSurpriseRemoval$0$label_37_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_37_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_38#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_37_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_41#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_41#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_42#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_42#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_43#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_43#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_44#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_44#1:
- havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$15$;
- goto inline$BDLPnPSurpriseRemoval$0$label_38#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_38#1:
- goto inline$BDLGetDebugLevel$737$Entry#1;
-
- inline$BDLGetDebugLevel$737$Entry#1:
- goto inline$BDLGetDebugLevel$737$start#1;
-
- inline$BDLGetDebugLevel$737$start#1:
- goto inline$BDLGetDebugLevel$737$label_3#1;
-
- inline$BDLGetDebugLevel$737$label_3#1:
- havoc inline$BDLGetDebugLevel$737$myNondetVar_0;
- inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$737$myNondetVar_0;
- goto inline$BDLGetDebugLevel$737$label_1#1;
-
- inline$BDLGetDebugLevel$737$label_1#1:
- goto inline$BDLGetDebugLevel$737$Return#1;
-
- inline$BDLGetDebugLevel$737$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$ := inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_38$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_38$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon19_Then#1, inline$BDLPnPSurpriseRemoval$0$anon19_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon11#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon11#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_47#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_47#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_47_true#1, inline$BDLPnPSurpriseRemoval$0$label_47_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_47_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_48#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_47_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_51#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_51#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_52#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_52#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_53#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_53#1:
- call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPSurpriseRemoval$0$label_54#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_54#1:
- havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$20$;
- goto inline$BDLPnPSurpriseRemoval$0$label_48#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_48#1:
- goto inline$BDLGetDebugLevel$738$Entry#1;
-
- inline$BDLGetDebugLevel$738$Entry#1:
- goto inline$BDLGetDebugLevel$738$start#1;
-
- inline$BDLGetDebugLevel$738$start#1:
- goto inline$BDLGetDebugLevel$738$label_3#1;
-
- inline$BDLGetDebugLevel$738$label_3#1:
- havoc inline$BDLGetDebugLevel$738$myNondetVar_0;
- inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$738$myNondetVar_0;
- goto inline$BDLGetDebugLevel$738$label_1#1;
-
- inline$BDLGetDebugLevel$738$label_1#1:
- goto inline$BDLGetDebugLevel$738$Return#1;
-
- inline$BDLGetDebugLevel$738$Return#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$ := inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPSurpriseRemoval$0$label_48$1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_48$1#1:
- goto inline$BDLPnPSurpriseRemoval$0$anon20_Then#1, inline$BDLPnPSurpriseRemoval$0$anon20_Else#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$anon13#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon13#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_57#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_57#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_57_true#1, inline$BDLPnPSurpriseRemoval$0$label_57_false#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_57_false#1:
- assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$, 4) == 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_58#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_57_true#1:
- assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$, 4) != 0;
- goto inline$BDLPnPSurpriseRemoval$0$label_59#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_59#1:
- goto inline$BDLPnPSurpriseRemoval$0$label_58#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_58#1:
- inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$ := inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12;
- goto inline$BDLPnPSurpriseRemoval$0$label_1#1;
-
- inline$BDLPnPSurpriseRemoval$0$label_1#1:
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon20_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon19_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon18_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon17_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon16_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon15_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$anon14_Then#1:
- assume raiseException;
- goto inline$BDLPnPSurpriseRemoval$0$Return#1;
-
- inline$BDLPnPSurpriseRemoval$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$ := inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$;
- goto inline$BDLPnP$0$label_134$1#1;
-
- inline$BDLPnP$0$label_134$1#1:
- goto inline$BDLPnP$0$anon74_Then#1, inline$BDLPnP$0$anon74_Else#1;
-
- inline$BDLPnP$0$anon74_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon41#1;
-
- inline$BDLPnP$0$anon41#1:
- goto inline$BDLPnP$0$label_137#1;
-
- inline$BDLPnP$0$label_137#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$;
- goto inline$BDLPnP$0$label_138#1;
-
- inline$BDLPnP$0$label_138#1:
- inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon74_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_7#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 6;
- goto inline$BDLPnP$0$label_131#1;
-
- inline$BDLPnP$0$label_131#1:
- goto inline$BDLPnPCancelStop$0$Entry#1;
-
- inline$BDLPnPCancelStop$0$Entry#1:
- inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPCancelStop$0$start#1;
-
- inline$BDLPnPCancelStop$0$start#1:
- inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1;
- inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1;
- goto inline$BDLPnPCancelStop$0$label_3#1;
-
- inline$BDLPnPCancelStop$0$label_3#1:
- goto inline$BDLPnPCancelStop$0$label_4#1;
-
- inline$BDLPnPCancelStop$0$label_4#1:
- inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 := 0;
- goto inline$BDLPnPCancelStop$0$label_5#1;
-
- inline$BDLPnPCancelStop$0$label_5#1:
- goto inline$BDLGetDebugLevel$565$Entry#1;
-
- inline$BDLGetDebugLevel$565$Entry#1:
- goto inline$BDLGetDebugLevel$565$start#1;
-
- inline$BDLGetDebugLevel$565$start#1:
- goto inline$BDLGetDebugLevel$565$label_3#1;
-
- inline$BDLGetDebugLevel$565$label_3#1:
- havoc inline$BDLGetDebugLevel$565$myNondetVar_0;
- inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$565$myNondetVar_0;
- goto inline$BDLGetDebugLevel$565$label_1#1;
-
- inline$BDLGetDebugLevel$565$label_1#1:
- goto inline$BDLGetDebugLevel$565$Return#1;
-
- inline$BDLGetDebugLevel$565$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$ := inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_5$1#1;
-
- inline$BDLPnPCancelStop$0$label_5$1#1:
- goto inline$BDLPnPCancelStop$0$anon22_Then#1, inline$BDLPnPCancelStop$0$anon22_Else#1;
-
- inline$BDLPnPCancelStop$0$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon1#1;
-
- inline$BDLPnPCancelStop$0$anon1#1:
- goto inline$BDLPnPCancelStop$0$label_8#1;
-
- inline$BDLPnPCancelStop$0$label_8#1:
- goto inline$BDLPnPCancelStop$0$label_8_true#1, inline$BDLPnPCancelStop$0$label_8_false#1;
-
- inline$BDLPnPCancelStop$0$label_8_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$) == 0;
- goto inline$BDLPnPCancelStop$0$label_9#1;
-
- inline$BDLPnPCancelStop$0$label_8_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$) != 0;
- goto inline$BDLPnPCancelStop$0$label_12#1;
-
- inline$BDLPnPCancelStop$0$label_12#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_13#1;
-
- inline$BDLPnPCancelStop$0$label_13#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_14#1;
-
- inline$BDLPnPCancelStop$0$label_14#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_15#1;
-
- inline$BDLPnPCancelStop$0$label_15#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$3$;
- goto inline$BDLPnPCancelStop$0$label_9#1;
-
- inline$BDLPnPCancelStop$0$label_9#1:
- goto inline$BDLGetDebugLevel$566$Entry#1;
-
- inline$BDLGetDebugLevel$566$Entry#1:
- goto inline$BDLGetDebugLevel$566$start#1;
-
- inline$BDLGetDebugLevel$566$start#1:
- goto inline$BDLGetDebugLevel$566$label_3#1;
-
- inline$BDLGetDebugLevel$566$label_3#1:
- havoc inline$BDLGetDebugLevel$566$myNondetVar_0;
- inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$566$myNondetVar_0;
- goto inline$BDLGetDebugLevel$566$label_1#1;
-
- inline$BDLGetDebugLevel$566$label_1#1:
- goto inline$BDLGetDebugLevel$566$Return#1;
-
- inline$BDLGetDebugLevel$566$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$ := inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_9$1#1;
-
- inline$BDLPnPCancelStop$0$label_9$1#1:
- goto inline$BDLPnPCancelStop$0$anon23_Then#1, inline$BDLPnPCancelStop$0$anon23_Else#1;
-
- inline$BDLPnPCancelStop$0$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon3#1;
-
- inline$BDLPnPCancelStop$0$anon3#1:
- goto inline$BDLPnPCancelStop$0$label_18#1;
-
- inline$BDLPnPCancelStop$0$label_18#1:
- goto inline$BDLPnPCancelStop$0$label_18_true#1, inline$BDLPnPCancelStop$0$label_18_false#1;
-
- inline$BDLPnPCancelStop$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$) == 0;
- goto inline$BDLPnPCancelStop$0$label_19#1;
-
- inline$BDLPnPCancelStop$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$) != 0;
- goto inline$BDLPnPCancelStop$0$label_22#1;
-
- inline$BDLPnPCancelStop$0$label_22#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_23#1;
-
- inline$BDLPnPCancelStop$0$label_23#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_24#1;
-
- inline$BDLPnPCancelStop$0$label_24#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_25#1;
-
- inline$BDLPnPCancelStop$0$label_25#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$8$;
- goto inline$BDLPnPCancelStop$0$label_19#1;
-
- inline$BDLPnPCancelStop$0$label_19#1:
- goto inline$BDLGetDebugLevel$567$Entry#1;
-
- inline$BDLGetDebugLevel$567$Entry#1:
- goto inline$BDLGetDebugLevel$567$start#1;
-
- inline$BDLGetDebugLevel$567$start#1:
- goto inline$BDLGetDebugLevel$567$label_3#1;
-
- inline$BDLGetDebugLevel$567$label_3#1:
- havoc inline$BDLGetDebugLevel$567$myNondetVar_0;
- inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$567$myNondetVar_0;
- goto inline$BDLGetDebugLevel$567$label_1#1;
-
- inline$BDLGetDebugLevel$567$label_1#1:
- goto inline$BDLGetDebugLevel$567$Return#1;
-
- inline$BDLGetDebugLevel$567$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$ := inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_19$1#1;
-
- inline$BDLPnPCancelStop$0$label_19$1#1:
- goto inline$BDLPnPCancelStop$0$anon24_Then#1, inline$BDLPnPCancelStop$0$anon24_Else#1;
-
- inline$BDLPnPCancelStop$0$anon24_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon5#1;
-
- inline$BDLPnPCancelStop$0$anon5#1:
- goto inline$BDLPnPCancelStop$0$label_28#1;
-
- inline$BDLPnPCancelStop$0$label_28#1:
- goto inline$BDLPnPCancelStop$0$label_28_true#1, inline$BDLPnPCancelStop$0$label_28_false#1;
-
- inline$BDLPnPCancelStop$0$label_28_false#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$, 4) == 0;
- goto inline$BDLPnPCancelStop$0$label_29#1;
-
- inline$BDLPnPCancelStop$0$label_28_true#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$, 4) != 0;
- goto inline$BDLPnPCancelStop$0$label_32#1;
-
- inline$BDLPnPCancelStop$0$label_32#1:
- goto inline$BDLPnPCancelStop$0$label_29#1;
-
- inline$BDLPnPCancelStop$0$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12;
- goto inline$BDLCallLowerLevelDriverAndWait$5$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$112$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$112$Entry#1:
- inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$112$start#1;
-
- inline$IoGetCurrentIrpStackLocation$112$start#1:
- inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$112$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$112$label_3_true#1, inline$IoGetCurrentIrpStackLocation$112$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$112$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$112$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$112$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$112$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$112$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$112$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$112$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$112$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$112$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$112$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$112$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$112$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$112$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$112$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$112$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$112$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$112$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$112$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_9#1:
- goto inline$IoGetNextIrpStackLocation$11$Entry#1;
-
- inline$IoGetNextIrpStackLocation$11$Entry#1:
- inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$11$start#1;
-
- inline$IoGetNextIrpStackLocation$11$start#1:
- inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$11$label_3#1;
-
- inline$IoGetNextIrpStackLocation$11$label_3#1:
- goto inline$IoGetNextIrpStackLocation$11$label_3_true#1, inline$IoGetNextIrpStackLocation$11$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$11$label_3_false#1:
- inline$IoGetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$11$myVar_0);
- goto inline$IoGetNextIrpStackLocation$11$label_4#1;
-
- inline$IoGetNextIrpStackLocation$11$label_4#1:
- call inline$IoGetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$11$label_6#1;
-
- inline$IoGetNextIrpStackLocation$11$label_6#1:
- call inline$IoGetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$11$label_7#1;
-
- inline$IoGetNextIrpStackLocation$11$label_7#1:
- goto inline$IoGetNextIrpStackLocation$11$label_5#1;
-
- inline$IoGetNextIrpStackLocation$11$label_3_true#1:
- inline$IoGetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$11$myVar_0);
- goto inline$IoGetNextIrpStackLocation$11$label_5#1;
-
- inline$IoGetNextIrpStackLocation$11$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$11$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$11$label_1#1;
-
- inline$IoGetNextIrpStackLocation$11$label_1#1:
- goto inline$IoGetNextIrpStackLocation$11$Return#1;
-
- inline$IoGetNextIrpStackLocation$11$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$5$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_9#1:
- goto inline$storm_KeInitializeEvent$5$Entry#1;
-
- inline$storm_KeInitializeEvent$5$Entry#1:
- inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$5$start#1;
-
- inline$storm_KeInitializeEvent$5$start#1:
- inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$5$label_3#1;
-
- inline$storm_KeInitializeEvent$5$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$5$label_1#1;
-
- inline$storm_KeInitializeEvent$5$label_1#1:
- goto inline$storm_KeInitializeEvent$5$Return#1;
-
- inline$storm_KeInitializeEvent$5$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$5$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$5$Entry#1:
- inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$5$start#1;
-
- inline$storm_IoSetCompletionRoutine$5$start#1:
- inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$5$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_4#1:
- call inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$5$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_7_true#1, inline$storm_IoSetCompletionRoutine$5$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$5$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$5$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$5$anon6_Then#1, inline$storm_IoSetCompletionRoutine$5$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$5$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$5$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_12_true#1, inline$storm_IoSetCompletionRoutine$5$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$5$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$5$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$5$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_8#1:
- goto inline$IoGetNextIrpStackLocation$12$Entry#1;
-
- inline$IoGetNextIrpStackLocation$12$Entry#1:
- inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$12$start#1;
-
- inline$IoGetNextIrpStackLocation$12$start#1:
- inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$12$label_3#1;
-
- inline$IoGetNextIrpStackLocation$12$label_3#1:
- goto inline$IoGetNextIrpStackLocation$12$label_3_true#1, inline$IoGetNextIrpStackLocation$12$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$12$label_3_false#1:
- inline$IoGetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$12$myVar_0);
- goto inline$IoGetNextIrpStackLocation$12$label_4#1;
-
- inline$IoGetNextIrpStackLocation$12$label_4#1:
- call inline$IoGetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$12$label_6#1;
-
- inline$IoGetNextIrpStackLocation$12$label_6#1:
- call inline$IoGetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$12$label_7#1;
-
- inline$IoGetNextIrpStackLocation$12$label_7#1:
- goto inline$IoGetNextIrpStackLocation$12$label_5#1;
-
- inline$IoGetNextIrpStackLocation$12$label_3_true#1:
- inline$IoGetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$12$myVar_0);
- goto inline$IoGetNextIrpStackLocation$12$label_5#1;
-
- inline$IoGetNextIrpStackLocation$12$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$12$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$12$label_1#1;
-
- inline$IoGetNextIrpStackLocation$12$label_1#1:
- goto inline$IoGetNextIrpStackLocation$12$Return#1;
-
- inline$IoGetNextIrpStackLocation$12$Return#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$5$anon5_Then#1, inline$storm_IoSetCompletionRoutine$5$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$5$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$5$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$5$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$5$Return#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$5$Return#1;
-
- inline$storm_IoSetCompletionRoutine$5$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$5$Return#1;
-
- inline$storm_IoSetCompletionRoutine$5$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$113$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$113$Entry#1:
- inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$113$start#1;
-
- inline$IoGetCurrentIrpStackLocation$113$start#1:
- inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$113$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$113$label_3_true#1, inline$IoGetCurrentIrpStackLocation$113$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$113$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$113$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$113$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$113$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$113$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$113$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$113$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$113$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$113$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$113$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$113$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$113$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$113$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$113$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$113$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$113$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$113$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$113$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_19#1:
- goto inline$storm_IoCallDriver$11$Entry#1;
-
- inline$storm_IoCallDriver$11$Entry#1:
- inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$11$start#1;
-
- inline$storm_IoCallDriver$11$start#1:
- inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$11$label_3#1;
-
- inline$storm_IoCallDriver$11$label_3#1:
- goto inline$storm_IoCallDriver$11$label_4#1;
-
- inline$storm_IoCallDriver$11$label_4#1:
- goto inline$storm_IoCallDriver$11$label_5#1;
-
- inline$storm_IoCallDriver$11$label_5#1:
- goto inline$storm_IoCallDriver$11$label_6#1;
-
- inline$storm_IoCallDriver$11$label_6#1:
- call inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$11$label_9#1;
-
- inline$storm_IoCallDriver$11$label_9#1:
- goto inline$storm_IoCallDriver$11$label_9_true#1, inline$storm_IoCallDriver$11$label_9_false#1;
-
- inline$storm_IoCallDriver$11$label_9_false#1:
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$11$label_10#1;
-
- inline$storm_IoCallDriver$11$label_9_true#1:
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$11$label_13#1;
-
- inline$storm_IoCallDriver$11$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$11$anon12_Then#1, inline$storm_IoCallDriver$11$anon12_Else#1;
-
- inline$storm_IoCallDriver$11$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$11$anon3#1;
-
- inline$storm_IoCallDriver$11$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$11$label_14#1;
-
- inline$storm_IoCallDriver$11$label_14#1:
- goto inline$storm_IoCallDriver$11$label_14_true#1, inline$storm_IoCallDriver$11$label_14_false#1;
-
- inline$storm_IoCallDriver$11$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$11$label_15#1;
-
- inline$storm_IoCallDriver$11$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$11$label_1#1;
-
- inline$storm_IoCallDriver$11$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$11$label_10#1;
-
- inline$storm_IoCallDriver$11$label_10#1:
- goto inline$IoSetNextIrpStackLocation$12$Entry#1;
-
- inline$IoSetNextIrpStackLocation$12$Entry#1:
- inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$12$start#1;
-
- inline$IoSetNextIrpStackLocation$12$start#1:
- inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$12$label_3#1;
-
- inline$IoSetNextIrpStackLocation$12$label_3#1:
- goto inline$IoSetNextIrpStackLocation$12$label_3_true#1, inline$IoSetNextIrpStackLocation$12$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$12$label_3_false#1:
- inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$12$myVar_0);
- goto inline$IoSetNextIrpStackLocation$12$label_4#1;
-
- inline$IoSetNextIrpStackLocation$12$label_4#1:
- call inline$IoSetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$12$label_7#1;
-
- inline$IoSetNextIrpStackLocation$12$label_7#1:
- call inline$IoSetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$12$label_8#1;
-
- inline$IoSetNextIrpStackLocation$12$label_8#1:
- goto inline$IoSetNextIrpStackLocation$12$label_5#1;
-
- inline$IoSetNextIrpStackLocation$12$label_3_true#1:
- inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$12$myVar_0);
- goto inline$IoSetNextIrpStackLocation$12$label_5#1;
-
- inline$IoSetNextIrpStackLocation$12$label_5#1:
- inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$12$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$12$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$12$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$12$label_6#1;
-
- inline$IoSetNextIrpStackLocation$12$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$12$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$12$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$12$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$12$label_1#1;
-
- inline$IoSetNextIrpStackLocation$12$label_1#1:
- goto inline$IoSetNextIrpStackLocation$12$Return#1;
-
- inline$IoSetNextIrpStackLocation$12$Return#1:
- goto inline$storm_IoCallDriver$11$label_10$1#1;
-
- inline$storm_IoCallDriver$11$label_10$1#1:
- goto inline$storm_IoCallDriver$11$anon11_Then#1, inline$storm_IoCallDriver$11$anon11_Else#1;
-
- inline$storm_IoCallDriver$11$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$11$anon1#1;
-
- inline$storm_IoCallDriver$11$anon1#1:
- goto inline$storm_IoCallDriver$11$label_18#1;
-
- inline$storm_IoCallDriver$11$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$114$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$114$Entry#1:
- inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$114$start#1;
-
- inline$IoGetCurrentIrpStackLocation$114$start#1:
- inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$114$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$114$label_3_true#1, inline$IoGetCurrentIrpStackLocation$114$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$114$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$114$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$114$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$114$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$114$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$114$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$114$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$114$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$114$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$114$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$114$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$114$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$114$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$114$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$114$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$114$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$114$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$114$Return#1:
- goto inline$storm_IoCallDriver$11$label_18$1#1;
-
- inline$storm_IoCallDriver$11$label_18$1#1:
- goto inline$storm_IoCallDriver$11$anon13_Then#1, inline$storm_IoCallDriver$11$anon13_Else#1;
-
- inline$storm_IoCallDriver$11$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$11$anon6#1;
-
- inline$storm_IoCallDriver$11$anon6#1:
- goto inline$storm_IoCallDriver$11$label_21#1;
-
- inline$storm_IoCallDriver$11$label_21#1:
- goto inline$storm_IoCallDriver$11$label_22#1;
-
- inline$storm_IoCallDriver$11$label_22#1:
- goto inline$storm_IoCallDriver$11$label_23#1;
-
- inline$storm_IoCallDriver$11$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$11$label_24#1;
-
- inline$storm_IoCallDriver$11$label_24#1:
- call inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$11$label_27#1;
-
- inline$storm_IoCallDriver$11$label_27#1:
- goto inline$storm_IoCallDriver$11$label_27_case_0#1, inline$storm_IoCallDriver$11$label_27_case_1#1, inline$storm_IoCallDriver$11$label_27_case_2#1;
-
- inline$storm_IoCallDriver$11$label_27_case_2#1:
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$11$label_30#1;
-
- inline$storm_IoCallDriver$11$label_30#1:
- inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$11$label_31#1;
-
- inline$storm_IoCallDriver$11$label_31#1:
- goto inline$storm_IoCallDriver$11$label_32#1;
-
- inline$storm_IoCallDriver$11$label_32#1:
- goto inline$storm_IoCallDriver$11$label_33#1;
-
- inline$storm_IoCallDriver$11$label_33#1:
- goto inline$CallCompletionRoutine$22$Entry#1;
-
- inline$CallCompletionRoutine$22$Entry#1:
- inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$22$start#1;
-
- inline$CallCompletionRoutine$22$start#1:
- inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$22$label_3#1;
-
- inline$CallCompletionRoutine$22$label_3#1:
- goto inline$CallCompletionRoutine$22$label_4#1;
-
- inline$CallCompletionRoutine$22$label_4#1:
- goto inline$CallCompletionRoutine$22$label_5#1;
-
- inline$CallCompletionRoutine$22$label_5#1:
- goto inline$CallCompletionRoutine$22$label_6#1;
-
- inline$CallCompletionRoutine$22$label_6#1:
- goto inline$CallCompletionRoutine$22$label_7#1;
-
- inline$CallCompletionRoutine$22$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$115$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$115$Entry#1:
- inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$115$start#1;
-
- inline$IoGetCurrentIrpStackLocation$115$start#1:
- inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$115$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$115$label_3_true#1, inline$IoGetCurrentIrpStackLocation$115$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$115$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$115$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$115$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$115$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$115$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$115$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$115$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$115$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$115$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$115$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$115$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$115$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$115$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$115$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$115$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$115$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$115$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$115$Return#1:
- goto inline$CallCompletionRoutine$22$label_7$1#1;
-
- inline$CallCompletionRoutine$22$label_7$1#1:
- goto inline$CallCompletionRoutine$22$anon10_Then#1, inline$CallCompletionRoutine$22$anon10_Else#1;
-
- inline$CallCompletionRoutine$22$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$22$anon1#1;
-
- inline$CallCompletionRoutine$22$anon1#1:
- goto inline$CallCompletionRoutine$22$label_10#1;
-
- inline$CallCompletionRoutine$22$label_10#1:
- goto inline$CallCompletionRoutine$22$label_11#1;
-
- inline$CallCompletionRoutine$22$label_11#1:
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$CallCompletionRoutine$22$label_12#1;
-
- inline$CallCompletionRoutine$22$label_12#1:
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$CallCompletionRoutine$22$label_13#1;
-
- inline$CallCompletionRoutine$22$label_13#1:
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$CallCompletionRoutine$22$label_14#1;
-
- inline$CallCompletionRoutine$22$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$116$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$116$Entry#1:
- inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$116$start#1;
-
- inline$IoGetCurrentIrpStackLocation$116$start#1:
- inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$116$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$116$label_3_true#1, inline$IoGetCurrentIrpStackLocation$116$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$116$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$116$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$116$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$116$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$116$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$116$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$116$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$116$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$116$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$116$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$116$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$116$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$116$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$116$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$116$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$116$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$116$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$116$Return#1:
- goto inline$CallCompletionRoutine$22$label_14$1#1;
-
- inline$CallCompletionRoutine$22$label_14$1#1:
- goto inline$CallCompletionRoutine$22$anon11_Then#1, inline$CallCompletionRoutine$22$anon11_Else#1;
-
- inline$CallCompletionRoutine$22$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$22$anon3#1;
-
- inline$CallCompletionRoutine$22$anon3#1:
- goto inline$CallCompletionRoutine$22$label_17#1;
-
- inline$CallCompletionRoutine$22$label_17#1:
- goto inline$CallCompletionRoutine$22$label_18#1;
-
- inline$CallCompletionRoutine$22$label_18#1:
- goto inline$CallCompletionRoutine$22$label_18_true#1, inline$CallCompletionRoutine$22$label_18_false#1;
-
- inline$CallCompletionRoutine$22$label_18_false#1:
- assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$22$label_1#1;
-
- inline$CallCompletionRoutine$22$label_18_true#1:
- assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$22$label_19#1;
-
- inline$CallCompletionRoutine$22$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$22$label_20#1;
-
- inline$CallCompletionRoutine$22$label_20#1:
- goto inline$CallCompletionRoutine$22$label_20_icall_1#1, inline$CallCompletionRoutine$22$label_20_icall_2#1, inline$CallCompletionRoutine$22$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$22$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$22$Entry#1:
- inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$22$start#1;
-
- inline$BDLSystemPowerIoCompletion$22$start#1:
- call inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$22$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_4#1:
- inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_6#1:
- inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$22$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$22$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$118$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$118$Entry#1:
- inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$118$start#1;
-
- inline$IoGetCurrentIrpStackLocation$118$start#1:
- inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$118$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$118$label_3_true#1, inline$IoGetCurrentIrpStackLocation$118$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$118$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$118$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$118$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$118$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$118$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$118$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$118$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$118$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$118$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$118$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$118$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$118$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$118$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$118$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$118$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$118$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$118$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$118$Return#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon36_Then#1, inline$BDLSystemPowerIoCompletion$22$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_15#1:
- goto inline$BDLGetDebugLevel$577$Entry#1;
-
- inline$BDLGetDebugLevel$577$Entry#1:
- goto inline$BDLGetDebugLevel$577$start#1;
-
- inline$BDLGetDebugLevel$577$start#1:
- goto inline$BDLGetDebugLevel$577$label_3#1;
-
- inline$BDLGetDebugLevel$577$label_3#1:
- havoc inline$BDLGetDebugLevel$577$myNondetVar_0;
- inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$577$myNondetVar_0;
- goto inline$BDLGetDebugLevel$577$label_1#1;
-
- inline$BDLGetDebugLevel$577$label_1#1:
- goto inline$BDLGetDebugLevel$577$Return#1;
-
- inline$BDLGetDebugLevel$577$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon37_Then#1, inline$BDLSystemPowerIoCompletion$22$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_18_true#1, inline$BDLSystemPowerIoCompletion$22$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_22#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_23#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_24#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$22$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_19#1:
- goto inline$BDLGetDebugLevel$578$Entry#1;
-
- inline$BDLGetDebugLevel$578$Entry#1:
- goto inline$BDLGetDebugLevel$578$start#1;
-
- inline$BDLGetDebugLevel$578$start#1:
- goto inline$BDLGetDebugLevel$578$label_3#1;
-
- inline$BDLGetDebugLevel$578$label_3#1:
- havoc inline$BDLGetDebugLevel$578$myNondetVar_0;
- inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$578$myNondetVar_0;
- goto inline$BDLGetDebugLevel$578$label_1#1;
-
- inline$BDLGetDebugLevel$578$label_1#1:
- goto inline$BDLGetDebugLevel$578$Return#1;
-
- inline$BDLGetDebugLevel$578$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon38_Then#1, inline$BDLSystemPowerIoCompletion$22$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_28_true#1, inline$BDLSystemPowerIoCompletion$22$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_32#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_33#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_34#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$22$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_29#1:
- goto inline$BDLGetDebugLevel$579$Entry#1;
-
- inline$BDLGetDebugLevel$579$Entry#1:
- goto inline$BDLGetDebugLevel$579$start#1;
-
- inline$BDLGetDebugLevel$579$start#1:
- goto inline$BDLGetDebugLevel$579$label_3#1;
-
- inline$BDLGetDebugLevel$579$label_3#1:
- havoc inline$BDLGetDebugLevel$579$myNondetVar_0;
- inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$579$myNondetVar_0;
- goto inline$BDLGetDebugLevel$579$label_1#1;
-
- inline$BDLGetDebugLevel$579$label_1#1:
- goto inline$BDLGetDebugLevel$579$Return#1;
-
- inline$BDLGetDebugLevel$579$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon39_Then#1, inline$BDLSystemPowerIoCompletion$22$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_38_true#1, inline$BDLSystemPowerIoCompletion$22$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_39_true#1, inline$BDLSystemPowerIoCompletion$22$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$22$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$22$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$22$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_106_true#1, inline$BDLSystemPowerIoCompletion$22$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_142#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_143#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$22$myNondetVar_0, inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$22$anon50_Then#1, inline$BDLSystemPowerIoCompletion$22$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_112#1:
- inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$22$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_113_true#1, inline$BDLSystemPowerIoCompletion$22$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$22$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_117#1:
- goto inline$BDLGetDebugLevel$586$Entry#1;
-
- inline$BDLGetDebugLevel$586$Entry#1:
- goto inline$BDLGetDebugLevel$586$start#1;
-
- inline$BDLGetDebugLevel$586$start#1:
- goto inline$BDLGetDebugLevel$586$label_3#1;
-
- inline$BDLGetDebugLevel$586$label_3#1:
- havoc inline$BDLGetDebugLevel$586$myNondetVar_0;
- inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$586$myNondetVar_0;
- goto inline$BDLGetDebugLevel$586$label_1#1;
-
- inline$BDLGetDebugLevel$586$label_1#1:
- goto inline$BDLGetDebugLevel$586$Return#1;
-
- inline$BDLGetDebugLevel$586$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon51_Then#1, inline$BDLSystemPowerIoCompletion$22$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_120_true#1, inline$BDLSystemPowerIoCompletion$22$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_124#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_125#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_126#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$22$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_121#1:
- goto inline$BDLGetDebugLevel$587$Entry#1;
-
- inline$BDLGetDebugLevel$587$Entry#1:
- goto inline$BDLGetDebugLevel$587$start#1;
-
- inline$BDLGetDebugLevel$587$start#1:
- goto inline$BDLGetDebugLevel$587$label_3#1;
-
- inline$BDLGetDebugLevel$587$label_3#1:
- havoc inline$BDLGetDebugLevel$587$myNondetVar_0;
- inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$587$myNondetVar_0;
- goto inline$BDLGetDebugLevel$587$label_1#1;
-
- inline$BDLGetDebugLevel$587$label_1#1:
- goto inline$BDLGetDebugLevel$587$Return#1;
-
- inline$BDLGetDebugLevel$587$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon52_Then#1, inline$BDLSystemPowerIoCompletion$22$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_130_true#1, inline$BDLSystemPowerIoCompletion$22$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_134#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_135#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_136#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$22$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_131#1:
- goto inline$BDLGetDebugLevel$588$Entry#1;
-
- inline$BDLGetDebugLevel$588$Entry#1:
- goto inline$BDLGetDebugLevel$588$start#1;
-
- inline$BDLGetDebugLevel$588$start#1:
- goto inline$BDLGetDebugLevel$588$label_3#1;
-
- inline$BDLGetDebugLevel$588$label_3#1:
- havoc inline$BDLGetDebugLevel$588$myNondetVar_0;
- inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$588$myNondetVar_0;
- goto inline$BDLGetDebugLevel$588$label_1#1;
-
- inline$BDLGetDebugLevel$588$label_1#1:
- goto inline$BDLGetDebugLevel$588$Return#1;
-
- inline$BDLGetDebugLevel$588$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon53_Then#1, inline$BDLSystemPowerIoCompletion$22$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_140_true#1, inline$BDLSystemPowerIoCompletion$22$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$22$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_115#1:
- inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$22$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$22$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_43#1:
- goto inline$BDLGetDebugLevel$580$Entry#1;
-
- inline$BDLGetDebugLevel$580$Entry#1:
- goto inline$BDLGetDebugLevel$580$start#1;
-
- inline$BDLGetDebugLevel$580$start#1:
- goto inline$BDLGetDebugLevel$580$label_3#1;
-
- inline$BDLGetDebugLevel$580$label_3#1:
- havoc inline$BDLGetDebugLevel$580$myNondetVar_0;
- inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$580$myNondetVar_0;
- goto inline$BDLGetDebugLevel$580$label_1#1;
-
- inline$BDLGetDebugLevel$580$label_1#1:
- goto inline$BDLGetDebugLevel$580$Return#1;
-
- inline$BDLGetDebugLevel$580$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon40_Then#1, inline$BDLSystemPowerIoCompletion$22$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_46_true#1, inline$BDLSystemPowerIoCompletion$22$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_50#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_51#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_52#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$22$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_47#1:
- goto inline$BDLGetDebugLevel$581$Entry#1;
-
- inline$BDLGetDebugLevel$581$Entry#1:
- goto inline$BDLGetDebugLevel$581$start#1;
-
- inline$BDLGetDebugLevel$581$start#1:
- goto inline$BDLGetDebugLevel$581$label_3#1;
-
- inline$BDLGetDebugLevel$581$label_3#1:
- havoc inline$BDLGetDebugLevel$581$myNondetVar_0;
- inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$581$myNondetVar_0;
- goto inline$BDLGetDebugLevel$581$label_1#1;
-
- inline$BDLGetDebugLevel$581$label_1#1:
- goto inline$BDLGetDebugLevel$581$Return#1;
-
- inline$BDLGetDebugLevel$581$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon41_Then#1, inline$BDLSystemPowerIoCompletion$22$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_56_true#1, inline$BDLSystemPowerIoCompletion$22$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_60#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_61#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_62#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$22$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_57#1:
- goto inline$BDLGetDebugLevel$582$Entry#1;
-
- inline$BDLGetDebugLevel$582$Entry#1:
- goto inline$BDLGetDebugLevel$582$start#1;
-
- inline$BDLGetDebugLevel$582$start#1:
- goto inline$BDLGetDebugLevel$582$label_3#1;
-
- inline$BDLGetDebugLevel$582$label_3#1:
- havoc inline$BDLGetDebugLevel$582$myNondetVar_0;
- inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$582$myNondetVar_0;
- goto inline$BDLGetDebugLevel$582$label_1#1;
-
- inline$BDLGetDebugLevel$582$label_1#1:
- goto inline$BDLGetDebugLevel$582$Return#1;
-
- inline$BDLGetDebugLevel$582$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon42_Then#1, inline$BDLSystemPowerIoCompletion$22$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_66_true#1, inline$BDLSystemPowerIoCompletion$22$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$22$anon43_Then#1, inline$BDLSystemPowerIoCompletion$22$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$22$anon44_Then#1, inline$BDLSystemPowerIoCompletion$22$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_74#1:
- goto inline$storm_IoCompleteRequest$46$Entry#1;
-
- inline$storm_IoCompleteRequest$46$Entry#1:
- inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$46$start#1;
-
- inline$storm_IoCompleteRequest$46$start#1:
- inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$46$label_3#1;
-
- inline$storm_IoCompleteRequest$46$label_3#1:
- call inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$46$label_6#1;
-
- inline$storm_IoCompleteRequest$46$label_6#1:
- goto inline$storm_IoCompleteRequest$46$label_6_true#1, inline$storm_IoCompleteRequest$46$label_6_false#1;
-
- inline$storm_IoCompleteRequest$46$label_6_false#1:
- assume inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$46$label_7#1;
-
- inline$storm_IoCompleteRequest$46$label_6_true#1:
- assume inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$46$label_8#1;
-
- inline$storm_IoCompleteRequest$46$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$46$anon3_Then#1, inline$storm_IoCompleteRequest$46$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$46$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$46$anon1#1;
-
- inline$storm_IoCompleteRequest$46$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$46$label_9#1;
-
- inline$storm_IoCompleteRequest$46$label_9#1:
- goto inline$storm_IoCompleteRequest$46$label_9_true#1, inline$storm_IoCompleteRequest$46$label_9_false#1;
-
- inline$storm_IoCompleteRequest$46$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$46$label_10#1;
-
- inline$storm_IoCompleteRequest$46$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$46$label_1#1;
-
- inline$storm_IoCompleteRequest$46$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$46$label_7#1;
-
- inline$storm_IoCompleteRequest$46$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$46$label_1#1;
-
- inline$storm_IoCompleteRequest$46$label_1#1:
- goto inline$storm_IoCompleteRequest$46$Return#1;
-
- inline$storm_IoCompleteRequest$46$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$46$Return#1;
-
- inline$storm_IoCompleteRequest$46$Return#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon45_Then#1, inline$BDLSystemPowerIoCompletion$22$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$22$anon46_Then#1, inline$BDLSystemPowerIoCompletion$22$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_80#1:
- goto inline$BDLGetDebugLevel$583$Entry#1;
-
- inline$BDLGetDebugLevel$583$Entry#1:
- goto inline$BDLGetDebugLevel$583$start#1;
-
- inline$BDLGetDebugLevel$583$start#1:
- goto inline$BDLGetDebugLevel$583$label_3#1;
-
- inline$BDLGetDebugLevel$583$label_3#1:
- havoc inline$BDLGetDebugLevel$583$myNondetVar_0;
- inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$583$myNondetVar_0;
- goto inline$BDLGetDebugLevel$583$label_1#1;
-
- inline$BDLGetDebugLevel$583$label_1#1:
- goto inline$BDLGetDebugLevel$583$Return#1;
-
- inline$BDLGetDebugLevel$583$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon47_Then#1, inline$BDLSystemPowerIoCompletion$22$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_83_true#1, inline$BDLSystemPowerIoCompletion$22$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_87#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_88#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_89#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$22$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_84#1:
- goto inline$BDLGetDebugLevel$584$Entry#1;
-
- inline$BDLGetDebugLevel$584$Entry#1:
- goto inline$BDLGetDebugLevel$584$start#1;
-
- inline$BDLGetDebugLevel$584$start#1:
- goto inline$BDLGetDebugLevel$584$label_3#1;
-
- inline$BDLGetDebugLevel$584$label_3#1:
- havoc inline$BDLGetDebugLevel$584$myNondetVar_0;
- inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$584$myNondetVar_0;
- goto inline$BDLGetDebugLevel$584$label_1#1;
-
- inline$BDLGetDebugLevel$584$label_1#1:
- goto inline$BDLGetDebugLevel$584$Return#1;
-
- inline$BDLGetDebugLevel$584$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon48_Then#1, inline$BDLSystemPowerIoCompletion$22$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_93_true#1, inline$BDLSystemPowerIoCompletion$22$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_97#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_98#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_99#1:
- call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$22$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$22$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_94#1:
- goto inline$BDLGetDebugLevel$585$Entry#1;
-
- inline$BDLGetDebugLevel$585$Entry#1:
- goto inline$BDLGetDebugLevel$585$start#1;
-
- inline$BDLGetDebugLevel$585$start#1:
- goto inline$BDLGetDebugLevel$585$label_3#1;
-
- inline$BDLGetDebugLevel$585$label_3#1:
- havoc inline$BDLGetDebugLevel$585$myNondetVar_0;
- inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$585$myNondetVar_0;
- goto inline$BDLGetDebugLevel$585$label_1#1;
-
- inline$BDLGetDebugLevel$585$label_1#1:
- goto inline$BDLGetDebugLevel$585$Return#1;
-
- inline$BDLGetDebugLevel$585$Return#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$22$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$22$anon49_Then#1, inline$BDLSystemPowerIoCompletion$22$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_103_true#1, inline$BDLSystemPowerIoCompletion$22$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$22$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$22$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_104#1:
- inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$22$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$22$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$22$Return#1;
-
- inline$BDLSystemPowerIoCompletion$22$Return#1:
- inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$22$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$22$anon14_Then#1, inline$CallCompletionRoutine$22$anon14_Else#1;
-
- inline$CallCompletionRoutine$22$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$22$anon9#1;
-
- inline$CallCompletionRoutine$22$anon9#1:
- goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$22$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$22$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$22$Entry#1:
- inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$22$start#1;
-
- inline$BDLDevicePowerIoCompletion$22$start#1:
- call inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$22$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_4#1:
- inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_6#1:
- inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$22$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$22$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$117$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$117$Entry#1:
- inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$117$start#1;
-
- inline$IoGetCurrentIrpStackLocation$117$start#1:
- inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$117$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$117$label_3_true#1, inline$IoGetCurrentIrpStackLocation$117$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$117$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$117$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$117$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$117$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$117$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$117$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$117$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$117$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$117$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$117$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$117$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$117$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$117$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$117$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$117$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$117$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$117$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon30_Then#1, inline$BDLDevicePowerIoCompletion$22$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_13#1:
- inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$22$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$22$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_17#1:
- goto inline$BDLGetDebugLevel$568$Entry#1;
-
- inline$BDLGetDebugLevel$568$Entry#1:
- goto inline$BDLGetDebugLevel$568$start#1;
-
- inline$BDLGetDebugLevel$568$start#1:
- goto inline$BDLGetDebugLevel$568$label_3#1;
-
- inline$BDLGetDebugLevel$568$label_3#1:
- havoc inline$BDLGetDebugLevel$568$myNondetVar_0;
- inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$568$myNondetVar_0;
- goto inline$BDLGetDebugLevel$568$label_1#1;
-
- inline$BDLGetDebugLevel$568$label_1#1:
- goto inline$BDLGetDebugLevel$568$Return#1;
-
- inline$BDLGetDebugLevel$568$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon31_Then#1, inline$BDLDevicePowerIoCompletion$22$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_20_true#1, inline$BDLDevicePowerIoCompletion$22$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_24#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_25#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_26#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$22$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_21#1:
- goto inline$BDLGetDebugLevel$569$Entry#1;
-
- inline$BDLGetDebugLevel$569$Entry#1:
- goto inline$BDLGetDebugLevel$569$start#1;
-
- inline$BDLGetDebugLevel$569$start#1:
- goto inline$BDLGetDebugLevel$569$label_3#1;
-
- inline$BDLGetDebugLevel$569$label_3#1:
- havoc inline$BDLGetDebugLevel$569$myNondetVar_0;
- inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$569$myNondetVar_0;
- goto inline$BDLGetDebugLevel$569$label_1#1;
-
- inline$BDLGetDebugLevel$569$label_1#1:
- goto inline$BDLGetDebugLevel$569$Return#1;
-
- inline$BDLGetDebugLevel$569$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon32_Then#1, inline$BDLDevicePowerIoCompletion$22$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_30_true#1, inline$BDLDevicePowerIoCompletion$22$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_34#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_35#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_36#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$22$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_31#1:
- goto inline$BDLGetDebugLevel$570$Entry#1;
-
- inline$BDLGetDebugLevel$570$Entry#1:
- goto inline$BDLGetDebugLevel$570$start#1;
-
- inline$BDLGetDebugLevel$570$start#1:
- goto inline$BDLGetDebugLevel$570$label_3#1;
-
- inline$BDLGetDebugLevel$570$label_3#1:
- havoc inline$BDLGetDebugLevel$570$myNondetVar_0;
- inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$570$myNondetVar_0;
- goto inline$BDLGetDebugLevel$570$label_1#1;
-
- inline$BDLGetDebugLevel$570$label_1#1:
- goto inline$BDLGetDebugLevel$570$Return#1;
-
- inline$BDLGetDebugLevel$570$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon33_Then#1, inline$BDLDevicePowerIoCompletion$22$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_40_true#1, inline$BDLDevicePowerIoCompletion$22$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_41_true#1, inline$BDLDevicePowerIoCompletion$22$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$22$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$22$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_44_true#1, inline$BDLDevicePowerIoCompletion$22$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$22$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_45_true#1, inline$BDLDevicePowerIoCompletion$22$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$22$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$22$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$22$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$22$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$22$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_54#1:
- inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$22$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_55_true#1, inline$BDLDevicePowerIoCompletion$22$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_56#1:
- call inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$22$anon34_Then#1, inline$BDLDevicePowerIoCompletion$22$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_59#1:
- goto inline$BDLGetDebugLevel$571$Entry#1;
-
- inline$BDLGetDebugLevel$571$Entry#1:
- goto inline$BDLGetDebugLevel$571$start#1;
-
- inline$BDLGetDebugLevel$571$start#1:
- goto inline$BDLGetDebugLevel$571$label_3#1;
-
- inline$BDLGetDebugLevel$571$label_3#1:
- havoc inline$BDLGetDebugLevel$571$myNondetVar_0;
- inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$571$myNondetVar_0;
- goto inline$BDLGetDebugLevel$571$label_1#1;
-
- inline$BDLGetDebugLevel$571$label_1#1:
- goto inline$BDLGetDebugLevel$571$Return#1;
-
- inline$BDLGetDebugLevel$571$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon35_Then#1, inline$BDLDevicePowerIoCompletion$22$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_62_true#1, inline$BDLDevicePowerIoCompletion$22$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_66#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_67#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_68#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$22$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_63#1:
- goto inline$BDLGetDebugLevel$572$Entry#1;
-
- inline$BDLGetDebugLevel$572$Entry#1:
- goto inline$BDLGetDebugLevel$572$start#1;
-
- inline$BDLGetDebugLevel$572$start#1:
- goto inline$BDLGetDebugLevel$572$label_3#1;
-
- inline$BDLGetDebugLevel$572$label_3#1:
- havoc inline$BDLGetDebugLevel$572$myNondetVar_0;
- inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$572$myNondetVar_0;
- goto inline$BDLGetDebugLevel$572$label_1#1;
-
- inline$BDLGetDebugLevel$572$label_1#1:
- goto inline$BDLGetDebugLevel$572$Return#1;
-
- inline$BDLGetDebugLevel$572$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon36_Then#1, inline$BDLDevicePowerIoCompletion$22$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_72_true#1, inline$BDLDevicePowerIoCompletion$22$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_76#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_77#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_78#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$22$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_73#1:
- goto inline$BDLGetDebugLevel$573$Entry#1;
-
- inline$BDLGetDebugLevel$573$Entry#1:
- goto inline$BDLGetDebugLevel$573$start#1;
-
- inline$BDLGetDebugLevel$573$start#1:
- goto inline$BDLGetDebugLevel$573$label_3#1;
-
- inline$BDLGetDebugLevel$573$label_3#1:
- havoc inline$BDLGetDebugLevel$573$myNondetVar_0;
- inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$573$myNondetVar_0;
- goto inline$BDLGetDebugLevel$573$label_1#1;
-
- inline$BDLGetDebugLevel$573$label_1#1:
- goto inline$BDLGetDebugLevel$573$Return#1;
-
- inline$BDLGetDebugLevel$573$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon37_Then#1, inline$BDLDevicePowerIoCompletion$22$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_82_true#1, inline$BDLDevicePowerIoCompletion$22$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$22$anon38_Then#1, inline$BDLDevicePowerIoCompletion$22$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$22$anon39_Then#1, inline$BDLDevicePowerIoCompletion$22$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_92#1:
- goto inline$storm_IoCompleteRequest$45$Entry#1;
-
- inline$storm_IoCompleteRequest$45$Entry#1:
- inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$45$start#1;
-
- inline$storm_IoCompleteRequest$45$start#1:
- inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$45$label_3#1;
-
- inline$storm_IoCompleteRequest$45$label_3#1:
- call inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$45$label_6#1;
-
- inline$storm_IoCompleteRequest$45$label_6#1:
- goto inline$storm_IoCompleteRequest$45$label_6_true#1, inline$storm_IoCompleteRequest$45$label_6_false#1;
-
- inline$storm_IoCompleteRequest$45$label_6_false#1:
- assume inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$45$label_7#1;
-
- inline$storm_IoCompleteRequest$45$label_6_true#1:
- assume inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$45$label_8#1;
-
- inline$storm_IoCompleteRequest$45$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$45$anon3_Then#1, inline$storm_IoCompleteRequest$45$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$45$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$45$anon1#1;
-
- inline$storm_IoCompleteRequest$45$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$45$label_9#1;
-
- inline$storm_IoCompleteRequest$45$label_9#1:
- goto inline$storm_IoCompleteRequest$45$label_9_true#1, inline$storm_IoCompleteRequest$45$label_9_false#1;
-
- inline$storm_IoCompleteRequest$45$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$45$label_10#1;
-
- inline$storm_IoCompleteRequest$45$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$45$label_1#1;
-
- inline$storm_IoCompleteRequest$45$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$45$label_7#1;
-
- inline$storm_IoCompleteRequest$45$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$45$label_1#1;
-
- inline$storm_IoCompleteRequest$45$label_1#1:
- goto inline$storm_IoCompleteRequest$45$Return#1;
-
- inline$storm_IoCompleteRequest$45$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$45$Return#1;
-
- inline$storm_IoCompleteRequest$45$Return#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon40_Then#1, inline$BDLDevicePowerIoCompletion$22$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$22$anon41_Then#1, inline$BDLDevicePowerIoCompletion$22$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_98#1:
- goto inline$BDLGetDebugLevel$574$Entry#1;
-
- inline$BDLGetDebugLevel$574$Entry#1:
- goto inline$BDLGetDebugLevel$574$start#1;
-
- inline$BDLGetDebugLevel$574$start#1:
- goto inline$BDLGetDebugLevel$574$label_3#1;
-
- inline$BDLGetDebugLevel$574$label_3#1:
- havoc inline$BDLGetDebugLevel$574$myNondetVar_0;
- inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$574$myNondetVar_0;
- goto inline$BDLGetDebugLevel$574$label_1#1;
-
- inline$BDLGetDebugLevel$574$label_1#1:
- goto inline$BDLGetDebugLevel$574$Return#1;
-
- inline$BDLGetDebugLevel$574$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon42_Then#1, inline$BDLDevicePowerIoCompletion$22$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_101_true#1, inline$BDLDevicePowerIoCompletion$22$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_105#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_106#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_107#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$22$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_102#1:
- goto inline$BDLGetDebugLevel$575$Entry#1;
-
- inline$BDLGetDebugLevel$575$Entry#1:
- goto inline$BDLGetDebugLevel$575$start#1;
-
- inline$BDLGetDebugLevel$575$start#1:
- goto inline$BDLGetDebugLevel$575$label_3#1;
-
- inline$BDLGetDebugLevel$575$label_3#1:
- havoc inline$BDLGetDebugLevel$575$myNondetVar_0;
- inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$575$myNondetVar_0;
- goto inline$BDLGetDebugLevel$575$label_1#1;
-
- inline$BDLGetDebugLevel$575$label_1#1:
- goto inline$BDLGetDebugLevel$575$Return#1;
-
- inline$BDLGetDebugLevel$575$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon43_Then#1, inline$BDLDevicePowerIoCompletion$22$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_111_true#1, inline$BDLDevicePowerIoCompletion$22$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_115#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_116#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_117#1:
- call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$22$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$22$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_112#1:
- goto inline$BDLGetDebugLevel$576$Entry#1;
-
- inline$BDLGetDebugLevel$576$Entry#1:
- goto inline$BDLGetDebugLevel$576$start#1;
-
- inline$BDLGetDebugLevel$576$start#1:
- goto inline$BDLGetDebugLevel$576$label_3#1;
-
- inline$BDLGetDebugLevel$576$label_3#1:
- havoc inline$BDLGetDebugLevel$576$myNondetVar_0;
- inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$576$myNondetVar_0;
- goto inline$BDLGetDebugLevel$576$label_1#1;
-
- inline$BDLGetDebugLevel$576$label_1#1:
- goto inline$BDLGetDebugLevel$576$Return#1;
-
- inline$BDLGetDebugLevel$576$Return#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$22$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$22$anon44_Then#1, inline$BDLDevicePowerIoCompletion$22$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_121_true#1, inline$BDLDevicePowerIoCompletion$22$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$22$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$22$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_122#1:
- inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$22$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$22$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$22$Return#1;
-
- inline$BDLDevicePowerIoCompletion$22$Return#1:
- inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$22$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$22$anon13_Then#1, inline$CallCompletionRoutine$22$anon13_Else#1;
-
- inline$CallCompletionRoutine$22$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$22$anon7#1;
-
- inline$CallCompletionRoutine$22$anon7#1:
- goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$22$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$22$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$22$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$22$Entry#1:
- inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$22$start#1;
-
- inline$BDLCallDriverCompletionRoutine$22$start#1:
- inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$22$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$22$label_3_true#1, inline$BDLCallDriverCompletionRoutine$22$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$22$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$22$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$22$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$22$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_6#1:
- goto inline$storm_KeSetEvent$24$Entry#1;
-
- inline$storm_KeSetEvent$24$Entry#1:
- inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$24$start#1;
-
- inline$storm_KeSetEvent$24$start#1:
- inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$24$label_3#1;
-
- inline$storm_KeSetEvent$24$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$24$label_1#1;
-
- inline$storm_KeSetEvent$24$label_1#1:
- goto inline$storm_KeSetEvent$24$Return#1;
-
- inline$storm_KeSetEvent$24$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$22$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$22$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$22$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$22$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$22$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$22$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$22$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_9#1:
- inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$22$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$22$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$22$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$22$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$22$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$22$Return#1:
- inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$22$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$22$anon12_Then#1, inline$CallCompletionRoutine$22$anon12_Else#1;
-
- inline$CallCompletionRoutine$22$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$22$anon5#1;
-
- inline$CallCompletionRoutine$22$anon5#1:
- goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$22$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$22$label_23#1;
-
- inline$CallCompletionRoutine$22$label_23#1:
- inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$22$label_24#1;
-
- inline$CallCompletionRoutine$22$label_24#1:
- goto inline$CallCompletionRoutine$22$label_24_true#1, inline$CallCompletionRoutine$22$label_24_false#1;
-
- inline$CallCompletionRoutine$22$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$22$label_1#1;
-
- inline$CallCompletionRoutine$22$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$22$label_25#1;
-
- inline$CallCompletionRoutine$22$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$22$label_1#1;
-
- inline$CallCompletionRoutine$22$label_1#1:
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$22$Return#1;
-
- inline$CallCompletionRoutine$22$Return#1:
- goto inline$storm_IoCallDriver$11$label_33$1#1;
-
- inline$storm_IoCallDriver$11$label_33$1#1:
- goto inline$storm_IoCallDriver$11$anon14_Then#1, inline$storm_IoCallDriver$11$anon14_Else#1;
-
- inline$storm_IoCallDriver$11$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$11$anon8#1;
-
- inline$storm_IoCallDriver$11$anon8#1:
- goto inline$storm_IoCallDriver$11$label_36#1;
-
- inline$storm_IoCallDriver$11$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$label_27_case_1#1:
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$11$label_29#1;
-
- inline$storm_IoCallDriver$11$label_29#1:
- inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$11$label_37#1;
-
- inline$storm_IoCallDriver$11$label_37#1:
- goto inline$storm_IoCallDriver$11$label_38#1;
-
- inline$storm_IoCallDriver$11$label_38#1:
- goto inline$storm_IoCallDriver$11$label_39#1;
-
- inline$storm_IoCallDriver$11$label_39#1:
- goto inline$CallCompletionRoutine$23$Entry#1;
-
- inline$CallCompletionRoutine$23$Entry#1:
- inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$23$start#1;
-
- inline$CallCompletionRoutine$23$start#1:
- inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$23$label_3#1;
-
- inline$CallCompletionRoutine$23$label_3#1:
- goto inline$CallCompletionRoutine$23$label_4#1;
-
- inline$CallCompletionRoutine$23$label_4#1:
- goto inline$CallCompletionRoutine$23$label_5#1;
-
- inline$CallCompletionRoutine$23$label_5#1:
- goto inline$CallCompletionRoutine$23$label_6#1;
-
- inline$CallCompletionRoutine$23$label_6#1:
- goto inline$CallCompletionRoutine$23$label_7#1;
-
- inline$CallCompletionRoutine$23$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$119$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$119$Entry#1:
- inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$119$start#1;
-
- inline$IoGetCurrentIrpStackLocation$119$start#1:
- inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$119$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$119$label_3_true#1, inline$IoGetCurrentIrpStackLocation$119$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$119$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$119$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$119$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$119$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$119$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$119$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$119$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$119$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$119$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$119$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$119$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$119$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$119$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$119$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$119$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$119$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$119$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$119$Return#1:
- goto inline$CallCompletionRoutine$23$label_7$1#1;
-
- inline$CallCompletionRoutine$23$label_7$1#1:
- goto inline$CallCompletionRoutine$23$anon10_Then#1, inline$CallCompletionRoutine$23$anon10_Else#1;
-
- inline$CallCompletionRoutine$23$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$23$anon1#1;
-
- inline$CallCompletionRoutine$23$anon1#1:
- goto inline$CallCompletionRoutine$23$label_10#1;
-
- inline$CallCompletionRoutine$23$label_10#1:
- goto inline$CallCompletionRoutine$23$label_11#1;
-
- inline$CallCompletionRoutine$23$label_11#1:
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$CallCompletionRoutine$23$label_12#1;
-
- inline$CallCompletionRoutine$23$label_12#1:
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$CallCompletionRoutine$23$label_13#1;
-
- inline$CallCompletionRoutine$23$label_13#1:
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$CallCompletionRoutine$23$label_14#1;
-
- inline$CallCompletionRoutine$23$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$120$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$120$Entry#1:
- inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$120$start#1;
-
- inline$IoGetCurrentIrpStackLocation$120$start#1:
- inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$120$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$120$label_3_true#1, inline$IoGetCurrentIrpStackLocation$120$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$120$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$120$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$120$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$120$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$120$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$120$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$120$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$120$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$120$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$120$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$120$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$120$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$120$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$120$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$120$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$120$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$120$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$120$Return#1:
- goto inline$CallCompletionRoutine$23$label_14$1#1;
-
- inline$CallCompletionRoutine$23$label_14$1#1:
- goto inline$CallCompletionRoutine$23$anon11_Then#1, inline$CallCompletionRoutine$23$anon11_Else#1;
-
- inline$CallCompletionRoutine$23$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$23$anon3#1;
-
- inline$CallCompletionRoutine$23$anon3#1:
- goto inline$CallCompletionRoutine$23$label_17#1;
-
- inline$CallCompletionRoutine$23$label_17#1:
- goto inline$CallCompletionRoutine$23$label_18#1;
-
- inline$CallCompletionRoutine$23$label_18#1:
- goto inline$CallCompletionRoutine$23$label_18_true#1, inline$CallCompletionRoutine$23$label_18_false#1;
-
- inline$CallCompletionRoutine$23$label_18_false#1:
- assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$23$label_1#1;
-
- inline$CallCompletionRoutine$23$label_18_true#1:
- assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$23$label_19#1;
-
- inline$CallCompletionRoutine$23$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$23$label_20#1;
-
- inline$CallCompletionRoutine$23$label_20#1:
- goto inline$CallCompletionRoutine$23$label_20_icall_1#1, inline$CallCompletionRoutine$23$label_20_icall_2#1, inline$CallCompletionRoutine$23$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$23$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$23$Entry#1:
- inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$23$start#1;
-
- inline$BDLSystemPowerIoCompletion$23$start#1:
- call inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$23$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_4#1:
- inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_6#1:
- inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$23$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$23$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$122$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$122$Entry#1:
- inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$122$start#1;
-
- inline$IoGetCurrentIrpStackLocation$122$start#1:
- inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$122$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$122$label_3_true#1, inline$IoGetCurrentIrpStackLocation$122$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$122$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$122$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$122$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$122$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$122$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$122$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$122$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$122$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$122$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$122$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$122$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$122$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$122$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$122$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$122$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$122$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$122$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$122$Return#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon36_Then#1, inline$BDLSystemPowerIoCompletion$23$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_15#1:
- goto inline$BDLGetDebugLevel$598$Entry#1;
-
- inline$BDLGetDebugLevel$598$Entry#1:
- goto inline$BDLGetDebugLevel$598$start#1;
-
- inline$BDLGetDebugLevel$598$start#1:
- goto inline$BDLGetDebugLevel$598$label_3#1;
-
- inline$BDLGetDebugLevel$598$label_3#1:
- havoc inline$BDLGetDebugLevel$598$myNondetVar_0;
- inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$598$myNondetVar_0;
- goto inline$BDLGetDebugLevel$598$label_1#1;
-
- inline$BDLGetDebugLevel$598$label_1#1:
- goto inline$BDLGetDebugLevel$598$Return#1;
-
- inline$BDLGetDebugLevel$598$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon37_Then#1, inline$BDLSystemPowerIoCompletion$23$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_18_true#1, inline$BDLSystemPowerIoCompletion$23$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_22#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_23#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_24#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$23$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_19#1:
- goto inline$BDLGetDebugLevel$599$Entry#1;
-
- inline$BDLGetDebugLevel$599$Entry#1:
- goto inline$BDLGetDebugLevel$599$start#1;
-
- inline$BDLGetDebugLevel$599$start#1:
- goto inline$BDLGetDebugLevel$599$label_3#1;
-
- inline$BDLGetDebugLevel$599$label_3#1:
- havoc inline$BDLGetDebugLevel$599$myNondetVar_0;
- inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$599$myNondetVar_0;
- goto inline$BDLGetDebugLevel$599$label_1#1;
-
- inline$BDLGetDebugLevel$599$label_1#1:
- goto inline$BDLGetDebugLevel$599$Return#1;
-
- inline$BDLGetDebugLevel$599$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon38_Then#1, inline$BDLSystemPowerIoCompletion$23$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_28_true#1, inline$BDLSystemPowerIoCompletion$23$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_32#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_33#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_34#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$23$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_29#1:
- goto inline$BDLGetDebugLevel$600$Entry#1;
-
- inline$BDLGetDebugLevel$600$Entry#1:
- goto inline$BDLGetDebugLevel$600$start#1;
-
- inline$BDLGetDebugLevel$600$start#1:
- goto inline$BDLGetDebugLevel$600$label_3#1;
-
- inline$BDLGetDebugLevel$600$label_3#1:
- havoc inline$BDLGetDebugLevel$600$myNondetVar_0;
- inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$600$myNondetVar_0;
- goto inline$BDLGetDebugLevel$600$label_1#1;
-
- inline$BDLGetDebugLevel$600$label_1#1:
- goto inline$BDLGetDebugLevel$600$Return#1;
-
- inline$BDLGetDebugLevel$600$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon39_Then#1, inline$BDLSystemPowerIoCompletion$23$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_38_true#1, inline$BDLSystemPowerIoCompletion$23$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_39_true#1, inline$BDLSystemPowerIoCompletion$23$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$23$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$23$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$23$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_106_true#1, inline$BDLSystemPowerIoCompletion$23$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_142#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_143#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$23$myNondetVar_0, inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$23$anon50_Then#1, inline$BDLSystemPowerIoCompletion$23$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_112#1:
- inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$23$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_113_true#1, inline$BDLSystemPowerIoCompletion$23$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$23$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_117#1:
- goto inline$BDLGetDebugLevel$607$Entry#1;
-
- inline$BDLGetDebugLevel$607$Entry#1:
- goto inline$BDLGetDebugLevel$607$start#1;
-
- inline$BDLGetDebugLevel$607$start#1:
- goto inline$BDLGetDebugLevel$607$label_3#1;
-
- inline$BDLGetDebugLevel$607$label_3#1:
- havoc inline$BDLGetDebugLevel$607$myNondetVar_0;
- inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$607$myNondetVar_0;
- goto inline$BDLGetDebugLevel$607$label_1#1;
-
- inline$BDLGetDebugLevel$607$label_1#1:
- goto inline$BDLGetDebugLevel$607$Return#1;
-
- inline$BDLGetDebugLevel$607$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon51_Then#1, inline$BDLSystemPowerIoCompletion$23$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_120_true#1, inline$BDLSystemPowerIoCompletion$23$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_124#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_125#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_126#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$23$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_121#1:
- goto inline$BDLGetDebugLevel$608$Entry#1;
-
- inline$BDLGetDebugLevel$608$Entry#1:
- goto inline$BDLGetDebugLevel$608$start#1;
-
- inline$BDLGetDebugLevel$608$start#1:
- goto inline$BDLGetDebugLevel$608$label_3#1;
-
- inline$BDLGetDebugLevel$608$label_3#1:
- havoc inline$BDLGetDebugLevel$608$myNondetVar_0;
- inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$608$myNondetVar_0;
- goto inline$BDLGetDebugLevel$608$label_1#1;
-
- inline$BDLGetDebugLevel$608$label_1#1:
- goto inline$BDLGetDebugLevel$608$Return#1;
-
- inline$BDLGetDebugLevel$608$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon52_Then#1, inline$BDLSystemPowerIoCompletion$23$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_130_true#1, inline$BDLSystemPowerIoCompletion$23$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_134#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_135#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_136#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$23$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_131#1:
- goto inline$BDLGetDebugLevel$609$Entry#1;
-
- inline$BDLGetDebugLevel$609$Entry#1:
- goto inline$BDLGetDebugLevel$609$start#1;
-
- inline$BDLGetDebugLevel$609$start#1:
- goto inline$BDLGetDebugLevel$609$label_3#1;
-
- inline$BDLGetDebugLevel$609$label_3#1:
- havoc inline$BDLGetDebugLevel$609$myNondetVar_0;
- inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$609$myNondetVar_0;
- goto inline$BDLGetDebugLevel$609$label_1#1;
-
- inline$BDLGetDebugLevel$609$label_1#1:
- goto inline$BDLGetDebugLevel$609$Return#1;
-
- inline$BDLGetDebugLevel$609$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon53_Then#1, inline$BDLSystemPowerIoCompletion$23$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_140_true#1, inline$BDLSystemPowerIoCompletion$23$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$23$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_115#1:
- inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$23$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$23$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_43#1:
- goto inline$BDLGetDebugLevel$601$Entry#1;
-
- inline$BDLGetDebugLevel$601$Entry#1:
- goto inline$BDLGetDebugLevel$601$start#1;
-
- inline$BDLGetDebugLevel$601$start#1:
- goto inline$BDLGetDebugLevel$601$label_3#1;
-
- inline$BDLGetDebugLevel$601$label_3#1:
- havoc inline$BDLGetDebugLevel$601$myNondetVar_0;
- inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$601$myNondetVar_0;
- goto inline$BDLGetDebugLevel$601$label_1#1;
-
- inline$BDLGetDebugLevel$601$label_1#1:
- goto inline$BDLGetDebugLevel$601$Return#1;
-
- inline$BDLGetDebugLevel$601$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon40_Then#1, inline$BDLSystemPowerIoCompletion$23$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_46_true#1, inline$BDLSystemPowerIoCompletion$23$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_50#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_51#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_52#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$23$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_47#1:
- goto inline$BDLGetDebugLevel$602$Entry#1;
-
- inline$BDLGetDebugLevel$602$Entry#1:
- goto inline$BDLGetDebugLevel$602$start#1;
-
- inline$BDLGetDebugLevel$602$start#1:
- goto inline$BDLGetDebugLevel$602$label_3#1;
-
- inline$BDLGetDebugLevel$602$label_3#1:
- havoc inline$BDLGetDebugLevel$602$myNondetVar_0;
- inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$602$myNondetVar_0;
- goto inline$BDLGetDebugLevel$602$label_1#1;
-
- inline$BDLGetDebugLevel$602$label_1#1:
- goto inline$BDLGetDebugLevel$602$Return#1;
-
- inline$BDLGetDebugLevel$602$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon41_Then#1, inline$BDLSystemPowerIoCompletion$23$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_56_true#1, inline$BDLSystemPowerIoCompletion$23$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_60#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_61#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_62#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$23$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_57#1:
- goto inline$BDLGetDebugLevel$603$Entry#1;
-
- inline$BDLGetDebugLevel$603$Entry#1:
- goto inline$BDLGetDebugLevel$603$start#1;
-
- inline$BDLGetDebugLevel$603$start#1:
- goto inline$BDLGetDebugLevel$603$label_3#1;
-
- inline$BDLGetDebugLevel$603$label_3#1:
- havoc inline$BDLGetDebugLevel$603$myNondetVar_0;
- inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$603$myNondetVar_0;
- goto inline$BDLGetDebugLevel$603$label_1#1;
-
- inline$BDLGetDebugLevel$603$label_1#1:
- goto inline$BDLGetDebugLevel$603$Return#1;
-
- inline$BDLGetDebugLevel$603$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon42_Then#1, inline$BDLSystemPowerIoCompletion$23$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_66_true#1, inline$BDLSystemPowerIoCompletion$23$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$23$anon43_Then#1, inline$BDLSystemPowerIoCompletion$23$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$23$anon44_Then#1, inline$BDLSystemPowerIoCompletion$23$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_74#1:
- goto inline$storm_IoCompleteRequest$48$Entry#1;
-
- inline$storm_IoCompleteRequest$48$Entry#1:
- inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$48$start#1;
-
- inline$storm_IoCompleteRequest$48$start#1:
- inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$48$label_3#1;
-
- inline$storm_IoCompleteRequest$48$label_3#1:
- call inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$48$label_6#1;
-
- inline$storm_IoCompleteRequest$48$label_6#1:
- goto inline$storm_IoCompleteRequest$48$label_6_true#1, inline$storm_IoCompleteRequest$48$label_6_false#1;
-
- inline$storm_IoCompleteRequest$48$label_6_false#1:
- assume inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$48$label_7#1;
-
- inline$storm_IoCompleteRequest$48$label_6_true#1:
- assume inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$48$label_8#1;
-
- inline$storm_IoCompleteRequest$48$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$48$anon3_Then#1, inline$storm_IoCompleteRequest$48$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$48$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$48$anon1#1;
-
- inline$storm_IoCompleteRequest$48$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$48$label_9#1;
-
- inline$storm_IoCompleteRequest$48$label_9#1:
- goto inline$storm_IoCompleteRequest$48$label_9_true#1, inline$storm_IoCompleteRequest$48$label_9_false#1;
-
- inline$storm_IoCompleteRequest$48$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$48$label_10#1;
-
- inline$storm_IoCompleteRequest$48$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$48$label_1#1;
-
- inline$storm_IoCompleteRequest$48$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$48$label_7#1;
-
- inline$storm_IoCompleteRequest$48$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$48$label_1#1;
-
- inline$storm_IoCompleteRequest$48$label_1#1:
- goto inline$storm_IoCompleteRequest$48$Return#1;
-
- inline$storm_IoCompleteRequest$48$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$48$Return#1;
-
- inline$storm_IoCompleteRequest$48$Return#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon45_Then#1, inline$BDLSystemPowerIoCompletion$23$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$23$anon46_Then#1, inline$BDLSystemPowerIoCompletion$23$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_80#1:
- goto inline$BDLGetDebugLevel$604$Entry#1;
-
- inline$BDLGetDebugLevel$604$Entry#1:
- goto inline$BDLGetDebugLevel$604$start#1;
-
- inline$BDLGetDebugLevel$604$start#1:
- goto inline$BDLGetDebugLevel$604$label_3#1;
-
- inline$BDLGetDebugLevel$604$label_3#1:
- havoc inline$BDLGetDebugLevel$604$myNondetVar_0;
- inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$604$myNondetVar_0;
- goto inline$BDLGetDebugLevel$604$label_1#1;
-
- inline$BDLGetDebugLevel$604$label_1#1:
- goto inline$BDLGetDebugLevel$604$Return#1;
-
- inline$BDLGetDebugLevel$604$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon47_Then#1, inline$BDLSystemPowerIoCompletion$23$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_83_true#1, inline$BDLSystemPowerIoCompletion$23$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_87#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_88#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_89#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$23$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_84#1:
- goto inline$BDLGetDebugLevel$605$Entry#1;
-
- inline$BDLGetDebugLevel$605$Entry#1:
- goto inline$BDLGetDebugLevel$605$start#1;
-
- inline$BDLGetDebugLevel$605$start#1:
- goto inline$BDLGetDebugLevel$605$label_3#1;
-
- inline$BDLGetDebugLevel$605$label_3#1:
- havoc inline$BDLGetDebugLevel$605$myNondetVar_0;
- inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$605$myNondetVar_0;
- goto inline$BDLGetDebugLevel$605$label_1#1;
-
- inline$BDLGetDebugLevel$605$label_1#1:
- goto inline$BDLGetDebugLevel$605$Return#1;
-
- inline$BDLGetDebugLevel$605$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon48_Then#1, inline$BDLSystemPowerIoCompletion$23$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_93_true#1, inline$BDLSystemPowerIoCompletion$23$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_97#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_98#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_99#1:
- call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$23$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$23$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_94#1:
- goto inline$BDLGetDebugLevel$606$Entry#1;
-
- inline$BDLGetDebugLevel$606$Entry#1:
- goto inline$BDLGetDebugLevel$606$start#1;
-
- inline$BDLGetDebugLevel$606$start#1:
- goto inline$BDLGetDebugLevel$606$label_3#1;
-
- inline$BDLGetDebugLevel$606$label_3#1:
- havoc inline$BDLGetDebugLevel$606$myNondetVar_0;
- inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$606$myNondetVar_0;
- goto inline$BDLGetDebugLevel$606$label_1#1;
-
- inline$BDLGetDebugLevel$606$label_1#1:
- goto inline$BDLGetDebugLevel$606$Return#1;
-
- inline$BDLGetDebugLevel$606$Return#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$23$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$23$anon49_Then#1, inline$BDLSystemPowerIoCompletion$23$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_103_true#1, inline$BDLSystemPowerIoCompletion$23$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$23$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$23$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_104#1:
- inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$23$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$23$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$23$Return#1;
-
- inline$BDLSystemPowerIoCompletion$23$Return#1:
- inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$23$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$23$anon14_Then#1, inline$CallCompletionRoutine$23$anon14_Else#1;
-
- inline$CallCompletionRoutine$23$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$23$anon9#1;
-
- inline$CallCompletionRoutine$23$anon9#1:
- goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$23$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$23$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$23$Entry#1:
- inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$23$start#1;
-
- inline$BDLDevicePowerIoCompletion$23$start#1:
- call inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$23$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_4#1:
- inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_6#1:
- inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$23$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$23$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$121$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$121$Entry#1:
- inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$121$start#1;
-
- inline$IoGetCurrentIrpStackLocation$121$start#1:
- inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$121$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$121$label_3_true#1, inline$IoGetCurrentIrpStackLocation$121$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$121$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$121$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$121$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$121$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$121$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$121$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$121$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$121$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$121$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$121$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$121$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$121$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$121$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$121$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$121$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$121$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$121$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon30_Then#1, inline$BDLDevicePowerIoCompletion$23$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_13#1:
- inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$23$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$23$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_17#1:
- goto inline$BDLGetDebugLevel$589$Entry#1;
-
- inline$BDLGetDebugLevel$589$Entry#1:
- goto inline$BDLGetDebugLevel$589$start#1;
-
- inline$BDLGetDebugLevel$589$start#1:
- goto inline$BDLGetDebugLevel$589$label_3#1;
-
- inline$BDLGetDebugLevel$589$label_3#1:
- havoc inline$BDLGetDebugLevel$589$myNondetVar_0;
- inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$589$myNondetVar_0;
- goto inline$BDLGetDebugLevel$589$label_1#1;
-
- inline$BDLGetDebugLevel$589$label_1#1:
- goto inline$BDLGetDebugLevel$589$Return#1;
-
- inline$BDLGetDebugLevel$589$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon31_Then#1, inline$BDLDevicePowerIoCompletion$23$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_20_true#1, inline$BDLDevicePowerIoCompletion$23$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_24#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_25#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_26#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$23$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_21#1:
- goto inline$BDLGetDebugLevel$590$Entry#1;
-
- inline$BDLGetDebugLevel$590$Entry#1:
- goto inline$BDLGetDebugLevel$590$start#1;
-
- inline$BDLGetDebugLevel$590$start#1:
- goto inline$BDLGetDebugLevel$590$label_3#1;
-
- inline$BDLGetDebugLevel$590$label_3#1:
- havoc inline$BDLGetDebugLevel$590$myNondetVar_0;
- inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$590$myNondetVar_0;
- goto inline$BDLGetDebugLevel$590$label_1#1;
-
- inline$BDLGetDebugLevel$590$label_1#1:
- goto inline$BDLGetDebugLevel$590$Return#1;
-
- inline$BDLGetDebugLevel$590$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon32_Then#1, inline$BDLDevicePowerIoCompletion$23$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_30_true#1, inline$BDLDevicePowerIoCompletion$23$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_34#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_35#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_36#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$23$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_31#1:
- goto inline$BDLGetDebugLevel$591$Entry#1;
-
- inline$BDLGetDebugLevel$591$Entry#1:
- goto inline$BDLGetDebugLevel$591$start#1;
-
- inline$BDLGetDebugLevel$591$start#1:
- goto inline$BDLGetDebugLevel$591$label_3#1;
-
- inline$BDLGetDebugLevel$591$label_3#1:
- havoc inline$BDLGetDebugLevel$591$myNondetVar_0;
- inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$591$myNondetVar_0;
- goto inline$BDLGetDebugLevel$591$label_1#1;
-
- inline$BDLGetDebugLevel$591$label_1#1:
- goto inline$BDLGetDebugLevel$591$Return#1;
-
- inline$BDLGetDebugLevel$591$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon33_Then#1, inline$BDLDevicePowerIoCompletion$23$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_40_true#1, inline$BDLDevicePowerIoCompletion$23$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_41_true#1, inline$BDLDevicePowerIoCompletion$23$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$23$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$23$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_44_true#1, inline$BDLDevicePowerIoCompletion$23$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$23$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_45_true#1, inline$BDLDevicePowerIoCompletion$23$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$23$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$23$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$23$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$23$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$23$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_54#1:
- inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$23$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_55_true#1, inline$BDLDevicePowerIoCompletion$23$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_56#1:
- call inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$23$anon34_Then#1, inline$BDLDevicePowerIoCompletion$23$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_59#1:
- goto inline$BDLGetDebugLevel$592$Entry#1;
-
- inline$BDLGetDebugLevel$592$Entry#1:
- goto inline$BDLGetDebugLevel$592$start#1;
-
- inline$BDLGetDebugLevel$592$start#1:
- goto inline$BDLGetDebugLevel$592$label_3#1;
-
- inline$BDLGetDebugLevel$592$label_3#1:
- havoc inline$BDLGetDebugLevel$592$myNondetVar_0;
- inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$592$myNondetVar_0;
- goto inline$BDLGetDebugLevel$592$label_1#1;
-
- inline$BDLGetDebugLevel$592$label_1#1:
- goto inline$BDLGetDebugLevel$592$Return#1;
-
- inline$BDLGetDebugLevel$592$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon35_Then#1, inline$BDLDevicePowerIoCompletion$23$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_62_true#1, inline$BDLDevicePowerIoCompletion$23$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_66#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_67#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_68#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$23$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_63#1:
- goto inline$BDLGetDebugLevel$593$Entry#1;
-
- inline$BDLGetDebugLevel$593$Entry#1:
- goto inline$BDLGetDebugLevel$593$start#1;
-
- inline$BDLGetDebugLevel$593$start#1:
- goto inline$BDLGetDebugLevel$593$label_3#1;
-
- inline$BDLGetDebugLevel$593$label_3#1:
- havoc inline$BDLGetDebugLevel$593$myNondetVar_0;
- inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$593$myNondetVar_0;
- goto inline$BDLGetDebugLevel$593$label_1#1;
-
- inline$BDLGetDebugLevel$593$label_1#1:
- goto inline$BDLGetDebugLevel$593$Return#1;
-
- inline$BDLGetDebugLevel$593$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon36_Then#1, inline$BDLDevicePowerIoCompletion$23$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_72_true#1, inline$BDLDevicePowerIoCompletion$23$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_76#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_77#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_78#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$23$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_73#1:
- goto inline$BDLGetDebugLevel$594$Entry#1;
-
- inline$BDLGetDebugLevel$594$Entry#1:
- goto inline$BDLGetDebugLevel$594$start#1;
-
- inline$BDLGetDebugLevel$594$start#1:
- goto inline$BDLGetDebugLevel$594$label_3#1;
-
- inline$BDLGetDebugLevel$594$label_3#1:
- havoc inline$BDLGetDebugLevel$594$myNondetVar_0;
- inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$594$myNondetVar_0;
- goto inline$BDLGetDebugLevel$594$label_1#1;
-
- inline$BDLGetDebugLevel$594$label_1#1:
- goto inline$BDLGetDebugLevel$594$Return#1;
-
- inline$BDLGetDebugLevel$594$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon37_Then#1, inline$BDLDevicePowerIoCompletion$23$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_82_true#1, inline$BDLDevicePowerIoCompletion$23$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$23$anon38_Then#1, inline$BDLDevicePowerIoCompletion$23$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$23$anon39_Then#1, inline$BDLDevicePowerIoCompletion$23$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_92#1:
- goto inline$storm_IoCompleteRequest$47$Entry#1;
-
- inline$storm_IoCompleteRequest$47$Entry#1:
- inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$47$start#1;
-
- inline$storm_IoCompleteRequest$47$start#1:
- inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$47$label_3#1;
-
- inline$storm_IoCompleteRequest$47$label_3#1:
- call inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$47$label_6#1;
-
- inline$storm_IoCompleteRequest$47$label_6#1:
- goto inline$storm_IoCompleteRequest$47$label_6_true#1, inline$storm_IoCompleteRequest$47$label_6_false#1;
-
- inline$storm_IoCompleteRequest$47$label_6_false#1:
- assume inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$47$label_7#1;
-
- inline$storm_IoCompleteRequest$47$label_6_true#1:
- assume inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$47$label_8#1;
-
- inline$storm_IoCompleteRequest$47$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$47$anon3_Then#1, inline$storm_IoCompleteRequest$47$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$47$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$47$anon1#1;
-
- inline$storm_IoCompleteRequest$47$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$47$label_9#1;
-
- inline$storm_IoCompleteRequest$47$label_9#1:
- goto inline$storm_IoCompleteRequest$47$label_9_true#1, inline$storm_IoCompleteRequest$47$label_9_false#1;
-
- inline$storm_IoCompleteRequest$47$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$47$label_10#1;
-
- inline$storm_IoCompleteRequest$47$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$47$label_1#1;
-
- inline$storm_IoCompleteRequest$47$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$47$label_7#1;
-
- inline$storm_IoCompleteRequest$47$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$47$label_1#1;
-
- inline$storm_IoCompleteRequest$47$label_1#1:
- goto inline$storm_IoCompleteRequest$47$Return#1;
-
- inline$storm_IoCompleteRequest$47$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$47$Return#1;
-
- inline$storm_IoCompleteRequest$47$Return#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon40_Then#1, inline$BDLDevicePowerIoCompletion$23$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$23$anon41_Then#1, inline$BDLDevicePowerIoCompletion$23$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_98#1:
- goto inline$BDLGetDebugLevel$595$Entry#1;
-
- inline$BDLGetDebugLevel$595$Entry#1:
- goto inline$BDLGetDebugLevel$595$start#1;
-
- inline$BDLGetDebugLevel$595$start#1:
- goto inline$BDLGetDebugLevel$595$label_3#1;
-
- inline$BDLGetDebugLevel$595$label_3#1:
- havoc inline$BDLGetDebugLevel$595$myNondetVar_0;
- inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$595$myNondetVar_0;
- goto inline$BDLGetDebugLevel$595$label_1#1;
-
- inline$BDLGetDebugLevel$595$label_1#1:
- goto inline$BDLGetDebugLevel$595$Return#1;
-
- inline$BDLGetDebugLevel$595$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon42_Then#1, inline$BDLDevicePowerIoCompletion$23$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_101_true#1, inline$BDLDevicePowerIoCompletion$23$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_105#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_106#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_107#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$23$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_102#1:
- goto inline$BDLGetDebugLevel$596$Entry#1;
-
- inline$BDLGetDebugLevel$596$Entry#1:
- goto inline$BDLGetDebugLevel$596$start#1;
-
- inline$BDLGetDebugLevel$596$start#1:
- goto inline$BDLGetDebugLevel$596$label_3#1;
-
- inline$BDLGetDebugLevel$596$label_3#1:
- havoc inline$BDLGetDebugLevel$596$myNondetVar_0;
- inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$596$myNondetVar_0;
- goto inline$BDLGetDebugLevel$596$label_1#1;
-
- inline$BDLGetDebugLevel$596$label_1#1:
- goto inline$BDLGetDebugLevel$596$Return#1;
-
- inline$BDLGetDebugLevel$596$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon43_Then#1, inline$BDLDevicePowerIoCompletion$23$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_111_true#1, inline$BDLDevicePowerIoCompletion$23$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_115#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_116#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_117#1:
- call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$23$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$23$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_112#1:
- goto inline$BDLGetDebugLevel$597$Entry#1;
-
- inline$BDLGetDebugLevel$597$Entry#1:
- goto inline$BDLGetDebugLevel$597$start#1;
-
- inline$BDLGetDebugLevel$597$start#1:
- goto inline$BDLGetDebugLevel$597$label_3#1;
-
- inline$BDLGetDebugLevel$597$label_3#1:
- havoc inline$BDLGetDebugLevel$597$myNondetVar_0;
- inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$597$myNondetVar_0;
- goto inline$BDLGetDebugLevel$597$label_1#1;
-
- inline$BDLGetDebugLevel$597$label_1#1:
- goto inline$BDLGetDebugLevel$597$Return#1;
-
- inline$BDLGetDebugLevel$597$Return#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$23$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$23$anon44_Then#1, inline$BDLDevicePowerIoCompletion$23$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_121_true#1, inline$BDLDevicePowerIoCompletion$23$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$23$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$23$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_122#1:
- inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$23$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$23$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$23$Return#1;
-
- inline$BDLDevicePowerIoCompletion$23$Return#1:
- inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$23$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$23$anon13_Then#1, inline$CallCompletionRoutine$23$anon13_Else#1;
-
- inline$CallCompletionRoutine$23$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$23$anon7#1;
-
- inline$CallCompletionRoutine$23$anon7#1:
- goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$23$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$23$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$23$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$23$Entry#1:
- inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$23$start#1;
-
- inline$BDLCallDriverCompletionRoutine$23$start#1:
- inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$23$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$23$label_3_true#1, inline$BDLCallDriverCompletionRoutine$23$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$23$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$23$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$23$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$23$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_6#1:
- goto inline$storm_KeSetEvent$25$Entry#1;
-
- inline$storm_KeSetEvent$25$Entry#1:
- inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$25$start#1;
-
- inline$storm_KeSetEvent$25$start#1:
- inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$25$label_3#1;
-
- inline$storm_KeSetEvent$25$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$25$label_1#1;
-
- inline$storm_KeSetEvent$25$label_1#1:
- goto inline$storm_KeSetEvent$25$Return#1;
-
- inline$storm_KeSetEvent$25$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$23$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$23$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$23$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$23$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$23$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$23$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$23$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_9#1:
- inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$23$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$23$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$23$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$23$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$23$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$23$Return#1:
- inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$23$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$23$anon12_Then#1, inline$CallCompletionRoutine$23$anon12_Else#1;
-
- inline$CallCompletionRoutine$23$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$23$anon5#1;
-
- inline$CallCompletionRoutine$23$anon5#1:
- goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$23$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$23$label_23#1;
-
- inline$CallCompletionRoutine$23$label_23#1:
- inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$23$label_24#1;
-
- inline$CallCompletionRoutine$23$label_24#1:
- goto inline$CallCompletionRoutine$23$label_24_true#1, inline$CallCompletionRoutine$23$label_24_false#1;
-
- inline$CallCompletionRoutine$23$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$23$label_1#1;
-
- inline$CallCompletionRoutine$23$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$23$label_25#1;
-
- inline$CallCompletionRoutine$23$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$23$label_1#1;
-
- inline$CallCompletionRoutine$23$label_1#1:
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$23$Return#1;
-
- inline$CallCompletionRoutine$23$Return#1:
- goto inline$storm_IoCallDriver$11$label_39$1#1;
-
- inline$storm_IoCallDriver$11$label_39$1#1:
- goto inline$storm_IoCallDriver$11$anon15_Then#1, inline$storm_IoCallDriver$11$anon15_Else#1;
-
- inline$storm_IoCallDriver$11$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$11$anon10#1;
-
- inline$storm_IoCallDriver$11$anon10#1:
- goto inline$storm_IoCallDriver$11$label_36#1;
-
- inline$storm_IoCallDriver$11$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$label_27_case_0#1:
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$11$label_28#1;
-
- inline$storm_IoCallDriver$11$label_28#1:
- inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$11$label_42#1;
-
- inline$storm_IoCallDriver$11$label_42#1:
- goto inline$storm_IoCallDriver$11$label_43#1;
-
- inline$storm_IoCallDriver$11$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$11$label_36#1;
-
- inline$storm_IoCallDriver$11$label_36#1:
- inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$11$label_1#1;
-
- inline$storm_IoCallDriver$11$label_1#1:
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$11$Return#1;
-
- inline$storm_IoCallDriver$11$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_25#1:
- goto inline$storm_PoCallDriver$5$Entry#1;
-
- inline$storm_PoCallDriver$5$Entry#1:
- inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$5$start#1;
-
- inline$storm_PoCallDriver$5$start#1:
- inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$5$label_3#1;
-
- inline$storm_PoCallDriver$5$label_3#1:
- goto inline$storm_IoCallDriver$12$Entry#1;
-
- inline$storm_IoCallDriver$12$Entry#1:
- inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$12$start#1;
-
- inline$storm_IoCallDriver$12$start#1:
- inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$12$label_3#1;
-
- inline$storm_IoCallDriver$12$label_3#1:
- goto inline$storm_IoCallDriver$12$label_4#1;
-
- inline$storm_IoCallDriver$12$label_4#1:
- goto inline$storm_IoCallDriver$12$label_5#1;
-
- inline$storm_IoCallDriver$12$label_5#1:
- goto inline$storm_IoCallDriver$12$label_6#1;
-
- inline$storm_IoCallDriver$12$label_6#1:
- call inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$12$label_9#1;
-
- inline$storm_IoCallDriver$12$label_9#1:
- goto inline$storm_IoCallDriver$12$label_9_true#1, inline$storm_IoCallDriver$12$label_9_false#1;
-
- inline$storm_IoCallDriver$12$label_9_false#1:
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$12$label_10#1;
-
- inline$storm_IoCallDriver$12$label_9_true#1:
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$12$label_13#1;
-
- inline$storm_IoCallDriver$12$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$12$anon12_Then#1, inline$storm_IoCallDriver$12$anon12_Else#1;
-
- inline$storm_IoCallDriver$12$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$12$anon3#1;
-
- inline$storm_IoCallDriver$12$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$12$label_14#1;
-
- inline$storm_IoCallDriver$12$label_14#1:
- goto inline$storm_IoCallDriver$12$label_14_true#1, inline$storm_IoCallDriver$12$label_14_false#1;
-
- inline$storm_IoCallDriver$12$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$12$label_15#1;
-
- inline$storm_IoCallDriver$12$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$12$label_1#1;
-
- inline$storm_IoCallDriver$12$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$12$label_10#1;
-
- inline$storm_IoCallDriver$12$label_10#1:
- goto inline$IoSetNextIrpStackLocation$13$Entry#1;
-
- inline$IoSetNextIrpStackLocation$13$Entry#1:
- inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$13$start#1;
-
- inline$IoSetNextIrpStackLocation$13$start#1:
- inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$13$label_3#1;
-
- inline$IoSetNextIrpStackLocation$13$label_3#1:
- goto inline$IoSetNextIrpStackLocation$13$label_3_true#1, inline$IoSetNextIrpStackLocation$13$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$13$label_3_false#1:
- inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$13$myVar_0);
- goto inline$IoSetNextIrpStackLocation$13$label_4#1;
-
- inline$IoSetNextIrpStackLocation$13$label_4#1:
- call inline$IoSetNextIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$13$label_7#1;
-
- inline$IoSetNextIrpStackLocation$13$label_7#1:
- call inline$IoSetNextIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$13$label_8#1;
-
- inline$IoSetNextIrpStackLocation$13$label_8#1:
- goto inline$IoSetNextIrpStackLocation$13$label_5#1;
-
- inline$IoSetNextIrpStackLocation$13$label_3_true#1:
- inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$13$myVar_0);
- goto inline$IoSetNextIrpStackLocation$13$label_5#1;
-
- inline$IoSetNextIrpStackLocation$13$label_5#1:
- inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$13$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$13$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$13$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$13$label_6#1;
-
- inline$IoSetNextIrpStackLocation$13$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$13$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$13$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$13$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$13$label_1#1;
-
- inline$IoSetNextIrpStackLocation$13$label_1#1:
- goto inline$IoSetNextIrpStackLocation$13$Return#1;
-
- inline$IoSetNextIrpStackLocation$13$Return#1:
- goto inline$storm_IoCallDriver$12$label_10$1#1;
-
- inline$storm_IoCallDriver$12$label_10$1#1:
- goto inline$storm_IoCallDriver$12$anon11_Then#1, inline$storm_IoCallDriver$12$anon11_Else#1;
-
- inline$storm_IoCallDriver$12$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$12$anon1#1;
-
- inline$storm_IoCallDriver$12$anon1#1:
- goto inline$storm_IoCallDriver$12$label_18#1;
-
- inline$storm_IoCallDriver$12$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$123$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$123$Entry#1:
- inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$123$start#1;
-
- inline$IoGetCurrentIrpStackLocation$123$start#1:
- inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$123$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$123$label_3_true#1, inline$IoGetCurrentIrpStackLocation$123$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$123$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$123$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$123$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$123$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$123$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$123$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$123$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$123$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$123$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$123$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$123$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$123$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$123$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$123$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$123$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$123$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$123$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$123$Return#1:
- goto inline$storm_IoCallDriver$12$label_18$1#1;
-
- inline$storm_IoCallDriver$12$label_18$1#1:
- goto inline$storm_IoCallDriver$12$anon13_Then#1, inline$storm_IoCallDriver$12$anon13_Else#1;
-
- inline$storm_IoCallDriver$12$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$12$anon6#1;
-
- inline$storm_IoCallDriver$12$anon6#1:
- goto inline$storm_IoCallDriver$12$label_21#1;
-
- inline$storm_IoCallDriver$12$label_21#1:
- goto inline$storm_IoCallDriver$12$label_22#1;
-
- inline$storm_IoCallDriver$12$label_22#1:
- goto inline$storm_IoCallDriver$12$label_23#1;
-
- inline$storm_IoCallDriver$12$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$12$label_24#1;
-
- inline$storm_IoCallDriver$12$label_24#1:
- call inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$12$label_27#1;
-
- inline$storm_IoCallDriver$12$label_27#1:
- goto inline$storm_IoCallDriver$12$label_27_case_0#1, inline$storm_IoCallDriver$12$label_27_case_1#1, inline$storm_IoCallDriver$12$label_27_case_2#1;
-
- inline$storm_IoCallDriver$12$label_27_case_2#1:
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$12$label_30#1;
-
- inline$storm_IoCallDriver$12$label_30#1:
- inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$12$label_31#1;
-
- inline$storm_IoCallDriver$12$label_31#1:
- goto inline$storm_IoCallDriver$12$label_32#1;
-
- inline$storm_IoCallDriver$12$label_32#1:
- goto inline$storm_IoCallDriver$12$label_33#1;
-
- inline$storm_IoCallDriver$12$label_33#1:
- goto inline$CallCompletionRoutine$24$Entry#1;
-
- inline$CallCompletionRoutine$24$Entry#1:
- inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$24$start#1;
-
- inline$CallCompletionRoutine$24$start#1:
- inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$24$label_3#1;
-
- inline$CallCompletionRoutine$24$label_3#1:
- goto inline$CallCompletionRoutine$24$label_4#1;
-
- inline$CallCompletionRoutine$24$label_4#1:
- goto inline$CallCompletionRoutine$24$label_5#1;
-
- inline$CallCompletionRoutine$24$label_5#1:
- goto inline$CallCompletionRoutine$24$label_6#1;
-
- inline$CallCompletionRoutine$24$label_6#1:
- goto inline$CallCompletionRoutine$24$label_7#1;
-
- inline$CallCompletionRoutine$24$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$124$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$124$Entry#1:
- inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$124$start#1;
-
- inline$IoGetCurrentIrpStackLocation$124$start#1:
- inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$124$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$124$label_3_true#1, inline$IoGetCurrentIrpStackLocation$124$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$124$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$124$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$124$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$124$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$124$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$124$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$124$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$124$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$124$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$124$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$124$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$124$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$124$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$124$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$124$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$124$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$124$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$124$Return#1:
- goto inline$CallCompletionRoutine$24$label_7$1#1;
-
- inline$CallCompletionRoutine$24$label_7$1#1:
- goto inline$CallCompletionRoutine$24$anon10_Then#1, inline$CallCompletionRoutine$24$anon10_Else#1;
-
- inline$CallCompletionRoutine$24$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$24$anon1#1;
-
- inline$CallCompletionRoutine$24$anon1#1:
- goto inline$CallCompletionRoutine$24$label_10#1;
-
- inline$CallCompletionRoutine$24$label_10#1:
- goto inline$CallCompletionRoutine$24$label_11#1;
-
- inline$CallCompletionRoutine$24$label_11#1:
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$CallCompletionRoutine$24$label_12#1;
-
- inline$CallCompletionRoutine$24$label_12#1:
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$CallCompletionRoutine$24$label_13#1;
-
- inline$CallCompletionRoutine$24$label_13#1:
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$CallCompletionRoutine$24$label_14#1;
-
- inline$CallCompletionRoutine$24$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$125$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$125$Entry#1:
- inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$125$start#1;
-
- inline$IoGetCurrentIrpStackLocation$125$start#1:
- inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$125$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$125$label_3_true#1, inline$IoGetCurrentIrpStackLocation$125$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$125$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$125$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$125$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$125$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$125$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$125$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$125$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$125$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$125$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$125$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$125$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$125$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$125$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$125$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$125$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$125$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$125$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$125$Return#1:
- goto inline$CallCompletionRoutine$24$label_14$1#1;
-
- inline$CallCompletionRoutine$24$label_14$1#1:
- goto inline$CallCompletionRoutine$24$anon11_Then#1, inline$CallCompletionRoutine$24$anon11_Else#1;
-
- inline$CallCompletionRoutine$24$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$24$anon3#1;
-
- inline$CallCompletionRoutine$24$anon3#1:
- goto inline$CallCompletionRoutine$24$label_17#1;
-
- inline$CallCompletionRoutine$24$label_17#1:
- goto inline$CallCompletionRoutine$24$label_18#1;
-
- inline$CallCompletionRoutine$24$label_18#1:
- goto inline$CallCompletionRoutine$24$label_18_true#1, inline$CallCompletionRoutine$24$label_18_false#1;
-
- inline$CallCompletionRoutine$24$label_18_false#1:
- assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$24$label_1#1;
-
- inline$CallCompletionRoutine$24$label_18_true#1:
- assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$24$label_19#1;
-
- inline$CallCompletionRoutine$24$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$24$label_20#1;
-
- inline$CallCompletionRoutine$24$label_20#1:
- goto inline$CallCompletionRoutine$24$label_20_icall_1#1, inline$CallCompletionRoutine$24$label_20_icall_2#1, inline$CallCompletionRoutine$24$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$24$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$24$Entry#1:
- inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$24$start#1;
-
- inline$BDLSystemPowerIoCompletion$24$start#1:
- call inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$24$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_4#1:
- inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_6#1:
- inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$24$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$24$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$127$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$127$Entry#1:
- inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$127$start#1;
-
- inline$IoGetCurrentIrpStackLocation$127$start#1:
- inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$127$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$127$label_3_true#1, inline$IoGetCurrentIrpStackLocation$127$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$127$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$127$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$127$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$127$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$127$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$127$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$127$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$127$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$127$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$127$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$127$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$127$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$127$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$127$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$127$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$127$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$127$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$127$Return#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon36_Then#1, inline$BDLSystemPowerIoCompletion$24$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_15#1:
- goto inline$BDLGetDebugLevel$619$Entry#1;
-
- inline$BDLGetDebugLevel$619$Entry#1:
- goto inline$BDLGetDebugLevel$619$start#1;
-
- inline$BDLGetDebugLevel$619$start#1:
- goto inline$BDLGetDebugLevel$619$label_3#1;
-
- inline$BDLGetDebugLevel$619$label_3#1:
- havoc inline$BDLGetDebugLevel$619$myNondetVar_0;
- inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$619$myNondetVar_0;
- goto inline$BDLGetDebugLevel$619$label_1#1;
-
- inline$BDLGetDebugLevel$619$label_1#1:
- goto inline$BDLGetDebugLevel$619$Return#1;
-
- inline$BDLGetDebugLevel$619$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon37_Then#1, inline$BDLSystemPowerIoCompletion$24$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_18_true#1, inline$BDLSystemPowerIoCompletion$24$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_22#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_23#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_24#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$24$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_19#1:
- goto inline$BDLGetDebugLevel$620$Entry#1;
-
- inline$BDLGetDebugLevel$620$Entry#1:
- goto inline$BDLGetDebugLevel$620$start#1;
-
- inline$BDLGetDebugLevel$620$start#1:
- goto inline$BDLGetDebugLevel$620$label_3#1;
-
- inline$BDLGetDebugLevel$620$label_3#1:
- havoc inline$BDLGetDebugLevel$620$myNondetVar_0;
- inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$620$myNondetVar_0;
- goto inline$BDLGetDebugLevel$620$label_1#1;
-
- inline$BDLGetDebugLevel$620$label_1#1:
- goto inline$BDLGetDebugLevel$620$Return#1;
-
- inline$BDLGetDebugLevel$620$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon38_Then#1, inline$BDLSystemPowerIoCompletion$24$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_28_true#1, inline$BDLSystemPowerIoCompletion$24$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_32#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_33#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_34#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$24$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_29#1:
- goto inline$BDLGetDebugLevel$621$Entry#1;
-
- inline$BDLGetDebugLevel$621$Entry#1:
- goto inline$BDLGetDebugLevel$621$start#1;
-
- inline$BDLGetDebugLevel$621$start#1:
- goto inline$BDLGetDebugLevel$621$label_3#1;
-
- inline$BDLGetDebugLevel$621$label_3#1:
- havoc inline$BDLGetDebugLevel$621$myNondetVar_0;
- inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$621$myNondetVar_0;
- goto inline$BDLGetDebugLevel$621$label_1#1;
-
- inline$BDLGetDebugLevel$621$label_1#1:
- goto inline$BDLGetDebugLevel$621$Return#1;
-
- inline$BDLGetDebugLevel$621$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon39_Then#1, inline$BDLSystemPowerIoCompletion$24$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_38_true#1, inline$BDLSystemPowerIoCompletion$24$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_39_true#1, inline$BDLSystemPowerIoCompletion$24$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$24$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$24$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$24$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_106_true#1, inline$BDLSystemPowerIoCompletion$24$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_142#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_143#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$24$myNondetVar_0, inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$24$anon50_Then#1, inline$BDLSystemPowerIoCompletion$24$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_112#1:
- inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$24$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_113_true#1, inline$BDLSystemPowerIoCompletion$24$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$24$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_117#1:
- goto inline$BDLGetDebugLevel$628$Entry#1;
-
- inline$BDLGetDebugLevel$628$Entry#1:
- goto inline$BDLGetDebugLevel$628$start#1;
-
- inline$BDLGetDebugLevel$628$start#1:
- goto inline$BDLGetDebugLevel$628$label_3#1;
-
- inline$BDLGetDebugLevel$628$label_3#1:
- havoc inline$BDLGetDebugLevel$628$myNondetVar_0;
- inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$628$myNondetVar_0;
- goto inline$BDLGetDebugLevel$628$label_1#1;
-
- inline$BDLGetDebugLevel$628$label_1#1:
- goto inline$BDLGetDebugLevel$628$Return#1;
-
- inline$BDLGetDebugLevel$628$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon51_Then#1, inline$BDLSystemPowerIoCompletion$24$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_120_true#1, inline$BDLSystemPowerIoCompletion$24$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_124#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_125#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_126#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$24$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_121#1:
- goto inline$BDLGetDebugLevel$629$Entry#1;
-
- inline$BDLGetDebugLevel$629$Entry#1:
- goto inline$BDLGetDebugLevel$629$start#1;
-
- inline$BDLGetDebugLevel$629$start#1:
- goto inline$BDLGetDebugLevel$629$label_3#1;
-
- inline$BDLGetDebugLevel$629$label_3#1:
- havoc inline$BDLGetDebugLevel$629$myNondetVar_0;
- inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$629$myNondetVar_0;
- goto inline$BDLGetDebugLevel$629$label_1#1;
-
- inline$BDLGetDebugLevel$629$label_1#1:
- goto inline$BDLGetDebugLevel$629$Return#1;
-
- inline$BDLGetDebugLevel$629$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon52_Then#1, inline$BDLSystemPowerIoCompletion$24$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_130_true#1, inline$BDLSystemPowerIoCompletion$24$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_134#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_135#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_136#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$24$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_131#1:
- goto inline$BDLGetDebugLevel$630$Entry#1;
-
- inline$BDLGetDebugLevel$630$Entry#1:
- goto inline$BDLGetDebugLevel$630$start#1;
-
- inline$BDLGetDebugLevel$630$start#1:
- goto inline$BDLGetDebugLevel$630$label_3#1;
-
- inline$BDLGetDebugLevel$630$label_3#1:
- havoc inline$BDLGetDebugLevel$630$myNondetVar_0;
- inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$630$myNondetVar_0;
- goto inline$BDLGetDebugLevel$630$label_1#1;
-
- inline$BDLGetDebugLevel$630$label_1#1:
- goto inline$BDLGetDebugLevel$630$Return#1;
-
- inline$BDLGetDebugLevel$630$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon53_Then#1, inline$BDLSystemPowerIoCompletion$24$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_140_true#1, inline$BDLSystemPowerIoCompletion$24$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$24$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_115#1:
- inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$24$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$24$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_43#1:
- goto inline$BDLGetDebugLevel$622$Entry#1;
-
- inline$BDLGetDebugLevel$622$Entry#1:
- goto inline$BDLGetDebugLevel$622$start#1;
-
- inline$BDLGetDebugLevel$622$start#1:
- goto inline$BDLGetDebugLevel$622$label_3#1;
-
- inline$BDLGetDebugLevel$622$label_3#1:
- havoc inline$BDLGetDebugLevel$622$myNondetVar_0;
- inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$622$myNondetVar_0;
- goto inline$BDLGetDebugLevel$622$label_1#1;
-
- inline$BDLGetDebugLevel$622$label_1#1:
- goto inline$BDLGetDebugLevel$622$Return#1;
-
- inline$BDLGetDebugLevel$622$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon40_Then#1, inline$BDLSystemPowerIoCompletion$24$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_46_true#1, inline$BDLSystemPowerIoCompletion$24$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_50#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_51#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_52#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$24$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_47#1:
- goto inline$BDLGetDebugLevel$623$Entry#1;
-
- inline$BDLGetDebugLevel$623$Entry#1:
- goto inline$BDLGetDebugLevel$623$start#1;
-
- inline$BDLGetDebugLevel$623$start#1:
- goto inline$BDLGetDebugLevel$623$label_3#1;
-
- inline$BDLGetDebugLevel$623$label_3#1:
- havoc inline$BDLGetDebugLevel$623$myNondetVar_0;
- inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$623$myNondetVar_0;
- goto inline$BDLGetDebugLevel$623$label_1#1;
-
- inline$BDLGetDebugLevel$623$label_1#1:
- goto inline$BDLGetDebugLevel$623$Return#1;
-
- inline$BDLGetDebugLevel$623$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon41_Then#1, inline$BDLSystemPowerIoCompletion$24$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_56_true#1, inline$BDLSystemPowerIoCompletion$24$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_60#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_61#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_62#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$24$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_57#1:
- goto inline$BDLGetDebugLevel$624$Entry#1;
-
- inline$BDLGetDebugLevel$624$Entry#1:
- goto inline$BDLGetDebugLevel$624$start#1;
-
- inline$BDLGetDebugLevel$624$start#1:
- goto inline$BDLGetDebugLevel$624$label_3#1;
-
- inline$BDLGetDebugLevel$624$label_3#1:
- havoc inline$BDLGetDebugLevel$624$myNondetVar_0;
- inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$624$myNondetVar_0;
- goto inline$BDLGetDebugLevel$624$label_1#1;
-
- inline$BDLGetDebugLevel$624$label_1#1:
- goto inline$BDLGetDebugLevel$624$Return#1;
-
- inline$BDLGetDebugLevel$624$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon42_Then#1, inline$BDLSystemPowerIoCompletion$24$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_66_true#1, inline$BDLSystemPowerIoCompletion$24$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$24$anon43_Then#1, inline$BDLSystemPowerIoCompletion$24$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$24$anon44_Then#1, inline$BDLSystemPowerIoCompletion$24$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_74#1:
- goto inline$storm_IoCompleteRequest$50$Entry#1;
-
- inline$storm_IoCompleteRequest$50$Entry#1:
- inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$50$start#1;
-
- inline$storm_IoCompleteRequest$50$start#1:
- inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$50$label_3#1;
-
- inline$storm_IoCompleteRequest$50$label_3#1:
- call inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$50$label_6#1;
-
- inline$storm_IoCompleteRequest$50$label_6#1:
- goto inline$storm_IoCompleteRequest$50$label_6_true#1, inline$storm_IoCompleteRequest$50$label_6_false#1;
-
- inline$storm_IoCompleteRequest$50$label_6_false#1:
- assume inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$50$label_7#1;
-
- inline$storm_IoCompleteRequest$50$label_6_true#1:
- assume inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$50$label_8#1;
-
- inline$storm_IoCompleteRequest$50$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$50$anon3_Then#1, inline$storm_IoCompleteRequest$50$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$50$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$50$anon1#1;
-
- inline$storm_IoCompleteRequest$50$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$50$label_9#1;
-
- inline$storm_IoCompleteRequest$50$label_9#1:
- goto inline$storm_IoCompleteRequest$50$label_9_true#1, inline$storm_IoCompleteRequest$50$label_9_false#1;
-
- inline$storm_IoCompleteRequest$50$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$50$label_10#1;
-
- inline$storm_IoCompleteRequest$50$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$50$label_1#1;
-
- inline$storm_IoCompleteRequest$50$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$50$label_7#1;
-
- inline$storm_IoCompleteRequest$50$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$50$label_1#1;
-
- inline$storm_IoCompleteRequest$50$label_1#1:
- goto inline$storm_IoCompleteRequest$50$Return#1;
-
- inline$storm_IoCompleteRequest$50$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$50$Return#1;
-
- inline$storm_IoCompleteRequest$50$Return#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon45_Then#1, inline$BDLSystemPowerIoCompletion$24$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$24$anon46_Then#1, inline$BDLSystemPowerIoCompletion$24$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_80#1:
- goto inline$BDLGetDebugLevel$625$Entry#1;
-
- inline$BDLGetDebugLevel$625$Entry#1:
- goto inline$BDLGetDebugLevel$625$start#1;
-
- inline$BDLGetDebugLevel$625$start#1:
- goto inline$BDLGetDebugLevel$625$label_3#1;
-
- inline$BDLGetDebugLevel$625$label_3#1:
- havoc inline$BDLGetDebugLevel$625$myNondetVar_0;
- inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$625$myNondetVar_0;
- goto inline$BDLGetDebugLevel$625$label_1#1;
-
- inline$BDLGetDebugLevel$625$label_1#1:
- goto inline$BDLGetDebugLevel$625$Return#1;
-
- inline$BDLGetDebugLevel$625$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon47_Then#1, inline$BDLSystemPowerIoCompletion$24$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_83_true#1, inline$BDLSystemPowerIoCompletion$24$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_87#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_88#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_89#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$24$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_84#1:
- goto inline$BDLGetDebugLevel$626$Entry#1;
-
- inline$BDLGetDebugLevel$626$Entry#1:
- goto inline$BDLGetDebugLevel$626$start#1;
-
- inline$BDLGetDebugLevel$626$start#1:
- goto inline$BDLGetDebugLevel$626$label_3#1;
-
- inline$BDLGetDebugLevel$626$label_3#1:
- havoc inline$BDLGetDebugLevel$626$myNondetVar_0;
- inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$626$myNondetVar_0;
- goto inline$BDLGetDebugLevel$626$label_1#1;
-
- inline$BDLGetDebugLevel$626$label_1#1:
- goto inline$BDLGetDebugLevel$626$Return#1;
-
- inline$BDLGetDebugLevel$626$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon48_Then#1, inline$BDLSystemPowerIoCompletion$24$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_93_true#1, inline$BDLSystemPowerIoCompletion$24$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_97#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_98#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_99#1:
- call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$24$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$24$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_94#1:
- goto inline$BDLGetDebugLevel$627$Entry#1;
-
- inline$BDLGetDebugLevel$627$Entry#1:
- goto inline$BDLGetDebugLevel$627$start#1;
-
- inline$BDLGetDebugLevel$627$start#1:
- goto inline$BDLGetDebugLevel$627$label_3#1;
-
- inline$BDLGetDebugLevel$627$label_3#1:
- havoc inline$BDLGetDebugLevel$627$myNondetVar_0;
- inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$627$myNondetVar_0;
- goto inline$BDLGetDebugLevel$627$label_1#1;
-
- inline$BDLGetDebugLevel$627$label_1#1:
- goto inline$BDLGetDebugLevel$627$Return#1;
-
- inline$BDLGetDebugLevel$627$Return#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$24$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$24$anon49_Then#1, inline$BDLSystemPowerIoCompletion$24$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_103_true#1, inline$BDLSystemPowerIoCompletion$24$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$24$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$24$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_104#1:
- inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$24$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$24$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$24$Return#1;
-
- inline$BDLSystemPowerIoCompletion$24$Return#1:
- inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$24$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$24$anon14_Then#1, inline$CallCompletionRoutine$24$anon14_Else#1;
-
- inline$CallCompletionRoutine$24$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$24$anon9#1;
-
- inline$CallCompletionRoutine$24$anon9#1:
- goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$24$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$24$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$24$Entry#1:
- inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$24$start#1;
-
- inline$BDLDevicePowerIoCompletion$24$start#1:
- call inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$24$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_4#1:
- inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_6#1:
- inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$24$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$24$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$126$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$126$Entry#1:
- inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$126$start#1;
-
- inline$IoGetCurrentIrpStackLocation$126$start#1:
- inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$126$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$126$label_3_true#1, inline$IoGetCurrentIrpStackLocation$126$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$126$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$126$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$126$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$126$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$126$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$126$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$126$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$126$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$126$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$126$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$126$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$126$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$126$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$126$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$126$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$126$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$126$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon30_Then#1, inline$BDLDevicePowerIoCompletion$24$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_13#1:
- inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$24$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$24$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_17#1:
- goto inline$BDLGetDebugLevel$610$Entry#1;
-
- inline$BDLGetDebugLevel$610$Entry#1:
- goto inline$BDLGetDebugLevel$610$start#1;
-
- inline$BDLGetDebugLevel$610$start#1:
- goto inline$BDLGetDebugLevel$610$label_3#1;
-
- inline$BDLGetDebugLevel$610$label_3#1:
- havoc inline$BDLGetDebugLevel$610$myNondetVar_0;
- inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$610$myNondetVar_0;
- goto inline$BDLGetDebugLevel$610$label_1#1;
-
- inline$BDLGetDebugLevel$610$label_1#1:
- goto inline$BDLGetDebugLevel$610$Return#1;
-
- inline$BDLGetDebugLevel$610$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon31_Then#1, inline$BDLDevicePowerIoCompletion$24$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_20_true#1, inline$BDLDevicePowerIoCompletion$24$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_24#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_25#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_26#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$24$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_21#1:
- goto inline$BDLGetDebugLevel$611$Entry#1;
-
- inline$BDLGetDebugLevel$611$Entry#1:
- goto inline$BDLGetDebugLevel$611$start#1;
-
- inline$BDLGetDebugLevel$611$start#1:
- goto inline$BDLGetDebugLevel$611$label_3#1;
-
- inline$BDLGetDebugLevel$611$label_3#1:
- havoc inline$BDLGetDebugLevel$611$myNondetVar_0;
- inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$611$myNondetVar_0;
- goto inline$BDLGetDebugLevel$611$label_1#1;
-
- inline$BDLGetDebugLevel$611$label_1#1:
- goto inline$BDLGetDebugLevel$611$Return#1;
-
- inline$BDLGetDebugLevel$611$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon32_Then#1, inline$BDLDevicePowerIoCompletion$24$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_30_true#1, inline$BDLDevicePowerIoCompletion$24$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_34#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_35#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_36#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$24$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_31#1:
- goto inline$BDLGetDebugLevel$612$Entry#1;
-
- inline$BDLGetDebugLevel$612$Entry#1:
- goto inline$BDLGetDebugLevel$612$start#1;
-
- inline$BDLGetDebugLevel$612$start#1:
- goto inline$BDLGetDebugLevel$612$label_3#1;
-
- inline$BDLGetDebugLevel$612$label_3#1:
- havoc inline$BDLGetDebugLevel$612$myNondetVar_0;
- inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$612$myNondetVar_0;
- goto inline$BDLGetDebugLevel$612$label_1#1;
-
- inline$BDLGetDebugLevel$612$label_1#1:
- goto inline$BDLGetDebugLevel$612$Return#1;
-
- inline$BDLGetDebugLevel$612$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon33_Then#1, inline$BDLDevicePowerIoCompletion$24$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_40_true#1, inline$BDLDevicePowerIoCompletion$24$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_41_true#1, inline$BDLDevicePowerIoCompletion$24$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$24$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$24$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_44_true#1, inline$BDLDevicePowerIoCompletion$24$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$24$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_45_true#1, inline$BDLDevicePowerIoCompletion$24$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$24$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$24$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$24$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$24$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$24$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_54#1:
- inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$24$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_55_true#1, inline$BDLDevicePowerIoCompletion$24$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_56#1:
- call inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$24$anon34_Then#1, inline$BDLDevicePowerIoCompletion$24$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_59#1:
- goto inline$BDLGetDebugLevel$613$Entry#1;
-
- inline$BDLGetDebugLevel$613$Entry#1:
- goto inline$BDLGetDebugLevel$613$start#1;
-
- inline$BDLGetDebugLevel$613$start#1:
- goto inline$BDLGetDebugLevel$613$label_3#1;
-
- inline$BDLGetDebugLevel$613$label_3#1:
- havoc inline$BDLGetDebugLevel$613$myNondetVar_0;
- inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$613$myNondetVar_0;
- goto inline$BDLGetDebugLevel$613$label_1#1;
-
- inline$BDLGetDebugLevel$613$label_1#1:
- goto inline$BDLGetDebugLevel$613$Return#1;
-
- inline$BDLGetDebugLevel$613$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon35_Then#1, inline$BDLDevicePowerIoCompletion$24$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_62_true#1, inline$BDLDevicePowerIoCompletion$24$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_66#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_67#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_68#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$24$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_63#1:
- goto inline$BDLGetDebugLevel$614$Entry#1;
-
- inline$BDLGetDebugLevel$614$Entry#1:
- goto inline$BDLGetDebugLevel$614$start#1;
-
- inline$BDLGetDebugLevel$614$start#1:
- goto inline$BDLGetDebugLevel$614$label_3#1;
-
- inline$BDLGetDebugLevel$614$label_3#1:
- havoc inline$BDLGetDebugLevel$614$myNondetVar_0;
- inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$614$myNondetVar_0;
- goto inline$BDLGetDebugLevel$614$label_1#1;
-
- inline$BDLGetDebugLevel$614$label_1#1:
- goto inline$BDLGetDebugLevel$614$Return#1;
-
- inline$BDLGetDebugLevel$614$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon36_Then#1, inline$BDLDevicePowerIoCompletion$24$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_72_true#1, inline$BDLDevicePowerIoCompletion$24$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_76#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_77#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_78#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$24$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_73#1:
- goto inline$BDLGetDebugLevel$615$Entry#1;
-
- inline$BDLGetDebugLevel$615$Entry#1:
- goto inline$BDLGetDebugLevel$615$start#1;
-
- inline$BDLGetDebugLevel$615$start#1:
- goto inline$BDLGetDebugLevel$615$label_3#1;
-
- inline$BDLGetDebugLevel$615$label_3#1:
- havoc inline$BDLGetDebugLevel$615$myNondetVar_0;
- inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$615$myNondetVar_0;
- goto inline$BDLGetDebugLevel$615$label_1#1;
-
- inline$BDLGetDebugLevel$615$label_1#1:
- goto inline$BDLGetDebugLevel$615$Return#1;
-
- inline$BDLGetDebugLevel$615$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon37_Then#1, inline$BDLDevicePowerIoCompletion$24$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_82_true#1, inline$BDLDevicePowerIoCompletion$24$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$24$anon38_Then#1, inline$BDLDevicePowerIoCompletion$24$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$24$anon39_Then#1, inline$BDLDevicePowerIoCompletion$24$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_92#1:
- goto inline$storm_IoCompleteRequest$49$Entry#1;
-
- inline$storm_IoCompleteRequest$49$Entry#1:
- inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$49$start#1;
-
- inline$storm_IoCompleteRequest$49$start#1:
- inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$49$label_3#1;
-
- inline$storm_IoCompleteRequest$49$label_3#1:
- call inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$49$label_6#1;
-
- inline$storm_IoCompleteRequest$49$label_6#1:
- goto inline$storm_IoCompleteRequest$49$label_6_true#1, inline$storm_IoCompleteRequest$49$label_6_false#1;
-
- inline$storm_IoCompleteRequest$49$label_6_false#1:
- assume inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$49$label_7#1;
-
- inline$storm_IoCompleteRequest$49$label_6_true#1:
- assume inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$49$label_8#1;
-
- inline$storm_IoCompleteRequest$49$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$49$anon3_Then#1, inline$storm_IoCompleteRequest$49$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$49$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$49$anon1#1;
-
- inline$storm_IoCompleteRequest$49$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$49$label_9#1;
-
- inline$storm_IoCompleteRequest$49$label_9#1:
- goto inline$storm_IoCompleteRequest$49$label_9_true#1, inline$storm_IoCompleteRequest$49$label_9_false#1;
-
- inline$storm_IoCompleteRequest$49$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$49$label_10#1;
-
- inline$storm_IoCompleteRequest$49$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$49$label_1#1;
-
- inline$storm_IoCompleteRequest$49$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$49$label_7#1;
-
- inline$storm_IoCompleteRequest$49$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$49$label_1#1;
-
- inline$storm_IoCompleteRequest$49$label_1#1:
- goto inline$storm_IoCompleteRequest$49$Return#1;
-
- inline$storm_IoCompleteRequest$49$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$49$Return#1;
-
- inline$storm_IoCompleteRequest$49$Return#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon40_Then#1, inline$BDLDevicePowerIoCompletion$24$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$24$anon41_Then#1, inline$BDLDevicePowerIoCompletion$24$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_98#1:
- goto inline$BDLGetDebugLevel$616$Entry#1;
-
- inline$BDLGetDebugLevel$616$Entry#1:
- goto inline$BDLGetDebugLevel$616$start#1;
-
- inline$BDLGetDebugLevel$616$start#1:
- goto inline$BDLGetDebugLevel$616$label_3#1;
-
- inline$BDLGetDebugLevel$616$label_3#1:
- havoc inline$BDLGetDebugLevel$616$myNondetVar_0;
- inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$616$myNondetVar_0;
- goto inline$BDLGetDebugLevel$616$label_1#1;
-
- inline$BDLGetDebugLevel$616$label_1#1:
- goto inline$BDLGetDebugLevel$616$Return#1;
-
- inline$BDLGetDebugLevel$616$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon42_Then#1, inline$BDLDevicePowerIoCompletion$24$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_101_true#1, inline$BDLDevicePowerIoCompletion$24$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_105#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_106#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_107#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$24$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_102#1:
- goto inline$BDLGetDebugLevel$617$Entry#1;
-
- inline$BDLGetDebugLevel$617$Entry#1:
- goto inline$BDLGetDebugLevel$617$start#1;
-
- inline$BDLGetDebugLevel$617$start#1:
- goto inline$BDLGetDebugLevel$617$label_3#1;
-
- inline$BDLGetDebugLevel$617$label_3#1:
- havoc inline$BDLGetDebugLevel$617$myNondetVar_0;
- inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$617$myNondetVar_0;
- goto inline$BDLGetDebugLevel$617$label_1#1;
-
- inline$BDLGetDebugLevel$617$label_1#1:
- goto inline$BDLGetDebugLevel$617$Return#1;
-
- inline$BDLGetDebugLevel$617$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon43_Then#1, inline$BDLDevicePowerIoCompletion$24$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_111_true#1, inline$BDLDevicePowerIoCompletion$24$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_115#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_116#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_117#1:
- call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$24$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$24$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_112#1:
- goto inline$BDLGetDebugLevel$618$Entry#1;
-
- inline$BDLGetDebugLevel$618$Entry#1:
- goto inline$BDLGetDebugLevel$618$start#1;
-
- inline$BDLGetDebugLevel$618$start#1:
- goto inline$BDLGetDebugLevel$618$label_3#1;
-
- inline$BDLGetDebugLevel$618$label_3#1:
- havoc inline$BDLGetDebugLevel$618$myNondetVar_0;
- inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$618$myNondetVar_0;
- goto inline$BDLGetDebugLevel$618$label_1#1;
-
- inline$BDLGetDebugLevel$618$label_1#1:
- goto inline$BDLGetDebugLevel$618$Return#1;
-
- inline$BDLGetDebugLevel$618$Return#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$24$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$24$anon44_Then#1, inline$BDLDevicePowerIoCompletion$24$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_121_true#1, inline$BDLDevicePowerIoCompletion$24$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$24$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$24$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_122#1:
- inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$24$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$24$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$24$Return#1;
-
- inline$BDLDevicePowerIoCompletion$24$Return#1:
- inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$24$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$24$anon13_Then#1, inline$CallCompletionRoutine$24$anon13_Else#1;
-
- inline$CallCompletionRoutine$24$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$24$anon7#1;
-
- inline$CallCompletionRoutine$24$anon7#1:
- goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$24$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$24$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$24$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$24$Entry#1:
- inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$24$start#1;
-
- inline$BDLCallDriverCompletionRoutine$24$start#1:
- inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$24$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$24$label_3_true#1, inline$BDLCallDriverCompletionRoutine$24$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$24$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$24$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$24$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$24$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_6#1:
- goto inline$storm_KeSetEvent$26$Entry#1;
-
- inline$storm_KeSetEvent$26$Entry#1:
- inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$26$start#1;
-
- inline$storm_KeSetEvent$26$start#1:
- inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$26$label_3#1;
-
- inline$storm_KeSetEvent$26$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$26$label_1#1;
-
- inline$storm_KeSetEvent$26$label_1#1:
- goto inline$storm_KeSetEvent$26$Return#1;
-
- inline$storm_KeSetEvent$26$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$24$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$24$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$24$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$24$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$24$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$24$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$24$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_9#1:
- inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$24$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$24$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$24$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$24$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$24$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$24$Return#1:
- inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$24$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$24$anon12_Then#1, inline$CallCompletionRoutine$24$anon12_Else#1;
-
- inline$CallCompletionRoutine$24$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$24$anon5#1;
-
- inline$CallCompletionRoutine$24$anon5#1:
- goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$24$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$24$label_23#1;
-
- inline$CallCompletionRoutine$24$label_23#1:
- inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$24$label_24#1;
-
- inline$CallCompletionRoutine$24$label_24#1:
- goto inline$CallCompletionRoutine$24$label_24_true#1, inline$CallCompletionRoutine$24$label_24_false#1;
-
- inline$CallCompletionRoutine$24$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$24$label_1#1;
-
- inline$CallCompletionRoutine$24$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$24$label_25#1;
-
- inline$CallCompletionRoutine$24$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$24$label_1#1;
-
- inline$CallCompletionRoutine$24$label_1#1:
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$24$Return#1;
-
- inline$CallCompletionRoutine$24$Return#1:
- goto inline$storm_IoCallDriver$12$label_33$1#1;
-
- inline$storm_IoCallDriver$12$label_33$1#1:
- goto inline$storm_IoCallDriver$12$anon14_Then#1, inline$storm_IoCallDriver$12$anon14_Else#1;
-
- inline$storm_IoCallDriver$12$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$12$anon8#1;
-
- inline$storm_IoCallDriver$12$anon8#1:
- goto inline$storm_IoCallDriver$12$label_36#1;
-
- inline$storm_IoCallDriver$12$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$label_27_case_1#1:
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$12$label_29#1;
-
- inline$storm_IoCallDriver$12$label_29#1:
- inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$12$label_37#1;
-
- inline$storm_IoCallDriver$12$label_37#1:
- goto inline$storm_IoCallDriver$12$label_38#1;
-
- inline$storm_IoCallDriver$12$label_38#1:
- goto inline$storm_IoCallDriver$12$label_39#1;
-
- inline$storm_IoCallDriver$12$label_39#1:
- goto inline$CallCompletionRoutine$25$Entry#1;
-
- inline$CallCompletionRoutine$25$Entry#1:
- inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$25$start#1;
-
- inline$CallCompletionRoutine$25$start#1:
- inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$25$label_3#1;
-
- inline$CallCompletionRoutine$25$label_3#1:
- goto inline$CallCompletionRoutine$25$label_4#1;
-
- inline$CallCompletionRoutine$25$label_4#1:
- goto inline$CallCompletionRoutine$25$label_5#1;
-
- inline$CallCompletionRoutine$25$label_5#1:
- goto inline$CallCompletionRoutine$25$label_6#1;
-
- inline$CallCompletionRoutine$25$label_6#1:
- goto inline$CallCompletionRoutine$25$label_7#1;
-
- inline$CallCompletionRoutine$25$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$128$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$128$Entry#1:
- inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$128$start#1;
-
- inline$IoGetCurrentIrpStackLocation$128$start#1:
- inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$128$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$128$label_3_true#1, inline$IoGetCurrentIrpStackLocation$128$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$128$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$128$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$128$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$128$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$128$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$128$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$128$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$128$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$128$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$128$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$128$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$128$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$128$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$128$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$128$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$128$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$128$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$128$Return#1:
- goto inline$CallCompletionRoutine$25$label_7$1#1;
-
- inline$CallCompletionRoutine$25$label_7$1#1:
- goto inline$CallCompletionRoutine$25$anon10_Then#1, inline$CallCompletionRoutine$25$anon10_Else#1;
-
- inline$CallCompletionRoutine$25$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$25$anon1#1;
-
- inline$CallCompletionRoutine$25$anon1#1:
- goto inline$CallCompletionRoutine$25$label_10#1;
-
- inline$CallCompletionRoutine$25$label_10#1:
- goto inline$CallCompletionRoutine$25$label_11#1;
-
- inline$CallCompletionRoutine$25$label_11#1:
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$CallCompletionRoutine$25$label_12#1;
-
- inline$CallCompletionRoutine$25$label_12#1:
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$CallCompletionRoutine$25$label_13#1;
-
- inline$CallCompletionRoutine$25$label_13#1:
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$CallCompletionRoutine$25$label_14#1;
-
- inline$CallCompletionRoutine$25$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$129$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$129$Entry#1:
- inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$129$start#1;
-
- inline$IoGetCurrentIrpStackLocation$129$start#1:
- inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$129$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$129$label_3_true#1, inline$IoGetCurrentIrpStackLocation$129$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$129$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$129$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$129$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$129$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$129$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$129$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$129$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$129$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$129$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$129$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$129$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$129$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$129$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$129$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$129$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$129$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$129$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$129$Return#1:
- goto inline$CallCompletionRoutine$25$label_14$1#1;
-
- inline$CallCompletionRoutine$25$label_14$1#1:
- goto inline$CallCompletionRoutine$25$anon11_Then#1, inline$CallCompletionRoutine$25$anon11_Else#1;
-
- inline$CallCompletionRoutine$25$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$25$anon3#1;
-
- inline$CallCompletionRoutine$25$anon3#1:
- goto inline$CallCompletionRoutine$25$label_17#1;
-
- inline$CallCompletionRoutine$25$label_17#1:
- goto inline$CallCompletionRoutine$25$label_18#1;
-
- inline$CallCompletionRoutine$25$label_18#1:
- goto inline$CallCompletionRoutine$25$label_18_true#1, inline$CallCompletionRoutine$25$label_18_false#1;
-
- inline$CallCompletionRoutine$25$label_18_false#1:
- assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$25$label_1#1;
-
- inline$CallCompletionRoutine$25$label_18_true#1:
- assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$25$label_19#1;
-
- inline$CallCompletionRoutine$25$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$25$label_20#1;
-
- inline$CallCompletionRoutine$25$label_20#1:
- goto inline$CallCompletionRoutine$25$label_20_icall_1#1, inline$CallCompletionRoutine$25$label_20_icall_2#1, inline$CallCompletionRoutine$25$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$25$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$25$Entry#1:
- inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$25$start#1;
-
- inline$BDLSystemPowerIoCompletion$25$start#1:
- call inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$25$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_4#1:
- inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_6#1:
- inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$25$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$25$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$131$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$131$Entry#1:
- inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$131$start#1;
-
- inline$IoGetCurrentIrpStackLocation$131$start#1:
- inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$131$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$131$label_3_true#1, inline$IoGetCurrentIrpStackLocation$131$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$131$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$131$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$131$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$131$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$131$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$131$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$131$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$131$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$131$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$131$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$131$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$131$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$131$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$131$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$131$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$131$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$131$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$131$Return#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon36_Then#1, inline$BDLSystemPowerIoCompletion$25$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_15#1:
- goto inline$BDLGetDebugLevel$640$Entry#1;
-
- inline$BDLGetDebugLevel$640$Entry#1:
- goto inline$BDLGetDebugLevel$640$start#1;
-
- inline$BDLGetDebugLevel$640$start#1:
- goto inline$BDLGetDebugLevel$640$label_3#1;
-
- inline$BDLGetDebugLevel$640$label_3#1:
- havoc inline$BDLGetDebugLevel$640$myNondetVar_0;
- inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$640$myNondetVar_0;
- goto inline$BDLGetDebugLevel$640$label_1#1;
-
- inline$BDLGetDebugLevel$640$label_1#1:
- goto inline$BDLGetDebugLevel$640$Return#1;
-
- inline$BDLGetDebugLevel$640$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon37_Then#1, inline$BDLSystemPowerIoCompletion$25$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_18_true#1, inline$BDLSystemPowerIoCompletion$25$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_22#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_23#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_24#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$25$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_19#1:
- goto inline$BDLGetDebugLevel$641$Entry#1;
-
- inline$BDLGetDebugLevel$641$Entry#1:
- goto inline$BDLGetDebugLevel$641$start#1;
-
- inline$BDLGetDebugLevel$641$start#1:
- goto inline$BDLGetDebugLevel$641$label_3#1;
-
- inline$BDLGetDebugLevel$641$label_3#1:
- havoc inline$BDLGetDebugLevel$641$myNondetVar_0;
- inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$641$myNondetVar_0;
- goto inline$BDLGetDebugLevel$641$label_1#1;
-
- inline$BDLGetDebugLevel$641$label_1#1:
- goto inline$BDLGetDebugLevel$641$Return#1;
-
- inline$BDLGetDebugLevel$641$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon38_Then#1, inline$BDLSystemPowerIoCompletion$25$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_28_true#1, inline$BDLSystemPowerIoCompletion$25$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_32#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_33#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_34#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$25$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_29#1:
- goto inline$BDLGetDebugLevel$642$Entry#1;
-
- inline$BDLGetDebugLevel$642$Entry#1:
- goto inline$BDLGetDebugLevel$642$start#1;
-
- inline$BDLGetDebugLevel$642$start#1:
- goto inline$BDLGetDebugLevel$642$label_3#1;
-
- inline$BDLGetDebugLevel$642$label_3#1:
- havoc inline$BDLGetDebugLevel$642$myNondetVar_0;
- inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$642$myNondetVar_0;
- goto inline$BDLGetDebugLevel$642$label_1#1;
-
- inline$BDLGetDebugLevel$642$label_1#1:
- goto inline$BDLGetDebugLevel$642$Return#1;
-
- inline$BDLGetDebugLevel$642$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon39_Then#1, inline$BDLSystemPowerIoCompletion$25$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_38_true#1, inline$BDLSystemPowerIoCompletion$25$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_39_true#1, inline$BDLSystemPowerIoCompletion$25$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$25$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$25$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$25$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_106_true#1, inline$BDLSystemPowerIoCompletion$25$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_142#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_143#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$25$myNondetVar_0, inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$25$anon50_Then#1, inline$BDLSystemPowerIoCompletion$25$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_112#1:
- inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$25$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_113_true#1, inline$BDLSystemPowerIoCompletion$25$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$25$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_117#1:
- goto inline$BDLGetDebugLevel$649$Entry#1;
-
- inline$BDLGetDebugLevel$649$Entry#1:
- goto inline$BDLGetDebugLevel$649$start#1;
-
- inline$BDLGetDebugLevel$649$start#1:
- goto inline$BDLGetDebugLevel$649$label_3#1;
-
- inline$BDLGetDebugLevel$649$label_3#1:
- havoc inline$BDLGetDebugLevel$649$myNondetVar_0;
- inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$649$myNondetVar_0;
- goto inline$BDLGetDebugLevel$649$label_1#1;
-
- inline$BDLGetDebugLevel$649$label_1#1:
- goto inline$BDLGetDebugLevel$649$Return#1;
-
- inline$BDLGetDebugLevel$649$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon51_Then#1, inline$BDLSystemPowerIoCompletion$25$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_120_true#1, inline$BDLSystemPowerIoCompletion$25$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_124#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_125#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_126#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$25$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_121#1:
- goto inline$BDLGetDebugLevel$650$Entry#1;
-
- inline$BDLGetDebugLevel$650$Entry#1:
- goto inline$BDLGetDebugLevel$650$start#1;
-
- inline$BDLGetDebugLevel$650$start#1:
- goto inline$BDLGetDebugLevel$650$label_3#1;
-
- inline$BDLGetDebugLevel$650$label_3#1:
- havoc inline$BDLGetDebugLevel$650$myNondetVar_0;
- inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$650$myNondetVar_0;
- goto inline$BDLGetDebugLevel$650$label_1#1;
-
- inline$BDLGetDebugLevel$650$label_1#1:
- goto inline$BDLGetDebugLevel$650$Return#1;
-
- inline$BDLGetDebugLevel$650$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon52_Then#1, inline$BDLSystemPowerIoCompletion$25$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_130_true#1, inline$BDLSystemPowerIoCompletion$25$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_134#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_135#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_136#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$25$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_131#1:
- goto inline$BDLGetDebugLevel$651$Entry#1;
-
- inline$BDLGetDebugLevel$651$Entry#1:
- goto inline$BDLGetDebugLevel$651$start#1;
-
- inline$BDLGetDebugLevel$651$start#1:
- goto inline$BDLGetDebugLevel$651$label_3#1;
-
- inline$BDLGetDebugLevel$651$label_3#1:
- havoc inline$BDLGetDebugLevel$651$myNondetVar_0;
- inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$651$myNondetVar_0;
- goto inline$BDLGetDebugLevel$651$label_1#1;
-
- inline$BDLGetDebugLevel$651$label_1#1:
- goto inline$BDLGetDebugLevel$651$Return#1;
-
- inline$BDLGetDebugLevel$651$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon53_Then#1, inline$BDLSystemPowerIoCompletion$25$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_140_true#1, inline$BDLSystemPowerIoCompletion$25$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$25$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_115#1:
- inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$25$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$25$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_43#1:
- goto inline$BDLGetDebugLevel$643$Entry#1;
-
- inline$BDLGetDebugLevel$643$Entry#1:
- goto inline$BDLGetDebugLevel$643$start#1;
-
- inline$BDLGetDebugLevel$643$start#1:
- goto inline$BDLGetDebugLevel$643$label_3#1;
-
- inline$BDLGetDebugLevel$643$label_3#1:
- havoc inline$BDLGetDebugLevel$643$myNondetVar_0;
- inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$643$myNondetVar_0;
- goto inline$BDLGetDebugLevel$643$label_1#1;
-
- inline$BDLGetDebugLevel$643$label_1#1:
- goto inline$BDLGetDebugLevel$643$Return#1;
-
- inline$BDLGetDebugLevel$643$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon40_Then#1, inline$BDLSystemPowerIoCompletion$25$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_46_true#1, inline$BDLSystemPowerIoCompletion$25$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_50#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_51#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_52#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$25$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_47#1:
- goto inline$BDLGetDebugLevel$644$Entry#1;
-
- inline$BDLGetDebugLevel$644$Entry#1:
- goto inline$BDLGetDebugLevel$644$start#1;
-
- inline$BDLGetDebugLevel$644$start#1:
- goto inline$BDLGetDebugLevel$644$label_3#1;
-
- inline$BDLGetDebugLevel$644$label_3#1:
- havoc inline$BDLGetDebugLevel$644$myNondetVar_0;
- inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$644$myNondetVar_0;
- goto inline$BDLGetDebugLevel$644$label_1#1;
-
- inline$BDLGetDebugLevel$644$label_1#1:
- goto inline$BDLGetDebugLevel$644$Return#1;
-
- inline$BDLGetDebugLevel$644$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon41_Then#1, inline$BDLSystemPowerIoCompletion$25$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_56_true#1, inline$BDLSystemPowerIoCompletion$25$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_60#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_61#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_62#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$25$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_57#1:
- goto inline$BDLGetDebugLevel$645$Entry#1;
-
- inline$BDLGetDebugLevel$645$Entry#1:
- goto inline$BDLGetDebugLevel$645$start#1;
-
- inline$BDLGetDebugLevel$645$start#1:
- goto inline$BDLGetDebugLevel$645$label_3#1;
-
- inline$BDLGetDebugLevel$645$label_3#1:
- havoc inline$BDLGetDebugLevel$645$myNondetVar_0;
- inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$645$myNondetVar_0;
- goto inline$BDLGetDebugLevel$645$label_1#1;
-
- inline$BDLGetDebugLevel$645$label_1#1:
- goto inline$BDLGetDebugLevel$645$Return#1;
-
- inline$BDLGetDebugLevel$645$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon42_Then#1, inline$BDLSystemPowerIoCompletion$25$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_66_true#1, inline$BDLSystemPowerIoCompletion$25$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$25$anon43_Then#1, inline$BDLSystemPowerIoCompletion$25$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$25$anon44_Then#1, inline$BDLSystemPowerIoCompletion$25$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_74#1:
- goto inline$storm_IoCompleteRequest$52$Entry#1;
-
- inline$storm_IoCompleteRequest$52$Entry#1:
- inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$52$start#1;
-
- inline$storm_IoCompleteRequest$52$start#1:
- inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$52$label_3#1;
-
- inline$storm_IoCompleteRequest$52$label_3#1:
- call inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$52$label_6#1;
-
- inline$storm_IoCompleteRequest$52$label_6#1:
- goto inline$storm_IoCompleteRequest$52$label_6_true#1, inline$storm_IoCompleteRequest$52$label_6_false#1;
-
- inline$storm_IoCompleteRequest$52$label_6_false#1:
- assume inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$52$label_7#1;
-
- inline$storm_IoCompleteRequest$52$label_6_true#1:
- assume inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$52$label_8#1;
-
- inline$storm_IoCompleteRequest$52$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$52$anon3_Then#1, inline$storm_IoCompleteRequest$52$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$52$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$52$anon1#1;
-
- inline$storm_IoCompleteRequest$52$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$52$label_9#1;
-
- inline$storm_IoCompleteRequest$52$label_9#1:
- goto inline$storm_IoCompleteRequest$52$label_9_true#1, inline$storm_IoCompleteRequest$52$label_9_false#1;
-
- inline$storm_IoCompleteRequest$52$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$52$label_10#1;
-
- inline$storm_IoCompleteRequest$52$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$52$label_1#1;
-
- inline$storm_IoCompleteRequest$52$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$52$label_7#1;
-
- inline$storm_IoCompleteRequest$52$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$52$label_1#1;
-
- inline$storm_IoCompleteRequest$52$label_1#1:
- goto inline$storm_IoCompleteRequest$52$Return#1;
-
- inline$storm_IoCompleteRequest$52$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$52$Return#1;
-
- inline$storm_IoCompleteRequest$52$Return#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon45_Then#1, inline$BDLSystemPowerIoCompletion$25$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$25$anon46_Then#1, inline$BDLSystemPowerIoCompletion$25$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_80#1:
- goto inline$BDLGetDebugLevel$646$Entry#1;
-
- inline$BDLGetDebugLevel$646$Entry#1:
- goto inline$BDLGetDebugLevel$646$start#1;
-
- inline$BDLGetDebugLevel$646$start#1:
- goto inline$BDLGetDebugLevel$646$label_3#1;
-
- inline$BDLGetDebugLevel$646$label_3#1:
- havoc inline$BDLGetDebugLevel$646$myNondetVar_0;
- inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$646$myNondetVar_0;
- goto inline$BDLGetDebugLevel$646$label_1#1;
-
- inline$BDLGetDebugLevel$646$label_1#1:
- goto inline$BDLGetDebugLevel$646$Return#1;
-
- inline$BDLGetDebugLevel$646$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon47_Then#1, inline$BDLSystemPowerIoCompletion$25$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_83_true#1, inline$BDLSystemPowerIoCompletion$25$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_87#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_88#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_89#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$25$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_84#1:
- goto inline$BDLGetDebugLevel$647$Entry#1;
-
- inline$BDLGetDebugLevel$647$Entry#1:
- goto inline$BDLGetDebugLevel$647$start#1;
-
- inline$BDLGetDebugLevel$647$start#1:
- goto inline$BDLGetDebugLevel$647$label_3#1;
-
- inline$BDLGetDebugLevel$647$label_3#1:
- havoc inline$BDLGetDebugLevel$647$myNondetVar_0;
- inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$647$myNondetVar_0;
- goto inline$BDLGetDebugLevel$647$label_1#1;
-
- inline$BDLGetDebugLevel$647$label_1#1:
- goto inline$BDLGetDebugLevel$647$Return#1;
-
- inline$BDLGetDebugLevel$647$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon48_Then#1, inline$BDLSystemPowerIoCompletion$25$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_93_true#1, inline$BDLSystemPowerIoCompletion$25$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_97#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_98#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_99#1:
- call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$25$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$25$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_94#1:
- goto inline$BDLGetDebugLevel$648$Entry#1;
-
- inline$BDLGetDebugLevel$648$Entry#1:
- goto inline$BDLGetDebugLevel$648$start#1;
-
- inline$BDLGetDebugLevel$648$start#1:
- goto inline$BDLGetDebugLevel$648$label_3#1;
-
- inline$BDLGetDebugLevel$648$label_3#1:
- havoc inline$BDLGetDebugLevel$648$myNondetVar_0;
- inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$648$myNondetVar_0;
- goto inline$BDLGetDebugLevel$648$label_1#1;
-
- inline$BDLGetDebugLevel$648$label_1#1:
- goto inline$BDLGetDebugLevel$648$Return#1;
-
- inline$BDLGetDebugLevel$648$Return#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$25$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$25$anon49_Then#1, inline$BDLSystemPowerIoCompletion$25$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_103_true#1, inline$BDLSystemPowerIoCompletion$25$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$25$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$25$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_104#1:
- inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$25$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$25$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$25$Return#1;
-
- inline$BDLSystemPowerIoCompletion$25$Return#1:
- inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$25$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$25$anon14_Then#1, inline$CallCompletionRoutine$25$anon14_Else#1;
-
- inline$CallCompletionRoutine$25$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$25$anon9#1;
-
- inline$CallCompletionRoutine$25$anon9#1:
- goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$25$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$25$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$25$Entry#1:
- inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$25$start#1;
-
- inline$BDLDevicePowerIoCompletion$25$start#1:
- call inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$25$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_4#1:
- inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_6#1:
- inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$25$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$25$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$130$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$130$Entry#1:
- inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$130$start#1;
-
- inline$IoGetCurrentIrpStackLocation$130$start#1:
- inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$130$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$130$label_3_true#1, inline$IoGetCurrentIrpStackLocation$130$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$130$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$130$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$130$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$130$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$130$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$130$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$130$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$130$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$130$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$130$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$130$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$130$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$130$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$130$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$130$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$130$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$130$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon30_Then#1, inline$BDLDevicePowerIoCompletion$25$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_13#1:
- inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$25$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$25$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_17#1:
- goto inline$BDLGetDebugLevel$631$Entry#1;
-
- inline$BDLGetDebugLevel$631$Entry#1:
- goto inline$BDLGetDebugLevel$631$start#1;
-
- inline$BDLGetDebugLevel$631$start#1:
- goto inline$BDLGetDebugLevel$631$label_3#1;
-
- inline$BDLGetDebugLevel$631$label_3#1:
- havoc inline$BDLGetDebugLevel$631$myNondetVar_0;
- inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$631$myNondetVar_0;
- goto inline$BDLGetDebugLevel$631$label_1#1;
-
- inline$BDLGetDebugLevel$631$label_1#1:
- goto inline$BDLGetDebugLevel$631$Return#1;
-
- inline$BDLGetDebugLevel$631$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon31_Then#1, inline$BDLDevicePowerIoCompletion$25$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_20_true#1, inline$BDLDevicePowerIoCompletion$25$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_24#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_25#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_26#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$25$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_21#1:
- goto inline$BDLGetDebugLevel$632$Entry#1;
-
- inline$BDLGetDebugLevel$632$Entry#1:
- goto inline$BDLGetDebugLevel$632$start#1;
-
- inline$BDLGetDebugLevel$632$start#1:
- goto inline$BDLGetDebugLevel$632$label_3#1;
-
- inline$BDLGetDebugLevel$632$label_3#1:
- havoc inline$BDLGetDebugLevel$632$myNondetVar_0;
- inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$632$myNondetVar_0;
- goto inline$BDLGetDebugLevel$632$label_1#1;
-
- inline$BDLGetDebugLevel$632$label_1#1:
- goto inline$BDLGetDebugLevel$632$Return#1;
-
- inline$BDLGetDebugLevel$632$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon32_Then#1, inline$BDLDevicePowerIoCompletion$25$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_30_true#1, inline$BDLDevicePowerIoCompletion$25$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_34#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_35#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_36#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$25$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_31#1:
- goto inline$BDLGetDebugLevel$633$Entry#1;
-
- inline$BDLGetDebugLevel$633$Entry#1:
- goto inline$BDLGetDebugLevel$633$start#1;
-
- inline$BDLGetDebugLevel$633$start#1:
- goto inline$BDLGetDebugLevel$633$label_3#1;
-
- inline$BDLGetDebugLevel$633$label_3#1:
- havoc inline$BDLGetDebugLevel$633$myNondetVar_0;
- inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$633$myNondetVar_0;
- goto inline$BDLGetDebugLevel$633$label_1#1;
-
- inline$BDLGetDebugLevel$633$label_1#1:
- goto inline$BDLGetDebugLevel$633$Return#1;
-
- inline$BDLGetDebugLevel$633$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon33_Then#1, inline$BDLDevicePowerIoCompletion$25$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_40_true#1, inline$BDLDevicePowerIoCompletion$25$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_41_true#1, inline$BDLDevicePowerIoCompletion$25$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$25$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$25$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_44_true#1, inline$BDLDevicePowerIoCompletion$25$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$25$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_45_true#1, inline$BDLDevicePowerIoCompletion$25$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$25$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$25$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$25$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$25$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$25$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_54#1:
- inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$25$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_55_true#1, inline$BDLDevicePowerIoCompletion$25$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_56#1:
- call inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$25$anon34_Then#1, inline$BDLDevicePowerIoCompletion$25$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_59#1:
- goto inline$BDLGetDebugLevel$634$Entry#1;
-
- inline$BDLGetDebugLevel$634$Entry#1:
- goto inline$BDLGetDebugLevel$634$start#1;
-
- inline$BDLGetDebugLevel$634$start#1:
- goto inline$BDLGetDebugLevel$634$label_3#1;
-
- inline$BDLGetDebugLevel$634$label_3#1:
- havoc inline$BDLGetDebugLevel$634$myNondetVar_0;
- inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$634$myNondetVar_0;
- goto inline$BDLGetDebugLevel$634$label_1#1;
-
- inline$BDLGetDebugLevel$634$label_1#1:
- goto inline$BDLGetDebugLevel$634$Return#1;
-
- inline$BDLGetDebugLevel$634$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon35_Then#1, inline$BDLDevicePowerIoCompletion$25$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_62_true#1, inline$BDLDevicePowerIoCompletion$25$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_66#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_67#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_68#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$25$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_63#1:
- goto inline$BDLGetDebugLevel$635$Entry#1;
-
- inline$BDLGetDebugLevel$635$Entry#1:
- goto inline$BDLGetDebugLevel$635$start#1;
-
- inline$BDLGetDebugLevel$635$start#1:
- goto inline$BDLGetDebugLevel$635$label_3#1;
-
- inline$BDLGetDebugLevel$635$label_3#1:
- havoc inline$BDLGetDebugLevel$635$myNondetVar_0;
- inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$635$myNondetVar_0;
- goto inline$BDLGetDebugLevel$635$label_1#1;
-
- inline$BDLGetDebugLevel$635$label_1#1:
- goto inline$BDLGetDebugLevel$635$Return#1;
-
- inline$BDLGetDebugLevel$635$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon36_Then#1, inline$BDLDevicePowerIoCompletion$25$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_72_true#1, inline$BDLDevicePowerIoCompletion$25$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_76#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_77#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_78#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$25$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_73#1:
- goto inline$BDLGetDebugLevel$636$Entry#1;
-
- inline$BDLGetDebugLevel$636$Entry#1:
- goto inline$BDLGetDebugLevel$636$start#1;
-
- inline$BDLGetDebugLevel$636$start#1:
- goto inline$BDLGetDebugLevel$636$label_3#1;
-
- inline$BDLGetDebugLevel$636$label_3#1:
- havoc inline$BDLGetDebugLevel$636$myNondetVar_0;
- inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$636$myNondetVar_0;
- goto inline$BDLGetDebugLevel$636$label_1#1;
-
- inline$BDLGetDebugLevel$636$label_1#1:
- goto inline$BDLGetDebugLevel$636$Return#1;
-
- inline$BDLGetDebugLevel$636$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon37_Then#1, inline$BDLDevicePowerIoCompletion$25$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_82_true#1, inline$BDLDevicePowerIoCompletion$25$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$25$anon38_Then#1, inline$BDLDevicePowerIoCompletion$25$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$25$anon39_Then#1, inline$BDLDevicePowerIoCompletion$25$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_92#1:
- goto inline$storm_IoCompleteRequest$51$Entry#1;
-
- inline$storm_IoCompleteRequest$51$Entry#1:
- inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$51$start#1;
-
- inline$storm_IoCompleteRequest$51$start#1:
- inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$51$label_3#1;
-
- inline$storm_IoCompleteRequest$51$label_3#1:
- call inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$51$label_6#1;
-
- inline$storm_IoCompleteRequest$51$label_6#1:
- goto inline$storm_IoCompleteRequest$51$label_6_true#1, inline$storm_IoCompleteRequest$51$label_6_false#1;
-
- inline$storm_IoCompleteRequest$51$label_6_false#1:
- assume inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$51$label_7#1;
-
- inline$storm_IoCompleteRequest$51$label_6_true#1:
- assume inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$51$label_8#1;
-
- inline$storm_IoCompleteRequest$51$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$51$anon3_Then#1, inline$storm_IoCompleteRequest$51$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$51$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$51$anon1#1;
-
- inline$storm_IoCompleteRequest$51$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$51$label_9#1;
-
- inline$storm_IoCompleteRequest$51$label_9#1:
- goto inline$storm_IoCompleteRequest$51$label_9_true#1, inline$storm_IoCompleteRequest$51$label_9_false#1;
-
- inline$storm_IoCompleteRequest$51$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$51$label_10#1;
-
- inline$storm_IoCompleteRequest$51$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$51$label_1#1;
-
- inline$storm_IoCompleteRequest$51$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$51$label_7#1;
-
- inline$storm_IoCompleteRequest$51$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$51$label_1#1;
-
- inline$storm_IoCompleteRequest$51$label_1#1:
- goto inline$storm_IoCompleteRequest$51$Return#1;
-
- inline$storm_IoCompleteRequest$51$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$51$Return#1;
-
- inline$storm_IoCompleteRequest$51$Return#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon40_Then#1, inline$BDLDevicePowerIoCompletion$25$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$25$anon41_Then#1, inline$BDLDevicePowerIoCompletion$25$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_98#1:
- goto inline$BDLGetDebugLevel$637$Entry#1;
-
- inline$BDLGetDebugLevel$637$Entry#1:
- goto inline$BDLGetDebugLevel$637$start#1;
-
- inline$BDLGetDebugLevel$637$start#1:
- goto inline$BDLGetDebugLevel$637$label_3#1;
-
- inline$BDLGetDebugLevel$637$label_3#1:
- havoc inline$BDLGetDebugLevel$637$myNondetVar_0;
- inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$637$myNondetVar_0;
- goto inline$BDLGetDebugLevel$637$label_1#1;
-
- inline$BDLGetDebugLevel$637$label_1#1:
- goto inline$BDLGetDebugLevel$637$Return#1;
-
- inline$BDLGetDebugLevel$637$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon42_Then#1, inline$BDLDevicePowerIoCompletion$25$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_101_true#1, inline$BDLDevicePowerIoCompletion$25$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_105#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_106#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_107#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$25$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_102#1:
- goto inline$BDLGetDebugLevel$638$Entry#1;
-
- inline$BDLGetDebugLevel$638$Entry#1:
- goto inline$BDLGetDebugLevel$638$start#1;
-
- inline$BDLGetDebugLevel$638$start#1:
- goto inline$BDLGetDebugLevel$638$label_3#1;
-
- inline$BDLGetDebugLevel$638$label_3#1:
- havoc inline$BDLGetDebugLevel$638$myNondetVar_0;
- inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$638$myNondetVar_0;
- goto inline$BDLGetDebugLevel$638$label_1#1;
-
- inline$BDLGetDebugLevel$638$label_1#1:
- goto inline$BDLGetDebugLevel$638$Return#1;
-
- inline$BDLGetDebugLevel$638$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon43_Then#1, inline$BDLDevicePowerIoCompletion$25$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_111_true#1, inline$BDLDevicePowerIoCompletion$25$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_115#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_116#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_117#1:
- call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$25$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$25$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_112#1:
- goto inline$BDLGetDebugLevel$639$Entry#1;
-
- inline$BDLGetDebugLevel$639$Entry#1:
- goto inline$BDLGetDebugLevel$639$start#1;
-
- inline$BDLGetDebugLevel$639$start#1:
- goto inline$BDLGetDebugLevel$639$label_3#1;
-
- inline$BDLGetDebugLevel$639$label_3#1:
- havoc inline$BDLGetDebugLevel$639$myNondetVar_0;
- inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$639$myNondetVar_0;
- goto inline$BDLGetDebugLevel$639$label_1#1;
-
- inline$BDLGetDebugLevel$639$label_1#1:
- goto inline$BDLGetDebugLevel$639$Return#1;
-
- inline$BDLGetDebugLevel$639$Return#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$25$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$25$anon44_Then#1, inline$BDLDevicePowerIoCompletion$25$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_121_true#1, inline$BDLDevicePowerIoCompletion$25$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$25$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$25$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_122#1:
- inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$25$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$25$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$25$Return#1;
-
- inline$BDLDevicePowerIoCompletion$25$Return#1:
- inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$25$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$25$anon13_Then#1, inline$CallCompletionRoutine$25$anon13_Else#1;
-
- inline$CallCompletionRoutine$25$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$25$anon7#1;
-
- inline$CallCompletionRoutine$25$anon7#1:
- goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$25$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$25$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$25$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$25$Entry#1:
- inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$25$start#1;
-
- inline$BDLCallDriverCompletionRoutine$25$start#1:
- inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$25$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$25$label_3_true#1, inline$BDLCallDriverCompletionRoutine$25$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$25$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$25$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$25$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$25$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_6#1:
- goto inline$storm_KeSetEvent$27$Entry#1;
-
- inline$storm_KeSetEvent$27$Entry#1:
- inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$27$start#1;
-
- inline$storm_KeSetEvent$27$start#1:
- inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$27$label_3#1;
-
- inline$storm_KeSetEvent$27$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$27$label_1#1;
-
- inline$storm_KeSetEvent$27$label_1#1:
- goto inline$storm_KeSetEvent$27$Return#1;
-
- inline$storm_KeSetEvent$27$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$25$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$25$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$25$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$25$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$25$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$25$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$25$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_9#1:
- inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$25$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$25$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$25$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$25$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$25$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$25$Return#1:
- inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$25$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$25$anon12_Then#1, inline$CallCompletionRoutine$25$anon12_Else#1;
-
- inline$CallCompletionRoutine$25$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$25$anon5#1;
-
- inline$CallCompletionRoutine$25$anon5#1:
- goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$25$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$25$label_23#1;
-
- inline$CallCompletionRoutine$25$label_23#1:
- inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$25$label_24#1;
-
- inline$CallCompletionRoutine$25$label_24#1:
- goto inline$CallCompletionRoutine$25$label_24_true#1, inline$CallCompletionRoutine$25$label_24_false#1;
-
- inline$CallCompletionRoutine$25$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$25$label_1#1;
-
- inline$CallCompletionRoutine$25$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$25$label_25#1;
-
- inline$CallCompletionRoutine$25$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$25$label_1#1;
-
- inline$CallCompletionRoutine$25$label_1#1:
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$25$Return#1;
-
- inline$CallCompletionRoutine$25$Return#1:
- goto inline$storm_IoCallDriver$12$label_39$1#1;
-
- inline$storm_IoCallDriver$12$label_39$1#1:
- goto inline$storm_IoCallDriver$12$anon15_Then#1, inline$storm_IoCallDriver$12$anon15_Else#1;
-
- inline$storm_IoCallDriver$12$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$12$anon10#1;
-
- inline$storm_IoCallDriver$12$anon10#1:
- goto inline$storm_IoCallDriver$12$label_36#1;
-
- inline$storm_IoCallDriver$12$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$label_27_case_0#1:
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$12$label_28#1;
-
- inline$storm_IoCallDriver$12$label_28#1:
- inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$12$label_42#1;
-
- inline$storm_IoCallDriver$12$label_42#1:
- goto inline$storm_IoCallDriver$12$label_43#1;
-
- inline$storm_IoCallDriver$12$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$12$label_36#1;
-
- inline$storm_IoCallDriver$12$label_36#1:
- inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$12$label_1#1;
-
- inline$storm_IoCallDriver$12$label_1#1:
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$12$Return#1;
-
- inline$storm_IoCallDriver$12$Return#1:
- inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$5$label_3$1#1;
-
- inline$storm_PoCallDriver$5$label_3$1#1:
- goto inline$storm_PoCallDriver$5$anon2_Then#1, inline$storm_PoCallDriver$5$anon2_Else#1;
-
- inline$storm_PoCallDriver$5$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$5$anon1#1;
-
- inline$storm_PoCallDriver$5$anon1#1:
- goto inline$storm_PoCallDriver$5$label_6#1;
-
- inline$storm_PoCallDriver$5$label_6#1:
- inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$5$label_1#1;
-
- inline$storm_PoCallDriver$5$label_1#1:
- goto inline$storm_PoCallDriver$5$Return#1;
-
- inline$storm_PoCallDriver$5$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$5$Return#1;
-
- inline$storm_PoCallDriver$5$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_31#1:
- goto inline$storm_KeWaitForSingleObject$5$Entry#1;
-
- inline$storm_KeWaitForSingleObject$5$Entry#1:
- inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$5$start#1;
-
- inline$storm_KeWaitForSingleObject$5$start#1:
- inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$5$label_3#1;
-
- inline$storm_KeWaitForSingleObject$5$label_3#1:
- goto inline$storm_KeWaitForSingleObject$5$label_3_true#1, inline$storm_KeWaitForSingleObject$5$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$5$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$5$label_4#1;
-
- inline$storm_KeWaitForSingleObject$5$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon6_Then#1, inline$storm_KeWaitForSingleObject$5$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$5$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon1#1;
-
- inline$storm_KeWaitForSingleObject$5$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$5$label_13#1;
-
- inline$storm_KeWaitForSingleObject$5$label_13#1:
- inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$5$label_1#1;
-
- inline$storm_KeWaitForSingleObject$5$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$5$Return#1;
-
- inline$storm_KeWaitForSingleObject$5$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$5$label_5#1;
-
- inline$storm_KeWaitForSingleObject$5$label_5#1:
- call inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$5$label_8#1;
-
- inline$storm_KeWaitForSingleObject$5$label_8#1:
- goto inline$storm_KeWaitForSingleObject$5$label_8_true#1, inline$storm_KeWaitForSingleObject$5$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$5$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$5$label_9#1;
-
- inline$storm_KeWaitForSingleObject$5$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon7_Then#1, inline$storm_KeWaitForSingleObject$5$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$5$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon3#1;
-
- inline$storm_KeWaitForSingleObject$5$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$5$label_12#1;
-
- inline$storm_KeWaitForSingleObject$5$label_12#1:
- inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$5$label_1#1;
-
- inline$storm_KeWaitForSingleObject$5$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$5$Return#1;
-
- inline$storm_KeWaitForSingleObject$5$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$5$label_10#1;
-
- inline$storm_KeWaitForSingleObject$5$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon8_Then#1, inline$storm_KeWaitForSingleObject$5$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$5$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$5$anon5#1;
-
- inline$storm_KeWaitForSingleObject$5$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$5$label_11#1;
-
- inline$storm_KeWaitForSingleObject$5$label_11#1:
- inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$5$label_1#1;
-
- inline$storm_KeWaitForSingleObject$5$label_1#1:
- goto inline$storm_KeWaitForSingleObject$5$Return#1;
-
- inline$storm_KeWaitForSingleObject$5$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$5$Return#1;
-
- inline$storm_KeWaitForSingleObject$5$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$5$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$5$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$ := inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPCancelStop$0$label_29$1#1;
-
- inline$BDLPnPCancelStop$0$label_29$1#1:
- goto inline$BDLPnPCancelStop$0$anon25_Then#1, inline$BDLPnPCancelStop$0$anon25_Else#1;
-
- inline$BDLPnPCancelStop$0$anon25_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon7#1;
-
- inline$BDLPnPCancelStop$0$anon7#1:
- goto inline$BDLPnPCancelStop$0$label_33#1;
-
- inline$BDLPnPCancelStop$0$label_33#1:
- inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$;
- goto inline$BDLPnPCancelStop$0$label_34#1;
-
- inline$BDLPnPCancelStop$0$label_34#1:
- goto inline$BDLPnPCancelStop$0$label_34_true#1, inline$BDLPnPCancelStop$0$label_34_false#1;
-
- inline$BDLPnPCancelStop$0$label_34_false#1:
- assume inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 == 0;
- goto inline$BDLPnPCancelStop$0$label_35#1;
-
- inline$BDLPnPCancelStop$0$label_35#1:
- goto inline$storm_KeSetEvent$28$Entry#1;
-
- inline$storm_KeSetEvent$28$Entry#1:
- inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12);
- goto inline$storm_KeSetEvent$28$start#1;
-
- inline$storm_KeSetEvent$28$start#1:
- inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$28$label_3#1;
-
- inline$storm_KeSetEvent$28$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$28$label_1#1;
-
- inline$storm_KeSetEvent$28$label_1#1:
- goto inline$storm_KeSetEvent$28$Return#1;
-
- inline$storm_KeSetEvent$28$Return#1:
- goto inline$BDLPnPCancelStop$0$label_35$1#1;
-
- inline$BDLPnPCancelStop$0$label_35$1#1:
- goto inline$BDLPnPCancelStop$0$anon26_Then#1, inline$BDLPnPCancelStop$0$anon26_Else#1;
-
- inline$BDLPnPCancelStop$0$anon26_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon9#1;
-
- inline$BDLPnPCancelStop$0$anon9#1:
- goto inline$BDLPnPCancelStop$0$label_62#1;
-
- inline$BDLPnPCancelStop$0$anon26_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$label_34_true#1:
- assume inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 != 0;
- goto inline$BDLPnPCancelStop$0$label_38#1;
-
- inline$BDLPnPCancelStop$0$label_38#1:
- goto inline$BDLGetDebugLevel$652$Entry#1;
-
- inline$BDLGetDebugLevel$652$Entry#1:
- goto inline$BDLGetDebugLevel$652$start#1;
-
- inline$BDLGetDebugLevel$652$start#1:
- goto inline$BDLGetDebugLevel$652$label_3#1;
-
- inline$BDLGetDebugLevel$652$label_3#1:
- havoc inline$BDLGetDebugLevel$652$myNondetVar_0;
- inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$652$myNondetVar_0;
- goto inline$BDLGetDebugLevel$652$label_1#1;
-
- inline$BDLGetDebugLevel$652$label_1#1:
- goto inline$BDLGetDebugLevel$652$Return#1;
-
- inline$BDLGetDebugLevel$652$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$ := inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_38$1#1;
-
- inline$BDLPnPCancelStop$0$label_38$1#1:
- goto inline$BDLPnPCancelStop$0$anon27_Then#1, inline$BDLPnPCancelStop$0$anon27_Else#1;
-
- inline$BDLPnPCancelStop$0$anon27_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon11#1;
-
- inline$BDLPnPCancelStop$0$anon11#1:
- goto inline$BDLPnPCancelStop$0$label_41#1;
-
- inline$BDLPnPCancelStop$0$label_41#1:
- goto inline$BDLPnPCancelStop$0$label_41_true#1, inline$BDLPnPCancelStop$0$label_41_false#1;
-
- inline$BDLPnPCancelStop$0$label_41_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$) == 0;
- goto inline$BDLPnPCancelStop$0$label_42#1;
-
- inline$BDLPnPCancelStop$0$label_41_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$) != 0;
- goto inline$BDLPnPCancelStop$0$label_45#1;
-
- inline$BDLPnPCancelStop$0$label_45#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_46#1;
-
- inline$BDLPnPCancelStop$0$label_46#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_47#1;
-
- inline$BDLPnPCancelStop$0$label_47#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_48#1;
-
- inline$BDLPnPCancelStop$0$label_48#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$15$;
- goto inline$BDLPnPCancelStop$0$label_42#1;
-
- inline$BDLPnPCancelStop$0$label_42#1:
- goto inline$BDLGetDebugLevel$653$Entry#1;
-
- inline$BDLGetDebugLevel$653$Entry#1:
- goto inline$BDLGetDebugLevel$653$start#1;
-
- inline$BDLGetDebugLevel$653$start#1:
- goto inline$BDLGetDebugLevel$653$label_3#1;
-
- inline$BDLGetDebugLevel$653$label_3#1:
- havoc inline$BDLGetDebugLevel$653$myNondetVar_0;
- inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$653$myNondetVar_0;
- goto inline$BDLGetDebugLevel$653$label_1#1;
-
- inline$BDLGetDebugLevel$653$label_1#1:
- goto inline$BDLGetDebugLevel$653$Return#1;
-
- inline$BDLGetDebugLevel$653$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$ := inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_42$1#1;
-
- inline$BDLPnPCancelStop$0$label_42$1#1:
- goto inline$BDLPnPCancelStop$0$anon28_Then#1, inline$BDLPnPCancelStop$0$anon28_Else#1;
-
- inline$BDLPnPCancelStop$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon13#1;
-
- inline$BDLPnPCancelStop$0$anon13#1:
- goto inline$BDLPnPCancelStop$0$label_51#1;
-
- inline$BDLPnPCancelStop$0$label_51#1:
- goto inline$BDLPnPCancelStop$0$label_51_true#1, inline$BDLPnPCancelStop$0$label_51_false#1;
-
- inline$BDLPnPCancelStop$0$label_51_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$) == 0;
- goto inline$BDLPnPCancelStop$0$label_52#1;
-
- inline$BDLPnPCancelStop$0$label_51_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$) != 0;
- goto inline$BDLPnPCancelStop$0$label_55#1;
-
- inline$BDLPnPCancelStop$0$label_55#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_56#1;
-
- inline$BDLPnPCancelStop$0$label_56#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_57#1;
-
- inline$BDLPnPCancelStop$0$label_57#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_58#1;
-
- inline$BDLPnPCancelStop$0$label_58#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$20$;
- goto inline$BDLPnPCancelStop$0$label_52#1;
-
- inline$BDLPnPCancelStop$0$label_52#1:
- goto inline$BDLGetDebugLevel$654$Entry#1;
-
- inline$BDLGetDebugLevel$654$Entry#1:
- goto inline$BDLGetDebugLevel$654$start#1;
-
- inline$BDLGetDebugLevel$654$start#1:
- goto inline$BDLGetDebugLevel$654$label_3#1;
-
- inline$BDLGetDebugLevel$654$label_3#1:
- havoc inline$BDLGetDebugLevel$654$myNondetVar_0;
- inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$654$myNondetVar_0;
- goto inline$BDLGetDebugLevel$654$label_1#1;
-
- inline$BDLGetDebugLevel$654$label_1#1:
- goto inline$BDLGetDebugLevel$654$Return#1;
-
- inline$BDLGetDebugLevel$654$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$ := inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_52$1#1;
-
- inline$BDLPnPCancelStop$0$label_52$1#1:
- goto inline$BDLPnPCancelStop$0$anon29_Then#1, inline$BDLPnPCancelStop$0$anon29_Else#1;
-
- inline$BDLPnPCancelStop$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon15#1;
-
- inline$BDLPnPCancelStop$0$anon15#1:
- goto inline$BDLPnPCancelStop$0$label_61#1;
-
- inline$BDLPnPCancelStop$0$label_61#1:
- goto inline$BDLPnPCancelStop$0$label_61_true#1, inline$BDLPnPCancelStop$0$label_61_false#1;
-
- inline$BDLPnPCancelStop$0$label_61_false#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$, 4) == 0;
- goto inline$BDLPnPCancelStop$0$label_62#1;
-
- inline$BDLPnPCancelStop$0$label_61_true#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$, 4) != 0;
- goto inline$BDLPnPCancelStop$0$label_65#1;
-
- inline$BDLPnPCancelStop$0$label_65#1:
- goto inline$BDLPnPCancelStop$0$label_62#1;
-
- inline$BDLPnPCancelStop$0$label_62#1:
- goto inline$BDLGetDebugLevel$655$Entry#1;
-
- inline$BDLGetDebugLevel$655$Entry#1:
- goto inline$BDLGetDebugLevel$655$start#1;
-
- inline$BDLGetDebugLevel$655$start#1:
- goto inline$BDLGetDebugLevel$655$label_3#1;
-
- inline$BDLGetDebugLevel$655$label_3#1:
- havoc inline$BDLGetDebugLevel$655$myNondetVar_0;
- inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$655$myNondetVar_0;
- goto inline$BDLGetDebugLevel$655$label_1#1;
-
- inline$BDLGetDebugLevel$655$label_1#1:
- goto inline$BDLGetDebugLevel$655$Return#1;
-
- inline$BDLGetDebugLevel$655$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$ := inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_62$1#1;
-
- inline$BDLPnPCancelStop$0$label_62$1#1:
- goto inline$BDLPnPCancelStop$0$anon30_Then#1, inline$BDLPnPCancelStop$0$anon30_Else#1;
-
- inline$BDLPnPCancelStop$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon17#1;
-
- inline$BDLPnPCancelStop$0$anon17#1:
- goto inline$BDLPnPCancelStop$0$label_66#1;
-
- inline$BDLPnPCancelStop$0$label_66#1:
- goto inline$BDLPnPCancelStop$0$label_66_true#1, inline$BDLPnPCancelStop$0$label_66_false#1;
-
- inline$BDLPnPCancelStop$0$label_66_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$) == 0;
- goto inline$BDLPnPCancelStop$0$label_67#1;
-
- inline$BDLPnPCancelStop$0$label_66_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$) != 0;
- goto inline$BDLPnPCancelStop$0$label_70#1;
-
- inline$BDLPnPCancelStop$0$label_70#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_71#1;
-
- inline$BDLPnPCancelStop$0$label_71#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_72#1;
-
- inline$BDLPnPCancelStop$0$label_72#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_73#1;
-
- inline$BDLPnPCancelStop$0$label_73#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$27$;
- goto inline$BDLPnPCancelStop$0$label_67#1;
-
- inline$BDLPnPCancelStop$0$label_67#1:
- goto inline$BDLGetDebugLevel$656$Entry#1;
-
- inline$BDLGetDebugLevel$656$Entry#1:
- goto inline$BDLGetDebugLevel$656$start#1;
-
- inline$BDLGetDebugLevel$656$start#1:
- goto inline$BDLGetDebugLevel$656$label_3#1;
-
- inline$BDLGetDebugLevel$656$label_3#1:
- havoc inline$BDLGetDebugLevel$656$myNondetVar_0;
- inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$656$myNondetVar_0;
- goto inline$BDLGetDebugLevel$656$label_1#1;
-
- inline$BDLGetDebugLevel$656$label_1#1:
- goto inline$BDLGetDebugLevel$656$Return#1;
-
- inline$BDLGetDebugLevel$656$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$ := inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_67$1#1;
-
- inline$BDLPnPCancelStop$0$label_67$1#1:
- goto inline$BDLPnPCancelStop$0$anon31_Then#1, inline$BDLPnPCancelStop$0$anon31_Else#1;
-
- inline$BDLPnPCancelStop$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon19#1;
-
- inline$BDLPnPCancelStop$0$anon19#1:
- goto inline$BDLPnPCancelStop$0$label_76#1;
-
- inline$BDLPnPCancelStop$0$label_76#1:
- goto inline$BDLPnPCancelStop$0$label_76_true#1, inline$BDLPnPCancelStop$0$label_76_false#1;
-
- inline$BDLPnPCancelStop$0$label_76_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$) == 0;
- goto inline$BDLPnPCancelStop$0$label_77#1;
-
- inline$BDLPnPCancelStop$0$label_76_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$) != 0;
- goto inline$BDLPnPCancelStop$0$label_80#1;
-
- inline$BDLPnPCancelStop$0$label_80#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_81#1;
-
- inline$BDLPnPCancelStop$0$label_81#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_82#1;
-
- inline$BDLPnPCancelStop$0$label_82#1:
- call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelStop$0$label_83#1;
-
- inline$BDLPnPCancelStop$0$label_83#1:
- havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$32$;
- goto inline$BDLPnPCancelStop$0$label_77#1;
-
- inline$BDLPnPCancelStop$0$label_77#1:
- goto inline$BDLGetDebugLevel$657$Entry#1;
-
- inline$BDLGetDebugLevel$657$Entry#1:
- goto inline$BDLGetDebugLevel$657$start#1;
-
- inline$BDLGetDebugLevel$657$start#1:
- goto inline$BDLGetDebugLevel$657$label_3#1;
-
- inline$BDLGetDebugLevel$657$label_3#1:
- havoc inline$BDLGetDebugLevel$657$myNondetVar_0;
- inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$657$myNondetVar_0;
- goto inline$BDLGetDebugLevel$657$label_1#1;
-
- inline$BDLGetDebugLevel$657$label_1#1:
- goto inline$BDLGetDebugLevel$657$Return#1;
-
- inline$BDLGetDebugLevel$657$Return#1:
- inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$ := inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelStop$0$label_77$1#1;
-
- inline$BDLPnPCancelStop$0$label_77$1#1:
- goto inline$BDLPnPCancelStop$0$anon32_Then#1, inline$BDLPnPCancelStop$0$anon32_Else#1;
-
- inline$BDLPnPCancelStop$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelStop$0$anon21#1;
-
- inline$BDLPnPCancelStop$0$anon21#1:
- goto inline$BDLPnPCancelStop$0$label_86#1;
-
- inline$BDLPnPCancelStop$0$label_86#1:
- goto inline$BDLPnPCancelStop$0$label_86_true#1, inline$BDLPnPCancelStop$0$label_86_false#1;
-
- inline$BDLPnPCancelStop$0$label_86_false#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$, 4) == 0;
- goto inline$BDLPnPCancelStop$0$label_87#1;
-
- inline$BDLPnPCancelStop$0$label_86_true#1:
- assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$, 4) != 0;
- goto inline$BDLPnPCancelStop$0$label_88#1;
-
- inline$BDLPnPCancelStop$0$label_88#1:
- goto inline$BDLPnPCancelStop$0$label_87#1;
-
- inline$BDLPnPCancelStop$0$label_87#1:
- inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$ := inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12;
- goto inline$BDLPnPCancelStop$0$label_1#1;
-
- inline$BDLPnPCancelStop$0$label_1#1:
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon27_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon25_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon24_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon23_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$anon22_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelStop$0$Return#1;
-
- inline$BDLPnPCancelStop$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$ := inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$;
- goto inline$BDLPnP$0$label_131$1#1;
-
- inline$BDLPnP$0$label_131$1#1:
- goto inline$BDLPnP$0$anon73_Then#1, inline$BDLPnP$0$anon73_Else#1;
-
- inline$BDLPnP$0$anon73_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon39#1;
-
- inline$BDLPnP$0$anon39#1:
- goto inline$BDLPnP$0$label_148#1;
-
- inline$BDLPnP$0$label_148#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon73_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_6#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 5;
- goto inline$BDLPnP$0$label_128#1;
-
- inline$BDLPnP$0$label_128#1:
- goto inline$BDLPnPQueryStop$0$Entry#1;
-
- inline$BDLPnPQueryStop$0$Entry#1:
- inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPQueryStop$0$start#1;
-
- inline$BDLPnPQueryStop$0$start#1:
- call inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12 := __HAVOC_malloc(1);
- inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1;
- inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1;
- goto inline$BDLPnPQueryStop$0$label_3#1;
-
- inline$BDLPnPQueryStop$0$label_3#1:
- goto inline$BDLPnPQueryStop$0$label_4#1;
-
- inline$BDLPnPQueryStop$0$label_4#1:
- inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := 0;
- goto inline$BDLPnPQueryStop$0$label_5#1;
-
- inline$BDLPnPQueryStop$0$label_5#1:
- goto inline$BDLPnPQueryStop$0$label_6#1;
-
- inline$BDLPnPQueryStop$0$label_6#1:
- goto inline$BDLGetDebugLevel$475$Entry#1;
-
- inline$BDLGetDebugLevel$475$Entry#1:
- goto inline$BDLGetDebugLevel$475$start#1;
-
- inline$BDLGetDebugLevel$475$start#1:
- goto inline$BDLGetDebugLevel$475$label_3#1;
-
- inline$BDLGetDebugLevel$475$label_3#1:
- havoc inline$BDLGetDebugLevel$475$myNondetVar_0;
- inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$475$myNondetVar_0;
- goto inline$BDLGetDebugLevel$475$label_1#1;
-
- inline$BDLGetDebugLevel$475$label_1#1:
- goto inline$BDLGetDebugLevel$475$Return#1;
-
- inline$BDLGetDebugLevel$475$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$ := inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_6$1#1;
-
- inline$BDLPnPQueryStop$0$label_6$1#1:
- goto inline$BDLPnPQueryStop$0$anon22_Then#1, inline$BDLPnPQueryStop$0$anon22_Else#1;
-
- inline$BDLPnPQueryStop$0$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon1#1;
-
- inline$BDLPnPQueryStop$0$anon1#1:
- goto inline$BDLPnPQueryStop$0$label_9#1;
-
- inline$BDLPnPQueryStop$0$label_9#1:
- goto inline$BDLPnPQueryStop$0$label_9_true#1, inline$BDLPnPQueryStop$0$label_9_false#1;
-
- inline$BDLPnPQueryStop$0$label_9_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$) == 0;
- goto inline$BDLPnPQueryStop$0$label_10#1;
-
- inline$BDLPnPQueryStop$0$label_9_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$) != 0;
- goto inline$BDLPnPQueryStop$0$label_13#1;
-
- inline$BDLPnPQueryStop$0$label_13#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_14#1;
-
- inline$BDLPnPQueryStop$0$label_14#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_15#1;
-
- inline$BDLPnPQueryStop$0$label_15#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_16#1;
-
- inline$BDLPnPQueryStop$0$label_16#1:
- havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$3$;
- goto inline$BDLPnPQueryStop$0$label_10#1;
-
- inline$BDLPnPQueryStop$0$label_10#1:
- goto inline$BDLGetDebugLevel$476$Entry#1;
-
- inline$BDLGetDebugLevel$476$Entry#1:
- goto inline$BDLGetDebugLevel$476$start#1;
-
- inline$BDLGetDebugLevel$476$start#1:
- goto inline$BDLGetDebugLevel$476$label_3#1;
-
- inline$BDLGetDebugLevel$476$label_3#1:
- havoc inline$BDLGetDebugLevel$476$myNondetVar_0;
- inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$476$myNondetVar_0;
- goto inline$BDLGetDebugLevel$476$label_1#1;
-
- inline$BDLGetDebugLevel$476$label_1#1:
- goto inline$BDLGetDebugLevel$476$Return#1;
-
- inline$BDLGetDebugLevel$476$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$ := inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_10$1#1;
-
- inline$BDLPnPQueryStop$0$label_10$1#1:
- goto inline$BDLPnPQueryStop$0$anon23_Then#1, inline$BDLPnPQueryStop$0$anon23_Else#1;
-
- inline$BDLPnPQueryStop$0$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon3#1;
-
- inline$BDLPnPQueryStop$0$anon3#1:
- goto inline$BDLPnPQueryStop$0$label_19#1;
-
- inline$BDLPnPQueryStop$0$label_19#1:
- goto inline$BDLPnPQueryStop$0$label_19_true#1, inline$BDLPnPQueryStop$0$label_19_false#1;
-
- inline$BDLPnPQueryStop$0$label_19_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$) == 0;
- goto inline$BDLPnPQueryStop$0$label_20#1;
-
- inline$BDLPnPQueryStop$0$label_19_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$) != 0;
- goto inline$BDLPnPQueryStop$0$label_23#1;
-
- inline$BDLPnPQueryStop$0$label_23#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_24#1;
-
- inline$BDLPnPQueryStop$0$label_24#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_25#1;
-
- inline$BDLPnPQueryStop$0$label_25#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_26#1;
-
- inline$BDLPnPQueryStop$0$label_26#1:
- havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$8$;
- goto inline$BDLPnPQueryStop$0$label_20#1;
-
- inline$BDLPnPQueryStop$0$label_20#1:
- goto inline$BDLGetDebugLevel$477$Entry#1;
-
- inline$BDLGetDebugLevel$477$Entry#1:
- goto inline$BDLGetDebugLevel$477$start#1;
-
- inline$BDLGetDebugLevel$477$start#1:
- goto inline$BDLGetDebugLevel$477$label_3#1;
-
- inline$BDLGetDebugLevel$477$label_3#1:
- havoc inline$BDLGetDebugLevel$477$myNondetVar_0;
- inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$477$myNondetVar_0;
- goto inline$BDLGetDebugLevel$477$label_1#1;
-
- inline$BDLGetDebugLevel$477$label_1#1:
- goto inline$BDLGetDebugLevel$477$Return#1;
-
- inline$BDLGetDebugLevel$477$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$ := inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_20$1#1;
-
- inline$BDLPnPQueryStop$0$label_20$1#1:
- goto inline$BDLPnPQueryStop$0$anon24_Then#1, inline$BDLPnPQueryStop$0$anon24_Else#1;
-
- inline$BDLPnPQueryStop$0$anon24_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon5#1;
-
- inline$BDLPnPQueryStop$0$anon5#1:
- goto inline$BDLPnPQueryStop$0$label_29#1;
-
- inline$BDLPnPQueryStop$0$label_29#1:
- goto inline$BDLPnPQueryStop$0$label_29_true#1, inline$BDLPnPQueryStop$0$label_29_false#1;
-
- inline$BDLPnPQueryStop$0$label_29_false#1:
- assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$, 4) == 0;
- goto inline$BDLPnPQueryStop$0$label_30#1;
-
- inline$BDLPnPQueryStop$0$label_29_true#1:
- assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$, 4) != 0;
- goto inline$BDLPnPQueryStop$0$label_33#1;
-
- inline$BDLPnPQueryStop$0$label_33#1:
- goto inline$BDLPnPQueryStop$0$label_30#1;
-
- inline$BDLPnPQueryStop$0$label_30#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
- assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$2$Entry#1;
-
- inline$storm_KeAcquireSpinLock$2$Entry#1:
- inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
- goto inline$storm_KeAcquireSpinLock$2$start#1;
-
- inline$storm_KeAcquireSpinLock$2$start#1:
- inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
- goto inline$storm_KeAcquireSpinLock$2$label_3#1;
-
- inline$storm_KeAcquireSpinLock$2$label_3#1:
- goto inline$storm_KeAcquireSpinLock$2$label_4#1;
-
- inline$storm_KeAcquireSpinLock$2$label_4#1:
- goto inline$storm_getThreadID$5$Entry#1;
-
- inline$storm_getThreadID$5$Entry#1:
- goto inline$storm_getThreadID$5$anon0#1;
-
- inline$storm_getThreadID$5$anon0#1:
- inline$storm_getThreadID$5$tid := __storm_thread_id;
- goto inline$storm_getThreadID$5$Return#1;
-
- inline$storm_getThreadID$5$Return#1:
- inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$5$tid;
- goto inline$storm_KeAcquireSpinLock$2$label_4$1#1;
-
- inline$storm_KeAcquireSpinLock$2$label_4$1#1:
- goto inline$storm_KeAcquireSpinLock$2$label_7#1;
-
- inline$storm_KeAcquireSpinLock$2$label_7#1:
- inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$2$label_8#1;
-
- inline$storm_KeAcquireSpinLock$2$label_8#1:
- goto inline$storm_KeAcquireSpinLock$2$label_9#1;
-
- inline$storm_KeAcquireSpinLock$2$label_9#1:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$2$label_12#1;
-
- inline$storm_KeAcquireSpinLock$2$label_12#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$2$anon7_Then#1, inline$storm_KeAcquireSpinLock$2$anon7_Else#1;
-
- inline$storm_KeAcquireSpinLock$2$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$2$anon1#1;
-
- inline$storm_KeAcquireSpinLock$2$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$2$label_13#1;
-
- inline$storm_KeAcquireSpinLock$2$label_13#1:
- goto inline$storm_KeAcquireSpinLock$2$label_13_true#1, inline$storm_KeAcquireSpinLock$2$label_13_false#1;
-
- inline$storm_KeAcquireSpinLock$2$label_13_false#1:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$2$label_14#1;
-
- inline$storm_KeAcquireSpinLock$2$label_14#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$2$label_1#1;
-
- inline$storm_KeAcquireSpinLock$2$label_13_true#1:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$2$label_17#1;
-
- inline$storm_KeAcquireSpinLock$2$label_17#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$2$anon8_Then#1, inline$storm_KeAcquireSpinLock$2$anon8_Else#1;
-
- inline$storm_KeAcquireSpinLock$2$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$2$anon4#1;
-
- inline$storm_KeAcquireSpinLock$2$anon4#1:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
- goto inline$storm_KeAcquireSpinLock$2$label_18#1;
-
- inline$storm_KeAcquireSpinLock$2$label_18#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$2$label_19#1;
-
- inline$storm_KeAcquireSpinLock$2$label_19#1:
- goto inline$storm_KeAcquireSpinLock$2$anon9_Then#1, inline$storm_KeAcquireSpinLock$2$anon9_Else#1;
-
- inline$storm_KeAcquireSpinLock$2$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$2$anon6#1;
-
- inline$storm_KeAcquireSpinLock$2$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$2$anon6#1;
-
- inline$storm_KeAcquireSpinLock$2$anon6#1:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$2$label_1#1;
-
- inline$storm_KeAcquireSpinLock$2$label_1#1:
- goto inline$storm_KeAcquireSpinLock$2$Return#1;
-
- inline$storm_KeAcquireSpinLock$2$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$2$Return#1;
-
- inline$storm_KeAcquireSpinLock$2$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$2$Return#1;
-
- inline$storm_KeAcquireSpinLock$2$Return#1:
- goto inline$BDLPnPQueryStop$0$label_30$1#1;
-
- inline$BDLPnPQueryStop$0$label_30$1#1:
- goto inline$BDLPnPQueryStop$0$anon25_Then#1, inline$BDLPnPQueryStop$0$anon25_Else#1;
-
- inline$BDLPnPQueryStop$0$anon25_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon7#1;
-
- inline$BDLPnPQueryStop$0$anon7#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- goto inline$BDLPnPQueryStop$0$label_34#1;
-
- inline$BDLPnPQueryStop$0$label_34#1:
- goto inline$BDLPnPQueryStop$0$label_34_true#1, inline$BDLPnPQueryStop$0$label_34_false#1;
-
- inline$BDLPnPQueryStop$0$label_34_false#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- assume !INT_ULT(0, inline$BDLPnPQueryStop$0$myNondetVar_0);
- goto inline$BDLPnPQueryStop$0$label_35#1;
-
- inline$BDLPnPQueryStop$0$label_35#1:
- goto inline$storm_KeClearEvent$0$Entry#1;
-
- inline$storm_KeClearEvent$0$Entry#1:
- inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
- goto inline$storm_KeClearEvent$0$start#1;
-
- inline$storm_KeClearEvent$0$start#1:
- inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4 := inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1;
- goto inline$storm_KeClearEvent$0$label_3#1;
-
- inline$storm_KeClearEvent$0$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4 := 0];
- call contextSwitch();
- goto inline$storm_KeClearEvent$0$label_1#1;
-
- inline$storm_KeClearEvent$0$label_1#1:
- goto inline$storm_KeClearEvent$0$Return#1;
-
- inline$storm_KeClearEvent$0$Return#1:
- goto inline$BDLPnPQueryStop$0$label_35$1#1;
-
- inline$BDLPnPQueryStop$0$label_35$1#1:
- goto inline$BDLPnPQueryStop$0$anon26_Then#1, inline$BDLPnPQueryStop$0$anon26_Else#1;
-
- inline$BDLPnPQueryStop$0$anon26_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon9#1;
-
- inline$BDLPnPQueryStop$0$anon9#1:
- goto inline$BDLPnPQueryStop$0$label_68#1;
-
- inline$BDLPnPQueryStop$0$label_68#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
- assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$4$Entry#1;
-
- inline$storm_KeReleaseSpinLock$4$Entry#1:
- inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
- goto inline$storm_KeReleaseSpinLock$4$start#1;
-
- inline$storm_KeReleaseSpinLock$4$start#1:
- inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$4$label_3#1;
-
- inline$storm_KeReleaseSpinLock$4$label_3#1:
- goto inline$storm_KeReleaseSpinLock$4$label_4#1;
-
- inline$storm_KeReleaseSpinLock$4$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$4$label_7#1;
-
- inline$storm_KeReleaseSpinLock$4$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$4$anon5_Then#1, inline$storm_KeReleaseSpinLock$4$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$4$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$4$anon1#1;
-
- inline$storm_KeReleaseSpinLock$4$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$4$label_8#1;
-
- inline$storm_KeReleaseSpinLock$4$label_8#1:
- goto inline$storm_getThreadID$7$Entry#1;
-
- inline$storm_getThreadID$7$Entry#1:
- goto inline$storm_getThreadID$7$anon0#1;
-
- inline$storm_getThreadID$7$anon0#1:
- inline$storm_getThreadID$7$tid := __storm_thread_id;
- goto inline$storm_getThreadID$7$Return#1;
-
- inline$storm_getThreadID$7$Return#1:
- inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$7$tid;
- goto inline$storm_KeReleaseSpinLock$4$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$4$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$4$label_11#1;
-
- inline$storm_KeReleaseSpinLock$4$label_11#1:
- goto inline$storm_KeReleaseSpinLock$4$label_11_true#1, inline$storm_KeReleaseSpinLock$4$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$4$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$4$label_12#1;
-
- inline$storm_KeReleaseSpinLock$4$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$4$label_1#1;
-
- inline$storm_KeReleaseSpinLock$4$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$4$label_15#1;
-
- inline$storm_KeReleaseSpinLock$4$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$4$label_16#1;
-
- inline$storm_KeReleaseSpinLock$4$label_16#1:
- goto inline$storm_KeReleaseSpinLock$4$anon6_Then#1, inline$storm_KeReleaseSpinLock$4$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$4$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$4$anon4#1;
-
- inline$storm_KeReleaseSpinLock$4$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$4$anon4#1;
-
- inline$storm_KeReleaseSpinLock$4$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$4$label_1#1;
-
- inline$storm_KeReleaseSpinLock$4$label_1#1:
- goto inline$storm_KeReleaseSpinLock$4$Return#1;
-
- inline$storm_KeReleaseSpinLock$4$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$4$Return#1;
-
- inline$storm_KeReleaseSpinLock$4$Return#1:
- goto inline$BDLPnPQueryStop$0$label_68$1#1;
-
- inline$BDLPnPQueryStop$0$label_68$1#1:
- goto inline$BDLPnPQueryStop$0$anon31_Then#1, inline$BDLPnPQueryStop$0$anon31_Else#1;
-
- inline$BDLPnPQueryStop$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon19#1;
-
- inline$BDLPnPQueryStop$0$anon19#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- goto inline$BDLPnPQueryStop$0$label_71#1;
-
- inline$BDLPnPQueryStop$0$label_71#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12;
- goto inline$BDLCallLowerLevelDriverAndWait$4$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$92$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$92$Entry#1:
- inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$92$start#1;
-
- inline$IoGetCurrentIrpStackLocation$92$start#1:
- inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$92$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$92$label_3_true#1, inline$IoGetCurrentIrpStackLocation$92$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$92$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$92$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$92$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$92$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$92$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$92$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$92$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$92$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$92$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$92$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$92$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$92$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$92$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$92$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$92$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$92$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$92$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$92$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_9#1:
- goto inline$IoGetNextIrpStackLocation$9$Entry#1;
-
- inline$IoGetNextIrpStackLocation$9$Entry#1:
- inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$9$start#1;
-
- inline$IoGetNextIrpStackLocation$9$start#1:
- inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$9$label_3#1;
-
- inline$IoGetNextIrpStackLocation$9$label_3#1:
- goto inline$IoGetNextIrpStackLocation$9$label_3_true#1, inline$IoGetNextIrpStackLocation$9$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$9$label_3_false#1:
- inline$IoGetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$9$myVar_0);
- goto inline$IoGetNextIrpStackLocation$9$label_4#1;
-
- inline$IoGetNextIrpStackLocation$9$label_4#1:
- call inline$IoGetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$9$label_6#1;
-
- inline$IoGetNextIrpStackLocation$9$label_6#1:
- call inline$IoGetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$9$label_7#1;
-
- inline$IoGetNextIrpStackLocation$9$label_7#1:
- goto inline$IoGetNextIrpStackLocation$9$label_5#1;
-
- inline$IoGetNextIrpStackLocation$9$label_3_true#1:
- inline$IoGetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$9$myVar_0);
- goto inline$IoGetNextIrpStackLocation$9$label_5#1;
-
- inline$IoGetNextIrpStackLocation$9$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$9$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$9$label_1#1;
-
- inline$IoGetNextIrpStackLocation$9$label_1#1:
- goto inline$IoGetNextIrpStackLocation$9$Return#1;
-
- inline$IoGetNextIrpStackLocation$9$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$4$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_9#1:
- goto inline$storm_KeInitializeEvent$4$Entry#1;
-
- inline$storm_KeInitializeEvent$4$Entry#1:
- inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$4$start#1;
-
- inline$storm_KeInitializeEvent$4$start#1:
- inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$4$label_3#1;
-
- inline$storm_KeInitializeEvent$4$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$4$label_1#1;
-
- inline$storm_KeInitializeEvent$4$label_1#1:
- goto inline$storm_KeInitializeEvent$4$Return#1;
-
- inline$storm_KeInitializeEvent$4$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$4$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$4$Entry#1:
- inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$4$start#1;
-
- inline$storm_IoSetCompletionRoutine$4$start#1:
- inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$4$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_4#1:
- call inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$4$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_7_true#1, inline$storm_IoSetCompletionRoutine$4$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$4$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$4$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$4$anon6_Then#1, inline$storm_IoSetCompletionRoutine$4$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$4$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$4$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_12_true#1, inline$storm_IoSetCompletionRoutine$4$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$4$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$4$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$4$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_8#1:
- goto inline$IoGetNextIrpStackLocation$10$Entry#1;
-
- inline$IoGetNextIrpStackLocation$10$Entry#1:
- inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$10$start#1;
-
- inline$IoGetNextIrpStackLocation$10$start#1:
- inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$10$label_3#1;
-
- inline$IoGetNextIrpStackLocation$10$label_3#1:
- goto inline$IoGetNextIrpStackLocation$10$label_3_true#1, inline$IoGetNextIrpStackLocation$10$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$10$label_3_false#1:
- inline$IoGetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$10$myVar_0);
- goto inline$IoGetNextIrpStackLocation$10$label_4#1;
-
- inline$IoGetNextIrpStackLocation$10$label_4#1:
- call inline$IoGetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$10$label_6#1;
-
- inline$IoGetNextIrpStackLocation$10$label_6#1:
- call inline$IoGetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$10$label_7#1;
-
- inline$IoGetNextIrpStackLocation$10$label_7#1:
- goto inline$IoGetNextIrpStackLocation$10$label_5#1;
-
- inline$IoGetNextIrpStackLocation$10$label_3_true#1:
- inline$IoGetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$10$myVar_0);
- goto inline$IoGetNextIrpStackLocation$10$label_5#1;
-
- inline$IoGetNextIrpStackLocation$10$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$10$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$10$label_1#1;
-
- inline$IoGetNextIrpStackLocation$10$label_1#1:
- goto inline$IoGetNextIrpStackLocation$10$Return#1;
-
- inline$IoGetNextIrpStackLocation$10$Return#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$4$anon5_Then#1, inline$storm_IoSetCompletionRoutine$4$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$4$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$4$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$4$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$4$Return#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$4$Return#1;
-
- inline$storm_IoSetCompletionRoutine$4$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$4$Return#1;
-
- inline$storm_IoSetCompletionRoutine$4$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$93$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$93$Entry#1:
- inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$93$start#1;
-
- inline$IoGetCurrentIrpStackLocation$93$start#1:
- inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$93$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$93$label_3_true#1, inline$IoGetCurrentIrpStackLocation$93$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$93$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$93$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$93$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$93$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$93$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$93$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$93$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$93$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$93$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$93$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$93$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$93$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$93$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$93$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$93$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$93$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$93$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$93$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_19#1:
- goto inline$storm_IoCallDriver$9$Entry#1;
-
- inline$storm_IoCallDriver$9$Entry#1:
- inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$9$start#1;
-
- inline$storm_IoCallDriver$9$start#1:
- inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$9$label_3#1;
-
- inline$storm_IoCallDriver$9$label_3#1:
- goto inline$storm_IoCallDriver$9$label_4#1;
-
- inline$storm_IoCallDriver$9$label_4#1:
- goto inline$storm_IoCallDriver$9$label_5#1;
-
- inline$storm_IoCallDriver$9$label_5#1:
- goto inline$storm_IoCallDriver$9$label_6#1;
-
- inline$storm_IoCallDriver$9$label_6#1:
- call inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$9$label_9#1;
-
- inline$storm_IoCallDriver$9$label_9#1:
- goto inline$storm_IoCallDriver$9$label_9_true#1, inline$storm_IoCallDriver$9$label_9_false#1;
-
- inline$storm_IoCallDriver$9$label_9_false#1:
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$9$label_10#1;
-
- inline$storm_IoCallDriver$9$label_9_true#1:
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$9$label_13#1;
-
- inline$storm_IoCallDriver$9$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$9$anon12_Then#1, inline$storm_IoCallDriver$9$anon12_Else#1;
-
- inline$storm_IoCallDriver$9$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$9$anon3#1;
-
- inline$storm_IoCallDriver$9$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$9$label_14#1;
-
- inline$storm_IoCallDriver$9$label_14#1:
- goto inline$storm_IoCallDriver$9$label_14_true#1, inline$storm_IoCallDriver$9$label_14_false#1;
-
- inline$storm_IoCallDriver$9$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$9$label_15#1;
-
- inline$storm_IoCallDriver$9$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$9$label_1#1;
-
- inline$storm_IoCallDriver$9$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$9$label_10#1;
-
- inline$storm_IoCallDriver$9$label_10#1:
- goto inline$IoSetNextIrpStackLocation$10$Entry#1;
-
- inline$IoSetNextIrpStackLocation$10$Entry#1:
- inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$10$start#1;
-
- inline$IoSetNextIrpStackLocation$10$start#1:
- inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$10$label_3#1;
-
- inline$IoSetNextIrpStackLocation$10$label_3#1:
- goto inline$IoSetNextIrpStackLocation$10$label_3_true#1, inline$IoSetNextIrpStackLocation$10$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$10$label_3_false#1:
- inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$10$myVar_0);
- goto inline$IoSetNextIrpStackLocation$10$label_4#1;
-
- inline$IoSetNextIrpStackLocation$10$label_4#1:
- call inline$IoSetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$10$label_7#1;
-
- inline$IoSetNextIrpStackLocation$10$label_7#1:
- call inline$IoSetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$10$label_8#1;
-
- inline$IoSetNextIrpStackLocation$10$label_8#1:
- goto inline$IoSetNextIrpStackLocation$10$label_5#1;
-
- inline$IoSetNextIrpStackLocation$10$label_3_true#1:
- inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$10$myVar_0);
- goto inline$IoSetNextIrpStackLocation$10$label_5#1;
-
- inline$IoSetNextIrpStackLocation$10$label_5#1:
- inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$10$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$10$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$10$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$10$label_6#1;
-
- inline$IoSetNextIrpStackLocation$10$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$10$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$10$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$10$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$10$label_1#1;
-
- inline$IoSetNextIrpStackLocation$10$label_1#1:
- goto inline$IoSetNextIrpStackLocation$10$Return#1;
-
- inline$IoSetNextIrpStackLocation$10$Return#1:
- goto inline$storm_IoCallDriver$9$label_10$1#1;
-
- inline$storm_IoCallDriver$9$label_10$1#1:
- goto inline$storm_IoCallDriver$9$anon11_Then#1, inline$storm_IoCallDriver$9$anon11_Else#1;
-
- inline$storm_IoCallDriver$9$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$9$anon1#1;
-
- inline$storm_IoCallDriver$9$anon1#1:
- goto inline$storm_IoCallDriver$9$label_18#1;
-
- inline$storm_IoCallDriver$9$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$94$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$94$Entry#1:
- inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$94$start#1;
-
- inline$IoGetCurrentIrpStackLocation$94$start#1:
- inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$94$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$94$label_3_true#1, inline$IoGetCurrentIrpStackLocation$94$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$94$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$94$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$94$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$94$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$94$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$94$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$94$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$94$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$94$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$94$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$94$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$94$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$94$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$94$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$94$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$94$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$94$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$94$Return#1:
- goto inline$storm_IoCallDriver$9$label_18$1#1;
-
- inline$storm_IoCallDriver$9$label_18$1#1:
- goto inline$storm_IoCallDriver$9$anon13_Then#1, inline$storm_IoCallDriver$9$anon13_Else#1;
-
- inline$storm_IoCallDriver$9$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$9$anon6#1;
-
- inline$storm_IoCallDriver$9$anon6#1:
- goto inline$storm_IoCallDriver$9$label_21#1;
-
- inline$storm_IoCallDriver$9$label_21#1:
- goto inline$storm_IoCallDriver$9$label_22#1;
-
- inline$storm_IoCallDriver$9$label_22#1:
- goto inline$storm_IoCallDriver$9$label_23#1;
-
- inline$storm_IoCallDriver$9$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$9$label_24#1;
-
- inline$storm_IoCallDriver$9$label_24#1:
- call inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$9$label_27#1;
-
- inline$storm_IoCallDriver$9$label_27#1:
- goto inline$storm_IoCallDriver$9$label_27_case_0#1, inline$storm_IoCallDriver$9$label_27_case_1#1, inline$storm_IoCallDriver$9$label_27_case_2#1;
-
- inline$storm_IoCallDriver$9$label_27_case_2#1:
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$9$label_30#1;
-
- inline$storm_IoCallDriver$9$label_30#1:
- inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$9$label_31#1;
-
- inline$storm_IoCallDriver$9$label_31#1:
- goto inline$storm_IoCallDriver$9$label_32#1;
-
- inline$storm_IoCallDriver$9$label_32#1:
- goto inline$storm_IoCallDriver$9$label_33#1;
-
- inline$storm_IoCallDriver$9$label_33#1:
- goto inline$CallCompletionRoutine$18$Entry#1;
-
- inline$CallCompletionRoutine$18$Entry#1:
- inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$18$start#1;
-
- inline$CallCompletionRoutine$18$start#1:
- inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$18$label_3#1;
-
- inline$CallCompletionRoutine$18$label_3#1:
- goto inline$CallCompletionRoutine$18$label_4#1;
-
- inline$CallCompletionRoutine$18$label_4#1:
- goto inline$CallCompletionRoutine$18$label_5#1;
-
- inline$CallCompletionRoutine$18$label_5#1:
- goto inline$CallCompletionRoutine$18$label_6#1;
-
- inline$CallCompletionRoutine$18$label_6#1:
- goto inline$CallCompletionRoutine$18$label_7#1;
-
- inline$CallCompletionRoutine$18$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$95$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$95$Entry#1:
- inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$95$start#1;
-
- inline$IoGetCurrentIrpStackLocation$95$start#1:
- inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$95$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$95$label_3_true#1, inline$IoGetCurrentIrpStackLocation$95$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$95$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$95$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$95$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$95$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$95$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$95$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$95$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$95$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$95$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$95$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$95$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$95$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$95$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$95$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$95$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$95$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$95$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$95$Return#1:
- goto inline$CallCompletionRoutine$18$label_7$1#1;
-
- inline$CallCompletionRoutine$18$label_7$1#1:
- goto inline$CallCompletionRoutine$18$anon10_Then#1, inline$CallCompletionRoutine$18$anon10_Else#1;
-
- inline$CallCompletionRoutine$18$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$18$anon1#1;
-
- inline$CallCompletionRoutine$18$anon1#1:
- goto inline$CallCompletionRoutine$18$label_10#1;
-
- inline$CallCompletionRoutine$18$label_10#1:
- goto inline$CallCompletionRoutine$18$label_11#1;
-
- inline$CallCompletionRoutine$18$label_11#1:
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$CallCompletionRoutine$18$label_12#1;
-
- inline$CallCompletionRoutine$18$label_12#1:
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$CallCompletionRoutine$18$label_13#1;
-
- inline$CallCompletionRoutine$18$label_13#1:
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$CallCompletionRoutine$18$label_14#1;
-
- inline$CallCompletionRoutine$18$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$96$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$96$Entry#1:
- inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$96$start#1;
-
- inline$IoGetCurrentIrpStackLocation$96$start#1:
- inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$96$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$96$label_3_true#1, inline$IoGetCurrentIrpStackLocation$96$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$96$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$96$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$96$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$96$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$96$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$96$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$96$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$96$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$96$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$96$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$96$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$96$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$96$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$96$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$96$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$96$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$96$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$96$Return#1:
- goto inline$CallCompletionRoutine$18$label_14$1#1;
-
- inline$CallCompletionRoutine$18$label_14$1#1:
- goto inline$CallCompletionRoutine$18$anon11_Then#1, inline$CallCompletionRoutine$18$anon11_Else#1;
-
- inline$CallCompletionRoutine$18$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$18$anon3#1;
-
- inline$CallCompletionRoutine$18$anon3#1:
- goto inline$CallCompletionRoutine$18$label_17#1;
-
- inline$CallCompletionRoutine$18$label_17#1:
- goto inline$CallCompletionRoutine$18$label_18#1;
-
- inline$CallCompletionRoutine$18$label_18#1:
- goto inline$CallCompletionRoutine$18$label_18_true#1, inline$CallCompletionRoutine$18$label_18_false#1;
-
- inline$CallCompletionRoutine$18$label_18_false#1:
- assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$18$label_1#1;
-
- inline$CallCompletionRoutine$18$label_18_true#1:
- assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$18$label_19#1;
-
- inline$CallCompletionRoutine$18$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$18$label_20#1;
-
- inline$CallCompletionRoutine$18$label_20#1:
- goto inline$CallCompletionRoutine$18$label_20_icall_1#1, inline$CallCompletionRoutine$18$label_20_icall_2#1, inline$CallCompletionRoutine$18$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$18$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$18$Entry#1:
- inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$18$start#1;
-
- inline$BDLSystemPowerIoCompletion$18$start#1:
- call inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$18$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_4#1:
- inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_6#1:
- inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$18$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$18$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$98$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$98$Entry#1:
- inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$98$start#1;
-
- inline$IoGetCurrentIrpStackLocation$98$start#1:
- inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$98$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$98$label_3_true#1, inline$IoGetCurrentIrpStackLocation$98$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$98$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$98$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$98$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$98$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$98$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$98$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$98$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$98$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$98$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$98$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$98$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$98$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$98$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$98$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$98$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$98$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$98$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$98$Return#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon36_Then#1, inline$BDLSystemPowerIoCompletion$18$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_15#1:
- goto inline$BDLGetDebugLevel$490$Entry#1;
-
- inline$BDLGetDebugLevel$490$Entry#1:
- goto inline$BDLGetDebugLevel$490$start#1;
-
- inline$BDLGetDebugLevel$490$start#1:
- goto inline$BDLGetDebugLevel$490$label_3#1;
-
- inline$BDLGetDebugLevel$490$label_3#1:
- havoc inline$BDLGetDebugLevel$490$myNondetVar_0;
- inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$490$myNondetVar_0;
- goto inline$BDLGetDebugLevel$490$label_1#1;
-
- inline$BDLGetDebugLevel$490$label_1#1:
- goto inline$BDLGetDebugLevel$490$Return#1;
-
- inline$BDLGetDebugLevel$490$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon37_Then#1, inline$BDLSystemPowerIoCompletion$18$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_18_true#1, inline$BDLSystemPowerIoCompletion$18$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_22#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_23#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_24#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$18$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_19#1:
- goto inline$BDLGetDebugLevel$491$Entry#1;
-
- inline$BDLGetDebugLevel$491$Entry#1:
- goto inline$BDLGetDebugLevel$491$start#1;
-
- inline$BDLGetDebugLevel$491$start#1:
- goto inline$BDLGetDebugLevel$491$label_3#1;
-
- inline$BDLGetDebugLevel$491$label_3#1:
- havoc inline$BDLGetDebugLevel$491$myNondetVar_0;
- inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$491$myNondetVar_0;
- goto inline$BDLGetDebugLevel$491$label_1#1;
-
- inline$BDLGetDebugLevel$491$label_1#1:
- goto inline$BDLGetDebugLevel$491$Return#1;
-
- inline$BDLGetDebugLevel$491$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon38_Then#1, inline$BDLSystemPowerIoCompletion$18$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_28_true#1, inline$BDLSystemPowerIoCompletion$18$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_32#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_33#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_34#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$18$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_29#1:
- goto inline$BDLGetDebugLevel$492$Entry#1;
-
- inline$BDLGetDebugLevel$492$Entry#1:
- goto inline$BDLGetDebugLevel$492$start#1;
-
- inline$BDLGetDebugLevel$492$start#1:
- goto inline$BDLGetDebugLevel$492$label_3#1;
-
- inline$BDLGetDebugLevel$492$label_3#1:
- havoc inline$BDLGetDebugLevel$492$myNondetVar_0;
- inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$492$myNondetVar_0;
- goto inline$BDLGetDebugLevel$492$label_1#1;
-
- inline$BDLGetDebugLevel$492$label_1#1:
- goto inline$BDLGetDebugLevel$492$Return#1;
-
- inline$BDLGetDebugLevel$492$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon39_Then#1, inline$BDLSystemPowerIoCompletion$18$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_38_true#1, inline$BDLSystemPowerIoCompletion$18$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_39_true#1, inline$BDLSystemPowerIoCompletion$18$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$18$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$18$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$18$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_106_true#1, inline$BDLSystemPowerIoCompletion$18$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_142#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_143#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$18$myNondetVar_0, inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$18$anon50_Then#1, inline$BDLSystemPowerIoCompletion$18$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_112#1:
- inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$18$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_113_true#1, inline$BDLSystemPowerIoCompletion$18$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$18$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_117#1:
- goto inline$BDLGetDebugLevel$499$Entry#1;
-
- inline$BDLGetDebugLevel$499$Entry#1:
- goto inline$BDLGetDebugLevel$499$start#1;
-
- inline$BDLGetDebugLevel$499$start#1:
- goto inline$BDLGetDebugLevel$499$label_3#1;
-
- inline$BDLGetDebugLevel$499$label_3#1:
- havoc inline$BDLGetDebugLevel$499$myNondetVar_0;
- inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$499$myNondetVar_0;
- goto inline$BDLGetDebugLevel$499$label_1#1;
-
- inline$BDLGetDebugLevel$499$label_1#1:
- goto inline$BDLGetDebugLevel$499$Return#1;
-
- inline$BDLGetDebugLevel$499$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon51_Then#1, inline$BDLSystemPowerIoCompletion$18$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_120_true#1, inline$BDLSystemPowerIoCompletion$18$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_124#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_125#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_126#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$18$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_121#1:
- goto inline$BDLGetDebugLevel$500$Entry#1;
-
- inline$BDLGetDebugLevel$500$Entry#1:
- goto inline$BDLGetDebugLevel$500$start#1;
-
- inline$BDLGetDebugLevel$500$start#1:
- goto inline$BDLGetDebugLevel$500$label_3#1;
-
- inline$BDLGetDebugLevel$500$label_3#1:
- havoc inline$BDLGetDebugLevel$500$myNondetVar_0;
- inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$500$myNondetVar_0;
- goto inline$BDLGetDebugLevel$500$label_1#1;
-
- inline$BDLGetDebugLevel$500$label_1#1:
- goto inline$BDLGetDebugLevel$500$Return#1;
-
- inline$BDLGetDebugLevel$500$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon52_Then#1, inline$BDLSystemPowerIoCompletion$18$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_130_true#1, inline$BDLSystemPowerIoCompletion$18$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_134#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_135#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_136#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$18$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_131#1:
- goto inline$BDLGetDebugLevel$501$Entry#1;
-
- inline$BDLGetDebugLevel$501$Entry#1:
- goto inline$BDLGetDebugLevel$501$start#1;
-
- inline$BDLGetDebugLevel$501$start#1:
- goto inline$BDLGetDebugLevel$501$label_3#1;
-
- inline$BDLGetDebugLevel$501$label_3#1:
- havoc inline$BDLGetDebugLevel$501$myNondetVar_0;
- inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$501$myNondetVar_0;
- goto inline$BDLGetDebugLevel$501$label_1#1;
-
- inline$BDLGetDebugLevel$501$label_1#1:
- goto inline$BDLGetDebugLevel$501$Return#1;
-
- inline$BDLGetDebugLevel$501$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon53_Then#1, inline$BDLSystemPowerIoCompletion$18$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_140_true#1, inline$BDLSystemPowerIoCompletion$18$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$18$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_115#1:
- inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$18$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$18$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_43#1:
- goto inline$BDLGetDebugLevel$493$Entry#1;
-
- inline$BDLGetDebugLevel$493$Entry#1:
- goto inline$BDLGetDebugLevel$493$start#1;
-
- inline$BDLGetDebugLevel$493$start#1:
- goto inline$BDLGetDebugLevel$493$label_3#1;
-
- inline$BDLGetDebugLevel$493$label_3#1:
- havoc inline$BDLGetDebugLevel$493$myNondetVar_0;
- inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$493$myNondetVar_0;
- goto inline$BDLGetDebugLevel$493$label_1#1;
-
- inline$BDLGetDebugLevel$493$label_1#1:
- goto inline$BDLGetDebugLevel$493$Return#1;
-
- inline$BDLGetDebugLevel$493$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon40_Then#1, inline$BDLSystemPowerIoCompletion$18$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_46_true#1, inline$BDLSystemPowerIoCompletion$18$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_50#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_51#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_52#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$18$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_47#1:
- goto inline$BDLGetDebugLevel$494$Entry#1;
-
- inline$BDLGetDebugLevel$494$Entry#1:
- goto inline$BDLGetDebugLevel$494$start#1;
-
- inline$BDLGetDebugLevel$494$start#1:
- goto inline$BDLGetDebugLevel$494$label_3#1;
-
- inline$BDLGetDebugLevel$494$label_3#1:
- havoc inline$BDLGetDebugLevel$494$myNondetVar_0;
- inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$494$myNondetVar_0;
- goto inline$BDLGetDebugLevel$494$label_1#1;
-
- inline$BDLGetDebugLevel$494$label_1#1:
- goto inline$BDLGetDebugLevel$494$Return#1;
-
- inline$BDLGetDebugLevel$494$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon41_Then#1, inline$BDLSystemPowerIoCompletion$18$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_56_true#1, inline$BDLSystemPowerIoCompletion$18$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_60#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_61#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_62#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$18$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_57#1:
- goto inline$BDLGetDebugLevel$495$Entry#1;
-
- inline$BDLGetDebugLevel$495$Entry#1:
- goto inline$BDLGetDebugLevel$495$start#1;
-
- inline$BDLGetDebugLevel$495$start#1:
- goto inline$BDLGetDebugLevel$495$label_3#1;
-
- inline$BDLGetDebugLevel$495$label_3#1:
- havoc inline$BDLGetDebugLevel$495$myNondetVar_0;
- inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$495$myNondetVar_0;
- goto inline$BDLGetDebugLevel$495$label_1#1;
-
- inline$BDLGetDebugLevel$495$label_1#1:
- goto inline$BDLGetDebugLevel$495$Return#1;
-
- inline$BDLGetDebugLevel$495$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon42_Then#1, inline$BDLSystemPowerIoCompletion$18$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_66_true#1, inline$BDLSystemPowerIoCompletion$18$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$18$anon43_Then#1, inline$BDLSystemPowerIoCompletion$18$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$18$anon44_Then#1, inline$BDLSystemPowerIoCompletion$18$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_74#1:
- goto inline$storm_IoCompleteRequest$38$Entry#1;
-
- inline$storm_IoCompleteRequest$38$Entry#1:
- inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$38$start#1;
-
- inline$storm_IoCompleteRequest$38$start#1:
- inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$38$label_3#1;
-
- inline$storm_IoCompleteRequest$38$label_3#1:
- call inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$38$label_6#1;
-
- inline$storm_IoCompleteRequest$38$label_6#1:
- goto inline$storm_IoCompleteRequest$38$label_6_true#1, inline$storm_IoCompleteRequest$38$label_6_false#1;
-
- inline$storm_IoCompleteRequest$38$label_6_false#1:
- assume inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$38$label_7#1;
-
- inline$storm_IoCompleteRequest$38$label_6_true#1:
- assume inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$38$label_8#1;
-
- inline$storm_IoCompleteRequest$38$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$38$anon3_Then#1, inline$storm_IoCompleteRequest$38$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$38$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$38$anon1#1;
-
- inline$storm_IoCompleteRequest$38$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$38$label_9#1;
-
- inline$storm_IoCompleteRequest$38$label_9#1:
- goto inline$storm_IoCompleteRequest$38$label_9_true#1, inline$storm_IoCompleteRequest$38$label_9_false#1;
-
- inline$storm_IoCompleteRequest$38$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$38$label_10#1;
-
- inline$storm_IoCompleteRequest$38$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$38$label_1#1;
-
- inline$storm_IoCompleteRequest$38$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$38$label_7#1;
-
- inline$storm_IoCompleteRequest$38$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$38$label_1#1;
-
- inline$storm_IoCompleteRequest$38$label_1#1:
- goto inline$storm_IoCompleteRequest$38$Return#1;
-
- inline$storm_IoCompleteRequest$38$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$38$Return#1;
-
- inline$storm_IoCompleteRequest$38$Return#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon45_Then#1, inline$BDLSystemPowerIoCompletion$18$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$18$anon46_Then#1, inline$BDLSystemPowerIoCompletion$18$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_80#1:
- goto inline$BDLGetDebugLevel$496$Entry#1;
-
- inline$BDLGetDebugLevel$496$Entry#1:
- goto inline$BDLGetDebugLevel$496$start#1;
-
- inline$BDLGetDebugLevel$496$start#1:
- goto inline$BDLGetDebugLevel$496$label_3#1;
-
- inline$BDLGetDebugLevel$496$label_3#1:
- havoc inline$BDLGetDebugLevel$496$myNondetVar_0;
- inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$496$myNondetVar_0;
- goto inline$BDLGetDebugLevel$496$label_1#1;
-
- inline$BDLGetDebugLevel$496$label_1#1:
- goto inline$BDLGetDebugLevel$496$Return#1;
-
- inline$BDLGetDebugLevel$496$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon47_Then#1, inline$BDLSystemPowerIoCompletion$18$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_83_true#1, inline$BDLSystemPowerIoCompletion$18$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_87#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_88#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_89#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$18$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_84#1:
- goto inline$BDLGetDebugLevel$497$Entry#1;
-
- inline$BDLGetDebugLevel$497$Entry#1:
- goto inline$BDLGetDebugLevel$497$start#1;
-
- inline$BDLGetDebugLevel$497$start#1:
- goto inline$BDLGetDebugLevel$497$label_3#1;
-
- inline$BDLGetDebugLevel$497$label_3#1:
- havoc inline$BDLGetDebugLevel$497$myNondetVar_0;
- inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$497$myNondetVar_0;
- goto inline$BDLGetDebugLevel$497$label_1#1;
-
- inline$BDLGetDebugLevel$497$label_1#1:
- goto inline$BDLGetDebugLevel$497$Return#1;
-
- inline$BDLGetDebugLevel$497$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon48_Then#1, inline$BDLSystemPowerIoCompletion$18$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_93_true#1, inline$BDLSystemPowerIoCompletion$18$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_97#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_98#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_99#1:
- call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$18$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$18$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_94#1:
- goto inline$BDLGetDebugLevel$498$Entry#1;
-
- inline$BDLGetDebugLevel$498$Entry#1:
- goto inline$BDLGetDebugLevel$498$start#1;
-
- inline$BDLGetDebugLevel$498$start#1:
- goto inline$BDLGetDebugLevel$498$label_3#1;
-
- inline$BDLGetDebugLevel$498$label_3#1:
- havoc inline$BDLGetDebugLevel$498$myNondetVar_0;
- inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$498$myNondetVar_0;
- goto inline$BDLGetDebugLevel$498$label_1#1;
-
- inline$BDLGetDebugLevel$498$label_1#1:
- goto inline$BDLGetDebugLevel$498$Return#1;
-
- inline$BDLGetDebugLevel$498$Return#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$18$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$18$anon49_Then#1, inline$BDLSystemPowerIoCompletion$18$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_103_true#1, inline$BDLSystemPowerIoCompletion$18$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$18$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$18$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_104#1:
- inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$18$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$18$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$18$Return#1;
-
- inline$BDLSystemPowerIoCompletion$18$Return#1:
- inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$18$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$18$anon14_Then#1, inline$CallCompletionRoutine$18$anon14_Else#1;
-
- inline$CallCompletionRoutine$18$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$18$anon9#1;
-
- inline$CallCompletionRoutine$18$anon9#1:
- goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$18$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$18$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$18$Entry#1:
- inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$18$start#1;
-
- inline$BDLDevicePowerIoCompletion$18$start#1:
- call inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$18$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_4#1:
- inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_6#1:
- inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$18$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$18$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$97$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$97$Entry#1:
- inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$97$start#1;
-
- inline$IoGetCurrentIrpStackLocation$97$start#1:
- inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$97$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$97$label_3_true#1, inline$IoGetCurrentIrpStackLocation$97$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$97$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$97$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$97$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$97$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$97$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$97$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$97$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$97$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$97$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$97$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$97$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$97$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$97$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$97$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$97$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$97$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$97$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon30_Then#1, inline$BDLDevicePowerIoCompletion$18$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_13#1:
- inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$18$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$18$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_17#1:
- goto inline$BDLGetDebugLevel$481$Entry#1;
-
- inline$BDLGetDebugLevel$481$Entry#1:
- goto inline$BDLGetDebugLevel$481$start#1;
-
- inline$BDLGetDebugLevel$481$start#1:
- goto inline$BDLGetDebugLevel$481$label_3#1;
-
- inline$BDLGetDebugLevel$481$label_3#1:
- havoc inline$BDLGetDebugLevel$481$myNondetVar_0;
- inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$481$myNondetVar_0;
- goto inline$BDLGetDebugLevel$481$label_1#1;
-
- inline$BDLGetDebugLevel$481$label_1#1:
- goto inline$BDLGetDebugLevel$481$Return#1;
-
- inline$BDLGetDebugLevel$481$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon31_Then#1, inline$BDLDevicePowerIoCompletion$18$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_20_true#1, inline$BDLDevicePowerIoCompletion$18$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_24#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_25#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_26#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$18$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_21#1:
- goto inline$BDLGetDebugLevel$482$Entry#1;
-
- inline$BDLGetDebugLevel$482$Entry#1:
- goto inline$BDLGetDebugLevel$482$start#1;
-
- inline$BDLGetDebugLevel$482$start#1:
- goto inline$BDLGetDebugLevel$482$label_3#1;
-
- inline$BDLGetDebugLevel$482$label_3#1:
- havoc inline$BDLGetDebugLevel$482$myNondetVar_0;
- inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$482$myNondetVar_0;
- goto inline$BDLGetDebugLevel$482$label_1#1;
-
- inline$BDLGetDebugLevel$482$label_1#1:
- goto inline$BDLGetDebugLevel$482$Return#1;
-
- inline$BDLGetDebugLevel$482$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon32_Then#1, inline$BDLDevicePowerIoCompletion$18$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_30_true#1, inline$BDLDevicePowerIoCompletion$18$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_34#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_35#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_36#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$18$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_31#1:
- goto inline$BDLGetDebugLevel$483$Entry#1;
-
- inline$BDLGetDebugLevel$483$Entry#1:
- goto inline$BDLGetDebugLevel$483$start#1;
-
- inline$BDLGetDebugLevel$483$start#1:
- goto inline$BDLGetDebugLevel$483$label_3#1;
-
- inline$BDLGetDebugLevel$483$label_3#1:
- havoc inline$BDLGetDebugLevel$483$myNondetVar_0;
- inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$483$myNondetVar_0;
- goto inline$BDLGetDebugLevel$483$label_1#1;
-
- inline$BDLGetDebugLevel$483$label_1#1:
- goto inline$BDLGetDebugLevel$483$Return#1;
-
- inline$BDLGetDebugLevel$483$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon33_Then#1, inline$BDLDevicePowerIoCompletion$18$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_40_true#1, inline$BDLDevicePowerIoCompletion$18$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_41_true#1, inline$BDLDevicePowerIoCompletion$18$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$18$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$18$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_44_true#1, inline$BDLDevicePowerIoCompletion$18$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$18$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_45_true#1, inline$BDLDevicePowerIoCompletion$18$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$18$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$18$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$18$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$18$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$18$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_54#1:
- inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$18$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_55_true#1, inline$BDLDevicePowerIoCompletion$18$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_56#1:
- call inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$18$anon34_Then#1, inline$BDLDevicePowerIoCompletion$18$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_59#1:
- goto inline$BDLGetDebugLevel$484$Entry#1;
-
- inline$BDLGetDebugLevel$484$Entry#1:
- goto inline$BDLGetDebugLevel$484$start#1;
-
- inline$BDLGetDebugLevel$484$start#1:
- goto inline$BDLGetDebugLevel$484$label_3#1;
-
- inline$BDLGetDebugLevel$484$label_3#1:
- havoc inline$BDLGetDebugLevel$484$myNondetVar_0;
- inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$484$myNondetVar_0;
- goto inline$BDLGetDebugLevel$484$label_1#1;
-
- inline$BDLGetDebugLevel$484$label_1#1:
- goto inline$BDLGetDebugLevel$484$Return#1;
-
- inline$BDLGetDebugLevel$484$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon35_Then#1, inline$BDLDevicePowerIoCompletion$18$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_62_true#1, inline$BDLDevicePowerIoCompletion$18$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_66#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_67#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_68#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$18$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_63#1:
- goto inline$BDLGetDebugLevel$485$Entry#1;
-
- inline$BDLGetDebugLevel$485$Entry#1:
- goto inline$BDLGetDebugLevel$485$start#1;
-
- inline$BDLGetDebugLevel$485$start#1:
- goto inline$BDLGetDebugLevel$485$label_3#1;
-
- inline$BDLGetDebugLevel$485$label_3#1:
- havoc inline$BDLGetDebugLevel$485$myNondetVar_0;
- inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$485$myNondetVar_0;
- goto inline$BDLGetDebugLevel$485$label_1#1;
-
- inline$BDLGetDebugLevel$485$label_1#1:
- goto inline$BDLGetDebugLevel$485$Return#1;
-
- inline$BDLGetDebugLevel$485$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon36_Then#1, inline$BDLDevicePowerIoCompletion$18$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_72_true#1, inline$BDLDevicePowerIoCompletion$18$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_76#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_77#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_78#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$18$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_73#1:
- goto inline$BDLGetDebugLevel$486$Entry#1;
-
- inline$BDLGetDebugLevel$486$Entry#1:
- goto inline$BDLGetDebugLevel$486$start#1;
-
- inline$BDLGetDebugLevel$486$start#1:
- goto inline$BDLGetDebugLevel$486$label_3#1;
-
- inline$BDLGetDebugLevel$486$label_3#1:
- havoc inline$BDLGetDebugLevel$486$myNondetVar_0;
- inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$486$myNondetVar_0;
- goto inline$BDLGetDebugLevel$486$label_1#1;
-
- inline$BDLGetDebugLevel$486$label_1#1:
- goto inline$BDLGetDebugLevel$486$Return#1;
-
- inline$BDLGetDebugLevel$486$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon37_Then#1, inline$BDLDevicePowerIoCompletion$18$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_82_true#1, inline$BDLDevicePowerIoCompletion$18$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$18$anon38_Then#1, inline$BDLDevicePowerIoCompletion$18$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$18$anon39_Then#1, inline$BDLDevicePowerIoCompletion$18$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_92#1:
- goto inline$storm_IoCompleteRequest$37$Entry#1;
-
- inline$storm_IoCompleteRequest$37$Entry#1:
- inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$37$start#1;
-
- inline$storm_IoCompleteRequest$37$start#1:
- inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$37$label_3#1;
-
- inline$storm_IoCompleteRequest$37$label_3#1:
- call inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$37$label_6#1;
-
- inline$storm_IoCompleteRequest$37$label_6#1:
- goto inline$storm_IoCompleteRequest$37$label_6_true#1, inline$storm_IoCompleteRequest$37$label_6_false#1;
-
- inline$storm_IoCompleteRequest$37$label_6_false#1:
- assume inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$37$label_7#1;
-
- inline$storm_IoCompleteRequest$37$label_6_true#1:
- assume inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$37$label_8#1;
-
- inline$storm_IoCompleteRequest$37$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$37$anon3_Then#1, inline$storm_IoCompleteRequest$37$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$37$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$37$anon1#1;
-
- inline$storm_IoCompleteRequest$37$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$37$label_9#1;
-
- inline$storm_IoCompleteRequest$37$label_9#1:
- goto inline$storm_IoCompleteRequest$37$label_9_true#1, inline$storm_IoCompleteRequest$37$label_9_false#1;
-
- inline$storm_IoCompleteRequest$37$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$37$label_10#1;
-
- inline$storm_IoCompleteRequest$37$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$37$label_1#1;
-
- inline$storm_IoCompleteRequest$37$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$37$label_7#1;
-
- inline$storm_IoCompleteRequest$37$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$37$label_1#1;
-
- inline$storm_IoCompleteRequest$37$label_1#1:
- goto inline$storm_IoCompleteRequest$37$Return#1;
-
- inline$storm_IoCompleteRequest$37$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$37$Return#1;
-
- inline$storm_IoCompleteRequest$37$Return#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon40_Then#1, inline$BDLDevicePowerIoCompletion$18$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$18$anon41_Then#1, inline$BDLDevicePowerIoCompletion$18$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_98#1:
- goto inline$BDLGetDebugLevel$487$Entry#1;
-
- inline$BDLGetDebugLevel$487$Entry#1:
- goto inline$BDLGetDebugLevel$487$start#1;
-
- inline$BDLGetDebugLevel$487$start#1:
- goto inline$BDLGetDebugLevel$487$label_3#1;
-
- inline$BDLGetDebugLevel$487$label_3#1:
- havoc inline$BDLGetDebugLevel$487$myNondetVar_0;
- inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$487$myNondetVar_0;
- goto inline$BDLGetDebugLevel$487$label_1#1;
-
- inline$BDLGetDebugLevel$487$label_1#1:
- goto inline$BDLGetDebugLevel$487$Return#1;
-
- inline$BDLGetDebugLevel$487$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon42_Then#1, inline$BDLDevicePowerIoCompletion$18$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_101_true#1, inline$BDLDevicePowerIoCompletion$18$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_105#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_106#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_107#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$18$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_102#1:
- goto inline$BDLGetDebugLevel$488$Entry#1;
-
- inline$BDLGetDebugLevel$488$Entry#1:
- goto inline$BDLGetDebugLevel$488$start#1;
-
- inline$BDLGetDebugLevel$488$start#1:
- goto inline$BDLGetDebugLevel$488$label_3#1;
-
- inline$BDLGetDebugLevel$488$label_3#1:
- havoc inline$BDLGetDebugLevel$488$myNondetVar_0;
- inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$488$myNondetVar_0;
- goto inline$BDLGetDebugLevel$488$label_1#1;
-
- inline$BDLGetDebugLevel$488$label_1#1:
- goto inline$BDLGetDebugLevel$488$Return#1;
-
- inline$BDLGetDebugLevel$488$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon43_Then#1, inline$BDLDevicePowerIoCompletion$18$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_111_true#1, inline$BDLDevicePowerIoCompletion$18$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_115#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_116#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_117#1:
- call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$18$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$18$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_112#1:
- goto inline$BDLGetDebugLevel$489$Entry#1;
-
- inline$BDLGetDebugLevel$489$Entry#1:
- goto inline$BDLGetDebugLevel$489$start#1;
-
- inline$BDLGetDebugLevel$489$start#1:
- goto inline$BDLGetDebugLevel$489$label_3#1;
-
- inline$BDLGetDebugLevel$489$label_3#1:
- havoc inline$BDLGetDebugLevel$489$myNondetVar_0;
- inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$489$myNondetVar_0;
- goto inline$BDLGetDebugLevel$489$label_1#1;
-
- inline$BDLGetDebugLevel$489$label_1#1:
- goto inline$BDLGetDebugLevel$489$Return#1;
-
- inline$BDLGetDebugLevel$489$Return#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$18$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$18$anon44_Then#1, inline$BDLDevicePowerIoCompletion$18$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_121_true#1, inline$BDLDevicePowerIoCompletion$18$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$18$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$18$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_122#1:
- inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$18$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$18$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$18$Return#1;
-
- inline$BDLDevicePowerIoCompletion$18$Return#1:
- inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$18$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$18$anon13_Then#1, inline$CallCompletionRoutine$18$anon13_Else#1;
-
- inline$CallCompletionRoutine$18$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$18$anon7#1;
-
- inline$CallCompletionRoutine$18$anon7#1:
- goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$18$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$18$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$18$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$18$Entry#1:
- inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$18$start#1;
-
- inline$BDLCallDriverCompletionRoutine$18$start#1:
- inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$18$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$18$label_3_true#1, inline$BDLCallDriverCompletionRoutine$18$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$18$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$18$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$18$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$18$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_6#1:
- goto inline$storm_KeSetEvent$20$Entry#1;
-
- inline$storm_KeSetEvent$20$Entry#1:
- inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$20$start#1;
-
- inline$storm_KeSetEvent$20$start#1:
- inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$20$label_3#1;
-
- inline$storm_KeSetEvent$20$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$20$label_1#1;
-
- inline$storm_KeSetEvent$20$label_1#1:
- goto inline$storm_KeSetEvent$20$Return#1;
-
- inline$storm_KeSetEvent$20$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$18$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$18$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$18$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$18$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$18$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$18$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$18$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_9#1:
- inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$18$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$18$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$18$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$18$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$18$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$18$Return#1:
- inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$18$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$18$anon12_Then#1, inline$CallCompletionRoutine$18$anon12_Else#1;
-
- inline$CallCompletionRoutine$18$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$18$anon5#1;
-
- inline$CallCompletionRoutine$18$anon5#1:
- goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$18$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$18$label_23#1;
-
- inline$CallCompletionRoutine$18$label_23#1:
- inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$18$label_24#1;
-
- inline$CallCompletionRoutine$18$label_24#1:
- goto inline$CallCompletionRoutine$18$label_24_true#1, inline$CallCompletionRoutine$18$label_24_false#1;
-
- inline$CallCompletionRoutine$18$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$18$label_1#1;
-
- inline$CallCompletionRoutine$18$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$18$label_25#1;
-
- inline$CallCompletionRoutine$18$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$18$label_1#1;
-
- inline$CallCompletionRoutine$18$label_1#1:
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$18$Return#1;
-
- inline$CallCompletionRoutine$18$Return#1:
- goto inline$storm_IoCallDriver$9$label_33$1#1;
-
- inline$storm_IoCallDriver$9$label_33$1#1:
- goto inline$storm_IoCallDriver$9$anon14_Then#1, inline$storm_IoCallDriver$9$anon14_Else#1;
-
- inline$storm_IoCallDriver$9$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$9$anon8#1;
-
- inline$storm_IoCallDriver$9$anon8#1:
- goto inline$storm_IoCallDriver$9$label_36#1;
-
- inline$storm_IoCallDriver$9$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$label_27_case_1#1:
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$9$label_29#1;
-
- inline$storm_IoCallDriver$9$label_29#1:
- inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$9$label_37#1;
-
- inline$storm_IoCallDriver$9$label_37#1:
- goto inline$storm_IoCallDriver$9$label_38#1;
-
- inline$storm_IoCallDriver$9$label_38#1:
- goto inline$storm_IoCallDriver$9$label_39#1;
-
- inline$storm_IoCallDriver$9$label_39#1:
- goto inline$CallCompletionRoutine$19$Entry#1;
-
- inline$CallCompletionRoutine$19$Entry#1:
- inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$19$start#1;
-
- inline$CallCompletionRoutine$19$start#1:
- inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$19$label_3#1;
-
- inline$CallCompletionRoutine$19$label_3#1:
- goto inline$CallCompletionRoutine$19$label_4#1;
-
- inline$CallCompletionRoutine$19$label_4#1:
- goto inline$CallCompletionRoutine$19$label_5#1;
-
- inline$CallCompletionRoutine$19$label_5#1:
- goto inline$CallCompletionRoutine$19$label_6#1;
-
- inline$CallCompletionRoutine$19$label_6#1:
- goto inline$CallCompletionRoutine$19$label_7#1;
-
- inline$CallCompletionRoutine$19$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$99$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$99$Entry#1:
- inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$99$start#1;
-
- inline$IoGetCurrentIrpStackLocation$99$start#1:
- inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$99$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$99$label_3_true#1, inline$IoGetCurrentIrpStackLocation$99$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$99$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$99$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$99$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$99$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$99$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$99$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$99$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$99$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$99$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$99$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$99$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$99$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$99$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$99$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$99$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$99$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$99$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$99$Return#1:
- goto inline$CallCompletionRoutine$19$label_7$1#1;
-
- inline$CallCompletionRoutine$19$label_7$1#1:
- goto inline$CallCompletionRoutine$19$anon10_Then#1, inline$CallCompletionRoutine$19$anon10_Else#1;
-
- inline$CallCompletionRoutine$19$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$19$anon1#1;
-
- inline$CallCompletionRoutine$19$anon1#1:
- goto inline$CallCompletionRoutine$19$label_10#1;
-
- inline$CallCompletionRoutine$19$label_10#1:
- goto inline$CallCompletionRoutine$19$label_11#1;
-
- inline$CallCompletionRoutine$19$label_11#1:
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$CallCompletionRoutine$19$label_12#1;
-
- inline$CallCompletionRoutine$19$label_12#1:
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$CallCompletionRoutine$19$label_13#1;
-
- inline$CallCompletionRoutine$19$label_13#1:
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$CallCompletionRoutine$19$label_14#1;
-
- inline$CallCompletionRoutine$19$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$100$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$100$Entry#1:
- inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$100$start#1;
-
- inline$IoGetCurrentIrpStackLocation$100$start#1:
- inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$100$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$100$label_3_true#1, inline$IoGetCurrentIrpStackLocation$100$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$100$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$100$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$100$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$100$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$100$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$100$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$100$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$100$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$100$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$100$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$100$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$100$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$100$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$100$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$100$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$100$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$100$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$100$Return#1:
- goto inline$CallCompletionRoutine$19$label_14$1#1;
-
- inline$CallCompletionRoutine$19$label_14$1#1:
- goto inline$CallCompletionRoutine$19$anon11_Then#1, inline$CallCompletionRoutine$19$anon11_Else#1;
-
- inline$CallCompletionRoutine$19$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$19$anon3#1;
-
- inline$CallCompletionRoutine$19$anon3#1:
- goto inline$CallCompletionRoutine$19$label_17#1;
-
- inline$CallCompletionRoutine$19$label_17#1:
- goto inline$CallCompletionRoutine$19$label_18#1;
-
- inline$CallCompletionRoutine$19$label_18#1:
- goto inline$CallCompletionRoutine$19$label_18_true#1, inline$CallCompletionRoutine$19$label_18_false#1;
-
- inline$CallCompletionRoutine$19$label_18_false#1:
- assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$19$label_1#1;
-
- inline$CallCompletionRoutine$19$label_18_true#1:
- assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$19$label_19#1;
-
- inline$CallCompletionRoutine$19$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$19$label_20#1;
-
- inline$CallCompletionRoutine$19$label_20#1:
- goto inline$CallCompletionRoutine$19$label_20_icall_1#1, inline$CallCompletionRoutine$19$label_20_icall_2#1, inline$CallCompletionRoutine$19$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$19$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$19$Entry#1:
- inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$19$start#1;
-
- inline$BDLSystemPowerIoCompletion$19$start#1:
- call inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$19$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_4#1:
- inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_6#1:
- inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$19$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$19$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$102$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$102$Entry#1:
- inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$102$start#1;
-
- inline$IoGetCurrentIrpStackLocation$102$start#1:
- inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$102$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$102$label_3_true#1, inline$IoGetCurrentIrpStackLocation$102$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$102$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$102$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$102$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$102$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$102$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$102$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$102$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$102$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$102$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$102$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$102$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$102$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$102$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$102$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$102$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$102$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$102$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$102$Return#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon36_Then#1, inline$BDLSystemPowerIoCompletion$19$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_15#1:
- goto inline$BDLGetDebugLevel$511$Entry#1;
-
- inline$BDLGetDebugLevel$511$Entry#1:
- goto inline$BDLGetDebugLevel$511$start#1;
-
- inline$BDLGetDebugLevel$511$start#1:
- goto inline$BDLGetDebugLevel$511$label_3#1;
-
- inline$BDLGetDebugLevel$511$label_3#1:
- havoc inline$BDLGetDebugLevel$511$myNondetVar_0;
- inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$511$myNondetVar_0;
- goto inline$BDLGetDebugLevel$511$label_1#1;
-
- inline$BDLGetDebugLevel$511$label_1#1:
- goto inline$BDLGetDebugLevel$511$Return#1;
-
- inline$BDLGetDebugLevel$511$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon37_Then#1, inline$BDLSystemPowerIoCompletion$19$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_18_true#1, inline$BDLSystemPowerIoCompletion$19$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_22#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_23#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_24#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$19$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_19#1:
- goto inline$BDLGetDebugLevel$512$Entry#1;
-
- inline$BDLGetDebugLevel$512$Entry#1:
- goto inline$BDLGetDebugLevel$512$start#1;
-
- inline$BDLGetDebugLevel$512$start#1:
- goto inline$BDLGetDebugLevel$512$label_3#1;
-
- inline$BDLGetDebugLevel$512$label_3#1:
- havoc inline$BDLGetDebugLevel$512$myNondetVar_0;
- inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$512$myNondetVar_0;
- goto inline$BDLGetDebugLevel$512$label_1#1;
-
- inline$BDLGetDebugLevel$512$label_1#1:
- goto inline$BDLGetDebugLevel$512$Return#1;
-
- inline$BDLGetDebugLevel$512$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon38_Then#1, inline$BDLSystemPowerIoCompletion$19$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_28_true#1, inline$BDLSystemPowerIoCompletion$19$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_32#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_33#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_34#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$19$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_29#1:
- goto inline$BDLGetDebugLevel$513$Entry#1;
-
- inline$BDLGetDebugLevel$513$Entry#1:
- goto inline$BDLGetDebugLevel$513$start#1;
-
- inline$BDLGetDebugLevel$513$start#1:
- goto inline$BDLGetDebugLevel$513$label_3#1;
-
- inline$BDLGetDebugLevel$513$label_3#1:
- havoc inline$BDLGetDebugLevel$513$myNondetVar_0;
- inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$513$myNondetVar_0;
- goto inline$BDLGetDebugLevel$513$label_1#1;
-
- inline$BDLGetDebugLevel$513$label_1#1:
- goto inline$BDLGetDebugLevel$513$Return#1;
-
- inline$BDLGetDebugLevel$513$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon39_Then#1, inline$BDLSystemPowerIoCompletion$19$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_38_true#1, inline$BDLSystemPowerIoCompletion$19$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_39_true#1, inline$BDLSystemPowerIoCompletion$19$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$19$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$19$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$19$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_106_true#1, inline$BDLSystemPowerIoCompletion$19$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_142#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_143#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$19$myNondetVar_0, inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$19$anon50_Then#1, inline$BDLSystemPowerIoCompletion$19$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_112#1:
- inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$19$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_113_true#1, inline$BDLSystemPowerIoCompletion$19$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$19$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_117#1:
- goto inline$BDLGetDebugLevel$520$Entry#1;
-
- inline$BDLGetDebugLevel$520$Entry#1:
- goto inline$BDLGetDebugLevel$520$start#1;
-
- inline$BDLGetDebugLevel$520$start#1:
- goto inline$BDLGetDebugLevel$520$label_3#1;
-
- inline$BDLGetDebugLevel$520$label_3#1:
- havoc inline$BDLGetDebugLevel$520$myNondetVar_0;
- inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$520$myNondetVar_0;
- goto inline$BDLGetDebugLevel$520$label_1#1;
-
- inline$BDLGetDebugLevel$520$label_1#1:
- goto inline$BDLGetDebugLevel$520$Return#1;
-
- inline$BDLGetDebugLevel$520$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon51_Then#1, inline$BDLSystemPowerIoCompletion$19$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_120_true#1, inline$BDLSystemPowerIoCompletion$19$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_124#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_125#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_126#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$19$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_121#1:
- goto inline$BDLGetDebugLevel$521$Entry#1;
-
- inline$BDLGetDebugLevel$521$Entry#1:
- goto inline$BDLGetDebugLevel$521$start#1;
-
- inline$BDLGetDebugLevel$521$start#1:
- goto inline$BDLGetDebugLevel$521$label_3#1;
-
- inline$BDLGetDebugLevel$521$label_3#1:
- havoc inline$BDLGetDebugLevel$521$myNondetVar_0;
- inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$521$myNondetVar_0;
- goto inline$BDLGetDebugLevel$521$label_1#1;
-
- inline$BDLGetDebugLevel$521$label_1#1:
- goto inline$BDLGetDebugLevel$521$Return#1;
-
- inline$BDLGetDebugLevel$521$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon52_Then#1, inline$BDLSystemPowerIoCompletion$19$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_130_true#1, inline$BDLSystemPowerIoCompletion$19$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_134#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_135#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_136#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$19$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_131#1:
- goto inline$BDLGetDebugLevel$522$Entry#1;
-
- inline$BDLGetDebugLevel$522$Entry#1:
- goto inline$BDLGetDebugLevel$522$start#1;
-
- inline$BDLGetDebugLevel$522$start#1:
- goto inline$BDLGetDebugLevel$522$label_3#1;
-
- inline$BDLGetDebugLevel$522$label_3#1:
- havoc inline$BDLGetDebugLevel$522$myNondetVar_0;
- inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$522$myNondetVar_0;
- goto inline$BDLGetDebugLevel$522$label_1#1;
-
- inline$BDLGetDebugLevel$522$label_1#1:
- goto inline$BDLGetDebugLevel$522$Return#1;
-
- inline$BDLGetDebugLevel$522$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon53_Then#1, inline$BDLSystemPowerIoCompletion$19$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_140_true#1, inline$BDLSystemPowerIoCompletion$19$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$19$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_115#1:
- inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$19$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$19$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_43#1:
- goto inline$BDLGetDebugLevel$514$Entry#1;
-
- inline$BDLGetDebugLevel$514$Entry#1:
- goto inline$BDLGetDebugLevel$514$start#1;
-
- inline$BDLGetDebugLevel$514$start#1:
- goto inline$BDLGetDebugLevel$514$label_3#1;
-
- inline$BDLGetDebugLevel$514$label_3#1:
- havoc inline$BDLGetDebugLevel$514$myNondetVar_0;
- inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$514$myNondetVar_0;
- goto inline$BDLGetDebugLevel$514$label_1#1;
-
- inline$BDLGetDebugLevel$514$label_1#1:
- goto inline$BDLGetDebugLevel$514$Return#1;
-
- inline$BDLGetDebugLevel$514$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon40_Then#1, inline$BDLSystemPowerIoCompletion$19$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_46_true#1, inline$BDLSystemPowerIoCompletion$19$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_50#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_51#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_52#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$19$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_47#1:
- goto inline$BDLGetDebugLevel$515$Entry#1;
-
- inline$BDLGetDebugLevel$515$Entry#1:
- goto inline$BDLGetDebugLevel$515$start#1;
-
- inline$BDLGetDebugLevel$515$start#1:
- goto inline$BDLGetDebugLevel$515$label_3#1;
-
- inline$BDLGetDebugLevel$515$label_3#1:
- havoc inline$BDLGetDebugLevel$515$myNondetVar_0;
- inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$515$myNondetVar_0;
- goto inline$BDLGetDebugLevel$515$label_1#1;
-
- inline$BDLGetDebugLevel$515$label_1#1:
- goto inline$BDLGetDebugLevel$515$Return#1;
-
- inline$BDLGetDebugLevel$515$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon41_Then#1, inline$BDLSystemPowerIoCompletion$19$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_56_true#1, inline$BDLSystemPowerIoCompletion$19$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_60#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_61#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_62#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$19$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_57#1:
- goto inline$BDLGetDebugLevel$516$Entry#1;
-
- inline$BDLGetDebugLevel$516$Entry#1:
- goto inline$BDLGetDebugLevel$516$start#1;
-
- inline$BDLGetDebugLevel$516$start#1:
- goto inline$BDLGetDebugLevel$516$label_3#1;
-
- inline$BDLGetDebugLevel$516$label_3#1:
- havoc inline$BDLGetDebugLevel$516$myNondetVar_0;
- inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$516$myNondetVar_0;
- goto inline$BDLGetDebugLevel$516$label_1#1;
-
- inline$BDLGetDebugLevel$516$label_1#1:
- goto inline$BDLGetDebugLevel$516$Return#1;
-
- inline$BDLGetDebugLevel$516$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon42_Then#1, inline$BDLSystemPowerIoCompletion$19$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_66_true#1, inline$BDLSystemPowerIoCompletion$19$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$19$anon43_Then#1, inline$BDLSystemPowerIoCompletion$19$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$19$anon44_Then#1, inline$BDLSystemPowerIoCompletion$19$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_74#1:
- goto inline$storm_IoCompleteRequest$40$Entry#1;
-
- inline$storm_IoCompleteRequest$40$Entry#1:
- inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$40$start#1;
-
- inline$storm_IoCompleteRequest$40$start#1:
- inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$40$label_3#1;
-
- inline$storm_IoCompleteRequest$40$label_3#1:
- call inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$40$label_6#1;
-
- inline$storm_IoCompleteRequest$40$label_6#1:
- goto inline$storm_IoCompleteRequest$40$label_6_true#1, inline$storm_IoCompleteRequest$40$label_6_false#1;
-
- inline$storm_IoCompleteRequest$40$label_6_false#1:
- assume inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$40$label_7#1;
-
- inline$storm_IoCompleteRequest$40$label_6_true#1:
- assume inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$40$label_8#1;
-
- inline$storm_IoCompleteRequest$40$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$40$anon3_Then#1, inline$storm_IoCompleteRequest$40$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$40$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$40$anon1#1;
-
- inline$storm_IoCompleteRequest$40$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$40$label_9#1;
-
- inline$storm_IoCompleteRequest$40$label_9#1:
- goto inline$storm_IoCompleteRequest$40$label_9_true#1, inline$storm_IoCompleteRequest$40$label_9_false#1;
-
- inline$storm_IoCompleteRequest$40$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$40$label_10#1;
-
- inline$storm_IoCompleteRequest$40$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$40$label_1#1;
-
- inline$storm_IoCompleteRequest$40$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$40$label_7#1;
-
- inline$storm_IoCompleteRequest$40$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$40$label_1#1;
-
- inline$storm_IoCompleteRequest$40$label_1#1:
- goto inline$storm_IoCompleteRequest$40$Return#1;
-
- inline$storm_IoCompleteRequest$40$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$40$Return#1;
-
- inline$storm_IoCompleteRequest$40$Return#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon45_Then#1, inline$BDLSystemPowerIoCompletion$19$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$19$anon46_Then#1, inline$BDLSystemPowerIoCompletion$19$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_80#1:
- goto inline$BDLGetDebugLevel$517$Entry#1;
-
- inline$BDLGetDebugLevel$517$Entry#1:
- goto inline$BDLGetDebugLevel$517$start#1;
-
- inline$BDLGetDebugLevel$517$start#1:
- goto inline$BDLGetDebugLevel$517$label_3#1;
-
- inline$BDLGetDebugLevel$517$label_3#1:
- havoc inline$BDLGetDebugLevel$517$myNondetVar_0;
- inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$517$myNondetVar_0;
- goto inline$BDLGetDebugLevel$517$label_1#1;
-
- inline$BDLGetDebugLevel$517$label_1#1:
- goto inline$BDLGetDebugLevel$517$Return#1;
-
- inline$BDLGetDebugLevel$517$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon47_Then#1, inline$BDLSystemPowerIoCompletion$19$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_83_true#1, inline$BDLSystemPowerIoCompletion$19$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_87#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_88#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_89#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$19$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_84#1:
- goto inline$BDLGetDebugLevel$518$Entry#1;
-
- inline$BDLGetDebugLevel$518$Entry#1:
- goto inline$BDLGetDebugLevel$518$start#1;
-
- inline$BDLGetDebugLevel$518$start#1:
- goto inline$BDLGetDebugLevel$518$label_3#1;
-
- inline$BDLGetDebugLevel$518$label_3#1:
- havoc inline$BDLGetDebugLevel$518$myNondetVar_0;
- inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$518$myNondetVar_0;
- goto inline$BDLGetDebugLevel$518$label_1#1;
-
- inline$BDLGetDebugLevel$518$label_1#1:
- goto inline$BDLGetDebugLevel$518$Return#1;
-
- inline$BDLGetDebugLevel$518$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon48_Then#1, inline$BDLSystemPowerIoCompletion$19$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_93_true#1, inline$BDLSystemPowerIoCompletion$19$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_97#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_98#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_99#1:
- call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$19$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$19$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_94#1:
- goto inline$BDLGetDebugLevel$519$Entry#1;
-
- inline$BDLGetDebugLevel$519$Entry#1:
- goto inline$BDLGetDebugLevel$519$start#1;
-
- inline$BDLGetDebugLevel$519$start#1:
- goto inline$BDLGetDebugLevel$519$label_3#1;
-
- inline$BDLGetDebugLevel$519$label_3#1:
- havoc inline$BDLGetDebugLevel$519$myNondetVar_0;
- inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$519$myNondetVar_0;
- goto inline$BDLGetDebugLevel$519$label_1#1;
-
- inline$BDLGetDebugLevel$519$label_1#1:
- goto inline$BDLGetDebugLevel$519$Return#1;
-
- inline$BDLGetDebugLevel$519$Return#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$19$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$19$anon49_Then#1, inline$BDLSystemPowerIoCompletion$19$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_103_true#1, inline$BDLSystemPowerIoCompletion$19$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$19$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$19$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_104#1:
- inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$19$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$19$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$19$Return#1;
-
- inline$BDLSystemPowerIoCompletion$19$Return#1:
- inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$19$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$19$anon14_Then#1, inline$CallCompletionRoutine$19$anon14_Else#1;
-
- inline$CallCompletionRoutine$19$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$19$anon9#1;
-
- inline$CallCompletionRoutine$19$anon9#1:
- goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$19$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$19$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$19$Entry#1:
- inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$19$start#1;
-
- inline$BDLDevicePowerIoCompletion$19$start#1:
- call inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$19$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_4#1:
- inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_6#1:
- inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$19$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$19$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$101$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$101$Entry#1:
- inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$101$start#1;
-
- inline$IoGetCurrentIrpStackLocation$101$start#1:
- inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$101$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$101$label_3_true#1, inline$IoGetCurrentIrpStackLocation$101$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$101$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$101$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$101$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$101$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$101$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$101$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$101$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$101$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$101$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$101$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$101$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$101$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$101$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$101$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$101$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$101$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$101$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon30_Then#1, inline$BDLDevicePowerIoCompletion$19$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_13#1:
- inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$19$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$19$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_17#1:
- goto inline$BDLGetDebugLevel$502$Entry#1;
-
- inline$BDLGetDebugLevel$502$Entry#1:
- goto inline$BDLGetDebugLevel$502$start#1;
-
- inline$BDLGetDebugLevel$502$start#1:
- goto inline$BDLGetDebugLevel$502$label_3#1;
-
- inline$BDLGetDebugLevel$502$label_3#1:
- havoc inline$BDLGetDebugLevel$502$myNondetVar_0;
- inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$502$myNondetVar_0;
- goto inline$BDLGetDebugLevel$502$label_1#1;
-
- inline$BDLGetDebugLevel$502$label_1#1:
- goto inline$BDLGetDebugLevel$502$Return#1;
-
- inline$BDLGetDebugLevel$502$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon31_Then#1, inline$BDLDevicePowerIoCompletion$19$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_20_true#1, inline$BDLDevicePowerIoCompletion$19$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_24#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_25#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_26#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$19$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_21#1:
- goto inline$BDLGetDebugLevel$503$Entry#1;
-
- inline$BDLGetDebugLevel$503$Entry#1:
- goto inline$BDLGetDebugLevel$503$start#1;
-
- inline$BDLGetDebugLevel$503$start#1:
- goto inline$BDLGetDebugLevel$503$label_3#1;
-
- inline$BDLGetDebugLevel$503$label_3#1:
- havoc inline$BDLGetDebugLevel$503$myNondetVar_0;
- inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$503$myNondetVar_0;
- goto inline$BDLGetDebugLevel$503$label_1#1;
-
- inline$BDLGetDebugLevel$503$label_1#1:
- goto inline$BDLGetDebugLevel$503$Return#1;
-
- inline$BDLGetDebugLevel$503$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon32_Then#1, inline$BDLDevicePowerIoCompletion$19$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_30_true#1, inline$BDLDevicePowerIoCompletion$19$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_34#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_35#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_36#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$19$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_31#1:
- goto inline$BDLGetDebugLevel$504$Entry#1;
-
- inline$BDLGetDebugLevel$504$Entry#1:
- goto inline$BDLGetDebugLevel$504$start#1;
-
- inline$BDLGetDebugLevel$504$start#1:
- goto inline$BDLGetDebugLevel$504$label_3#1;
-
- inline$BDLGetDebugLevel$504$label_3#1:
- havoc inline$BDLGetDebugLevel$504$myNondetVar_0;
- inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$504$myNondetVar_0;
- goto inline$BDLGetDebugLevel$504$label_1#1;
-
- inline$BDLGetDebugLevel$504$label_1#1:
- goto inline$BDLGetDebugLevel$504$Return#1;
-
- inline$BDLGetDebugLevel$504$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon33_Then#1, inline$BDLDevicePowerIoCompletion$19$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_40_true#1, inline$BDLDevicePowerIoCompletion$19$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_41_true#1, inline$BDLDevicePowerIoCompletion$19$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$19$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$19$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_44_true#1, inline$BDLDevicePowerIoCompletion$19$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$19$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_45_true#1, inline$BDLDevicePowerIoCompletion$19$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$19$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$19$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$19$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$19$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$19$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_54#1:
- inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$19$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_55_true#1, inline$BDLDevicePowerIoCompletion$19$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_56#1:
- call inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$19$anon34_Then#1, inline$BDLDevicePowerIoCompletion$19$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_59#1:
- goto inline$BDLGetDebugLevel$505$Entry#1;
-
- inline$BDLGetDebugLevel$505$Entry#1:
- goto inline$BDLGetDebugLevel$505$start#1;
-
- inline$BDLGetDebugLevel$505$start#1:
- goto inline$BDLGetDebugLevel$505$label_3#1;
-
- inline$BDLGetDebugLevel$505$label_3#1:
- havoc inline$BDLGetDebugLevel$505$myNondetVar_0;
- inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$505$myNondetVar_0;
- goto inline$BDLGetDebugLevel$505$label_1#1;
-
- inline$BDLGetDebugLevel$505$label_1#1:
- goto inline$BDLGetDebugLevel$505$Return#1;
-
- inline$BDLGetDebugLevel$505$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon35_Then#1, inline$BDLDevicePowerIoCompletion$19$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_62_true#1, inline$BDLDevicePowerIoCompletion$19$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_66#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_67#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_68#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$19$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_63#1:
- goto inline$BDLGetDebugLevel$506$Entry#1;
-
- inline$BDLGetDebugLevel$506$Entry#1:
- goto inline$BDLGetDebugLevel$506$start#1;
-
- inline$BDLGetDebugLevel$506$start#1:
- goto inline$BDLGetDebugLevel$506$label_3#1;
-
- inline$BDLGetDebugLevel$506$label_3#1:
- havoc inline$BDLGetDebugLevel$506$myNondetVar_0;
- inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$506$myNondetVar_0;
- goto inline$BDLGetDebugLevel$506$label_1#1;
-
- inline$BDLGetDebugLevel$506$label_1#1:
- goto inline$BDLGetDebugLevel$506$Return#1;
-
- inline$BDLGetDebugLevel$506$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon36_Then#1, inline$BDLDevicePowerIoCompletion$19$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_72_true#1, inline$BDLDevicePowerIoCompletion$19$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_76#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_77#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_78#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$19$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_73#1:
- goto inline$BDLGetDebugLevel$507$Entry#1;
-
- inline$BDLGetDebugLevel$507$Entry#1:
- goto inline$BDLGetDebugLevel$507$start#1;
-
- inline$BDLGetDebugLevel$507$start#1:
- goto inline$BDLGetDebugLevel$507$label_3#1;
-
- inline$BDLGetDebugLevel$507$label_3#1:
- havoc inline$BDLGetDebugLevel$507$myNondetVar_0;
- inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$507$myNondetVar_0;
- goto inline$BDLGetDebugLevel$507$label_1#1;
-
- inline$BDLGetDebugLevel$507$label_1#1:
- goto inline$BDLGetDebugLevel$507$Return#1;
-
- inline$BDLGetDebugLevel$507$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon37_Then#1, inline$BDLDevicePowerIoCompletion$19$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_82_true#1, inline$BDLDevicePowerIoCompletion$19$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$19$anon38_Then#1, inline$BDLDevicePowerIoCompletion$19$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$19$anon39_Then#1, inline$BDLDevicePowerIoCompletion$19$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_92#1:
- goto inline$storm_IoCompleteRequest$39$Entry#1;
-
- inline$storm_IoCompleteRequest$39$Entry#1:
- inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$39$start#1;
-
- inline$storm_IoCompleteRequest$39$start#1:
- inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$39$label_3#1;
-
- inline$storm_IoCompleteRequest$39$label_3#1:
- call inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$39$label_6#1;
-
- inline$storm_IoCompleteRequest$39$label_6#1:
- goto inline$storm_IoCompleteRequest$39$label_6_true#1, inline$storm_IoCompleteRequest$39$label_6_false#1;
-
- inline$storm_IoCompleteRequest$39$label_6_false#1:
- assume inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$39$label_7#1;
-
- inline$storm_IoCompleteRequest$39$label_6_true#1:
- assume inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$39$label_8#1;
-
- inline$storm_IoCompleteRequest$39$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$39$anon3_Then#1, inline$storm_IoCompleteRequest$39$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$39$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$39$anon1#1;
-
- inline$storm_IoCompleteRequest$39$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$39$label_9#1;
-
- inline$storm_IoCompleteRequest$39$label_9#1:
- goto inline$storm_IoCompleteRequest$39$label_9_true#1, inline$storm_IoCompleteRequest$39$label_9_false#1;
-
- inline$storm_IoCompleteRequest$39$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$39$label_10#1;
-
- inline$storm_IoCompleteRequest$39$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$39$label_1#1;
-
- inline$storm_IoCompleteRequest$39$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$39$label_7#1;
-
- inline$storm_IoCompleteRequest$39$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$39$label_1#1;
-
- inline$storm_IoCompleteRequest$39$label_1#1:
- goto inline$storm_IoCompleteRequest$39$Return#1;
-
- inline$storm_IoCompleteRequest$39$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$39$Return#1;
-
- inline$storm_IoCompleteRequest$39$Return#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon40_Then#1, inline$BDLDevicePowerIoCompletion$19$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$19$anon41_Then#1, inline$BDLDevicePowerIoCompletion$19$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_98#1:
- goto inline$BDLGetDebugLevel$508$Entry#1;
-
- inline$BDLGetDebugLevel$508$Entry#1:
- goto inline$BDLGetDebugLevel$508$start#1;
-
- inline$BDLGetDebugLevel$508$start#1:
- goto inline$BDLGetDebugLevel$508$label_3#1;
-
- inline$BDLGetDebugLevel$508$label_3#1:
- havoc inline$BDLGetDebugLevel$508$myNondetVar_0;
- inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$508$myNondetVar_0;
- goto inline$BDLGetDebugLevel$508$label_1#1;
-
- inline$BDLGetDebugLevel$508$label_1#1:
- goto inline$BDLGetDebugLevel$508$Return#1;
-
- inline$BDLGetDebugLevel$508$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon42_Then#1, inline$BDLDevicePowerIoCompletion$19$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_101_true#1, inline$BDLDevicePowerIoCompletion$19$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_105#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_106#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_107#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$19$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_102#1:
- goto inline$BDLGetDebugLevel$509$Entry#1;
-
- inline$BDLGetDebugLevel$509$Entry#1:
- goto inline$BDLGetDebugLevel$509$start#1;
-
- inline$BDLGetDebugLevel$509$start#1:
- goto inline$BDLGetDebugLevel$509$label_3#1;
-
- inline$BDLGetDebugLevel$509$label_3#1:
- havoc inline$BDLGetDebugLevel$509$myNondetVar_0;
- inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$509$myNondetVar_0;
- goto inline$BDLGetDebugLevel$509$label_1#1;
-
- inline$BDLGetDebugLevel$509$label_1#1:
- goto inline$BDLGetDebugLevel$509$Return#1;
-
- inline$BDLGetDebugLevel$509$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon43_Then#1, inline$BDLDevicePowerIoCompletion$19$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_111_true#1, inline$BDLDevicePowerIoCompletion$19$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_115#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_116#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_117#1:
- call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$19$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$19$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_112#1:
- goto inline$BDLGetDebugLevel$510$Entry#1;
-
- inline$BDLGetDebugLevel$510$Entry#1:
- goto inline$BDLGetDebugLevel$510$start#1;
-
- inline$BDLGetDebugLevel$510$start#1:
- goto inline$BDLGetDebugLevel$510$label_3#1;
-
- inline$BDLGetDebugLevel$510$label_3#1:
- havoc inline$BDLGetDebugLevel$510$myNondetVar_0;
- inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$510$myNondetVar_0;
- goto inline$BDLGetDebugLevel$510$label_1#1;
-
- inline$BDLGetDebugLevel$510$label_1#1:
- goto inline$BDLGetDebugLevel$510$Return#1;
-
- inline$BDLGetDebugLevel$510$Return#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$19$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$19$anon44_Then#1, inline$BDLDevicePowerIoCompletion$19$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_121_true#1, inline$BDLDevicePowerIoCompletion$19$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$19$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$19$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_122#1:
- inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$19$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$19$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$19$Return#1;
-
- inline$BDLDevicePowerIoCompletion$19$Return#1:
- inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$19$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$19$anon13_Then#1, inline$CallCompletionRoutine$19$anon13_Else#1;
-
- inline$CallCompletionRoutine$19$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$19$anon7#1;
-
- inline$CallCompletionRoutine$19$anon7#1:
- goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$19$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$19$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$19$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$19$Entry#1:
- inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$19$start#1;
-
- inline$BDLCallDriverCompletionRoutine$19$start#1:
- inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$19$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$19$label_3_true#1, inline$BDLCallDriverCompletionRoutine$19$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$19$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$19$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$19$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$19$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_6#1:
- goto inline$storm_KeSetEvent$21$Entry#1;
-
- inline$storm_KeSetEvent$21$Entry#1:
- inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$21$start#1;
-
- inline$storm_KeSetEvent$21$start#1:
- inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$21$label_3#1;
-
- inline$storm_KeSetEvent$21$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$21$label_1#1;
-
- inline$storm_KeSetEvent$21$label_1#1:
- goto inline$storm_KeSetEvent$21$Return#1;
-
- inline$storm_KeSetEvent$21$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$19$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$19$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$19$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$19$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$19$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$19$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$19$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_9#1:
- inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$19$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$19$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$19$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$19$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$19$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$19$Return#1:
- inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$19$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$19$anon12_Then#1, inline$CallCompletionRoutine$19$anon12_Else#1;
-
- inline$CallCompletionRoutine$19$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$19$anon5#1;
-
- inline$CallCompletionRoutine$19$anon5#1:
- goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$19$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$19$label_23#1;
-
- inline$CallCompletionRoutine$19$label_23#1:
- inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$19$label_24#1;
-
- inline$CallCompletionRoutine$19$label_24#1:
- goto inline$CallCompletionRoutine$19$label_24_true#1, inline$CallCompletionRoutine$19$label_24_false#1;
-
- inline$CallCompletionRoutine$19$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$19$label_1#1;
-
- inline$CallCompletionRoutine$19$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$19$label_25#1;
-
- inline$CallCompletionRoutine$19$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$19$label_1#1;
-
- inline$CallCompletionRoutine$19$label_1#1:
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$19$Return#1;
-
- inline$CallCompletionRoutine$19$Return#1:
- goto inline$storm_IoCallDriver$9$label_39$1#1;
-
- inline$storm_IoCallDriver$9$label_39$1#1:
- goto inline$storm_IoCallDriver$9$anon15_Then#1, inline$storm_IoCallDriver$9$anon15_Else#1;
-
- inline$storm_IoCallDriver$9$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$9$anon10#1;
-
- inline$storm_IoCallDriver$9$anon10#1:
- goto inline$storm_IoCallDriver$9$label_36#1;
-
- inline$storm_IoCallDriver$9$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$label_27_case_0#1:
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$9$label_28#1;
-
- inline$storm_IoCallDriver$9$label_28#1:
- inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$9$label_42#1;
-
- inline$storm_IoCallDriver$9$label_42#1:
- goto inline$storm_IoCallDriver$9$label_43#1;
-
- inline$storm_IoCallDriver$9$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$9$label_36#1;
-
- inline$storm_IoCallDriver$9$label_36#1:
- inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$9$label_1#1;
-
- inline$storm_IoCallDriver$9$label_1#1:
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$9$Return#1;
-
- inline$storm_IoCallDriver$9$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_25#1:
- goto inline$storm_PoCallDriver$4$Entry#1;
-
- inline$storm_PoCallDriver$4$Entry#1:
- inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$4$start#1;
-
- inline$storm_PoCallDriver$4$start#1:
- inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$4$label_3#1;
-
- inline$storm_PoCallDriver$4$label_3#1:
- goto inline$storm_IoCallDriver$10$Entry#1;
-
- inline$storm_IoCallDriver$10$Entry#1:
- inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$10$start#1;
-
- inline$storm_IoCallDriver$10$start#1:
- inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$10$label_3#1;
-
- inline$storm_IoCallDriver$10$label_3#1:
- goto inline$storm_IoCallDriver$10$label_4#1;
-
- inline$storm_IoCallDriver$10$label_4#1:
- goto inline$storm_IoCallDriver$10$label_5#1;
-
- inline$storm_IoCallDriver$10$label_5#1:
- goto inline$storm_IoCallDriver$10$label_6#1;
-
- inline$storm_IoCallDriver$10$label_6#1:
- call inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$10$label_9#1;
-
- inline$storm_IoCallDriver$10$label_9#1:
- goto inline$storm_IoCallDriver$10$label_9_true#1, inline$storm_IoCallDriver$10$label_9_false#1;
-
- inline$storm_IoCallDriver$10$label_9_false#1:
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$10$label_10#1;
-
- inline$storm_IoCallDriver$10$label_9_true#1:
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$10$label_13#1;
-
- inline$storm_IoCallDriver$10$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$10$anon12_Then#1, inline$storm_IoCallDriver$10$anon12_Else#1;
-
- inline$storm_IoCallDriver$10$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$10$anon3#1;
-
- inline$storm_IoCallDriver$10$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$10$label_14#1;
-
- inline$storm_IoCallDriver$10$label_14#1:
- goto inline$storm_IoCallDriver$10$label_14_true#1, inline$storm_IoCallDriver$10$label_14_false#1;
-
- inline$storm_IoCallDriver$10$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$10$label_15#1;
-
- inline$storm_IoCallDriver$10$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$10$label_1#1;
-
- inline$storm_IoCallDriver$10$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$10$label_10#1;
-
- inline$storm_IoCallDriver$10$label_10#1:
- goto inline$IoSetNextIrpStackLocation$11$Entry#1;
-
- inline$IoSetNextIrpStackLocation$11$Entry#1:
- inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$11$start#1;
-
- inline$IoSetNextIrpStackLocation$11$start#1:
- inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$11$label_3#1;
-
- inline$IoSetNextIrpStackLocation$11$label_3#1:
- goto inline$IoSetNextIrpStackLocation$11$label_3_true#1, inline$IoSetNextIrpStackLocation$11$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$11$label_3_false#1:
- inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$11$myVar_0);
- goto inline$IoSetNextIrpStackLocation$11$label_4#1;
-
- inline$IoSetNextIrpStackLocation$11$label_4#1:
- call inline$IoSetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$11$label_7#1;
-
- inline$IoSetNextIrpStackLocation$11$label_7#1:
- call inline$IoSetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$11$label_8#1;
-
- inline$IoSetNextIrpStackLocation$11$label_8#1:
- goto inline$IoSetNextIrpStackLocation$11$label_5#1;
-
- inline$IoSetNextIrpStackLocation$11$label_3_true#1:
- inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$11$myVar_0);
- goto inline$IoSetNextIrpStackLocation$11$label_5#1;
-
- inline$IoSetNextIrpStackLocation$11$label_5#1:
- inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$11$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$11$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$11$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$11$label_6#1;
-
- inline$IoSetNextIrpStackLocation$11$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$11$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$11$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$11$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$11$label_1#1;
-
- inline$IoSetNextIrpStackLocation$11$label_1#1:
- goto inline$IoSetNextIrpStackLocation$11$Return#1;
-
- inline$IoSetNextIrpStackLocation$11$Return#1:
- goto inline$storm_IoCallDriver$10$label_10$1#1;
-
- inline$storm_IoCallDriver$10$label_10$1#1:
- goto inline$storm_IoCallDriver$10$anon11_Then#1, inline$storm_IoCallDriver$10$anon11_Else#1;
-
- inline$storm_IoCallDriver$10$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$10$anon1#1;
-
- inline$storm_IoCallDriver$10$anon1#1:
- goto inline$storm_IoCallDriver$10$label_18#1;
-
- inline$storm_IoCallDriver$10$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$103$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$103$Entry#1:
- inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$103$start#1;
-
- inline$IoGetCurrentIrpStackLocation$103$start#1:
- inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$103$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$103$label_3_true#1, inline$IoGetCurrentIrpStackLocation$103$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$103$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$103$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$103$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$103$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$103$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$103$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$103$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$103$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$103$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$103$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$103$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$103$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$103$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$103$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$103$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$103$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$103$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$103$Return#1:
- goto inline$storm_IoCallDriver$10$label_18$1#1;
-
- inline$storm_IoCallDriver$10$label_18$1#1:
- goto inline$storm_IoCallDriver$10$anon13_Then#1, inline$storm_IoCallDriver$10$anon13_Else#1;
-
- inline$storm_IoCallDriver$10$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$10$anon6#1;
-
- inline$storm_IoCallDriver$10$anon6#1:
- goto inline$storm_IoCallDriver$10$label_21#1;
-
- inline$storm_IoCallDriver$10$label_21#1:
- goto inline$storm_IoCallDriver$10$label_22#1;
-
- inline$storm_IoCallDriver$10$label_22#1:
- goto inline$storm_IoCallDriver$10$label_23#1;
-
- inline$storm_IoCallDriver$10$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$10$label_24#1;
-
- inline$storm_IoCallDriver$10$label_24#1:
- call inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$10$label_27#1;
-
- inline$storm_IoCallDriver$10$label_27#1:
- goto inline$storm_IoCallDriver$10$label_27_case_0#1, inline$storm_IoCallDriver$10$label_27_case_1#1, inline$storm_IoCallDriver$10$label_27_case_2#1;
-
- inline$storm_IoCallDriver$10$label_27_case_2#1:
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$10$label_30#1;
-
- inline$storm_IoCallDriver$10$label_30#1:
- inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$10$label_31#1;
-
- inline$storm_IoCallDriver$10$label_31#1:
- goto inline$storm_IoCallDriver$10$label_32#1;
-
- inline$storm_IoCallDriver$10$label_32#1:
- goto inline$storm_IoCallDriver$10$label_33#1;
-
- inline$storm_IoCallDriver$10$label_33#1:
- goto inline$CallCompletionRoutine$20$Entry#1;
-
- inline$CallCompletionRoutine$20$Entry#1:
- inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$20$start#1;
-
- inline$CallCompletionRoutine$20$start#1:
- inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$20$label_3#1;
-
- inline$CallCompletionRoutine$20$label_3#1:
- goto inline$CallCompletionRoutine$20$label_4#1;
-
- inline$CallCompletionRoutine$20$label_4#1:
- goto inline$CallCompletionRoutine$20$label_5#1;
-
- inline$CallCompletionRoutine$20$label_5#1:
- goto inline$CallCompletionRoutine$20$label_6#1;
-
- inline$CallCompletionRoutine$20$label_6#1:
- goto inline$CallCompletionRoutine$20$label_7#1;
-
- inline$CallCompletionRoutine$20$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$104$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$104$Entry#1:
- inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$104$start#1;
-
- inline$IoGetCurrentIrpStackLocation$104$start#1:
- inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$104$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$104$label_3_true#1, inline$IoGetCurrentIrpStackLocation$104$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$104$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$104$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$104$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$104$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$104$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$104$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$104$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$104$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$104$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$104$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$104$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$104$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$104$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$104$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$104$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$104$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$104$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$104$Return#1:
- goto inline$CallCompletionRoutine$20$label_7$1#1;
-
- inline$CallCompletionRoutine$20$label_7$1#1:
- goto inline$CallCompletionRoutine$20$anon10_Then#1, inline$CallCompletionRoutine$20$anon10_Else#1;
-
- inline$CallCompletionRoutine$20$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$20$anon1#1;
-
- inline$CallCompletionRoutine$20$anon1#1:
- goto inline$CallCompletionRoutine$20$label_10#1;
-
- inline$CallCompletionRoutine$20$label_10#1:
- goto inline$CallCompletionRoutine$20$label_11#1;
-
- inline$CallCompletionRoutine$20$label_11#1:
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$CallCompletionRoutine$20$label_12#1;
-
- inline$CallCompletionRoutine$20$label_12#1:
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$CallCompletionRoutine$20$label_13#1;
-
- inline$CallCompletionRoutine$20$label_13#1:
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$CallCompletionRoutine$20$label_14#1;
-
- inline$CallCompletionRoutine$20$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$105$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$105$Entry#1:
- inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$105$start#1;
-
- inline$IoGetCurrentIrpStackLocation$105$start#1:
- inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$105$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$105$label_3_true#1, inline$IoGetCurrentIrpStackLocation$105$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$105$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$105$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$105$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$105$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$105$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$105$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$105$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$105$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$105$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$105$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$105$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$105$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$105$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$105$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$105$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$105$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$105$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$105$Return#1:
- goto inline$CallCompletionRoutine$20$label_14$1#1;
-
- inline$CallCompletionRoutine$20$label_14$1#1:
- goto inline$CallCompletionRoutine$20$anon11_Then#1, inline$CallCompletionRoutine$20$anon11_Else#1;
-
- inline$CallCompletionRoutine$20$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$20$anon3#1;
-
- inline$CallCompletionRoutine$20$anon3#1:
- goto inline$CallCompletionRoutine$20$label_17#1;
-
- inline$CallCompletionRoutine$20$label_17#1:
- goto inline$CallCompletionRoutine$20$label_18#1;
-
- inline$CallCompletionRoutine$20$label_18#1:
- goto inline$CallCompletionRoutine$20$label_18_true#1, inline$CallCompletionRoutine$20$label_18_false#1;
-
- inline$CallCompletionRoutine$20$label_18_false#1:
- assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$20$label_1#1;
-
- inline$CallCompletionRoutine$20$label_18_true#1:
- assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$20$label_19#1;
-
- inline$CallCompletionRoutine$20$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$20$label_20#1;
-
- inline$CallCompletionRoutine$20$label_20#1:
- goto inline$CallCompletionRoutine$20$label_20_icall_1#1, inline$CallCompletionRoutine$20$label_20_icall_2#1, inline$CallCompletionRoutine$20$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$20$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$20$Entry#1:
- inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$20$start#1;
-
- inline$BDLSystemPowerIoCompletion$20$start#1:
- call inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$20$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_4#1:
- inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_6#1:
- inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$20$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$20$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$107$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$107$Entry#1:
- inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$107$start#1;
-
- inline$IoGetCurrentIrpStackLocation$107$start#1:
- inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$107$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$107$label_3_true#1, inline$IoGetCurrentIrpStackLocation$107$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$107$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$107$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$107$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$107$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$107$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$107$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$107$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$107$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$107$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$107$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$107$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$107$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$107$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$107$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$107$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$107$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$107$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$107$Return#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon36_Then#1, inline$BDLSystemPowerIoCompletion$20$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_15#1:
- goto inline$BDLGetDebugLevel$532$Entry#1;
-
- inline$BDLGetDebugLevel$532$Entry#1:
- goto inline$BDLGetDebugLevel$532$start#1;
-
- inline$BDLGetDebugLevel$532$start#1:
- goto inline$BDLGetDebugLevel$532$label_3#1;
-
- inline$BDLGetDebugLevel$532$label_3#1:
- havoc inline$BDLGetDebugLevel$532$myNondetVar_0;
- inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$532$myNondetVar_0;
- goto inline$BDLGetDebugLevel$532$label_1#1;
-
- inline$BDLGetDebugLevel$532$label_1#1:
- goto inline$BDLGetDebugLevel$532$Return#1;
-
- inline$BDLGetDebugLevel$532$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon37_Then#1, inline$BDLSystemPowerIoCompletion$20$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_18_true#1, inline$BDLSystemPowerIoCompletion$20$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_22#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_23#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_24#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$20$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_19#1:
- goto inline$BDLGetDebugLevel$533$Entry#1;
-
- inline$BDLGetDebugLevel$533$Entry#1:
- goto inline$BDLGetDebugLevel$533$start#1;
-
- inline$BDLGetDebugLevel$533$start#1:
- goto inline$BDLGetDebugLevel$533$label_3#1;
-
- inline$BDLGetDebugLevel$533$label_3#1:
- havoc inline$BDLGetDebugLevel$533$myNondetVar_0;
- inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$533$myNondetVar_0;
- goto inline$BDLGetDebugLevel$533$label_1#1;
-
- inline$BDLGetDebugLevel$533$label_1#1:
- goto inline$BDLGetDebugLevel$533$Return#1;
-
- inline$BDLGetDebugLevel$533$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon38_Then#1, inline$BDLSystemPowerIoCompletion$20$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_28_true#1, inline$BDLSystemPowerIoCompletion$20$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_32#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_33#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_34#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$20$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_29#1:
- goto inline$BDLGetDebugLevel$534$Entry#1;
-
- inline$BDLGetDebugLevel$534$Entry#1:
- goto inline$BDLGetDebugLevel$534$start#1;
-
- inline$BDLGetDebugLevel$534$start#1:
- goto inline$BDLGetDebugLevel$534$label_3#1;
-
- inline$BDLGetDebugLevel$534$label_3#1:
- havoc inline$BDLGetDebugLevel$534$myNondetVar_0;
- inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$534$myNondetVar_0;
- goto inline$BDLGetDebugLevel$534$label_1#1;
-
- inline$BDLGetDebugLevel$534$label_1#1:
- goto inline$BDLGetDebugLevel$534$Return#1;
-
- inline$BDLGetDebugLevel$534$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon39_Then#1, inline$BDLSystemPowerIoCompletion$20$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_38_true#1, inline$BDLSystemPowerIoCompletion$20$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_39_true#1, inline$BDLSystemPowerIoCompletion$20$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$20$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$20$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$20$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_106_true#1, inline$BDLSystemPowerIoCompletion$20$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_142#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_143#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$20$myNondetVar_0, inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$20$anon50_Then#1, inline$BDLSystemPowerIoCompletion$20$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_112#1:
- inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$20$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_113_true#1, inline$BDLSystemPowerIoCompletion$20$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$20$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_117#1:
- goto inline$BDLGetDebugLevel$541$Entry#1;
-
- inline$BDLGetDebugLevel$541$Entry#1:
- goto inline$BDLGetDebugLevel$541$start#1;
-
- inline$BDLGetDebugLevel$541$start#1:
- goto inline$BDLGetDebugLevel$541$label_3#1;
-
- inline$BDLGetDebugLevel$541$label_3#1:
- havoc inline$BDLGetDebugLevel$541$myNondetVar_0;
- inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$541$myNondetVar_0;
- goto inline$BDLGetDebugLevel$541$label_1#1;
-
- inline$BDLGetDebugLevel$541$label_1#1:
- goto inline$BDLGetDebugLevel$541$Return#1;
-
- inline$BDLGetDebugLevel$541$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon51_Then#1, inline$BDLSystemPowerIoCompletion$20$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_120_true#1, inline$BDLSystemPowerIoCompletion$20$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_124#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_125#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_126#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$20$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_121#1:
- goto inline$BDLGetDebugLevel$542$Entry#1;
-
- inline$BDLGetDebugLevel$542$Entry#1:
- goto inline$BDLGetDebugLevel$542$start#1;
-
- inline$BDLGetDebugLevel$542$start#1:
- goto inline$BDLGetDebugLevel$542$label_3#1;
-
- inline$BDLGetDebugLevel$542$label_3#1:
- havoc inline$BDLGetDebugLevel$542$myNondetVar_0;
- inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$542$myNondetVar_0;
- goto inline$BDLGetDebugLevel$542$label_1#1;
-
- inline$BDLGetDebugLevel$542$label_1#1:
- goto inline$BDLGetDebugLevel$542$Return#1;
-
- inline$BDLGetDebugLevel$542$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon52_Then#1, inline$BDLSystemPowerIoCompletion$20$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_130_true#1, inline$BDLSystemPowerIoCompletion$20$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_134#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_135#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_136#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$20$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_131#1:
- goto inline$BDLGetDebugLevel$543$Entry#1;
-
- inline$BDLGetDebugLevel$543$Entry#1:
- goto inline$BDLGetDebugLevel$543$start#1;
-
- inline$BDLGetDebugLevel$543$start#1:
- goto inline$BDLGetDebugLevel$543$label_3#1;
-
- inline$BDLGetDebugLevel$543$label_3#1:
- havoc inline$BDLGetDebugLevel$543$myNondetVar_0;
- inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$543$myNondetVar_0;
- goto inline$BDLGetDebugLevel$543$label_1#1;
-
- inline$BDLGetDebugLevel$543$label_1#1:
- goto inline$BDLGetDebugLevel$543$Return#1;
-
- inline$BDLGetDebugLevel$543$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon53_Then#1, inline$BDLSystemPowerIoCompletion$20$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_140_true#1, inline$BDLSystemPowerIoCompletion$20$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$20$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_115#1:
- inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$20$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$20$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_43#1:
- goto inline$BDLGetDebugLevel$535$Entry#1;
-
- inline$BDLGetDebugLevel$535$Entry#1:
- goto inline$BDLGetDebugLevel$535$start#1;
-
- inline$BDLGetDebugLevel$535$start#1:
- goto inline$BDLGetDebugLevel$535$label_3#1;
-
- inline$BDLGetDebugLevel$535$label_3#1:
- havoc inline$BDLGetDebugLevel$535$myNondetVar_0;
- inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$535$myNondetVar_0;
- goto inline$BDLGetDebugLevel$535$label_1#1;
-
- inline$BDLGetDebugLevel$535$label_1#1:
- goto inline$BDLGetDebugLevel$535$Return#1;
-
- inline$BDLGetDebugLevel$535$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon40_Then#1, inline$BDLSystemPowerIoCompletion$20$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_46_true#1, inline$BDLSystemPowerIoCompletion$20$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_50#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_51#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_52#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$20$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_47#1:
- goto inline$BDLGetDebugLevel$536$Entry#1;
-
- inline$BDLGetDebugLevel$536$Entry#1:
- goto inline$BDLGetDebugLevel$536$start#1;
-
- inline$BDLGetDebugLevel$536$start#1:
- goto inline$BDLGetDebugLevel$536$label_3#1;
-
- inline$BDLGetDebugLevel$536$label_3#1:
- havoc inline$BDLGetDebugLevel$536$myNondetVar_0;
- inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$536$myNondetVar_0;
- goto inline$BDLGetDebugLevel$536$label_1#1;
-
- inline$BDLGetDebugLevel$536$label_1#1:
- goto inline$BDLGetDebugLevel$536$Return#1;
-
- inline$BDLGetDebugLevel$536$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon41_Then#1, inline$BDLSystemPowerIoCompletion$20$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_56_true#1, inline$BDLSystemPowerIoCompletion$20$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_60#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_61#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_62#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$20$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_57#1:
- goto inline$BDLGetDebugLevel$537$Entry#1;
-
- inline$BDLGetDebugLevel$537$Entry#1:
- goto inline$BDLGetDebugLevel$537$start#1;
-
- inline$BDLGetDebugLevel$537$start#1:
- goto inline$BDLGetDebugLevel$537$label_3#1;
-
- inline$BDLGetDebugLevel$537$label_3#1:
- havoc inline$BDLGetDebugLevel$537$myNondetVar_0;
- inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$537$myNondetVar_0;
- goto inline$BDLGetDebugLevel$537$label_1#1;
-
- inline$BDLGetDebugLevel$537$label_1#1:
- goto inline$BDLGetDebugLevel$537$Return#1;
-
- inline$BDLGetDebugLevel$537$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon42_Then#1, inline$BDLSystemPowerIoCompletion$20$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_66_true#1, inline$BDLSystemPowerIoCompletion$20$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$20$anon43_Then#1, inline$BDLSystemPowerIoCompletion$20$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$20$anon44_Then#1, inline$BDLSystemPowerIoCompletion$20$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_74#1:
- goto inline$storm_IoCompleteRequest$42$Entry#1;
-
- inline$storm_IoCompleteRequest$42$Entry#1:
- inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$42$start#1;
-
- inline$storm_IoCompleteRequest$42$start#1:
- inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$42$label_3#1;
-
- inline$storm_IoCompleteRequest$42$label_3#1:
- call inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$42$label_6#1;
-
- inline$storm_IoCompleteRequest$42$label_6#1:
- goto inline$storm_IoCompleteRequest$42$label_6_true#1, inline$storm_IoCompleteRequest$42$label_6_false#1;
-
- inline$storm_IoCompleteRequest$42$label_6_false#1:
- assume inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$42$label_7#1;
-
- inline$storm_IoCompleteRequest$42$label_6_true#1:
- assume inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$42$label_8#1;
-
- inline$storm_IoCompleteRequest$42$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$42$anon3_Then#1, inline$storm_IoCompleteRequest$42$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$42$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$42$anon1#1;
-
- inline$storm_IoCompleteRequest$42$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$42$label_9#1;
-
- inline$storm_IoCompleteRequest$42$label_9#1:
- goto inline$storm_IoCompleteRequest$42$label_9_true#1, inline$storm_IoCompleteRequest$42$label_9_false#1;
-
- inline$storm_IoCompleteRequest$42$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$42$label_10#1;
-
- inline$storm_IoCompleteRequest$42$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$42$label_1#1;
-
- inline$storm_IoCompleteRequest$42$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$42$label_7#1;
-
- inline$storm_IoCompleteRequest$42$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$42$label_1#1;
-
- inline$storm_IoCompleteRequest$42$label_1#1:
- goto inline$storm_IoCompleteRequest$42$Return#1;
-
- inline$storm_IoCompleteRequest$42$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$42$Return#1;
-
- inline$storm_IoCompleteRequest$42$Return#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon45_Then#1, inline$BDLSystemPowerIoCompletion$20$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$20$anon46_Then#1, inline$BDLSystemPowerIoCompletion$20$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_80#1:
- goto inline$BDLGetDebugLevel$538$Entry#1;
-
- inline$BDLGetDebugLevel$538$Entry#1:
- goto inline$BDLGetDebugLevel$538$start#1;
-
- inline$BDLGetDebugLevel$538$start#1:
- goto inline$BDLGetDebugLevel$538$label_3#1;
-
- inline$BDLGetDebugLevel$538$label_3#1:
- havoc inline$BDLGetDebugLevel$538$myNondetVar_0;
- inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$538$myNondetVar_0;
- goto inline$BDLGetDebugLevel$538$label_1#1;
-
- inline$BDLGetDebugLevel$538$label_1#1:
- goto inline$BDLGetDebugLevel$538$Return#1;
-
- inline$BDLGetDebugLevel$538$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon47_Then#1, inline$BDLSystemPowerIoCompletion$20$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_83_true#1, inline$BDLSystemPowerIoCompletion$20$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_87#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_88#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_89#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$20$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_84#1:
- goto inline$BDLGetDebugLevel$539$Entry#1;
-
- inline$BDLGetDebugLevel$539$Entry#1:
- goto inline$BDLGetDebugLevel$539$start#1;
-
- inline$BDLGetDebugLevel$539$start#1:
- goto inline$BDLGetDebugLevel$539$label_3#1;
-
- inline$BDLGetDebugLevel$539$label_3#1:
- havoc inline$BDLGetDebugLevel$539$myNondetVar_0;
- inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$539$myNondetVar_0;
- goto inline$BDLGetDebugLevel$539$label_1#1;
-
- inline$BDLGetDebugLevel$539$label_1#1:
- goto inline$BDLGetDebugLevel$539$Return#1;
-
- inline$BDLGetDebugLevel$539$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon48_Then#1, inline$BDLSystemPowerIoCompletion$20$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_93_true#1, inline$BDLSystemPowerIoCompletion$20$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_97#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_98#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_99#1:
- call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$20$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$20$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_94#1:
- goto inline$BDLGetDebugLevel$540$Entry#1;
-
- inline$BDLGetDebugLevel$540$Entry#1:
- goto inline$BDLGetDebugLevel$540$start#1;
-
- inline$BDLGetDebugLevel$540$start#1:
- goto inline$BDLGetDebugLevel$540$label_3#1;
-
- inline$BDLGetDebugLevel$540$label_3#1:
- havoc inline$BDLGetDebugLevel$540$myNondetVar_0;
- inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$540$myNondetVar_0;
- goto inline$BDLGetDebugLevel$540$label_1#1;
-
- inline$BDLGetDebugLevel$540$label_1#1:
- goto inline$BDLGetDebugLevel$540$Return#1;
-
- inline$BDLGetDebugLevel$540$Return#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$20$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$20$anon49_Then#1, inline$BDLSystemPowerIoCompletion$20$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_103_true#1, inline$BDLSystemPowerIoCompletion$20$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$20$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$20$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_104#1:
- inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$20$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$20$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$20$Return#1;
-
- inline$BDLSystemPowerIoCompletion$20$Return#1:
- inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$20$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$20$anon14_Then#1, inline$CallCompletionRoutine$20$anon14_Else#1;
-
- inline$CallCompletionRoutine$20$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$20$anon9#1;
-
- inline$CallCompletionRoutine$20$anon9#1:
- goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$20$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$20$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$20$Entry#1:
- inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$20$start#1;
-
- inline$BDLDevicePowerIoCompletion$20$start#1:
- call inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$20$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_4#1:
- inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_6#1:
- inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$20$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$20$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$106$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$106$Entry#1:
- inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$106$start#1;
-
- inline$IoGetCurrentIrpStackLocation$106$start#1:
- inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$106$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$106$label_3_true#1, inline$IoGetCurrentIrpStackLocation$106$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$106$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$106$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$106$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$106$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$106$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$106$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$106$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$106$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$106$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$106$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$106$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$106$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$106$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$106$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$106$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$106$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$106$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon30_Then#1, inline$BDLDevicePowerIoCompletion$20$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_13#1:
- inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$20$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$20$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_17#1:
- goto inline$BDLGetDebugLevel$523$Entry#1;
-
- inline$BDLGetDebugLevel$523$Entry#1:
- goto inline$BDLGetDebugLevel$523$start#1;
-
- inline$BDLGetDebugLevel$523$start#1:
- goto inline$BDLGetDebugLevel$523$label_3#1;
-
- inline$BDLGetDebugLevel$523$label_3#1:
- havoc inline$BDLGetDebugLevel$523$myNondetVar_0;
- inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$523$myNondetVar_0;
- goto inline$BDLGetDebugLevel$523$label_1#1;
-
- inline$BDLGetDebugLevel$523$label_1#1:
- goto inline$BDLGetDebugLevel$523$Return#1;
-
- inline$BDLGetDebugLevel$523$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon31_Then#1, inline$BDLDevicePowerIoCompletion$20$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_20_true#1, inline$BDLDevicePowerIoCompletion$20$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_24#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_25#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_26#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$20$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_21#1:
- goto inline$BDLGetDebugLevel$524$Entry#1;
-
- inline$BDLGetDebugLevel$524$Entry#1:
- goto inline$BDLGetDebugLevel$524$start#1;
-
- inline$BDLGetDebugLevel$524$start#1:
- goto inline$BDLGetDebugLevel$524$label_3#1;
-
- inline$BDLGetDebugLevel$524$label_3#1:
- havoc inline$BDLGetDebugLevel$524$myNondetVar_0;
- inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$524$myNondetVar_0;
- goto inline$BDLGetDebugLevel$524$label_1#1;
-
- inline$BDLGetDebugLevel$524$label_1#1:
- goto inline$BDLGetDebugLevel$524$Return#1;
-
- inline$BDLGetDebugLevel$524$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon32_Then#1, inline$BDLDevicePowerIoCompletion$20$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_30_true#1, inline$BDLDevicePowerIoCompletion$20$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_34#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_35#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_36#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$20$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_31#1:
- goto inline$BDLGetDebugLevel$525$Entry#1;
-
- inline$BDLGetDebugLevel$525$Entry#1:
- goto inline$BDLGetDebugLevel$525$start#1;
-
- inline$BDLGetDebugLevel$525$start#1:
- goto inline$BDLGetDebugLevel$525$label_3#1;
-
- inline$BDLGetDebugLevel$525$label_3#1:
- havoc inline$BDLGetDebugLevel$525$myNondetVar_0;
- inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$525$myNondetVar_0;
- goto inline$BDLGetDebugLevel$525$label_1#1;
-
- inline$BDLGetDebugLevel$525$label_1#1:
- goto inline$BDLGetDebugLevel$525$Return#1;
-
- inline$BDLGetDebugLevel$525$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon33_Then#1, inline$BDLDevicePowerIoCompletion$20$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_40_true#1, inline$BDLDevicePowerIoCompletion$20$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_41_true#1, inline$BDLDevicePowerIoCompletion$20$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$20$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$20$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_44_true#1, inline$BDLDevicePowerIoCompletion$20$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$20$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_45_true#1, inline$BDLDevicePowerIoCompletion$20$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$20$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$20$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$20$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$20$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$20$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_54#1:
- inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$20$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_55_true#1, inline$BDLDevicePowerIoCompletion$20$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_56#1:
- call inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$20$anon34_Then#1, inline$BDLDevicePowerIoCompletion$20$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_59#1:
- goto inline$BDLGetDebugLevel$526$Entry#1;
-
- inline$BDLGetDebugLevel$526$Entry#1:
- goto inline$BDLGetDebugLevel$526$start#1;
-
- inline$BDLGetDebugLevel$526$start#1:
- goto inline$BDLGetDebugLevel$526$label_3#1;
-
- inline$BDLGetDebugLevel$526$label_3#1:
- havoc inline$BDLGetDebugLevel$526$myNondetVar_0;
- inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$526$myNondetVar_0;
- goto inline$BDLGetDebugLevel$526$label_1#1;
-
- inline$BDLGetDebugLevel$526$label_1#1:
- goto inline$BDLGetDebugLevel$526$Return#1;
-
- inline$BDLGetDebugLevel$526$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon35_Then#1, inline$BDLDevicePowerIoCompletion$20$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_62_true#1, inline$BDLDevicePowerIoCompletion$20$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_66#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_67#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_68#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$20$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_63#1:
- goto inline$BDLGetDebugLevel$527$Entry#1;
-
- inline$BDLGetDebugLevel$527$Entry#1:
- goto inline$BDLGetDebugLevel$527$start#1;
-
- inline$BDLGetDebugLevel$527$start#1:
- goto inline$BDLGetDebugLevel$527$label_3#1;
-
- inline$BDLGetDebugLevel$527$label_3#1:
- havoc inline$BDLGetDebugLevel$527$myNondetVar_0;
- inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$527$myNondetVar_0;
- goto inline$BDLGetDebugLevel$527$label_1#1;
-
- inline$BDLGetDebugLevel$527$label_1#1:
- goto inline$BDLGetDebugLevel$527$Return#1;
-
- inline$BDLGetDebugLevel$527$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon36_Then#1, inline$BDLDevicePowerIoCompletion$20$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_72_true#1, inline$BDLDevicePowerIoCompletion$20$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_76#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_77#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_78#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$20$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_73#1:
- goto inline$BDLGetDebugLevel$528$Entry#1;
-
- inline$BDLGetDebugLevel$528$Entry#1:
- goto inline$BDLGetDebugLevel$528$start#1;
-
- inline$BDLGetDebugLevel$528$start#1:
- goto inline$BDLGetDebugLevel$528$label_3#1;
-
- inline$BDLGetDebugLevel$528$label_3#1:
- havoc inline$BDLGetDebugLevel$528$myNondetVar_0;
- inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$528$myNondetVar_0;
- goto inline$BDLGetDebugLevel$528$label_1#1;
-
- inline$BDLGetDebugLevel$528$label_1#1:
- goto inline$BDLGetDebugLevel$528$Return#1;
-
- inline$BDLGetDebugLevel$528$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon37_Then#1, inline$BDLDevicePowerIoCompletion$20$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_82_true#1, inline$BDLDevicePowerIoCompletion$20$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$20$anon38_Then#1, inline$BDLDevicePowerIoCompletion$20$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$20$anon39_Then#1, inline$BDLDevicePowerIoCompletion$20$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_92#1:
- goto inline$storm_IoCompleteRequest$41$Entry#1;
-
- inline$storm_IoCompleteRequest$41$Entry#1:
- inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$41$start#1;
-
- inline$storm_IoCompleteRequest$41$start#1:
- inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$41$label_3#1;
-
- inline$storm_IoCompleteRequest$41$label_3#1:
- call inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$41$label_6#1;
-
- inline$storm_IoCompleteRequest$41$label_6#1:
- goto inline$storm_IoCompleteRequest$41$label_6_true#1, inline$storm_IoCompleteRequest$41$label_6_false#1;
-
- inline$storm_IoCompleteRequest$41$label_6_false#1:
- assume inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$41$label_7#1;
-
- inline$storm_IoCompleteRequest$41$label_6_true#1:
- assume inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$41$label_8#1;
-
- inline$storm_IoCompleteRequest$41$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$41$anon3_Then#1, inline$storm_IoCompleteRequest$41$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$41$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$41$anon1#1;
-
- inline$storm_IoCompleteRequest$41$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$41$label_9#1;
-
- inline$storm_IoCompleteRequest$41$label_9#1:
- goto inline$storm_IoCompleteRequest$41$label_9_true#1, inline$storm_IoCompleteRequest$41$label_9_false#1;
-
- inline$storm_IoCompleteRequest$41$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$41$label_10#1;
-
- inline$storm_IoCompleteRequest$41$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$41$label_1#1;
-
- inline$storm_IoCompleteRequest$41$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$41$label_7#1;
-
- inline$storm_IoCompleteRequest$41$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$41$label_1#1;
-
- inline$storm_IoCompleteRequest$41$label_1#1:
- goto inline$storm_IoCompleteRequest$41$Return#1;
-
- inline$storm_IoCompleteRequest$41$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$41$Return#1;
-
- inline$storm_IoCompleteRequest$41$Return#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon40_Then#1, inline$BDLDevicePowerIoCompletion$20$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$20$anon41_Then#1, inline$BDLDevicePowerIoCompletion$20$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_98#1:
- goto inline$BDLGetDebugLevel$529$Entry#1;
-
- inline$BDLGetDebugLevel$529$Entry#1:
- goto inline$BDLGetDebugLevel$529$start#1;
-
- inline$BDLGetDebugLevel$529$start#1:
- goto inline$BDLGetDebugLevel$529$label_3#1;
-
- inline$BDLGetDebugLevel$529$label_3#1:
- havoc inline$BDLGetDebugLevel$529$myNondetVar_0;
- inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$529$myNondetVar_0;
- goto inline$BDLGetDebugLevel$529$label_1#1;
-
- inline$BDLGetDebugLevel$529$label_1#1:
- goto inline$BDLGetDebugLevel$529$Return#1;
-
- inline$BDLGetDebugLevel$529$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon42_Then#1, inline$BDLDevicePowerIoCompletion$20$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_101_true#1, inline$BDLDevicePowerIoCompletion$20$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_105#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_106#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_107#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$20$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_102#1:
- goto inline$BDLGetDebugLevel$530$Entry#1;
-
- inline$BDLGetDebugLevel$530$Entry#1:
- goto inline$BDLGetDebugLevel$530$start#1;
-
- inline$BDLGetDebugLevel$530$start#1:
- goto inline$BDLGetDebugLevel$530$label_3#1;
-
- inline$BDLGetDebugLevel$530$label_3#1:
- havoc inline$BDLGetDebugLevel$530$myNondetVar_0;
- inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$530$myNondetVar_0;
- goto inline$BDLGetDebugLevel$530$label_1#1;
-
- inline$BDLGetDebugLevel$530$label_1#1:
- goto inline$BDLGetDebugLevel$530$Return#1;
-
- inline$BDLGetDebugLevel$530$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon43_Then#1, inline$BDLDevicePowerIoCompletion$20$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_111_true#1, inline$BDLDevicePowerIoCompletion$20$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_115#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_116#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_117#1:
- call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$20$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$20$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_112#1:
- goto inline$BDLGetDebugLevel$531$Entry#1;
-
- inline$BDLGetDebugLevel$531$Entry#1:
- goto inline$BDLGetDebugLevel$531$start#1;
-
- inline$BDLGetDebugLevel$531$start#1:
- goto inline$BDLGetDebugLevel$531$label_3#1;
-
- inline$BDLGetDebugLevel$531$label_3#1:
- havoc inline$BDLGetDebugLevel$531$myNondetVar_0;
- inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$531$myNondetVar_0;
- goto inline$BDLGetDebugLevel$531$label_1#1;
-
- inline$BDLGetDebugLevel$531$label_1#1:
- goto inline$BDLGetDebugLevel$531$Return#1;
-
- inline$BDLGetDebugLevel$531$Return#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$20$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$20$anon44_Then#1, inline$BDLDevicePowerIoCompletion$20$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_121_true#1, inline$BDLDevicePowerIoCompletion$20$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$20$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$20$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_122#1:
- inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$20$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$20$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$20$Return#1;
-
- inline$BDLDevicePowerIoCompletion$20$Return#1:
- inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$20$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$20$anon13_Then#1, inline$CallCompletionRoutine$20$anon13_Else#1;
-
- inline$CallCompletionRoutine$20$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$20$anon7#1;
-
- inline$CallCompletionRoutine$20$anon7#1:
- goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$20$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$20$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$20$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$20$Entry#1:
- inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$20$start#1;
-
- inline$BDLCallDriverCompletionRoutine$20$start#1:
- inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$20$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$20$label_3_true#1, inline$BDLCallDriverCompletionRoutine$20$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$20$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$20$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$20$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$20$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_6#1:
- goto inline$storm_KeSetEvent$22$Entry#1;
-
- inline$storm_KeSetEvent$22$Entry#1:
- inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$22$start#1;
-
- inline$storm_KeSetEvent$22$start#1:
- inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$22$label_3#1;
-
- inline$storm_KeSetEvent$22$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$22$label_1#1;
-
- inline$storm_KeSetEvent$22$label_1#1:
- goto inline$storm_KeSetEvent$22$Return#1;
-
- inline$storm_KeSetEvent$22$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$20$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$20$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$20$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$20$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$20$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$20$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$20$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_9#1:
- inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$20$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$20$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$20$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$20$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$20$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$20$Return#1:
- inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$20$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$20$anon12_Then#1, inline$CallCompletionRoutine$20$anon12_Else#1;
-
- inline$CallCompletionRoutine$20$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$20$anon5#1;
-
- inline$CallCompletionRoutine$20$anon5#1:
- goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$20$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$20$label_23#1;
-
- inline$CallCompletionRoutine$20$label_23#1:
- inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$20$label_24#1;
-
- inline$CallCompletionRoutine$20$label_24#1:
- goto inline$CallCompletionRoutine$20$label_24_true#1, inline$CallCompletionRoutine$20$label_24_false#1;
-
- inline$CallCompletionRoutine$20$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$20$label_1#1;
-
- inline$CallCompletionRoutine$20$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$20$label_25#1;
-
- inline$CallCompletionRoutine$20$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$20$label_1#1;
-
- inline$CallCompletionRoutine$20$label_1#1:
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$20$Return#1;
-
- inline$CallCompletionRoutine$20$Return#1:
- goto inline$storm_IoCallDriver$10$label_33$1#1;
-
- inline$storm_IoCallDriver$10$label_33$1#1:
- goto inline$storm_IoCallDriver$10$anon14_Then#1, inline$storm_IoCallDriver$10$anon14_Else#1;
-
- inline$storm_IoCallDriver$10$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$10$anon8#1;
-
- inline$storm_IoCallDriver$10$anon8#1:
- goto inline$storm_IoCallDriver$10$label_36#1;
-
- inline$storm_IoCallDriver$10$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$label_27_case_1#1:
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$10$label_29#1;
-
- inline$storm_IoCallDriver$10$label_29#1:
- inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$10$label_37#1;
-
- inline$storm_IoCallDriver$10$label_37#1:
- goto inline$storm_IoCallDriver$10$label_38#1;
-
- inline$storm_IoCallDriver$10$label_38#1:
- goto inline$storm_IoCallDriver$10$label_39#1;
-
- inline$storm_IoCallDriver$10$label_39#1:
- goto inline$CallCompletionRoutine$21$Entry#1;
-
- inline$CallCompletionRoutine$21$Entry#1:
- inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$21$start#1;
-
- inline$CallCompletionRoutine$21$start#1:
- inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$21$label_3#1;
-
- inline$CallCompletionRoutine$21$label_3#1:
- goto inline$CallCompletionRoutine$21$label_4#1;
-
- inline$CallCompletionRoutine$21$label_4#1:
- goto inline$CallCompletionRoutine$21$label_5#1;
-
- inline$CallCompletionRoutine$21$label_5#1:
- goto inline$CallCompletionRoutine$21$label_6#1;
-
- inline$CallCompletionRoutine$21$label_6#1:
- goto inline$CallCompletionRoutine$21$label_7#1;
-
- inline$CallCompletionRoutine$21$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$108$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$108$Entry#1:
- inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$108$start#1;
-
- inline$IoGetCurrentIrpStackLocation$108$start#1:
- inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$108$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$108$label_3_true#1, inline$IoGetCurrentIrpStackLocation$108$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$108$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$108$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$108$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$108$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$108$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$108$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$108$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$108$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$108$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$108$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$108$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$108$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$108$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$108$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$108$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$108$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$108$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$108$Return#1:
- goto inline$CallCompletionRoutine$21$label_7$1#1;
-
- inline$CallCompletionRoutine$21$label_7$1#1:
- goto inline$CallCompletionRoutine$21$anon10_Then#1, inline$CallCompletionRoutine$21$anon10_Else#1;
-
- inline$CallCompletionRoutine$21$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$21$anon1#1;
-
- inline$CallCompletionRoutine$21$anon1#1:
- goto inline$CallCompletionRoutine$21$label_10#1;
-
- inline$CallCompletionRoutine$21$label_10#1:
- goto inline$CallCompletionRoutine$21$label_11#1;
-
- inline$CallCompletionRoutine$21$label_11#1:
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$CallCompletionRoutine$21$label_12#1;
-
- inline$CallCompletionRoutine$21$label_12#1:
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$CallCompletionRoutine$21$label_13#1;
-
- inline$CallCompletionRoutine$21$label_13#1:
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$CallCompletionRoutine$21$label_14#1;
-
- inline$CallCompletionRoutine$21$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$109$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$109$Entry#1:
- inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$109$start#1;
-
- inline$IoGetCurrentIrpStackLocation$109$start#1:
- inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$109$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$109$label_3_true#1, inline$IoGetCurrentIrpStackLocation$109$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$109$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$109$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$109$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$109$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$109$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$109$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$109$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$109$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$109$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$109$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$109$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$109$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$109$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$109$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$109$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$109$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$109$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$109$Return#1:
- goto inline$CallCompletionRoutine$21$label_14$1#1;
-
- inline$CallCompletionRoutine$21$label_14$1#1:
- goto inline$CallCompletionRoutine$21$anon11_Then#1, inline$CallCompletionRoutine$21$anon11_Else#1;
-
- inline$CallCompletionRoutine$21$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$21$anon3#1;
-
- inline$CallCompletionRoutine$21$anon3#1:
- goto inline$CallCompletionRoutine$21$label_17#1;
-
- inline$CallCompletionRoutine$21$label_17#1:
- goto inline$CallCompletionRoutine$21$label_18#1;
-
- inline$CallCompletionRoutine$21$label_18#1:
- goto inline$CallCompletionRoutine$21$label_18_true#1, inline$CallCompletionRoutine$21$label_18_false#1;
-
- inline$CallCompletionRoutine$21$label_18_false#1:
- assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$21$label_1#1;
-
- inline$CallCompletionRoutine$21$label_18_true#1:
- assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$21$label_19#1;
-
- inline$CallCompletionRoutine$21$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$21$label_20#1;
-
- inline$CallCompletionRoutine$21$label_20#1:
- goto inline$CallCompletionRoutine$21$label_20_icall_1#1, inline$CallCompletionRoutine$21$label_20_icall_2#1, inline$CallCompletionRoutine$21$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$21$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$21$Entry#1:
- inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$21$start#1;
-
- inline$BDLSystemPowerIoCompletion$21$start#1:
- call inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$21$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_4#1:
- inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_6#1:
- inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$21$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$21$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$111$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$111$Entry#1:
- inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$111$start#1;
-
- inline$IoGetCurrentIrpStackLocation$111$start#1:
- inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$111$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$111$label_3_true#1, inline$IoGetCurrentIrpStackLocation$111$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$111$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$111$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$111$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$111$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$111$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$111$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$111$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$111$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$111$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$111$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$111$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$111$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$111$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$111$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$111$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$111$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$111$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$111$Return#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon36_Then#1, inline$BDLSystemPowerIoCompletion$21$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_15#1:
- goto inline$BDLGetDebugLevel$553$Entry#1;
-
- inline$BDLGetDebugLevel$553$Entry#1:
- goto inline$BDLGetDebugLevel$553$start#1;
-
- inline$BDLGetDebugLevel$553$start#1:
- goto inline$BDLGetDebugLevel$553$label_3#1;
-
- inline$BDLGetDebugLevel$553$label_3#1:
- havoc inline$BDLGetDebugLevel$553$myNondetVar_0;
- inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$553$myNondetVar_0;
- goto inline$BDLGetDebugLevel$553$label_1#1;
-
- inline$BDLGetDebugLevel$553$label_1#1:
- goto inline$BDLGetDebugLevel$553$Return#1;
-
- inline$BDLGetDebugLevel$553$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon37_Then#1, inline$BDLSystemPowerIoCompletion$21$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_18_true#1, inline$BDLSystemPowerIoCompletion$21$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_22#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_23#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_24#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$21$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_19#1:
- goto inline$BDLGetDebugLevel$554$Entry#1;
-
- inline$BDLGetDebugLevel$554$Entry#1:
- goto inline$BDLGetDebugLevel$554$start#1;
-
- inline$BDLGetDebugLevel$554$start#1:
- goto inline$BDLGetDebugLevel$554$label_3#1;
-
- inline$BDLGetDebugLevel$554$label_3#1:
- havoc inline$BDLGetDebugLevel$554$myNondetVar_0;
- inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$554$myNondetVar_0;
- goto inline$BDLGetDebugLevel$554$label_1#1;
-
- inline$BDLGetDebugLevel$554$label_1#1:
- goto inline$BDLGetDebugLevel$554$Return#1;
-
- inline$BDLGetDebugLevel$554$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon38_Then#1, inline$BDLSystemPowerIoCompletion$21$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_28_true#1, inline$BDLSystemPowerIoCompletion$21$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_32#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_33#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_34#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$21$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_29#1:
- goto inline$BDLGetDebugLevel$555$Entry#1;
-
- inline$BDLGetDebugLevel$555$Entry#1:
- goto inline$BDLGetDebugLevel$555$start#1;
-
- inline$BDLGetDebugLevel$555$start#1:
- goto inline$BDLGetDebugLevel$555$label_3#1;
-
- inline$BDLGetDebugLevel$555$label_3#1:
- havoc inline$BDLGetDebugLevel$555$myNondetVar_0;
- inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$555$myNondetVar_0;
- goto inline$BDLGetDebugLevel$555$label_1#1;
-
- inline$BDLGetDebugLevel$555$label_1#1:
- goto inline$BDLGetDebugLevel$555$Return#1;
-
- inline$BDLGetDebugLevel$555$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon39_Then#1, inline$BDLSystemPowerIoCompletion$21$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_38_true#1, inline$BDLSystemPowerIoCompletion$21$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_39_true#1, inline$BDLSystemPowerIoCompletion$21$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$21$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$21$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$21$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_106_true#1, inline$BDLSystemPowerIoCompletion$21$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_142#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_143#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$21$myNondetVar_0, inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$21$anon50_Then#1, inline$BDLSystemPowerIoCompletion$21$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_112#1:
- inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$21$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_113_true#1, inline$BDLSystemPowerIoCompletion$21$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$21$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_117#1:
- goto inline$BDLGetDebugLevel$562$Entry#1;
-
- inline$BDLGetDebugLevel$562$Entry#1:
- goto inline$BDLGetDebugLevel$562$start#1;
-
- inline$BDLGetDebugLevel$562$start#1:
- goto inline$BDLGetDebugLevel$562$label_3#1;
-
- inline$BDLGetDebugLevel$562$label_3#1:
- havoc inline$BDLGetDebugLevel$562$myNondetVar_0;
- inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$562$myNondetVar_0;
- goto inline$BDLGetDebugLevel$562$label_1#1;
-
- inline$BDLGetDebugLevel$562$label_1#1:
- goto inline$BDLGetDebugLevel$562$Return#1;
-
- inline$BDLGetDebugLevel$562$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon51_Then#1, inline$BDLSystemPowerIoCompletion$21$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_120_true#1, inline$BDLSystemPowerIoCompletion$21$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_124#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_125#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_126#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$21$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_121#1:
- goto inline$BDLGetDebugLevel$563$Entry#1;
-
- inline$BDLGetDebugLevel$563$Entry#1:
- goto inline$BDLGetDebugLevel$563$start#1;
-
- inline$BDLGetDebugLevel$563$start#1:
- goto inline$BDLGetDebugLevel$563$label_3#1;
-
- inline$BDLGetDebugLevel$563$label_3#1:
- havoc inline$BDLGetDebugLevel$563$myNondetVar_0;
- inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$563$myNondetVar_0;
- goto inline$BDLGetDebugLevel$563$label_1#1;
-
- inline$BDLGetDebugLevel$563$label_1#1:
- goto inline$BDLGetDebugLevel$563$Return#1;
-
- inline$BDLGetDebugLevel$563$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon52_Then#1, inline$BDLSystemPowerIoCompletion$21$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_130_true#1, inline$BDLSystemPowerIoCompletion$21$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_134#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_135#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_136#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$21$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_131#1:
- goto inline$BDLGetDebugLevel$564$Entry#1;
-
- inline$BDLGetDebugLevel$564$Entry#1:
- goto inline$BDLGetDebugLevel$564$start#1;
-
- inline$BDLGetDebugLevel$564$start#1:
- goto inline$BDLGetDebugLevel$564$label_3#1;
-
- inline$BDLGetDebugLevel$564$label_3#1:
- havoc inline$BDLGetDebugLevel$564$myNondetVar_0;
- inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$564$myNondetVar_0;
- goto inline$BDLGetDebugLevel$564$label_1#1;
-
- inline$BDLGetDebugLevel$564$label_1#1:
- goto inline$BDLGetDebugLevel$564$Return#1;
-
- inline$BDLGetDebugLevel$564$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon53_Then#1, inline$BDLSystemPowerIoCompletion$21$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_140_true#1, inline$BDLSystemPowerIoCompletion$21$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$21$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_115#1:
- inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$21$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$21$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_43#1:
- goto inline$BDLGetDebugLevel$556$Entry#1;
-
- inline$BDLGetDebugLevel$556$Entry#1:
- goto inline$BDLGetDebugLevel$556$start#1;
-
- inline$BDLGetDebugLevel$556$start#1:
- goto inline$BDLGetDebugLevel$556$label_3#1;
-
- inline$BDLGetDebugLevel$556$label_3#1:
- havoc inline$BDLGetDebugLevel$556$myNondetVar_0;
- inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$556$myNondetVar_0;
- goto inline$BDLGetDebugLevel$556$label_1#1;
-
- inline$BDLGetDebugLevel$556$label_1#1:
- goto inline$BDLGetDebugLevel$556$Return#1;
-
- inline$BDLGetDebugLevel$556$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon40_Then#1, inline$BDLSystemPowerIoCompletion$21$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_46_true#1, inline$BDLSystemPowerIoCompletion$21$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_50#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_51#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_52#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$21$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_47#1:
- goto inline$BDLGetDebugLevel$557$Entry#1;
-
- inline$BDLGetDebugLevel$557$Entry#1:
- goto inline$BDLGetDebugLevel$557$start#1;
-
- inline$BDLGetDebugLevel$557$start#1:
- goto inline$BDLGetDebugLevel$557$label_3#1;
-
- inline$BDLGetDebugLevel$557$label_3#1:
- havoc inline$BDLGetDebugLevel$557$myNondetVar_0;
- inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$557$myNondetVar_0;
- goto inline$BDLGetDebugLevel$557$label_1#1;
-
- inline$BDLGetDebugLevel$557$label_1#1:
- goto inline$BDLGetDebugLevel$557$Return#1;
-
- inline$BDLGetDebugLevel$557$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon41_Then#1, inline$BDLSystemPowerIoCompletion$21$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_56_true#1, inline$BDLSystemPowerIoCompletion$21$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_60#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_61#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_62#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$21$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_57#1:
- goto inline$BDLGetDebugLevel$558$Entry#1;
-
- inline$BDLGetDebugLevel$558$Entry#1:
- goto inline$BDLGetDebugLevel$558$start#1;
-
- inline$BDLGetDebugLevel$558$start#1:
- goto inline$BDLGetDebugLevel$558$label_3#1;
-
- inline$BDLGetDebugLevel$558$label_3#1:
- havoc inline$BDLGetDebugLevel$558$myNondetVar_0;
- inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$558$myNondetVar_0;
- goto inline$BDLGetDebugLevel$558$label_1#1;
-
- inline$BDLGetDebugLevel$558$label_1#1:
- goto inline$BDLGetDebugLevel$558$Return#1;
-
- inline$BDLGetDebugLevel$558$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon42_Then#1, inline$BDLSystemPowerIoCompletion$21$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_66_true#1, inline$BDLSystemPowerIoCompletion$21$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$21$anon43_Then#1, inline$BDLSystemPowerIoCompletion$21$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$21$anon44_Then#1, inline$BDLSystemPowerIoCompletion$21$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_74#1:
- goto inline$storm_IoCompleteRequest$44$Entry#1;
-
- inline$storm_IoCompleteRequest$44$Entry#1:
- inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$44$start#1;
-
- inline$storm_IoCompleteRequest$44$start#1:
- inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$44$label_3#1;
-
- inline$storm_IoCompleteRequest$44$label_3#1:
- call inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$44$label_6#1;
-
- inline$storm_IoCompleteRequest$44$label_6#1:
- goto inline$storm_IoCompleteRequest$44$label_6_true#1, inline$storm_IoCompleteRequest$44$label_6_false#1;
-
- inline$storm_IoCompleteRequest$44$label_6_false#1:
- assume inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$44$label_7#1;
-
- inline$storm_IoCompleteRequest$44$label_6_true#1:
- assume inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$44$label_8#1;
-
- inline$storm_IoCompleteRequest$44$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$44$anon3_Then#1, inline$storm_IoCompleteRequest$44$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$44$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$44$anon1#1;
-
- inline$storm_IoCompleteRequest$44$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$44$label_9#1;
-
- inline$storm_IoCompleteRequest$44$label_9#1:
- goto inline$storm_IoCompleteRequest$44$label_9_true#1, inline$storm_IoCompleteRequest$44$label_9_false#1;
-
- inline$storm_IoCompleteRequest$44$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$44$label_10#1;
-
- inline$storm_IoCompleteRequest$44$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$44$label_1#1;
-
- inline$storm_IoCompleteRequest$44$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$44$label_7#1;
-
- inline$storm_IoCompleteRequest$44$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$44$label_1#1;
-
- inline$storm_IoCompleteRequest$44$label_1#1:
- goto inline$storm_IoCompleteRequest$44$Return#1;
-
- inline$storm_IoCompleteRequest$44$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$44$Return#1;
-
- inline$storm_IoCompleteRequest$44$Return#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon45_Then#1, inline$BDLSystemPowerIoCompletion$21$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$21$anon46_Then#1, inline$BDLSystemPowerIoCompletion$21$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_80#1:
- goto inline$BDLGetDebugLevel$559$Entry#1;
-
- inline$BDLGetDebugLevel$559$Entry#1:
- goto inline$BDLGetDebugLevel$559$start#1;
-
- inline$BDLGetDebugLevel$559$start#1:
- goto inline$BDLGetDebugLevel$559$label_3#1;
-
- inline$BDLGetDebugLevel$559$label_3#1:
- havoc inline$BDLGetDebugLevel$559$myNondetVar_0;
- inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$559$myNondetVar_0;
- goto inline$BDLGetDebugLevel$559$label_1#1;
-
- inline$BDLGetDebugLevel$559$label_1#1:
- goto inline$BDLGetDebugLevel$559$Return#1;
-
- inline$BDLGetDebugLevel$559$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon47_Then#1, inline$BDLSystemPowerIoCompletion$21$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_83_true#1, inline$BDLSystemPowerIoCompletion$21$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_87#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_88#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_89#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$21$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_84#1:
- goto inline$BDLGetDebugLevel$560$Entry#1;
-
- inline$BDLGetDebugLevel$560$Entry#1:
- goto inline$BDLGetDebugLevel$560$start#1;
-
- inline$BDLGetDebugLevel$560$start#1:
- goto inline$BDLGetDebugLevel$560$label_3#1;
-
- inline$BDLGetDebugLevel$560$label_3#1:
- havoc inline$BDLGetDebugLevel$560$myNondetVar_0;
- inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$560$myNondetVar_0;
- goto inline$BDLGetDebugLevel$560$label_1#1;
-
- inline$BDLGetDebugLevel$560$label_1#1:
- goto inline$BDLGetDebugLevel$560$Return#1;
-
- inline$BDLGetDebugLevel$560$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon48_Then#1, inline$BDLSystemPowerIoCompletion$21$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_93_true#1, inline$BDLSystemPowerIoCompletion$21$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_97#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_98#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_99#1:
- call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$21$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$21$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_94#1:
- goto inline$BDLGetDebugLevel$561$Entry#1;
-
- inline$BDLGetDebugLevel$561$Entry#1:
- goto inline$BDLGetDebugLevel$561$start#1;
-
- inline$BDLGetDebugLevel$561$start#1:
- goto inline$BDLGetDebugLevel$561$label_3#1;
-
- inline$BDLGetDebugLevel$561$label_3#1:
- havoc inline$BDLGetDebugLevel$561$myNondetVar_0;
- inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$561$myNondetVar_0;
- goto inline$BDLGetDebugLevel$561$label_1#1;
-
- inline$BDLGetDebugLevel$561$label_1#1:
- goto inline$BDLGetDebugLevel$561$Return#1;
-
- inline$BDLGetDebugLevel$561$Return#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$21$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$21$anon49_Then#1, inline$BDLSystemPowerIoCompletion$21$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_103_true#1, inline$BDLSystemPowerIoCompletion$21$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$21$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$21$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_104#1:
- inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$21$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$21$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$21$Return#1;
-
- inline$BDLSystemPowerIoCompletion$21$Return#1:
- inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$21$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$21$anon14_Then#1, inline$CallCompletionRoutine$21$anon14_Else#1;
-
- inline$CallCompletionRoutine$21$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$21$anon9#1;
-
- inline$CallCompletionRoutine$21$anon9#1:
- goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$21$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$21$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$21$Entry#1:
- inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$21$start#1;
-
- inline$BDLDevicePowerIoCompletion$21$start#1:
- call inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$21$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_4#1:
- inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_6#1:
- inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$21$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$21$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$110$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$110$Entry#1:
- inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$110$start#1;
-
- inline$IoGetCurrentIrpStackLocation$110$start#1:
- inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$110$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$110$label_3_true#1, inline$IoGetCurrentIrpStackLocation$110$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$110$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$110$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$110$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$110$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$110$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$110$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$110$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$110$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$110$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$110$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$110$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$110$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$110$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$110$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$110$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$110$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$110$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon30_Then#1, inline$BDLDevicePowerIoCompletion$21$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_13#1:
- inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$21$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$21$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_17#1:
- goto inline$BDLGetDebugLevel$544$Entry#1;
-
- inline$BDLGetDebugLevel$544$Entry#1:
- goto inline$BDLGetDebugLevel$544$start#1;
-
- inline$BDLGetDebugLevel$544$start#1:
- goto inline$BDLGetDebugLevel$544$label_3#1;
-
- inline$BDLGetDebugLevel$544$label_3#1:
- havoc inline$BDLGetDebugLevel$544$myNondetVar_0;
- inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$544$myNondetVar_0;
- goto inline$BDLGetDebugLevel$544$label_1#1;
-
- inline$BDLGetDebugLevel$544$label_1#1:
- goto inline$BDLGetDebugLevel$544$Return#1;
-
- inline$BDLGetDebugLevel$544$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon31_Then#1, inline$BDLDevicePowerIoCompletion$21$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_20_true#1, inline$BDLDevicePowerIoCompletion$21$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_24#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_25#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_26#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$21$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_21#1:
- goto inline$BDLGetDebugLevel$545$Entry#1;
-
- inline$BDLGetDebugLevel$545$Entry#1:
- goto inline$BDLGetDebugLevel$545$start#1;
-
- inline$BDLGetDebugLevel$545$start#1:
- goto inline$BDLGetDebugLevel$545$label_3#1;
-
- inline$BDLGetDebugLevel$545$label_3#1:
- havoc inline$BDLGetDebugLevel$545$myNondetVar_0;
- inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$545$myNondetVar_0;
- goto inline$BDLGetDebugLevel$545$label_1#1;
-
- inline$BDLGetDebugLevel$545$label_1#1:
- goto inline$BDLGetDebugLevel$545$Return#1;
-
- inline$BDLGetDebugLevel$545$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon32_Then#1, inline$BDLDevicePowerIoCompletion$21$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_30_true#1, inline$BDLDevicePowerIoCompletion$21$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_34#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_35#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_36#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$21$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_31#1:
- goto inline$BDLGetDebugLevel$546$Entry#1;
-
- inline$BDLGetDebugLevel$546$Entry#1:
- goto inline$BDLGetDebugLevel$546$start#1;
-
- inline$BDLGetDebugLevel$546$start#1:
- goto inline$BDLGetDebugLevel$546$label_3#1;
-
- inline$BDLGetDebugLevel$546$label_3#1:
- havoc inline$BDLGetDebugLevel$546$myNondetVar_0;
- inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$546$myNondetVar_0;
- goto inline$BDLGetDebugLevel$546$label_1#1;
-
- inline$BDLGetDebugLevel$546$label_1#1:
- goto inline$BDLGetDebugLevel$546$Return#1;
-
- inline$BDLGetDebugLevel$546$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon33_Then#1, inline$BDLDevicePowerIoCompletion$21$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_40_true#1, inline$BDLDevicePowerIoCompletion$21$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_41_true#1, inline$BDLDevicePowerIoCompletion$21$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$21$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$21$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_44_true#1, inline$BDLDevicePowerIoCompletion$21$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$21$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_45_true#1, inline$BDLDevicePowerIoCompletion$21$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$21$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$21$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$21$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$21$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$21$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_54#1:
- inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$21$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_55_true#1, inline$BDLDevicePowerIoCompletion$21$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_56#1:
- call inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$21$anon34_Then#1, inline$BDLDevicePowerIoCompletion$21$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_59#1:
- goto inline$BDLGetDebugLevel$547$Entry#1;
-
- inline$BDLGetDebugLevel$547$Entry#1:
- goto inline$BDLGetDebugLevel$547$start#1;
-
- inline$BDLGetDebugLevel$547$start#1:
- goto inline$BDLGetDebugLevel$547$label_3#1;
-
- inline$BDLGetDebugLevel$547$label_3#1:
- havoc inline$BDLGetDebugLevel$547$myNondetVar_0;
- inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$547$myNondetVar_0;
- goto inline$BDLGetDebugLevel$547$label_1#1;
-
- inline$BDLGetDebugLevel$547$label_1#1:
- goto inline$BDLGetDebugLevel$547$Return#1;
-
- inline$BDLGetDebugLevel$547$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon35_Then#1, inline$BDLDevicePowerIoCompletion$21$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_62_true#1, inline$BDLDevicePowerIoCompletion$21$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_66#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_67#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_68#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$21$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_63#1:
- goto inline$BDLGetDebugLevel$548$Entry#1;
-
- inline$BDLGetDebugLevel$548$Entry#1:
- goto inline$BDLGetDebugLevel$548$start#1;
-
- inline$BDLGetDebugLevel$548$start#1:
- goto inline$BDLGetDebugLevel$548$label_3#1;
-
- inline$BDLGetDebugLevel$548$label_3#1:
- havoc inline$BDLGetDebugLevel$548$myNondetVar_0;
- inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$548$myNondetVar_0;
- goto inline$BDLGetDebugLevel$548$label_1#1;
-
- inline$BDLGetDebugLevel$548$label_1#1:
- goto inline$BDLGetDebugLevel$548$Return#1;
-
- inline$BDLGetDebugLevel$548$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon36_Then#1, inline$BDLDevicePowerIoCompletion$21$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_72_true#1, inline$BDLDevicePowerIoCompletion$21$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_76#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_77#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_78#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$21$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_73#1:
- goto inline$BDLGetDebugLevel$549$Entry#1;
-
- inline$BDLGetDebugLevel$549$Entry#1:
- goto inline$BDLGetDebugLevel$549$start#1;
-
- inline$BDLGetDebugLevel$549$start#1:
- goto inline$BDLGetDebugLevel$549$label_3#1;
-
- inline$BDLGetDebugLevel$549$label_3#1:
- havoc inline$BDLGetDebugLevel$549$myNondetVar_0;
- inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$549$myNondetVar_0;
- goto inline$BDLGetDebugLevel$549$label_1#1;
-
- inline$BDLGetDebugLevel$549$label_1#1:
- goto inline$BDLGetDebugLevel$549$Return#1;
-
- inline$BDLGetDebugLevel$549$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon37_Then#1, inline$BDLDevicePowerIoCompletion$21$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_82_true#1, inline$BDLDevicePowerIoCompletion$21$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$21$anon38_Then#1, inline$BDLDevicePowerIoCompletion$21$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$21$anon39_Then#1, inline$BDLDevicePowerIoCompletion$21$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_92#1:
- goto inline$storm_IoCompleteRequest$43$Entry#1;
-
- inline$storm_IoCompleteRequest$43$Entry#1:
- inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$43$start#1;
-
- inline$storm_IoCompleteRequest$43$start#1:
- inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$43$label_3#1;
-
- inline$storm_IoCompleteRequest$43$label_3#1:
- call inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$43$label_6#1;
-
- inline$storm_IoCompleteRequest$43$label_6#1:
- goto inline$storm_IoCompleteRequest$43$label_6_true#1, inline$storm_IoCompleteRequest$43$label_6_false#1;
-
- inline$storm_IoCompleteRequest$43$label_6_false#1:
- assume inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$43$label_7#1;
-
- inline$storm_IoCompleteRequest$43$label_6_true#1:
- assume inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$43$label_8#1;
-
- inline$storm_IoCompleteRequest$43$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$43$anon3_Then#1, inline$storm_IoCompleteRequest$43$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$43$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$43$anon1#1;
-
- inline$storm_IoCompleteRequest$43$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$43$label_9#1;
-
- inline$storm_IoCompleteRequest$43$label_9#1:
- goto inline$storm_IoCompleteRequest$43$label_9_true#1, inline$storm_IoCompleteRequest$43$label_9_false#1;
-
- inline$storm_IoCompleteRequest$43$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$43$label_10#1;
-
- inline$storm_IoCompleteRequest$43$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$43$label_1#1;
-
- inline$storm_IoCompleteRequest$43$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$43$label_7#1;
-
- inline$storm_IoCompleteRequest$43$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$43$label_1#1;
-
- inline$storm_IoCompleteRequest$43$label_1#1:
- goto inline$storm_IoCompleteRequest$43$Return#1;
-
- inline$storm_IoCompleteRequest$43$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$43$Return#1;
-
- inline$storm_IoCompleteRequest$43$Return#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon40_Then#1, inline$BDLDevicePowerIoCompletion$21$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$21$anon41_Then#1, inline$BDLDevicePowerIoCompletion$21$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_98#1:
- goto inline$BDLGetDebugLevel$550$Entry#1;
-
- inline$BDLGetDebugLevel$550$Entry#1:
- goto inline$BDLGetDebugLevel$550$start#1;
-
- inline$BDLGetDebugLevel$550$start#1:
- goto inline$BDLGetDebugLevel$550$label_3#1;
-
- inline$BDLGetDebugLevel$550$label_3#1:
- havoc inline$BDLGetDebugLevel$550$myNondetVar_0;
- inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$550$myNondetVar_0;
- goto inline$BDLGetDebugLevel$550$label_1#1;
-
- inline$BDLGetDebugLevel$550$label_1#1:
- goto inline$BDLGetDebugLevel$550$Return#1;
-
- inline$BDLGetDebugLevel$550$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon42_Then#1, inline$BDLDevicePowerIoCompletion$21$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_101_true#1, inline$BDLDevicePowerIoCompletion$21$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_105#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_106#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_107#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$21$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_102#1:
- goto inline$BDLGetDebugLevel$551$Entry#1;
-
- inline$BDLGetDebugLevel$551$Entry#1:
- goto inline$BDLGetDebugLevel$551$start#1;
-
- inline$BDLGetDebugLevel$551$start#1:
- goto inline$BDLGetDebugLevel$551$label_3#1;
-
- inline$BDLGetDebugLevel$551$label_3#1:
- havoc inline$BDLGetDebugLevel$551$myNondetVar_0;
- inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$551$myNondetVar_0;
- goto inline$BDLGetDebugLevel$551$label_1#1;
-
- inline$BDLGetDebugLevel$551$label_1#1:
- goto inline$BDLGetDebugLevel$551$Return#1;
-
- inline$BDLGetDebugLevel$551$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon43_Then#1, inline$BDLDevicePowerIoCompletion$21$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_111_true#1, inline$BDLDevicePowerIoCompletion$21$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_115#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_116#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_117#1:
- call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$21$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$21$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_112#1:
- goto inline$BDLGetDebugLevel$552$Entry#1;
-
- inline$BDLGetDebugLevel$552$Entry#1:
- goto inline$BDLGetDebugLevel$552$start#1;
-
- inline$BDLGetDebugLevel$552$start#1:
- goto inline$BDLGetDebugLevel$552$label_3#1;
-
- inline$BDLGetDebugLevel$552$label_3#1:
- havoc inline$BDLGetDebugLevel$552$myNondetVar_0;
- inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$552$myNondetVar_0;
- goto inline$BDLGetDebugLevel$552$label_1#1;
-
- inline$BDLGetDebugLevel$552$label_1#1:
- goto inline$BDLGetDebugLevel$552$Return#1;
-
- inline$BDLGetDebugLevel$552$Return#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$21$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$21$anon44_Then#1, inline$BDLDevicePowerIoCompletion$21$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_121_true#1, inline$BDLDevicePowerIoCompletion$21$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$21$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$21$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_122#1:
- inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$21$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$21$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$21$Return#1;
-
- inline$BDLDevicePowerIoCompletion$21$Return#1:
- inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$21$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$21$anon13_Then#1, inline$CallCompletionRoutine$21$anon13_Else#1;
-
- inline$CallCompletionRoutine$21$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$21$anon7#1;
-
- inline$CallCompletionRoutine$21$anon7#1:
- goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$21$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$21$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$21$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$21$Entry#1:
- inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$21$start#1;
-
- inline$BDLCallDriverCompletionRoutine$21$start#1:
- inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$21$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$21$label_3_true#1, inline$BDLCallDriverCompletionRoutine$21$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$21$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$21$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$21$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$21$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_6#1:
- goto inline$storm_KeSetEvent$23$Entry#1;
-
- inline$storm_KeSetEvent$23$Entry#1:
- inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$23$start#1;
-
- inline$storm_KeSetEvent$23$start#1:
- inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$23$label_3#1;
-
- inline$storm_KeSetEvent$23$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$23$label_1#1;
-
- inline$storm_KeSetEvent$23$label_1#1:
- goto inline$storm_KeSetEvent$23$Return#1;
-
- inline$storm_KeSetEvent$23$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$21$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$21$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$21$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$21$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$21$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$21$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$21$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_9#1:
- inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$21$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$21$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$21$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$21$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$21$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$21$Return#1:
- inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$21$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$21$anon12_Then#1, inline$CallCompletionRoutine$21$anon12_Else#1;
-
- inline$CallCompletionRoutine$21$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$21$anon5#1;
-
- inline$CallCompletionRoutine$21$anon5#1:
- goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$21$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$21$label_23#1;
-
- inline$CallCompletionRoutine$21$label_23#1:
- inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$21$label_24#1;
-
- inline$CallCompletionRoutine$21$label_24#1:
- goto inline$CallCompletionRoutine$21$label_24_true#1, inline$CallCompletionRoutine$21$label_24_false#1;
-
- inline$CallCompletionRoutine$21$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$21$label_1#1;
-
- inline$CallCompletionRoutine$21$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$21$label_25#1;
-
- inline$CallCompletionRoutine$21$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$21$label_1#1;
-
- inline$CallCompletionRoutine$21$label_1#1:
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$21$Return#1;
-
- inline$CallCompletionRoutine$21$Return#1:
- goto inline$storm_IoCallDriver$10$label_39$1#1;
-
- inline$storm_IoCallDriver$10$label_39$1#1:
- goto inline$storm_IoCallDriver$10$anon15_Then#1, inline$storm_IoCallDriver$10$anon15_Else#1;
-
- inline$storm_IoCallDriver$10$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$10$anon10#1;
-
- inline$storm_IoCallDriver$10$anon10#1:
- goto inline$storm_IoCallDriver$10$label_36#1;
-
- inline$storm_IoCallDriver$10$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$label_27_case_0#1:
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$10$label_28#1;
-
- inline$storm_IoCallDriver$10$label_28#1:
- inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$10$label_42#1;
-
- inline$storm_IoCallDriver$10$label_42#1:
- goto inline$storm_IoCallDriver$10$label_43#1;
-
- inline$storm_IoCallDriver$10$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$10$label_36#1;
-
- inline$storm_IoCallDriver$10$label_36#1:
- inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$10$label_1#1;
-
- inline$storm_IoCallDriver$10$label_1#1:
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$10$Return#1;
-
- inline$storm_IoCallDriver$10$Return#1:
- inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$4$label_3$1#1;
-
- inline$storm_PoCallDriver$4$label_3$1#1:
- goto inline$storm_PoCallDriver$4$anon2_Then#1, inline$storm_PoCallDriver$4$anon2_Else#1;
-
- inline$storm_PoCallDriver$4$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$4$anon1#1;
-
- inline$storm_PoCallDriver$4$anon1#1:
- goto inline$storm_PoCallDriver$4$label_6#1;
-
- inline$storm_PoCallDriver$4$label_6#1:
- inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$4$label_1#1;
-
- inline$storm_PoCallDriver$4$label_1#1:
- goto inline$storm_PoCallDriver$4$Return#1;
-
- inline$storm_PoCallDriver$4$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$4$Return#1;
-
- inline$storm_PoCallDriver$4$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_31#1:
- goto inline$storm_KeWaitForSingleObject$4$Entry#1;
-
- inline$storm_KeWaitForSingleObject$4$Entry#1:
- inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$4$start#1;
-
- inline$storm_KeWaitForSingleObject$4$start#1:
- inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$4$label_3#1;
-
- inline$storm_KeWaitForSingleObject$4$label_3#1:
- goto inline$storm_KeWaitForSingleObject$4$label_3_true#1, inline$storm_KeWaitForSingleObject$4$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$4$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$4$label_4#1;
-
- inline$storm_KeWaitForSingleObject$4$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon6_Then#1, inline$storm_KeWaitForSingleObject$4$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$4$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon1#1;
-
- inline$storm_KeWaitForSingleObject$4$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$4$label_13#1;
-
- inline$storm_KeWaitForSingleObject$4$label_13#1:
- inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$4$label_1#1;
-
- inline$storm_KeWaitForSingleObject$4$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$4$Return#1;
-
- inline$storm_KeWaitForSingleObject$4$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$4$label_5#1;
-
- inline$storm_KeWaitForSingleObject$4$label_5#1:
- call inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$4$label_8#1;
-
- inline$storm_KeWaitForSingleObject$4$label_8#1:
- goto inline$storm_KeWaitForSingleObject$4$label_8_true#1, inline$storm_KeWaitForSingleObject$4$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$4$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$4$label_9#1;
-
- inline$storm_KeWaitForSingleObject$4$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon7_Then#1, inline$storm_KeWaitForSingleObject$4$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$4$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon3#1;
-
- inline$storm_KeWaitForSingleObject$4$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$4$label_12#1;
-
- inline$storm_KeWaitForSingleObject$4$label_12#1:
- inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$4$label_1#1;
-
- inline$storm_KeWaitForSingleObject$4$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$4$Return#1;
-
- inline$storm_KeWaitForSingleObject$4$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$4$label_10#1;
-
- inline$storm_KeWaitForSingleObject$4$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon8_Then#1, inline$storm_KeWaitForSingleObject$4$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$4$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$4$anon5#1;
-
- inline$storm_KeWaitForSingleObject$4$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$4$label_11#1;
-
- inline$storm_KeWaitForSingleObject$4$label_11#1:
- inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$4$label_1#1;
-
- inline$storm_KeWaitForSingleObject$4$label_1#1:
- goto inline$storm_KeWaitForSingleObject$4$Return#1;
-
- inline$storm_KeWaitForSingleObject$4$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$4$Return#1;
-
- inline$storm_KeWaitForSingleObject$4$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$4$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$4$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$ := inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPQueryStop$0$label_71$1#1;
-
- inline$BDLPnPQueryStop$0$label_71$1#1:
- goto inline$BDLPnPQueryStop$0$anon32_Then#1, inline$BDLPnPQueryStop$0$anon32_Else#1;
-
- inline$BDLPnPQueryStop$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon21#1;
-
- inline$BDLPnPQueryStop$0$anon21#1:
- goto inline$BDLPnPQueryStop$0$label_74#1;
-
- inline$BDLPnPQueryStop$0$label_74#1:
- inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$;
- goto inline$BDLPnPQueryStop$0$label_42#1;
-
- inline$BDLPnPQueryStop$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon26_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$label_34_true#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- assume INT_ULT(0, inline$BDLPnPQueryStop$0$myNondetVar_0);
- goto inline$BDLPnPQueryStop$0$label_38#1;
-
- inline$BDLPnPQueryStop$0$label_38#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
- assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$3$Entry#1;
-
- inline$storm_KeReleaseSpinLock$3$Entry#1:
- inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
- goto inline$storm_KeReleaseSpinLock$3$start#1;
-
- inline$storm_KeReleaseSpinLock$3$start#1:
- inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$3$label_3#1;
-
- inline$storm_KeReleaseSpinLock$3$label_3#1:
- goto inline$storm_KeReleaseSpinLock$3$label_4#1;
-
- inline$storm_KeReleaseSpinLock$3$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$3$label_7#1;
-
- inline$storm_KeReleaseSpinLock$3$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$3$anon5_Then#1, inline$storm_KeReleaseSpinLock$3$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$3$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$3$anon1#1;
-
- inline$storm_KeReleaseSpinLock$3$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$3$label_8#1;
-
- inline$storm_KeReleaseSpinLock$3$label_8#1:
- goto inline$storm_getThreadID$6$Entry#1;
-
- inline$storm_getThreadID$6$Entry#1:
- goto inline$storm_getThreadID$6$anon0#1;
-
- inline$storm_getThreadID$6$anon0#1:
- inline$storm_getThreadID$6$tid := __storm_thread_id;
- goto inline$storm_getThreadID$6$Return#1;
-
- inline$storm_getThreadID$6$Return#1:
- inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$6$tid;
- goto inline$storm_KeReleaseSpinLock$3$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$3$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$3$label_11#1;
-
- inline$storm_KeReleaseSpinLock$3$label_11#1:
- goto inline$storm_KeReleaseSpinLock$3$label_11_true#1, inline$storm_KeReleaseSpinLock$3$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$3$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$3$label_12#1;
-
- inline$storm_KeReleaseSpinLock$3$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$3$label_1#1;
-
- inline$storm_KeReleaseSpinLock$3$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$3$label_15#1;
-
- inline$storm_KeReleaseSpinLock$3$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$3$label_16#1;
-
- inline$storm_KeReleaseSpinLock$3$label_16#1:
- goto inline$storm_KeReleaseSpinLock$3$anon6_Then#1, inline$storm_KeReleaseSpinLock$3$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$3$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$3$anon4#1;
-
- inline$storm_KeReleaseSpinLock$3$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$3$anon4#1;
-
- inline$storm_KeReleaseSpinLock$3$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$3$label_1#1;
-
- inline$storm_KeReleaseSpinLock$3$label_1#1:
- goto inline$storm_KeReleaseSpinLock$3$Return#1;
-
- inline$storm_KeReleaseSpinLock$3$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$3$Return#1;
-
- inline$storm_KeReleaseSpinLock$3$Return#1:
- goto inline$BDLPnPQueryStop$0$label_38$1#1;
-
- inline$BDLPnPQueryStop$0$label_38$1#1:
- goto inline$BDLPnPQueryStop$0$anon27_Then#1, inline$BDLPnPQueryStop$0$anon27_Else#1;
-
- inline$BDLPnPQueryStop$0$anon27_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon11#1;
-
- inline$BDLPnPQueryStop$0$anon11#1:
- havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
- goto inline$BDLPnPQueryStop$0$label_41#1;
-
- inline$BDLPnPQueryStop$0$label_41#1:
- inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := 0 - 2147483631;
- goto inline$BDLPnPQueryStop$0$label_42#1;
-
- inline$BDLPnPQueryStop$0$label_42#1:
- goto inline$BDLGetDebugLevel$478$Entry#1;
-
- inline$BDLGetDebugLevel$478$Entry#1:
- goto inline$BDLGetDebugLevel$478$start#1;
-
- inline$BDLGetDebugLevel$478$start#1:
- goto inline$BDLGetDebugLevel$478$label_3#1;
-
- inline$BDLGetDebugLevel$478$label_3#1:
- havoc inline$BDLGetDebugLevel$478$myNondetVar_0;
- inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$478$myNondetVar_0;
- goto inline$BDLGetDebugLevel$478$label_1#1;
-
- inline$BDLGetDebugLevel$478$label_1#1:
- goto inline$BDLGetDebugLevel$478$Return#1;
-
- inline$BDLGetDebugLevel$478$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$ := inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_42$1#1;
-
- inline$BDLPnPQueryStop$0$label_42$1#1:
- goto inline$BDLPnPQueryStop$0$anon28_Then#1, inline$BDLPnPQueryStop$0$anon28_Else#1;
-
- inline$BDLPnPQueryStop$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon13#1;
-
- inline$BDLPnPQueryStop$0$anon13#1:
- goto inline$BDLPnPQueryStop$0$label_45#1;
-
- inline$BDLPnPQueryStop$0$label_45#1:
- goto inline$BDLPnPQueryStop$0$label_45_true#1, inline$BDLPnPQueryStop$0$label_45_false#1;
-
- inline$BDLPnPQueryStop$0$label_45_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$) == 0;
- goto inline$BDLPnPQueryStop$0$label_46#1;
-
- inline$BDLPnPQueryStop$0$label_45_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$) != 0;
- goto inline$BDLPnPQueryStop$0$label_49#1;
-
- inline$BDLPnPQueryStop$0$label_49#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_50#1;
-
- inline$BDLPnPQueryStop$0$label_50#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_51#1;
-
- inline$BDLPnPQueryStop$0$label_51#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_52#1;
-
- inline$BDLPnPQueryStop$0$label_52#1:
- havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$15$;
- goto inline$BDLPnPQueryStop$0$label_46#1;
-
- inline$BDLPnPQueryStop$0$label_46#1:
- goto inline$BDLGetDebugLevel$479$Entry#1;
-
- inline$BDLGetDebugLevel$479$Entry#1:
- goto inline$BDLGetDebugLevel$479$start#1;
-
- inline$BDLGetDebugLevel$479$start#1:
- goto inline$BDLGetDebugLevel$479$label_3#1;
-
- inline$BDLGetDebugLevel$479$label_3#1:
- havoc inline$BDLGetDebugLevel$479$myNondetVar_0;
- inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$479$myNondetVar_0;
- goto inline$BDLGetDebugLevel$479$label_1#1;
-
- inline$BDLGetDebugLevel$479$label_1#1:
- goto inline$BDLGetDebugLevel$479$Return#1;
-
- inline$BDLGetDebugLevel$479$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$ := inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_46$1#1;
-
- inline$BDLPnPQueryStop$0$label_46$1#1:
- goto inline$BDLPnPQueryStop$0$anon29_Then#1, inline$BDLPnPQueryStop$0$anon29_Else#1;
-
- inline$BDLPnPQueryStop$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon15#1;
-
- inline$BDLPnPQueryStop$0$anon15#1:
- goto inline$BDLPnPQueryStop$0$label_55#1;
-
- inline$BDLPnPQueryStop$0$label_55#1:
- goto inline$BDLPnPQueryStop$0$label_55_true#1, inline$BDLPnPQueryStop$0$label_55_false#1;
-
- inline$BDLPnPQueryStop$0$label_55_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$) == 0;
- goto inline$BDLPnPQueryStop$0$label_56#1;
-
- inline$BDLPnPQueryStop$0$label_55_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$) != 0;
- goto inline$BDLPnPQueryStop$0$label_59#1;
-
- inline$BDLPnPQueryStop$0$label_59#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_60#1;
-
- inline$BDLPnPQueryStop$0$label_60#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_61#1;
-
- inline$BDLPnPQueryStop$0$label_61#1:
- call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryStop$0$label_62#1;
-
- inline$BDLPnPQueryStop$0$label_62#1:
- havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$20$;
- goto inline$BDLPnPQueryStop$0$label_56#1;
-
- inline$BDLPnPQueryStop$0$label_56#1:
- goto inline$BDLGetDebugLevel$480$Entry#1;
-
- inline$BDLGetDebugLevel$480$Entry#1:
- goto inline$BDLGetDebugLevel$480$start#1;
-
- inline$BDLGetDebugLevel$480$start#1:
- goto inline$BDLGetDebugLevel$480$label_3#1;
-
- inline$BDLGetDebugLevel$480$label_3#1:
- havoc inline$BDLGetDebugLevel$480$myNondetVar_0;
- inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$480$myNondetVar_0;
- goto inline$BDLGetDebugLevel$480$label_1#1;
-
- inline$BDLGetDebugLevel$480$label_1#1:
- goto inline$BDLGetDebugLevel$480$Return#1;
-
- inline$BDLGetDebugLevel$480$Return#1:
- inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$ := inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryStop$0$label_56$1#1;
-
- inline$BDLPnPQueryStop$0$label_56$1#1:
- goto inline$BDLPnPQueryStop$0$anon30_Then#1, inline$BDLPnPQueryStop$0$anon30_Else#1;
-
- inline$BDLPnPQueryStop$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryStop$0$anon17#1;
-
- inline$BDLPnPQueryStop$0$anon17#1:
- goto inline$BDLPnPQueryStop$0$label_65#1;
-
- inline$BDLPnPQueryStop$0$label_65#1:
- goto inline$BDLPnPQueryStop$0$label_65_true#1, inline$BDLPnPQueryStop$0$label_65_false#1;
-
- inline$BDLPnPQueryStop$0$label_65_false#1:
- assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$, 4) == 0;
- goto inline$BDLPnPQueryStop$0$label_66#1;
-
- inline$BDLPnPQueryStop$0$label_65_true#1:
- assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$, 4) != 0;
- goto inline$BDLPnPQueryStop$0$label_67#1;
-
- inline$BDLPnPQueryStop$0$label_67#1:
- goto inline$BDLPnPQueryStop$0$label_66#1;
-
- inline$BDLPnPQueryStop$0$label_66#1:
- inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$ := inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12;
- goto inline$BDLPnPQueryStop$0$label_1#1;
-
- inline$BDLPnPQueryStop$0$label_1#1:
- call __HAVOC_free(inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12);
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon27_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon25_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon24_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon23_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$anon22_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryStop$0$Return#1;
-
- inline$BDLPnPQueryStop$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$ := inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$;
- goto inline$BDLPnP$0$label_128$1#1;
-
- inline$BDLPnP$0$label_128$1#1:
- goto inline$BDLPnP$0$anon72_Then#1, inline$BDLPnP$0$anon72_Else#1;
-
- inline$BDLPnP$0$anon72_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon37#1;
-
- inline$BDLPnP$0$anon37#1:
- goto inline$BDLPnP$0$label_149#1;
-
- inline$BDLPnP$0$label_149#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon72_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_5#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 4;
- goto inline$BDLPnP$0$label_125#1;
-
- inline$BDLPnP$0$label_125#1:
- goto inline$BDLPnPStop$0$Entry#1;
-
- inline$BDLPnPStop$0$Entry#1:
- inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPStop$0$start#1;
-
- inline$BDLPnPStop$0$start#1:
- inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12 := inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1;
- inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12 := inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1;
- goto inline$BDLPnPStop$0$label_3#1;
-
- inline$BDLPnPStop$0$label_3#1:
- goto inline$BDLPnPStop$0$label_4#1;
-
- inline$BDLPnPStop$0$label_4#1:
- inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := 0;
- goto inline$BDLPnPStop$0$label_5#1;
-
- inline$BDLPnPStop$0$label_5#1:
- goto inline$BDLPnPStop$0$label_6#1;
-
- inline$BDLPnPStop$0$label_6#1:
- havoc inline$BDLPnPStop$0$myNondetVar_0;
- goto inline$BDLPnPStop$0$label_7#1;
-
- inline$BDLPnPStop$0$label_7#1:
- goto inline$BDLGetDebugLevel$379$Entry#1;
-
- inline$BDLGetDebugLevel$379$Entry#1:
- goto inline$BDLGetDebugLevel$379$start#1;
-
- inline$BDLGetDebugLevel$379$start#1:
- goto inline$BDLGetDebugLevel$379$label_3#1;
-
- inline$BDLGetDebugLevel$379$label_3#1:
- havoc inline$BDLGetDebugLevel$379$myNondetVar_0;
- inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$379$myNondetVar_0;
- goto inline$BDLGetDebugLevel$379$label_1#1;
-
- inline$BDLGetDebugLevel$379$label_1#1:
- goto inline$BDLGetDebugLevel$379$Return#1;
-
- inline$BDLGetDebugLevel$379$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$ := inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_7$1#1;
-
- inline$BDLPnPStop$0$label_7$1#1:
- goto inline$BDLPnPStop$0$anon28_Then#1, inline$BDLPnPStop$0$anon28_Else#1;
-
- inline$BDLPnPStop$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon1#1;
-
- inline$BDLPnPStop$0$anon1#1:
- goto inline$BDLPnPStop$0$label_10#1;
-
- inline$BDLPnPStop$0$label_10#1:
- goto inline$BDLPnPStop$0$label_10_true#1, inline$BDLPnPStop$0$label_10_false#1;
-
- inline$BDLPnPStop$0$label_10_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$) == 0;
- goto inline$BDLPnPStop$0$label_11#1;
-
- inline$BDLPnPStop$0$label_10_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$) != 0;
- goto inline$BDLPnPStop$0$label_14#1;
-
- inline$BDLPnPStop$0$label_14#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_15#1;
-
- inline$BDLPnPStop$0$label_15#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_16#1;
-
- inline$BDLPnPStop$0$label_16#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_17#1;
-
- inline$BDLPnPStop$0$label_17#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2190.0$3$;
- goto inline$BDLPnPStop$0$label_11#1;
-
- inline$BDLPnPStop$0$label_11#1:
- goto inline$BDLGetDebugLevel$380$Entry#1;
-
- inline$BDLGetDebugLevel$380$Entry#1:
- goto inline$BDLGetDebugLevel$380$start#1;
-
- inline$BDLGetDebugLevel$380$start#1:
- goto inline$BDLGetDebugLevel$380$label_3#1;
-
- inline$BDLGetDebugLevel$380$label_3#1:
- havoc inline$BDLGetDebugLevel$380$myNondetVar_0;
- inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$380$myNondetVar_0;
- goto inline$BDLGetDebugLevel$380$label_1#1;
-
- inline$BDLGetDebugLevel$380$label_1#1:
- goto inline$BDLGetDebugLevel$380$Return#1;
-
- inline$BDLGetDebugLevel$380$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$ := inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_11$1#1;
-
- inline$BDLPnPStop$0$label_11$1#1:
- goto inline$BDLPnPStop$0$anon29_Then#1, inline$BDLPnPStop$0$anon29_Else#1;
-
- inline$BDLPnPStop$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon3#1;
-
- inline$BDLPnPStop$0$anon3#1:
- goto inline$BDLPnPStop$0$label_20#1;
-
- inline$BDLPnPStop$0$label_20#1:
- goto inline$BDLPnPStop$0$label_20_true#1, inline$BDLPnPStop$0$label_20_false#1;
-
- inline$BDLPnPStop$0$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$) == 0;
- goto inline$BDLPnPStop$0$label_21#1;
-
- inline$BDLPnPStop$0$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$) != 0;
- goto inline$BDLPnPStop$0$label_24#1;
-
- inline$BDLPnPStop$0$label_24#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_25#1;
-
- inline$BDLPnPStop$0$label_25#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_26#1;
-
- inline$BDLPnPStop$0$label_26#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_27#1;
-
- inline$BDLPnPStop$0$label_27#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2190.0$8$;
- goto inline$BDLPnPStop$0$label_21#1;
-
- inline$BDLPnPStop$0$label_21#1:
- goto inline$BDLGetDebugLevel$381$Entry#1;
-
- inline$BDLGetDebugLevel$381$Entry#1:
- goto inline$BDLGetDebugLevel$381$start#1;
-
- inline$BDLGetDebugLevel$381$start#1:
- goto inline$BDLGetDebugLevel$381$label_3#1;
-
- inline$BDLGetDebugLevel$381$label_3#1:
- havoc inline$BDLGetDebugLevel$381$myNondetVar_0;
- inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$381$myNondetVar_0;
- goto inline$BDLGetDebugLevel$381$label_1#1;
-
- inline$BDLGetDebugLevel$381$label_1#1:
- goto inline$BDLGetDebugLevel$381$Return#1;
-
- inline$BDLGetDebugLevel$381$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$ := inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_21$1#1;
-
- inline$BDLPnPStop$0$label_21$1#1:
- goto inline$BDLPnPStop$0$anon30_Then#1, inline$BDLPnPStop$0$anon30_Else#1;
-
- inline$BDLPnPStop$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon5#1;
-
- inline$BDLPnPStop$0$anon5#1:
- goto inline$BDLPnPStop$0$label_30#1;
-
- inline$BDLPnPStop$0$label_30#1:
- goto inline$BDLPnPStop$0$label_30_true#1, inline$BDLPnPStop$0$label_30_false#1;
-
- inline$BDLPnPStop$0$label_30_false#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$, 4) == 0;
- goto inline$BDLPnPStop$0$label_31#1;
-
- inline$BDLPnPStop$0$label_30_true#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$, 4) != 0;
- goto inline$BDLPnPStop$0$label_34#1;
-
- inline$BDLPnPStop$0$label_34#1:
- goto inline$BDLPnPStop$0$label_31#1;
-
- inline$BDLPnPStop$0$label_31#1:
- call inline$BDLPnPStop$0$$result.IoSetDeviceInterfaceState$2199.29$13$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12), 0);
- goto inline$BDLPnPStop$0$anon31_Then#1, inline$BDLPnPStop$0$anon31_Else#1;
-
- inline$BDLPnPStop$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon7#1;
-
- inline$BDLPnPStop$0$anon7#1:
- goto inline$BDLPnPStop$0$label_35#1;
-
- inline$BDLPnPStop$0$label_35#1:
- goto inline$BDLPnPStop$0$label_38#1;
-
- inline$BDLPnPStop$0$label_38#1:
- inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := inline$BDLPnPStop$0$$callresult.$2204.67$14$;
- goto inline$BDLPnPStop$0$label_39#1;
-
- inline$BDLPnPStop$0$label_39#1:
- goto inline$BDLPnPStop$0$label_39_true#1, inline$BDLPnPStop$0$label_39_false#1;
-
- inline$BDLPnPStop$0$label_39_false#1:
- assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 == 0;
- goto inline$BDLPnPStop$0$label_40#1;
-
- inline$BDLPnPStop$0$label_40#1:
- goto inline$BDLPnPStop$0$label_92#1;
-
- inline$BDLPnPStop$0$label_92#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12;
- goto inline$BDLCallLowerLevelDriverAndWait$3$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$72$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$72$Entry#1:
- inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$72$start#1;
-
- inline$IoGetCurrentIrpStackLocation$72$start#1:
- inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$72$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$72$label_3_true#1, inline$IoGetCurrentIrpStackLocation$72$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$72$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$72$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$72$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$72$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$72$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$72$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$72$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$72$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$72$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$72$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$72$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$72$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$72$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$72$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$72$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$72$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$72$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$72$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_9#1:
- goto inline$IoGetNextIrpStackLocation$7$Entry#1;
-
- inline$IoGetNextIrpStackLocation$7$Entry#1:
- inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$7$start#1;
-
- inline$IoGetNextIrpStackLocation$7$start#1:
- inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$7$label_3#1;
-
- inline$IoGetNextIrpStackLocation$7$label_3#1:
- goto inline$IoGetNextIrpStackLocation$7$label_3_true#1, inline$IoGetNextIrpStackLocation$7$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$7$label_3_false#1:
- inline$IoGetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$7$myVar_0);
- goto inline$IoGetNextIrpStackLocation$7$label_4#1;
-
- inline$IoGetNextIrpStackLocation$7$label_4#1:
- call inline$IoGetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$7$label_6#1;
-
- inline$IoGetNextIrpStackLocation$7$label_6#1:
- call inline$IoGetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$7$label_7#1;
-
- inline$IoGetNextIrpStackLocation$7$label_7#1:
- goto inline$IoGetNextIrpStackLocation$7$label_5#1;
-
- inline$IoGetNextIrpStackLocation$7$label_3_true#1:
- inline$IoGetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$7$myVar_0);
- goto inline$IoGetNextIrpStackLocation$7$label_5#1;
-
- inline$IoGetNextIrpStackLocation$7$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$7$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$7$label_1#1;
-
- inline$IoGetNextIrpStackLocation$7$label_1#1:
- goto inline$IoGetNextIrpStackLocation$7$Return#1;
-
- inline$IoGetNextIrpStackLocation$7$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$3$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_9#1:
- goto inline$storm_KeInitializeEvent$3$Entry#1;
-
- inline$storm_KeInitializeEvent$3$Entry#1:
- inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$3$start#1;
-
- inline$storm_KeInitializeEvent$3$start#1:
- inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$3$label_3#1;
-
- inline$storm_KeInitializeEvent$3$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$3$label_1#1;
-
- inline$storm_KeInitializeEvent$3$label_1#1:
- goto inline$storm_KeInitializeEvent$3$Return#1;
-
- inline$storm_KeInitializeEvent$3$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$3$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$3$Entry#1:
- inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$3$start#1;
-
- inline$storm_IoSetCompletionRoutine$3$start#1:
- inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$3$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_4#1:
- call inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$3$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_7_true#1, inline$storm_IoSetCompletionRoutine$3$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$3$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$3$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$3$anon6_Then#1, inline$storm_IoSetCompletionRoutine$3$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$3$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$3$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_12_true#1, inline$storm_IoSetCompletionRoutine$3$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$3$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$3$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$3$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_8#1:
- goto inline$IoGetNextIrpStackLocation$8$Entry#1;
-
- inline$IoGetNextIrpStackLocation$8$Entry#1:
- inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$8$start#1;
-
- inline$IoGetNextIrpStackLocation$8$start#1:
- inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$8$label_3#1;
-
- inline$IoGetNextIrpStackLocation$8$label_3#1:
- goto inline$IoGetNextIrpStackLocation$8$label_3_true#1, inline$IoGetNextIrpStackLocation$8$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$8$label_3_false#1:
- inline$IoGetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$8$myVar_0);
- goto inline$IoGetNextIrpStackLocation$8$label_4#1;
-
- inline$IoGetNextIrpStackLocation$8$label_4#1:
- call inline$IoGetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$8$label_6#1;
-
- inline$IoGetNextIrpStackLocation$8$label_6#1:
- call inline$IoGetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$8$label_7#1;
-
- inline$IoGetNextIrpStackLocation$8$label_7#1:
- goto inline$IoGetNextIrpStackLocation$8$label_5#1;
-
- inline$IoGetNextIrpStackLocation$8$label_3_true#1:
- inline$IoGetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$8$myVar_0);
- goto inline$IoGetNextIrpStackLocation$8$label_5#1;
-
- inline$IoGetNextIrpStackLocation$8$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$8$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$8$label_1#1;
-
- inline$IoGetNextIrpStackLocation$8$label_1#1:
- goto inline$IoGetNextIrpStackLocation$8$Return#1;
-
- inline$IoGetNextIrpStackLocation$8$Return#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$3$anon5_Then#1, inline$storm_IoSetCompletionRoutine$3$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$3$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$3$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$3$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$3$Return#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$3$Return#1;
-
- inline$storm_IoSetCompletionRoutine$3$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$3$Return#1;
-
- inline$storm_IoSetCompletionRoutine$3$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$73$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$73$Entry#1:
- inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$73$start#1;
-
- inline$IoGetCurrentIrpStackLocation$73$start#1:
- inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$73$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$73$label_3_true#1, inline$IoGetCurrentIrpStackLocation$73$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$73$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$73$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$73$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$73$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$73$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$73$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$73$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$73$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$73$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$73$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$73$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$73$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$73$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$73$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$73$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$73$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$73$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$73$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_19#1:
- goto inline$storm_IoCallDriver$7$Entry#1;
-
- inline$storm_IoCallDriver$7$Entry#1:
- inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$7$start#1;
-
- inline$storm_IoCallDriver$7$start#1:
- inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$7$label_3#1;
-
- inline$storm_IoCallDriver$7$label_3#1:
- goto inline$storm_IoCallDriver$7$label_4#1;
-
- inline$storm_IoCallDriver$7$label_4#1:
- goto inline$storm_IoCallDriver$7$label_5#1;
-
- inline$storm_IoCallDriver$7$label_5#1:
- goto inline$storm_IoCallDriver$7$label_6#1;
-
- inline$storm_IoCallDriver$7$label_6#1:
- call inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$7$label_9#1;
-
- inline$storm_IoCallDriver$7$label_9#1:
- goto inline$storm_IoCallDriver$7$label_9_true#1, inline$storm_IoCallDriver$7$label_9_false#1;
-
- inline$storm_IoCallDriver$7$label_9_false#1:
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$7$label_10#1;
-
- inline$storm_IoCallDriver$7$label_9_true#1:
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$7$label_13#1;
-
- inline$storm_IoCallDriver$7$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$7$anon12_Then#1, inline$storm_IoCallDriver$7$anon12_Else#1;
-
- inline$storm_IoCallDriver$7$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$7$anon3#1;
-
- inline$storm_IoCallDriver$7$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$7$label_14#1;
-
- inline$storm_IoCallDriver$7$label_14#1:
- goto inline$storm_IoCallDriver$7$label_14_true#1, inline$storm_IoCallDriver$7$label_14_false#1;
-
- inline$storm_IoCallDriver$7$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$7$label_15#1;
-
- inline$storm_IoCallDriver$7$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$7$label_1#1;
-
- inline$storm_IoCallDriver$7$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$7$label_10#1;
-
- inline$storm_IoCallDriver$7$label_10#1:
- goto inline$IoSetNextIrpStackLocation$8$Entry#1;
-
- inline$IoSetNextIrpStackLocation$8$Entry#1:
- inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$8$start#1;
-
- inline$IoSetNextIrpStackLocation$8$start#1:
- inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$8$label_3#1;
-
- inline$IoSetNextIrpStackLocation$8$label_3#1:
- goto inline$IoSetNextIrpStackLocation$8$label_3_true#1, inline$IoSetNextIrpStackLocation$8$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$8$label_3_false#1:
- inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$8$myVar_0);
- goto inline$IoSetNextIrpStackLocation$8$label_4#1;
-
- inline$IoSetNextIrpStackLocation$8$label_4#1:
- call inline$IoSetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$8$label_7#1;
-
- inline$IoSetNextIrpStackLocation$8$label_7#1:
- call inline$IoSetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$8$label_8#1;
-
- inline$IoSetNextIrpStackLocation$8$label_8#1:
- goto inline$IoSetNextIrpStackLocation$8$label_5#1;
-
- inline$IoSetNextIrpStackLocation$8$label_3_true#1:
- inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$8$myVar_0);
- goto inline$IoSetNextIrpStackLocation$8$label_5#1;
-
- inline$IoSetNextIrpStackLocation$8$label_5#1:
- inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$8$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$8$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$8$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$8$label_6#1;
-
- inline$IoSetNextIrpStackLocation$8$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$8$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$8$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$8$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$8$label_1#1;
-
- inline$IoSetNextIrpStackLocation$8$label_1#1:
- goto inline$IoSetNextIrpStackLocation$8$Return#1;
-
- inline$IoSetNextIrpStackLocation$8$Return#1:
- goto inline$storm_IoCallDriver$7$label_10$1#1;
-
- inline$storm_IoCallDriver$7$label_10$1#1:
- goto inline$storm_IoCallDriver$7$anon11_Then#1, inline$storm_IoCallDriver$7$anon11_Else#1;
-
- inline$storm_IoCallDriver$7$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$7$anon1#1;
-
- inline$storm_IoCallDriver$7$anon1#1:
- goto inline$storm_IoCallDriver$7$label_18#1;
-
- inline$storm_IoCallDriver$7$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$74$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$74$Entry#1:
- inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$74$start#1;
-
- inline$IoGetCurrentIrpStackLocation$74$start#1:
- inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$74$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$74$label_3_true#1, inline$IoGetCurrentIrpStackLocation$74$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$74$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$74$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$74$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$74$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$74$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$74$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$74$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$74$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$74$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$74$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$74$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$74$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$74$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$74$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$74$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$74$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$74$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$74$Return#1:
- goto inline$storm_IoCallDriver$7$label_18$1#1;
-
- inline$storm_IoCallDriver$7$label_18$1#1:
- goto inline$storm_IoCallDriver$7$anon13_Then#1, inline$storm_IoCallDriver$7$anon13_Else#1;
-
- inline$storm_IoCallDriver$7$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$7$anon6#1;
-
- inline$storm_IoCallDriver$7$anon6#1:
- goto inline$storm_IoCallDriver$7$label_21#1;
-
- inline$storm_IoCallDriver$7$label_21#1:
- goto inline$storm_IoCallDriver$7$label_22#1;
-
- inline$storm_IoCallDriver$7$label_22#1:
- goto inline$storm_IoCallDriver$7$label_23#1;
-
- inline$storm_IoCallDriver$7$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$7$label_24#1;
-
- inline$storm_IoCallDriver$7$label_24#1:
- call inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$7$label_27#1;
-
- inline$storm_IoCallDriver$7$label_27#1:
- goto inline$storm_IoCallDriver$7$label_27_case_0#1, inline$storm_IoCallDriver$7$label_27_case_1#1, inline$storm_IoCallDriver$7$label_27_case_2#1;
-
- inline$storm_IoCallDriver$7$label_27_case_2#1:
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$7$label_30#1;
-
- inline$storm_IoCallDriver$7$label_30#1:
- inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$7$label_31#1;
-
- inline$storm_IoCallDriver$7$label_31#1:
- goto inline$storm_IoCallDriver$7$label_32#1;
-
- inline$storm_IoCallDriver$7$label_32#1:
- goto inline$storm_IoCallDriver$7$label_33#1;
-
- inline$storm_IoCallDriver$7$label_33#1:
- goto inline$CallCompletionRoutine$14$Entry#1;
-
- inline$CallCompletionRoutine$14$Entry#1:
- inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$14$start#1;
-
- inline$CallCompletionRoutine$14$start#1:
- inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$14$label_3#1;
-
- inline$CallCompletionRoutine$14$label_3#1:
- goto inline$CallCompletionRoutine$14$label_4#1;
-
- inline$CallCompletionRoutine$14$label_4#1:
- goto inline$CallCompletionRoutine$14$label_5#1;
-
- inline$CallCompletionRoutine$14$label_5#1:
- goto inline$CallCompletionRoutine$14$label_6#1;
-
- inline$CallCompletionRoutine$14$label_6#1:
- goto inline$CallCompletionRoutine$14$label_7#1;
-
- inline$CallCompletionRoutine$14$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$75$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$75$Entry#1:
- inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$75$start#1;
-
- inline$IoGetCurrentIrpStackLocation$75$start#1:
- inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$75$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$75$label_3_true#1, inline$IoGetCurrentIrpStackLocation$75$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$75$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$75$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$75$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$75$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$75$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$75$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$75$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$75$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$75$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$75$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$75$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$75$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$75$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$75$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$75$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$75$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$75$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$75$Return#1:
- goto inline$CallCompletionRoutine$14$label_7$1#1;
-
- inline$CallCompletionRoutine$14$label_7$1#1:
- goto inline$CallCompletionRoutine$14$anon10_Then#1, inline$CallCompletionRoutine$14$anon10_Else#1;
-
- inline$CallCompletionRoutine$14$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$14$anon1#1;
-
- inline$CallCompletionRoutine$14$anon1#1:
- goto inline$CallCompletionRoutine$14$label_10#1;
-
- inline$CallCompletionRoutine$14$label_10#1:
- goto inline$CallCompletionRoutine$14$label_11#1;
-
- inline$CallCompletionRoutine$14$label_11#1:
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$CallCompletionRoutine$14$label_12#1;
-
- inline$CallCompletionRoutine$14$label_12#1:
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$CallCompletionRoutine$14$label_13#1;
-
- inline$CallCompletionRoutine$14$label_13#1:
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$CallCompletionRoutine$14$label_14#1;
-
- inline$CallCompletionRoutine$14$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$76$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$76$Entry#1:
- inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$76$start#1;
-
- inline$IoGetCurrentIrpStackLocation$76$start#1:
- inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$76$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$76$label_3_true#1, inline$IoGetCurrentIrpStackLocation$76$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$76$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$76$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$76$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$76$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$76$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$76$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$76$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$76$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$76$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$76$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$76$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$76$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$76$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$76$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$76$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$76$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$76$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$76$Return#1:
- goto inline$CallCompletionRoutine$14$label_14$1#1;
-
- inline$CallCompletionRoutine$14$label_14$1#1:
- goto inline$CallCompletionRoutine$14$anon11_Then#1, inline$CallCompletionRoutine$14$anon11_Else#1;
-
- inline$CallCompletionRoutine$14$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$14$anon3#1;
-
- inline$CallCompletionRoutine$14$anon3#1:
- goto inline$CallCompletionRoutine$14$label_17#1;
-
- inline$CallCompletionRoutine$14$label_17#1:
- goto inline$CallCompletionRoutine$14$label_18#1;
-
- inline$CallCompletionRoutine$14$label_18#1:
- goto inline$CallCompletionRoutine$14$label_18_true#1, inline$CallCompletionRoutine$14$label_18_false#1;
-
- inline$CallCompletionRoutine$14$label_18_false#1:
- assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$14$label_1#1;
-
- inline$CallCompletionRoutine$14$label_18_true#1:
- assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$14$label_19#1;
-
- inline$CallCompletionRoutine$14$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$14$label_20#1;
-
- inline$CallCompletionRoutine$14$label_20#1:
- goto inline$CallCompletionRoutine$14$label_20_icall_1#1, inline$CallCompletionRoutine$14$label_20_icall_2#1, inline$CallCompletionRoutine$14$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$14$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$14$Entry#1:
- inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$14$start#1;
-
- inline$BDLSystemPowerIoCompletion$14$start#1:
- call inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$14$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_4#1:
- inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_6#1:
- inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$14$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$14$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$78$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$78$Entry#1:
- inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$78$start#1;
-
- inline$IoGetCurrentIrpStackLocation$78$start#1:
- inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$78$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$78$label_3_true#1, inline$IoGetCurrentIrpStackLocation$78$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$78$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$78$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$78$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$78$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$78$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$78$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$78$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$78$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$78$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$78$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$78$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$78$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$78$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$78$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$78$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$78$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$78$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$78$Return#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon36_Then#1, inline$BDLSystemPowerIoCompletion$14$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_15#1:
- goto inline$BDLGetDebugLevel$397$Entry#1;
-
- inline$BDLGetDebugLevel$397$Entry#1:
- goto inline$BDLGetDebugLevel$397$start#1;
-
- inline$BDLGetDebugLevel$397$start#1:
- goto inline$BDLGetDebugLevel$397$label_3#1;
-
- inline$BDLGetDebugLevel$397$label_3#1:
- havoc inline$BDLGetDebugLevel$397$myNondetVar_0;
- inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$397$myNondetVar_0;
- goto inline$BDLGetDebugLevel$397$label_1#1;
-
- inline$BDLGetDebugLevel$397$label_1#1:
- goto inline$BDLGetDebugLevel$397$Return#1;
-
- inline$BDLGetDebugLevel$397$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon37_Then#1, inline$BDLSystemPowerIoCompletion$14$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_18_true#1, inline$BDLSystemPowerIoCompletion$14$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_22#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_23#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_24#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$14$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_19#1:
- goto inline$BDLGetDebugLevel$398$Entry#1;
-
- inline$BDLGetDebugLevel$398$Entry#1:
- goto inline$BDLGetDebugLevel$398$start#1;
-
- inline$BDLGetDebugLevel$398$start#1:
- goto inline$BDLGetDebugLevel$398$label_3#1;
-
- inline$BDLGetDebugLevel$398$label_3#1:
- havoc inline$BDLGetDebugLevel$398$myNondetVar_0;
- inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$398$myNondetVar_0;
- goto inline$BDLGetDebugLevel$398$label_1#1;
-
- inline$BDLGetDebugLevel$398$label_1#1:
- goto inline$BDLGetDebugLevel$398$Return#1;
-
- inline$BDLGetDebugLevel$398$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon38_Then#1, inline$BDLSystemPowerIoCompletion$14$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_28_true#1, inline$BDLSystemPowerIoCompletion$14$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_32#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_33#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_34#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$14$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_29#1:
- goto inline$BDLGetDebugLevel$399$Entry#1;
-
- inline$BDLGetDebugLevel$399$Entry#1:
- goto inline$BDLGetDebugLevel$399$start#1;
-
- inline$BDLGetDebugLevel$399$start#1:
- goto inline$BDLGetDebugLevel$399$label_3#1;
-
- inline$BDLGetDebugLevel$399$label_3#1:
- havoc inline$BDLGetDebugLevel$399$myNondetVar_0;
- inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$399$myNondetVar_0;
- goto inline$BDLGetDebugLevel$399$label_1#1;
-
- inline$BDLGetDebugLevel$399$label_1#1:
- goto inline$BDLGetDebugLevel$399$Return#1;
-
- inline$BDLGetDebugLevel$399$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon39_Then#1, inline$BDLSystemPowerIoCompletion$14$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_38_true#1, inline$BDLSystemPowerIoCompletion$14$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_39_true#1, inline$BDLSystemPowerIoCompletion$14$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$14$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$14$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$14$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_106_true#1, inline$BDLSystemPowerIoCompletion$14$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_142#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_143#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$14$myNondetVar_0, inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$14$anon50_Then#1, inline$BDLSystemPowerIoCompletion$14$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_112#1:
- inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$14$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_113_true#1, inline$BDLSystemPowerIoCompletion$14$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$14$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_117#1:
- goto inline$BDLGetDebugLevel$406$Entry#1;
-
- inline$BDLGetDebugLevel$406$Entry#1:
- goto inline$BDLGetDebugLevel$406$start#1;
-
- inline$BDLGetDebugLevel$406$start#1:
- goto inline$BDLGetDebugLevel$406$label_3#1;
-
- inline$BDLGetDebugLevel$406$label_3#1:
- havoc inline$BDLGetDebugLevel$406$myNondetVar_0;
- inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$406$myNondetVar_0;
- goto inline$BDLGetDebugLevel$406$label_1#1;
-
- inline$BDLGetDebugLevel$406$label_1#1:
- goto inline$BDLGetDebugLevel$406$Return#1;
-
- inline$BDLGetDebugLevel$406$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon51_Then#1, inline$BDLSystemPowerIoCompletion$14$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_120_true#1, inline$BDLSystemPowerIoCompletion$14$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_124#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_125#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_126#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$14$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_121#1:
- goto inline$BDLGetDebugLevel$407$Entry#1;
-
- inline$BDLGetDebugLevel$407$Entry#1:
- goto inline$BDLGetDebugLevel$407$start#1;
-
- inline$BDLGetDebugLevel$407$start#1:
- goto inline$BDLGetDebugLevel$407$label_3#1;
-
- inline$BDLGetDebugLevel$407$label_3#1:
- havoc inline$BDLGetDebugLevel$407$myNondetVar_0;
- inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$407$myNondetVar_0;
- goto inline$BDLGetDebugLevel$407$label_1#1;
-
- inline$BDLGetDebugLevel$407$label_1#1:
- goto inline$BDLGetDebugLevel$407$Return#1;
-
- inline$BDLGetDebugLevel$407$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon52_Then#1, inline$BDLSystemPowerIoCompletion$14$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_130_true#1, inline$BDLSystemPowerIoCompletion$14$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_134#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_135#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_136#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$14$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_131#1:
- goto inline$BDLGetDebugLevel$408$Entry#1;
-
- inline$BDLGetDebugLevel$408$Entry#1:
- goto inline$BDLGetDebugLevel$408$start#1;
-
- inline$BDLGetDebugLevel$408$start#1:
- goto inline$BDLGetDebugLevel$408$label_3#1;
-
- inline$BDLGetDebugLevel$408$label_3#1:
- havoc inline$BDLGetDebugLevel$408$myNondetVar_0;
- inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$408$myNondetVar_0;
- goto inline$BDLGetDebugLevel$408$label_1#1;
-
- inline$BDLGetDebugLevel$408$label_1#1:
- goto inline$BDLGetDebugLevel$408$Return#1;
-
- inline$BDLGetDebugLevel$408$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon53_Then#1, inline$BDLSystemPowerIoCompletion$14$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_140_true#1, inline$BDLSystemPowerIoCompletion$14$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$14$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_115#1:
- inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$14$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$14$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_43#1:
- goto inline$BDLGetDebugLevel$400$Entry#1;
-
- inline$BDLGetDebugLevel$400$Entry#1:
- goto inline$BDLGetDebugLevel$400$start#1;
-
- inline$BDLGetDebugLevel$400$start#1:
- goto inline$BDLGetDebugLevel$400$label_3#1;
-
- inline$BDLGetDebugLevel$400$label_3#1:
- havoc inline$BDLGetDebugLevel$400$myNondetVar_0;
- inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$400$myNondetVar_0;
- goto inline$BDLGetDebugLevel$400$label_1#1;
-
- inline$BDLGetDebugLevel$400$label_1#1:
- goto inline$BDLGetDebugLevel$400$Return#1;
-
- inline$BDLGetDebugLevel$400$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon40_Then#1, inline$BDLSystemPowerIoCompletion$14$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_46_true#1, inline$BDLSystemPowerIoCompletion$14$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_50#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_51#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_52#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$14$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_47#1:
- goto inline$BDLGetDebugLevel$401$Entry#1;
-
- inline$BDLGetDebugLevel$401$Entry#1:
- goto inline$BDLGetDebugLevel$401$start#1;
-
- inline$BDLGetDebugLevel$401$start#1:
- goto inline$BDLGetDebugLevel$401$label_3#1;
-
- inline$BDLGetDebugLevel$401$label_3#1:
- havoc inline$BDLGetDebugLevel$401$myNondetVar_0;
- inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$401$myNondetVar_0;
- goto inline$BDLGetDebugLevel$401$label_1#1;
-
- inline$BDLGetDebugLevel$401$label_1#1:
- goto inline$BDLGetDebugLevel$401$Return#1;
-
- inline$BDLGetDebugLevel$401$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon41_Then#1, inline$BDLSystemPowerIoCompletion$14$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_56_true#1, inline$BDLSystemPowerIoCompletion$14$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_60#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_61#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_62#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$14$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_57#1:
- goto inline$BDLGetDebugLevel$402$Entry#1;
-
- inline$BDLGetDebugLevel$402$Entry#1:
- goto inline$BDLGetDebugLevel$402$start#1;
-
- inline$BDLGetDebugLevel$402$start#1:
- goto inline$BDLGetDebugLevel$402$label_3#1;
-
- inline$BDLGetDebugLevel$402$label_3#1:
- havoc inline$BDLGetDebugLevel$402$myNondetVar_0;
- inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$402$myNondetVar_0;
- goto inline$BDLGetDebugLevel$402$label_1#1;
-
- inline$BDLGetDebugLevel$402$label_1#1:
- goto inline$BDLGetDebugLevel$402$Return#1;
-
- inline$BDLGetDebugLevel$402$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon42_Then#1, inline$BDLSystemPowerIoCompletion$14$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_66_true#1, inline$BDLSystemPowerIoCompletion$14$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$14$anon43_Then#1, inline$BDLSystemPowerIoCompletion$14$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$14$anon44_Then#1, inline$BDLSystemPowerIoCompletion$14$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_74#1:
- goto inline$storm_IoCompleteRequest$30$Entry#1;
-
- inline$storm_IoCompleteRequest$30$Entry#1:
- inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$30$start#1;
-
- inline$storm_IoCompleteRequest$30$start#1:
- inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$30$label_3#1;
-
- inline$storm_IoCompleteRequest$30$label_3#1:
- call inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$30$label_6#1;
-
- inline$storm_IoCompleteRequest$30$label_6#1:
- goto inline$storm_IoCompleteRequest$30$label_6_true#1, inline$storm_IoCompleteRequest$30$label_6_false#1;
-
- inline$storm_IoCompleteRequest$30$label_6_false#1:
- assume inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$30$label_7#1;
-
- inline$storm_IoCompleteRequest$30$label_6_true#1:
- assume inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$30$label_8#1;
-
- inline$storm_IoCompleteRequest$30$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$30$anon3_Then#1, inline$storm_IoCompleteRequest$30$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$30$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$30$anon1#1;
-
- inline$storm_IoCompleteRequest$30$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$30$label_9#1;
-
- inline$storm_IoCompleteRequest$30$label_9#1:
- goto inline$storm_IoCompleteRequest$30$label_9_true#1, inline$storm_IoCompleteRequest$30$label_9_false#1;
-
- inline$storm_IoCompleteRequest$30$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$30$label_10#1;
-
- inline$storm_IoCompleteRequest$30$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$30$label_1#1;
-
- inline$storm_IoCompleteRequest$30$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$30$label_7#1;
-
- inline$storm_IoCompleteRequest$30$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$30$label_1#1;
-
- inline$storm_IoCompleteRequest$30$label_1#1:
- goto inline$storm_IoCompleteRequest$30$Return#1;
-
- inline$storm_IoCompleteRequest$30$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$30$Return#1;
-
- inline$storm_IoCompleteRequest$30$Return#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon45_Then#1, inline$BDLSystemPowerIoCompletion$14$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$14$anon46_Then#1, inline$BDLSystemPowerIoCompletion$14$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_80#1:
- goto inline$BDLGetDebugLevel$403$Entry#1;
-
- inline$BDLGetDebugLevel$403$Entry#1:
- goto inline$BDLGetDebugLevel$403$start#1;
-
- inline$BDLGetDebugLevel$403$start#1:
- goto inline$BDLGetDebugLevel$403$label_3#1;
-
- inline$BDLGetDebugLevel$403$label_3#1:
- havoc inline$BDLGetDebugLevel$403$myNondetVar_0;
- inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$403$myNondetVar_0;
- goto inline$BDLGetDebugLevel$403$label_1#1;
-
- inline$BDLGetDebugLevel$403$label_1#1:
- goto inline$BDLGetDebugLevel$403$Return#1;
-
- inline$BDLGetDebugLevel$403$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon47_Then#1, inline$BDLSystemPowerIoCompletion$14$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_83_true#1, inline$BDLSystemPowerIoCompletion$14$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_87#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_88#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_89#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$14$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_84#1:
- goto inline$BDLGetDebugLevel$404$Entry#1;
-
- inline$BDLGetDebugLevel$404$Entry#1:
- goto inline$BDLGetDebugLevel$404$start#1;
-
- inline$BDLGetDebugLevel$404$start#1:
- goto inline$BDLGetDebugLevel$404$label_3#1;
-
- inline$BDLGetDebugLevel$404$label_3#1:
- havoc inline$BDLGetDebugLevel$404$myNondetVar_0;
- inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$404$myNondetVar_0;
- goto inline$BDLGetDebugLevel$404$label_1#1;
-
- inline$BDLGetDebugLevel$404$label_1#1:
- goto inline$BDLGetDebugLevel$404$Return#1;
-
- inline$BDLGetDebugLevel$404$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon48_Then#1, inline$BDLSystemPowerIoCompletion$14$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_93_true#1, inline$BDLSystemPowerIoCompletion$14$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_97#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_98#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_99#1:
- call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$14$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$14$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_94#1:
- goto inline$BDLGetDebugLevel$405$Entry#1;
-
- inline$BDLGetDebugLevel$405$Entry#1:
- goto inline$BDLGetDebugLevel$405$start#1;
-
- inline$BDLGetDebugLevel$405$start#1:
- goto inline$BDLGetDebugLevel$405$label_3#1;
-
- inline$BDLGetDebugLevel$405$label_3#1:
- havoc inline$BDLGetDebugLevel$405$myNondetVar_0;
- inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$405$myNondetVar_0;
- goto inline$BDLGetDebugLevel$405$label_1#1;
-
- inline$BDLGetDebugLevel$405$label_1#1:
- goto inline$BDLGetDebugLevel$405$Return#1;
-
- inline$BDLGetDebugLevel$405$Return#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$14$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$14$anon49_Then#1, inline$BDLSystemPowerIoCompletion$14$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_103_true#1, inline$BDLSystemPowerIoCompletion$14$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$14$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$14$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_104#1:
- inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$14$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$14$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$14$Return#1;
-
- inline$BDLSystemPowerIoCompletion$14$Return#1:
- inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$14$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$14$anon14_Then#1, inline$CallCompletionRoutine$14$anon14_Else#1;
-
- inline$CallCompletionRoutine$14$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$14$anon9#1;
-
- inline$CallCompletionRoutine$14$anon9#1:
- goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$14$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$14$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$14$Entry#1:
- inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$14$start#1;
-
- inline$BDLDevicePowerIoCompletion$14$start#1:
- call inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$14$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_4#1:
- inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_6#1:
- inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$14$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$14$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$77$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$77$Entry#1:
- inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$77$start#1;
-
- inline$IoGetCurrentIrpStackLocation$77$start#1:
- inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$77$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$77$label_3_true#1, inline$IoGetCurrentIrpStackLocation$77$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$77$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$77$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$77$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$77$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$77$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$77$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$77$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$77$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$77$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$77$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$77$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$77$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$77$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$77$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$77$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$77$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$77$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon30_Then#1, inline$BDLDevicePowerIoCompletion$14$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_13#1:
- inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$14$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$14$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_17#1:
- goto inline$BDLGetDebugLevel$388$Entry#1;
-
- inline$BDLGetDebugLevel$388$Entry#1:
- goto inline$BDLGetDebugLevel$388$start#1;
-
- inline$BDLGetDebugLevel$388$start#1:
- goto inline$BDLGetDebugLevel$388$label_3#1;
-
- inline$BDLGetDebugLevel$388$label_3#1:
- havoc inline$BDLGetDebugLevel$388$myNondetVar_0;
- inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$388$myNondetVar_0;
- goto inline$BDLGetDebugLevel$388$label_1#1;
-
- inline$BDLGetDebugLevel$388$label_1#1:
- goto inline$BDLGetDebugLevel$388$Return#1;
-
- inline$BDLGetDebugLevel$388$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon31_Then#1, inline$BDLDevicePowerIoCompletion$14$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_20_true#1, inline$BDLDevicePowerIoCompletion$14$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_24#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_25#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_26#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$14$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_21#1:
- goto inline$BDLGetDebugLevel$389$Entry#1;
-
- inline$BDLGetDebugLevel$389$Entry#1:
- goto inline$BDLGetDebugLevel$389$start#1;
-
- inline$BDLGetDebugLevel$389$start#1:
- goto inline$BDLGetDebugLevel$389$label_3#1;
-
- inline$BDLGetDebugLevel$389$label_3#1:
- havoc inline$BDLGetDebugLevel$389$myNondetVar_0;
- inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$389$myNondetVar_0;
- goto inline$BDLGetDebugLevel$389$label_1#1;
-
- inline$BDLGetDebugLevel$389$label_1#1:
- goto inline$BDLGetDebugLevel$389$Return#1;
-
- inline$BDLGetDebugLevel$389$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon32_Then#1, inline$BDLDevicePowerIoCompletion$14$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_30_true#1, inline$BDLDevicePowerIoCompletion$14$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_34#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_35#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_36#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$14$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_31#1:
- goto inline$BDLGetDebugLevel$390$Entry#1;
-
- inline$BDLGetDebugLevel$390$Entry#1:
- goto inline$BDLGetDebugLevel$390$start#1;
-
- inline$BDLGetDebugLevel$390$start#1:
- goto inline$BDLGetDebugLevel$390$label_3#1;
-
- inline$BDLGetDebugLevel$390$label_3#1:
- havoc inline$BDLGetDebugLevel$390$myNondetVar_0;
- inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$390$myNondetVar_0;
- goto inline$BDLGetDebugLevel$390$label_1#1;
-
- inline$BDLGetDebugLevel$390$label_1#1:
- goto inline$BDLGetDebugLevel$390$Return#1;
-
- inline$BDLGetDebugLevel$390$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon33_Then#1, inline$BDLDevicePowerIoCompletion$14$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_40_true#1, inline$BDLDevicePowerIoCompletion$14$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_41_true#1, inline$BDLDevicePowerIoCompletion$14$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$14$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$14$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_44_true#1, inline$BDLDevicePowerIoCompletion$14$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$14$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_45_true#1, inline$BDLDevicePowerIoCompletion$14$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$14$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$14$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$14$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$14$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$14$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_54#1:
- inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$14$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_55_true#1, inline$BDLDevicePowerIoCompletion$14$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_56#1:
- call inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$14$anon34_Then#1, inline$BDLDevicePowerIoCompletion$14$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_59#1:
- goto inline$BDLGetDebugLevel$391$Entry#1;
-
- inline$BDLGetDebugLevel$391$Entry#1:
- goto inline$BDLGetDebugLevel$391$start#1;
-
- inline$BDLGetDebugLevel$391$start#1:
- goto inline$BDLGetDebugLevel$391$label_3#1;
-
- inline$BDLGetDebugLevel$391$label_3#1:
- havoc inline$BDLGetDebugLevel$391$myNondetVar_0;
- inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$391$myNondetVar_0;
- goto inline$BDLGetDebugLevel$391$label_1#1;
-
- inline$BDLGetDebugLevel$391$label_1#1:
- goto inline$BDLGetDebugLevel$391$Return#1;
-
- inline$BDLGetDebugLevel$391$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon35_Then#1, inline$BDLDevicePowerIoCompletion$14$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_62_true#1, inline$BDLDevicePowerIoCompletion$14$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_66#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_67#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_68#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$14$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_63#1:
- goto inline$BDLGetDebugLevel$392$Entry#1;
-
- inline$BDLGetDebugLevel$392$Entry#1:
- goto inline$BDLGetDebugLevel$392$start#1;
-
- inline$BDLGetDebugLevel$392$start#1:
- goto inline$BDLGetDebugLevel$392$label_3#1;
-
- inline$BDLGetDebugLevel$392$label_3#1:
- havoc inline$BDLGetDebugLevel$392$myNondetVar_0;
- inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$392$myNondetVar_0;
- goto inline$BDLGetDebugLevel$392$label_1#1;
-
- inline$BDLGetDebugLevel$392$label_1#1:
- goto inline$BDLGetDebugLevel$392$Return#1;
-
- inline$BDLGetDebugLevel$392$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon36_Then#1, inline$BDLDevicePowerIoCompletion$14$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_72_true#1, inline$BDLDevicePowerIoCompletion$14$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_76#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_77#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_78#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$14$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_73#1:
- goto inline$BDLGetDebugLevel$393$Entry#1;
-
- inline$BDLGetDebugLevel$393$Entry#1:
- goto inline$BDLGetDebugLevel$393$start#1;
-
- inline$BDLGetDebugLevel$393$start#1:
- goto inline$BDLGetDebugLevel$393$label_3#1;
-
- inline$BDLGetDebugLevel$393$label_3#1:
- havoc inline$BDLGetDebugLevel$393$myNondetVar_0;
- inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$393$myNondetVar_0;
- goto inline$BDLGetDebugLevel$393$label_1#1;
-
- inline$BDLGetDebugLevel$393$label_1#1:
- goto inline$BDLGetDebugLevel$393$Return#1;
-
- inline$BDLGetDebugLevel$393$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon37_Then#1, inline$BDLDevicePowerIoCompletion$14$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_82_true#1, inline$BDLDevicePowerIoCompletion$14$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$14$anon38_Then#1, inline$BDLDevicePowerIoCompletion$14$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$14$anon39_Then#1, inline$BDLDevicePowerIoCompletion$14$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_92#1:
- goto inline$storm_IoCompleteRequest$29$Entry#1;
-
- inline$storm_IoCompleteRequest$29$Entry#1:
- inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$29$start#1;
-
- inline$storm_IoCompleteRequest$29$start#1:
- inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$29$label_3#1;
-
- inline$storm_IoCompleteRequest$29$label_3#1:
- call inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$29$label_6#1;
-
- inline$storm_IoCompleteRequest$29$label_6#1:
- goto inline$storm_IoCompleteRequest$29$label_6_true#1, inline$storm_IoCompleteRequest$29$label_6_false#1;
-
- inline$storm_IoCompleteRequest$29$label_6_false#1:
- assume inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$29$label_7#1;
-
- inline$storm_IoCompleteRequest$29$label_6_true#1:
- assume inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$29$label_8#1;
-
- inline$storm_IoCompleteRequest$29$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$29$anon3_Then#1, inline$storm_IoCompleteRequest$29$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$29$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$29$anon1#1;
-
- inline$storm_IoCompleteRequest$29$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$29$label_9#1;
-
- inline$storm_IoCompleteRequest$29$label_9#1:
- goto inline$storm_IoCompleteRequest$29$label_9_true#1, inline$storm_IoCompleteRequest$29$label_9_false#1;
-
- inline$storm_IoCompleteRequest$29$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$29$label_10#1;
-
- inline$storm_IoCompleteRequest$29$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$29$label_1#1;
-
- inline$storm_IoCompleteRequest$29$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$29$label_7#1;
-
- inline$storm_IoCompleteRequest$29$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$29$label_1#1;
-
- inline$storm_IoCompleteRequest$29$label_1#1:
- goto inline$storm_IoCompleteRequest$29$Return#1;
-
- inline$storm_IoCompleteRequest$29$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$29$Return#1;
-
- inline$storm_IoCompleteRequest$29$Return#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon40_Then#1, inline$BDLDevicePowerIoCompletion$14$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$14$anon41_Then#1, inline$BDLDevicePowerIoCompletion$14$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_98#1:
- goto inline$BDLGetDebugLevel$394$Entry#1;
-
- inline$BDLGetDebugLevel$394$Entry#1:
- goto inline$BDLGetDebugLevel$394$start#1;
-
- inline$BDLGetDebugLevel$394$start#1:
- goto inline$BDLGetDebugLevel$394$label_3#1;
-
- inline$BDLGetDebugLevel$394$label_3#1:
- havoc inline$BDLGetDebugLevel$394$myNondetVar_0;
- inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$394$myNondetVar_0;
- goto inline$BDLGetDebugLevel$394$label_1#1;
-
- inline$BDLGetDebugLevel$394$label_1#1:
- goto inline$BDLGetDebugLevel$394$Return#1;
-
- inline$BDLGetDebugLevel$394$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon42_Then#1, inline$BDLDevicePowerIoCompletion$14$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_101_true#1, inline$BDLDevicePowerIoCompletion$14$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_105#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_106#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_107#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$14$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_102#1:
- goto inline$BDLGetDebugLevel$395$Entry#1;
-
- inline$BDLGetDebugLevel$395$Entry#1:
- goto inline$BDLGetDebugLevel$395$start#1;
-
- inline$BDLGetDebugLevel$395$start#1:
- goto inline$BDLGetDebugLevel$395$label_3#1;
-
- inline$BDLGetDebugLevel$395$label_3#1:
- havoc inline$BDLGetDebugLevel$395$myNondetVar_0;
- inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$395$myNondetVar_0;
- goto inline$BDLGetDebugLevel$395$label_1#1;
-
- inline$BDLGetDebugLevel$395$label_1#1:
- goto inline$BDLGetDebugLevel$395$Return#1;
-
- inline$BDLGetDebugLevel$395$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon43_Then#1, inline$BDLDevicePowerIoCompletion$14$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_111_true#1, inline$BDLDevicePowerIoCompletion$14$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_115#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_116#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_117#1:
- call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$14$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$14$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_112#1:
- goto inline$BDLGetDebugLevel$396$Entry#1;
-
- inline$BDLGetDebugLevel$396$Entry#1:
- goto inline$BDLGetDebugLevel$396$start#1;
-
- inline$BDLGetDebugLevel$396$start#1:
- goto inline$BDLGetDebugLevel$396$label_3#1;
-
- inline$BDLGetDebugLevel$396$label_3#1:
- havoc inline$BDLGetDebugLevel$396$myNondetVar_0;
- inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$396$myNondetVar_0;
- goto inline$BDLGetDebugLevel$396$label_1#1;
-
- inline$BDLGetDebugLevel$396$label_1#1:
- goto inline$BDLGetDebugLevel$396$Return#1;
-
- inline$BDLGetDebugLevel$396$Return#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$14$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$14$anon44_Then#1, inline$BDLDevicePowerIoCompletion$14$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_121_true#1, inline$BDLDevicePowerIoCompletion$14$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$14$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$14$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_122#1:
- inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$14$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$14$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$14$Return#1;
-
- inline$BDLDevicePowerIoCompletion$14$Return#1:
- inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$14$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$14$anon13_Then#1, inline$CallCompletionRoutine$14$anon13_Else#1;
-
- inline$CallCompletionRoutine$14$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$14$anon7#1;
-
- inline$CallCompletionRoutine$14$anon7#1:
- goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$14$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$14$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$14$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$14$Entry#1:
- inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$14$start#1;
-
- inline$BDLCallDriverCompletionRoutine$14$start#1:
- inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$14$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$14$label_3_true#1, inline$BDLCallDriverCompletionRoutine$14$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$14$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$14$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$14$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$14$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_6#1:
- goto inline$storm_KeSetEvent$16$Entry#1;
-
- inline$storm_KeSetEvent$16$Entry#1:
- inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$16$start#1;
-
- inline$storm_KeSetEvent$16$start#1:
- inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$16$label_3#1;
-
- inline$storm_KeSetEvent$16$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$16$label_1#1;
-
- inline$storm_KeSetEvent$16$label_1#1:
- goto inline$storm_KeSetEvent$16$Return#1;
-
- inline$storm_KeSetEvent$16$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$14$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$14$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$14$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$14$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$14$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$14$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$14$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_9#1:
- inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$14$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$14$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$14$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$14$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$14$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$14$Return#1:
- inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$14$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$14$anon12_Then#1, inline$CallCompletionRoutine$14$anon12_Else#1;
-
- inline$CallCompletionRoutine$14$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$14$anon5#1;
-
- inline$CallCompletionRoutine$14$anon5#1:
- goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$14$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$14$label_23#1;
-
- inline$CallCompletionRoutine$14$label_23#1:
- inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$14$label_24#1;
-
- inline$CallCompletionRoutine$14$label_24#1:
- goto inline$CallCompletionRoutine$14$label_24_true#1, inline$CallCompletionRoutine$14$label_24_false#1;
-
- inline$CallCompletionRoutine$14$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$14$label_1#1;
-
- inline$CallCompletionRoutine$14$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$14$label_25#1;
-
- inline$CallCompletionRoutine$14$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$14$label_1#1;
-
- inline$CallCompletionRoutine$14$label_1#1:
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$14$Return#1;
-
- inline$CallCompletionRoutine$14$Return#1:
- goto inline$storm_IoCallDriver$7$label_33$1#1;
-
- inline$storm_IoCallDriver$7$label_33$1#1:
- goto inline$storm_IoCallDriver$7$anon14_Then#1, inline$storm_IoCallDriver$7$anon14_Else#1;
-
- inline$storm_IoCallDriver$7$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$7$anon8#1;
-
- inline$storm_IoCallDriver$7$anon8#1:
- goto inline$storm_IoCallDriver$7$label_36#1;
-
- inline$storm_IoCallDriver$7$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$label_27_case_1#1:
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$7$label_29#1;
-
- inline$storm_IoCallDriver$7$label_29#1:
- inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$7$label_37#1;
-
- inline$storm_IoCallDriver$7$label_37#1:
- goto inline$storm_IoCallDriver$7$label_38#1;
-
- inline$storm_IoCallDriver$7$label_38#1:
- goto inline$storm_IoCallDriver$7$label_39#1;
-
- inline$storm_IoCallDriver$7$label_39#1:
- goto inline$CallCompletionRoutine$15$Entry#1;
-
- inline$CallCompletionRoutine$15$Entry#1:
- inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$15$start#1;
-
- inline$CallCompletionRoutine$15$start#1:
- inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$15$label_3#1;
-
- inline$CallCompletionRoutine$15$label_3#1:
- goto inline$CallCompletionRoutine$15$label_4#1;
-
- inline$CallCompletionRoutine$15$label_4#1:
- goto inline$CallCompletionRoutine$15$label_5#1;
-
- inline$CallCompletionRoutine$15$label_5#1:
- goto inline$CallCompletionRoutine$15$label_6#1;
-
- inline$CallCompletionRoutine$15$label_6#1:
- goto inline$CallCompletionRoutine$15$label_7#1;
-
- inline$CallCompletionRoutine$15$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$79$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$79$Entry#1:
- inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$79$start#1;
-
- inline$IoGetCurrentIrpStackLocation$79$start#1:
- inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$79$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$79$label_3_true#1, inline$IoGetCurrentIrpStackLocation$79$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$79$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$79$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$79$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$79$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$79$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$79$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$79$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$79$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$79$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$79$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$79$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$79$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$79$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$79$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$79$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$79$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$79$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$79$Return#1:
- goto inline$CallCompletionRoutine$15$label_7$1#1;
-
- inline$CallCompletionRoutine$15$label_7$1#1:
- goto inline$CallCompletionRoutine$15$anon10_Then#1, inline$CallCompletionRoutine$15$anon10_Else#1;
-
- inline$CallCompletionRoutine$15$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$15$anon1#1;
-
- inline$CallCompletionRoutine$15$anon1#1:
- goto inline$CallCompletionRoutine$15$label_10#1;
-
- inline$CallCompletionRoutine$15$label_10#1:
- goto inline$CallCompletionRoutine$15$label_11#1;
-
- inline$CallCompletionRoutine$15$label_11#1:
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$CallCompletionRoutine$15$label_12#1;
-
- inline$CallCompletionRoutine$15$label_12#1:
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$CallCompletionRoutine$15$label_13#1;
-
- inline$CallCompletionRoutine$15$label_13#1:
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$CallCompletionRoutine$15$label_14#1;
-
- inline$CallCompletionRoutine$15$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$80$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$80$Entry#1:
- inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$80$start#1;
-
- inline$IoGetCurrentIrpStackLocation$80$start#1:
- inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$80$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$80$label_3_true#1, inline$IoGetCurrentIrpStackLocation$80$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$80$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$80$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$80$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$80$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$80$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$80$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$80$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$80$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$80$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$80$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$80$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$80$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$80$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$80$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$80$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$80$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$80$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$80$Return#1:
- goto inline$CallCompletionRoutine$15$label_14$1#1;
-
- inline$CallCompletionRoutine$15$label_14$1#1:
- goto inline$CallCompletionRoutine$15$anon11_Then#1, inline$CallCompletionRoutine$15$anon11_Else#1;
-
- inline$CallCompletionRoutine$15$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$15$anon3#1;
-
- inline$CallCompletionRoutine$15$anon3#1:
- goto inline$CallCompletionRoutine$15$label_17#1;
-
- inline$CallCompletionRoutine$15$label_17#1:
- goto inline$CallCompletionRoutine$15$label_18#1;
-
- inline$CallCompletionRoutine$15$label_18#1:
- goto inline$CallCompletionRoutine$15$label_18_true#1, inline$CallCompletionRoutine$15$label_18_false#1;
-
- inline$CallCompletionRoutine$15$label_18_false#1:
- assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$15$label_1#1;
-
- inline$CallCompletionRoutine$15$label_18_true#1:
- assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$15$label_19#1;
-
- inline$CallCompletionRoutine$15$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$15$label_20#1;
-
- inline$CallCompletionRoutine$15$label_20#1:
- goto inline$CallCompletionRoutine$15$label_20_icall_1#1, inline$CallCompletionRoutine$15$label_20_icall_2#1, inline$CallCompletionRoutine$15$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$15$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$15$Entry#1:
- inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$15$start#1;
-
- inline$BDLSystemPowerIoCompletion$15$start#1:
- call inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$15$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_4#1:
- inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_6#1:
- inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$15$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$15$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$82$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$82$Entry#1:
- inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$82$start#1;
-
- inline$IoGetCurrentIrpStackLocation$82$start#1:
- inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$82$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$82$label_3_true#1, inline$IoGetCurrentIrpStackLocation$82$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$82$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$82$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$82$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$82$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$82$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$82$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$82$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$82$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$82$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$82$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$82$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$82$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$82$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$82$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$82$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$82$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$82$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$82$Return#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon36_Then#1, inline$BDLSystemPowerIoCompletion$15$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_15#1:
- goto inline$BDLGetDebugLevel$418$Entry#1;
-
- inline$BDLGetDebugLevel$418$Entry#1:
- goto inline$BDLGetDebugLevel$418$start#1;
-
- inline$BDLGetDebugLevel$418$start#1:
- goto inline$BDLGetDebugLevel$418$label_3#1;
-
- inline$BDLGetDebugLevel$418$label_3#1:
- havoc inline$BDLGetDebugLevel$418$myNondetVar_0;
- inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$418$myNondetVar_0;
- goto inline$BDLGetDebugLevel$418$label_1#1;
-
- inline$BDLGetDebugLevel$418$label_1#1:
- goto inline$BDLGetDebugLevel$418$Return#1;
-
- inline$BDLGetDebugLevel$418$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon37_Then#1, inline$BDLSystemPowerIoCompletion$15$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_18_true#1, inline$BDLSystemPowerIoCompletion$15$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_22#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_23#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_24#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$15$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_19#1:
- goto inline$BDLGetDebugLevel$419$Entry#1;
-
- inline$BDLGetDebugLevel$419$Entry#1:
- goto inline$BDLGetDebugLevel$419$start#1;
-
- inline$BDLGetDebugLevel$419$start#1:
- goto inline$BDLGetDebugLevel$419$label_3#1;
-
- inline$BDLGetDebugLevel$419$label_3#1:
- havoc inline$BDLGetDebugLevel$419$myNondetVar_0;
- inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$419$myNondetVar_0;
- goto inline$BDLGetDebugLevel$419$label_1#1;
-
- inline$BDLGetDebugLevel$419$label_1#1:
- goto inline$BDLGetDebugLevel$419$Return#1;
-
- inline$BDLGetDebugLevel$419$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon38_Then#1, inline$BDLSystemPowerIoCompletion$15$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_28_true#1, inline$BDLSystemPowerIoCompletion$15$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_32#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_33#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_34#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$15$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_29#1:
- goto inline$BDLGetDebugLevel$420$Entry#1;
-
- inline$BDLGetDebugLevel$420$Entry#1:
- goto inline$BDLGetDebugLevel$420$start#1;
-
- inline$BDLGetDebugLevel$420$start#1:
- goto inline$BDLGetDebugLevel$420$label_3#1;
-
- inline$BDLGetDebugLevel$420$label_3#1:
- havoc inline$BDLGetDebugLevel$420$myNondetVar_0;
- inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$420$myNondetVar_0;
- goto inline$BDLGetDebugLevel$420$label_1#1;
-
- inline$BDLGetDebugLevel$420$label_1#1:
- goto inline$BDLGetDebugLevel$420$Return#1;
-
- inline$BDLGetDebugLevel$420$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon39_Then#1, inline$BDLSystemPowerIoCompletion$15$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_38_true#1, inline$BDLSystemPowerIoCompletion$15$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_39_true#1, inline$BDLSystemPowerIoCompletion$15$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$15$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$15$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$15$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_106_true#1, inline$BDLSystemPowerIoCompletion$15$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_142#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_143#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$15$myNondetVar_0, inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$15$anon50_Then#1, inline$BDLSystemPowerIoCompletion$15$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_112#1:
- inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$15$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_113_true#1, inline$BDLSystemPowerIoCompletion$15$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$15$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_117#1:
- goto inline$BDLGetDebugLevel$427$Entry#1;
-
- inline$BDLGetDebugLevel$427$Entry#1:
- goto inline$BDLGetDebugLevel$427$start#1;
-
- inline$BDLGetDebugLevel$427$start#1:
- goto inline$BDLGetDebugLevel$427$label_3#1;
-
- inline$BDLGetDebugLevel$427$label_3#1:
- havoc inline$BDLGetDebugLevel$427$myNondetVar_0;
- inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$427$myNondetVar_0;
- goto inline$BDLGetDebugLevel$427$label_1#1;
-
- inline$BDLGetDebugLevel$427$label_1#1:
- goto inline$BDLGetDebugLevel$427$Return#1;
-
- inline$BDLGetDebugLevel$427$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon51_Then#1, inline$BDLSystemPowerIoCompletion$15$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_120_true#1, inline$BDLSystemPowerIoCompletion$15$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_124#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_125#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_126#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$15$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_121#1:
- goto inline$BDLGetDebugLevel$428$Entry#1;
-
- inline$BDLGetDebugLevel$428$Entry#1:
- goto inline$BDLGetDebugLevel$428$start#1;
-
- inline$BDLGetDebugLevel$428$start#1:
- goto inline$BDLGetDebugLevel$428$label_3#1;
-
- inline$BDLGetDebugLevel$428$label_3#1:
- havoc inline$BDLGetDebugLevel$428$myNondetVar_0;
- inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$428$myNondetVar_0;
- goto inline$BDLGetDebugLevel$428$label_1#1;
-
- inline$BDLGetDebugLevel$428$label_1#1:
- goto inline$BDLGetDebugLevel$428$Return#1;
-
- inline$BDLGetDebugLevel$428$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon52_Then#1, inline$BDLSystemPowerIoCompletion$15$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_130_true#1, inline$BDLSystemPowerIoCompletion$15$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_134#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_135#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_136#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$15$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_131#1:
- goto inline$BDLGetDebugLevel$429$Entry#1;
-
- inline$BDLGetDebugLevel$429$Entry#1:
- goto inline$BDLGetDebugLevel$429$start#1;
-
- inline$BDLGetDebugLevel$429$start#1:
- goto inline$BDLGetDebugLevel$429$label_3#1;
-
- inline$BDLGetDebugLevel$429$label_3#1:
- havoc inline$BDLGetDebugLevel$429$myNondetVar_0;
- inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$429$myNondetVar_0;
- goto inline$BDLGetDebugLevel$429$label_1#1;
-
- inline$BDLGetDebugLevel$429$label_1#1:
- goto inline$BDLGetDebugLevel$429$Return#1;
-
- inline$BDLGetDebugLevel$429$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon53_Then#1, inline$BDLSystemPowerIoCompletion$15$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_140_true#1, inline$BDLSystemPowerIoCompletion$15$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$15$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_115#1:
- inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$15$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$15$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_43#1:
- goto inline$BDLGetDebugLevel$421$Entry#1;
-
- inline$BDLGetDebugLevel$421$Entry#1:
- goto inline$BDLGetDebugLevel$421$start#1;
-
- inline$BDLGetDebugLevel$421$start#1:
- goto inline$BDLGetDebugLevel$421$label_3#1;
-
- inline$BDLGetDebugLevel$421$label_3#1:
- havoc inline$BDLGetDebugLevel$421$myNondetVar_0;
- inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$421$myNondetVar_0;
- goto inline$BDLGetDebugLevel$421$label_1#1;
-
- inline$BDLGetDebugLevel$421$label_1#1:
- goto inline$BDLGetDebugLevel$421$Return#1;
-
- inline$BDLGetDebugLevel$421$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon40_Then#1, inline$BDLSystemPowerIoCompletion$15$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_46_true#1, inline$BDLSystemPowerIoCompletion$15$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_50#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_51#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_52#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$15$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_47#1:
- goto inline$BDLGetDebugLevel$422$Entry#1;
-
- inline$BDLGetDebugLevel$422$Entry#1:
- goto inline$BDLGetDebugLevel$422$start#1;
-
- inline$BDLGetDebugLevel$422$start#1:
- goto inline$BDLGetDebugLevel$422$label_3#1;
-
- inline$BDLGetDebugLevel$422$label_3#1:
- havoc inline$BDLGetDebugLevel$422$myNondetVar_0;
- inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$422$myNondetVar_0;
- goto inline$BDLGetDebugLevel$422$label_1#1;
-
- inline$BDLGetDebugLevel$422$label_1#1:
- goto inline$BDLGetDebugLevel$422$Return#1;
-
- inline$BDLGetDebugLevel$422$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon41_Then#1, inline$BDLSystemPowerIoCompletion$15$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_56_true#1, inline$BDLSystemPowerIoCompletion$15$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_60#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_61#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_62#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$15$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_57#1:
- goto inline$BDLGetDebugLevel$423$Entry#1;
-
- inline$BDLGetDebugLevel$423$Entry#1:
- goto inline$BDLGetDebugLevel$423$start#1;
-
- inline$BDLGetDebugLevel$423$start#1:
- goto inline$BDLGetDebugLevel$423$label_3#1;
-
- inline$BDLGetDebugLevel$423$label_3#1:
- havoc inline$BDLGetDebugLevel$423$myNondetVar_0;
- inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$423$myNondetVar_0;
- goto inline$BDLGetDebugLevel$423$label_1#1;
-
- inline$BDLGetDebugLevel$423$label_1#1:
- goto inline$BDLGetDebugLevel$423$Return#1;
-
- inline$BDLGetDebugLevel$423$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon42_Then#1, inline$BDLSystemPowerIoCompletion$15$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_66_true#1, inline$BDLSystemPowerIoCompletion$15$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$15$anon43_Then#1, inline$BDLSystemPowerIoCompletion$15$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$15$anon44_Then#1, inline$BDLSystemPowerIoCompletion$15$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_74#1:
- goto inline$storm_IoCompleteRequest$32$Entry#1;
-
- inline$storm_IoCompleteRequest$32$Entry#1:
- inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$32$start#1;
-
- inline$storm_IoCompleteRequest$32$start#1:
- inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$32$label_3#1;
-
- inline$storm_IoCompleteRequest$32$label_3#1:
- call inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$32$label_6#1;
-
- inline$storm_IoCompleteRequest$32$label_6#1:
- goto inline$storm_IoCompleteRequest$32$label_6_true#1, inline$storm_IoCompleteRequest$32$label_6_false#1;
-
- inline$storm_IoCompleteRequest$32$label_6_false#1:
- assume inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$32$label_7#1;
-
- inline$storm_IoCompleteRequest$32$label_6_true#1:
- assume inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$32$label_8#1;
-
- inline$storm_IoCompleteRequest$32$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$32$anon3_Then#1, inline$storm_IoCompleteRequest$32$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$32$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$32$anon1#1;
-
- inline$storm_IoCompleteRequest$32$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$32$label_9#1;
-
- inline$storm_IoCompleteRequest$32$label_9#1:
- goto inline$storm_IoCompleteRequest$32$label_9_true#1, inline$storm_IoCompleteRequest$32$label_9_false#1;
-
- inline$storm_IoCompleteRequest$32$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$32$label_10#1;
-
- inline$storm_IoCompleteRequest$32$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$32$label_1#1;
-
- inline$storm_IoCompleteRequest$32$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$32$label_7#1;
-
- inline$storm_IoCompleteRequest$32$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$32$label_1#1;
-
- inline$storm_IoCompleteRequest$32$label_1#1:
- goto inline$storm_IoCompleteRequest$32$Return#1;
-
- inline$storm_IoCompleteRequest$32$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$32$Return#1;
-
- inline$storm_IoCompleteRequest$32$Return#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon45_Then#1, inline$BDLSystemPowerIoCompletion$15$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$15$anon46_Then#1, inline$BDLSystemPowerIoCompletion$15$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_80#1:
- goto inline$BDLGetDebugLevel$424$Entry#1;
-
- inline$BDLGetDebugLevel$424$Entry#1:
- goto inline$BDLGetDebugLevel$424$start#1;
-
- inline$BDLGetDebugLevel$424$start#1:
- goto inline$BDLGetDebugLevel$424$label_3#1;
-
- inline$BDLGetDebugLevel$424$label_3#1:
- havoc inline$BDLGetDebugLevel$424$myNondetVar_0;
- inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$424$myNondetVar_0;
- goto inline$BDLGetDebugLevel$424$label_1#1;
-
- inline$BDLGetDebugLevel$424$label_1#1:
- goto inline$BDLGetDebugLevel$424$Return#1;
-
- inline$BDLGetDebugLevel$424$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon47_Then#1, inline$BDLSystemPowerIoCompletion$15$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_83_true#1, inline$BDLSystemPowerIoCompletion$15$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_87#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_88#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_89#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$15$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_84#1:
- goto inline$BDLGetDebugLevel$425$Entry#1;
-
- inline$BDLGetDebugLevel$425$Entry#1:
- goto inline$BDLGetDebugLevel$425$start#1;
-
- inline$BDLGetDebugLevel$425$start#1:
- goto inline$BDLGetDebugLevel$425$label_3#1;
-
- inline$BDLGetDebugLevel$425$label_3#1:
- havoc inline$BDLGetDebugLevel$425$myNondetVar_0;
- inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$425$myNondetVar_0;
- goto inline$BDLGetDebugLevel$425$label_1#1;
-
- inline$BDLGetDebugLevel$425$label_1#1:
- goto inline$BDLGetDebugLevel$425$Return#1;
-
- inline$BDLGetDebugLevel$425$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon48_Then#1, inline$BDLSystemPowerIoCompletion$15$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_93_true#1, inline$BDLSystemPowerIoCompletion$15$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_97#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_98#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_99#1:
- call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$15$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$15$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_94#1:
- goto inline$BDLGetDebugLevel$426$Entry#1;
-
- inline$BDLGetDebugLevel$426$Entry#1:
- goto inline$BDLGetDebugLevel$426$start#1;
-
- inline$BDLGetDebugLevel$426$start#1:
- goto inline$BDLGetDebugLevel$426$label_3#1;
-
- inline$BDLGetDebugLevel$426$label_3#1:
- havoc inline$BDLGetDebugLevel$426$myNondetVar_0;
- inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$426$myNondetVar_0;
- goto inline$BDLGetDebugLevel$426$label_1#1;
-
- inline$BDLGetDebugLevel$426$label_1#1:
- goto inline$BDLGetDebugLevel$426$Return#1;
-
- inline$BDLGetDebugLevel$426$Return#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$15$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$15$anon49_Then#1, inline$BDLSystemPowerIoCompletion$15$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_103_true#1, inline$BDLSystemPowerIoCompletion$15$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$15$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$15$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_104#1:
- inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$15$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$15$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$15$Return#1;
-
- inline$BDLSystemPowerIoCompletion$15$Return#1:
- inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$15$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$15$anon14_Then#1, inline$CallCompletionRoutine$15$anon14_Else#1;
-
- inline$CallCompletionRoutine$15$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$15$anon9#1;
-
- inline$CallCompletionRoutine$15$anon9#1:
- goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$15$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$15$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$15$Entry#1:
- inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$15$start#1;
-
- inline$BDLDevicePowerIoCompletion$15$start#1:
- call inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$15$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_4#1:
- inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_6#1:
- inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$15$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$15$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$81$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$81$Entry#1:
- inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$81$start#1;
-
- inline$IoGetCurrentIrpStackLocation$81$start#1:
- inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$81$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$81$label_3_true#1, inline$IoGetCurrentIrpStackLocation$81$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$81$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$81$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$81$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$81$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$81$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$81$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$81$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$81$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$81$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$81$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$81$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$81$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$81$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$81$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$81$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$81$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$81$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon30_Then#1, inline$BDLDevicePowerIoCompletion$15$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_13#1:
- inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$15$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$15$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_17#1:
- goto inline$BDLGetDebugLevel$409$Entry#1;
-
- inline$BDLGetDebugLevel$409$Entry#1:
- goto inline$BDLGetDebugLevel$409$start#1;
-
- inline$BDLGetDebugLevel$409$start#1:
- goto inline$BDLGetDebugLevel$409$label_3#1;
-
- inline$BDLGetDebugLevel$409$label_3#1:
- havoc inline$BDLGetDebugLevel$409$myNondetVar_0;
- inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$409$myNondetVar_0;
- goto inline$BDLGetDebugLevel$409$label_1#1;
-
- inline$BDLGetDebugLevel$409$label_1#1:
- goto inline$BDLGetDebugLevel$409$Return#1;
-
- inline$BDLGetDebugLevel$409$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon31_Then#1, inline$BDLDevicePowerIoCompletion$15$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_20_true#1, inline$BDLDevicePowerIoCompletion$15$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_24#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_25#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_26#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$15$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_21#1:
- goto inline$BDLGetDebugLevel$410$Entry#1;
-
- inline$BDLGetDebugLevel$410$Entry#1:
- goto inline$BDLGetDebugLevel$410$start#1;
-
- inline$BDLGetDebugLevel$410$start#1:
- goto inline$BDLGetDebugLevel$410$label_3#1;
-
- inline$BDLGetDebugLevel$410$label_3#1:
- havoc inline$BDLGetDebugLevel$410$myNondetVar_0;
- inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$410$myNondetVar_0;
- goto inline$BDLGetDebugLevel$410$label_1#1;
-
- inline$BDLGetDebugLevel$410$label_1#1:
- goto inline$BDLGetDebugLevel$410$Return#1;
-
- inline$BDLGetDebugLevel$410$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon32_Then#1, inline$BDLDevicePowerIoCompletion$15$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_30_true#1, inline$BDLDevicePowerIoCompletion$15$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_34#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_35#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_36#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$15$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_31#1:
- goto inline$BDLGetDebugLevel$411$Entry#1;
-
- inline$BDLGetDebugLevel$411$Entry#1:
- goto inline$BDLGetDebugLevel$411$start#1;
-
- inline$BDLGetDebugLevel$411$start#1:
- goto inline$BDLGetDebugLevel$411$label_3#1;
-
- inline$BDLGetDebugLevel$411$label_3#1:
- havoc inline$BDLGetDebugLevel$411$myNondetVar_0;
- inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$411$myNondetVar_0;
- goto inline$BDLGetDebugLevel$411$label_1#1;
-
- inline$BDLGetDebugLevel$411$label_1#1:
- goto inline$BDLGetDebugLevel$411$Return#1;
-
- inline$BDLGetDebugLevel$411$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon33_Then#1, inline$BDLDevicePowerIoCompletion$15$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_40_true#1, inline$BDLDevicePowerIoCompletion$15$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_41_true#1, inline$BDLDevicePowerIoCompletion$15$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$15$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$15$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_44_true#1, inline$BDLDevicePowerIoCompletion$15$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$15$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_45_true#1, inline$BDLDevicePowerIoCompletion$15$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$15$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$15$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$15$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$15$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$15$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_54#1:
- inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$15$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_55_true#1, inline$BDLDevicePowerIoCompletion$15$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_56#1:
- call inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$15$anon34_Then#1, inline$BDLDevicePowerIoCompletion$15$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_59#1:
- goto inline$BDLGetDebugLevel$412$Entry#1;
-
- inline$BDLGetDebugLevel$412$Entry#1:
- goto inline$BDLGetDebugLevel$412$start#1;
-
- inline$BDLGetDebugLevel$412$start#1:
- goto inline$BDLGetDebugLevel$412$label_3#1;
-
- inline$BDLGetDebugLevel$412$label_3#1:
- havoc inline$BDLGetDebugLevel$412$myNondetVar_0;
- inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$412$myNondetVar_0;
- goto inline$BDLGetDebugLevel$412$label_1#1;
-
- inline$BDLGetDebugLevel$412$label_1#1:
- goto inline$BDLGetDebugLevel$412$Return#1;
-
- inline$BDLGetDebugLevel$412$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon35_Then#1, inline$BDLDevicePowerIoCompletion$15$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_62_true#1, inline$BDLDevicePowerIoCompletion$15$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_66#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_67#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_68#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$15$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_63#1:
- goto inline$BDLGetDebugLevel$413$Entry#1;
-
- inline$BDLGetDebugLevel$413$Entry#1:
- goto inline$BDLGetDebugLevel$413$start#1;
-
- inline$BDLGetDebugLevel$413$start#1:
- goto inline$BDLGetDebugLevel$413$label_3#1;
-
- inline$BDLGetDebugLevel$413$label_3#1:
- havoc inline$BDLGetDebugLevel$413$myNondetVar_0;
- inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$413$myNondetVar_0;
- goto inline$BDLGetDebugLevel$413$label_1#1;
-
- inline$BDLGetDebugLevel$413$label_1#1:
- goto inline$BDLGetDebugLevel$413$Return#1;
-
- inline$BDLGetDebugLevel$413$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon36_Then#1, inline$BDLDevicePowerIoCompletion$15$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_72_true#1, inline$BDLDevicePowerIoCompletion$15$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_76#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_77#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_78#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$15$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_73#1:
- goto inline$BDLGetDebugLevel$414$Entry#1;
-
- inline$BDLGetDebugLevel$414$Entry#1:
- goto inline$BDLGetDebugLevel$414$start#1;
-
- inline$BDLGetDebugLevel$414$start#1:
- goto inline$BDLGetDebugLevel$414$label_3#1;
-
- inline$BDLGetDebugLevel$414$label_3#1:
- havoc inline$BDLGetDebugLevel$414$myNondetVar_0;
- inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$414$myNondetVar_0;
- goto inline$BDLGetDebugLevel$414$label_1#1;
-
- inline$BDLGetDebugLevel$414$label_1#1:
- goto inline$BDLGetDebugLevel$414$Return#1;
-
- inline$BDLGetDebugLevel$414$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon37_Then#1, inline$BDLDevicePowerIoCompletion$15$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_82_true#1, inline$BDLDevicePowerIoCompletion$15$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$15$anon38_Then#1, inline$BDLDevicePowerIoCompletion$15$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$15$anon39_Then#1, inline$BDLDevicePowerIoCompletion$15$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_92#1:
- goto inline$storm_IoCompleteRequest$31$Entry#1;
-
- inline$storm_IoCompleteRequest$31$Entry#1:
- inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$31$start#1;
-
- inline$storm_IoCompleteRequest$31$start#1:
- inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$31$label_3#1;
-
- inline$storm_IoCompleteRequest$31$label_3#1:
- call inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$31$label_6#1;
-
- inline$storm_IoCompleteRequest$31$label_6#1:
- goto inline$storm_IoCompleteRequest$31$label_6_true#1, inline$storm_IoCompleteRequest$31$label_6_false#1;
-
- inline$storm_IoCompleteRequest$31$label_6_false#1:
- assume inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$31$label_7#1;
-
- inline$storm_IoCompleteRequest$31$label_6_true#1:
- assume inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$31$label_8#1;
-
- inline$storm_IoCompleteRequest$31$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$31$anon3_Then#1, inline$storm_IoCompleteRequest$31$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$31$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$31$anon1#1;
-
- inline$storm_IoCompleteRequest$31$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$31$label_9#1;
-
- inline$storm_IoCompleteRequest$31$label_9#1:
- goto inline$storm_IoCompleteRequest$31$label_9_true#1, inline$storm_IoCompleteRequest$31$label_9_false#1;
-
- inline$storm_IoCompleteRequest$31$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$31$label_10#1;
-
- inline$storm_IoCompleteRequest$31$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$31$label_1#1;
-
- inline$storm_IoCompleteRequest$31$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$31$label_7#1;
-
- inline$storm_IoCompleteRequest$31$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$31$label_1#1;
-
- inline$storm_IoCompleteRequest$31$label_1#1:
- goto inline$storm_IoCompleteRequest$31$Return#1;
-
- inline$storm_IoCompleteRequest$31$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$31$Return#1;
-
- inline$storm_IoCompleteRequest$31$Return#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon40_Then#1, inline$BDLDevicePowerIoCompletion$15$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$15$anon41_Then#1, inline$BDLDevicePowerIoCompletion$15$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_98#1:
- goto inline$BDLGetDebugLevel$415$Entry#1;
-
- inline$BDLGetDebugLevel$415$Entry#1:
- goto inline$BDLGetDebugLevel$415$start#1;
-
- inline$BDLGetDebugLevel$415$start#1:
- goto inline$BDLGetDebugLevel$415$label_3#1;
-
- inline$BDLGetDebugLevel$415$label_3#1:
- havoc inline$BDLGetDebugLevel$415$myNondetVar_0;
- inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$415$myNondetVar_0;
- goto inline$BDLGetDebugLevel$415$label_1#1;
-
- inline$BDLGetDebugLevel$415$label_1#1:
- goto inline$BDLGetDebugLevel$415$Return#1;
-
- inline$BDLGetDebugLevel$415$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon42_Then#1, inline$BDLDevicePowerIoCompletion$15$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_101_true#1, inline$BDLDevicePowerIoCompletion$15$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_105#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_106#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_107#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$15$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_102#1:
- goto inline$BDLGetDebugLevel$416$Entry#1;
-
- inline$BDLGetDebugLevel$416$Entry#1:
- goto inline$BDLGetDebugLevel$416$start#1;
-
- inline$BDLGetDebugLevel$416$start#1:
- goto inline$BDLGetDebugLevel$416$label_3#1;
-
- inline$BDLGetDebugLevel$416$label_3#1:
- havoc inline$BDLGetDebugLevel$416$myNondetVar_0;
- inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$416$myNondetVar_0;
- goto inline$BDLGetDebugLevel$416$label_1#1;
-
- inline$BDLGetDebugLevel$416$label_1#1:
- goto inline$BDLGetDebugLevel$416$Return#1;
-
- inline$BDLGetDebugLevel$416$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon43_Then#1, inline$BDLDevicePowerIoCompletion$15$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_111_true#1, inline$BDLDevicePowerIoCompletion$15$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_115#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_116#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_117#1:
- call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$15$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$15$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_112#1:
- goto inline$BDLGetDebugLevel$417$Entry#1;
-
- inline$BDLGetDebugLevel$417$Entry#1:
- goto inline$BDLGetDebugLevel$417$start#1;
-
- inline$BDLGetDebugLevel$417$start#1:
- goto inline$BDLGetDebugLevel$417$label_3#1;
-
- inline$BDLGetDebugLevel$417$label_3#1:
- havoc inline$BDLGetDebugLevel$417$myNondetVar_0;
- inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$417$myNondetVar_0;
- goto inline$BDLGetDebugLevel$417$label_1#1;
-
- inline$BDLGetDebugLevel$417$label_1#1:
- goto inline$BDLGetDebugLevel$417$Return#1;
-
- inline$BDLGetDebugLevel$417$Return#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$15$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$15$anon44_Then#1, inline$BDLDevicePowerIoCompletion$15$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_121_true#1, inline$BDLDevicePowerIoCompletion$15$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$15$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$15$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_122#1:
- inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$15$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$15$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$15$Return#1;
-
- inline$BDLDevicePowerIoCompletion$15$Return#1:
- inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$15$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$15$anon13_Then#1, inline$CallCompletionRoutine$15$anon13_Else#1;
-
- inline$CallCompletionRoutine$15$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$15$anon7#1;
-
- inline$CallCompletionRoutine$15$anon7#1:
- goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$15$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$15$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$15$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$15$Entry#1:
- inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$15$start#1;
-
- inline$BDLCallDriverCompletionRoutine$15$start#1:
- inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$15$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$15$label_3_true#1, inline$BDLCallDriverCompletionRoutine$15$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$15$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$15$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$15$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$15$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_6#1:
- goto inline$storm_KeSetEvent$17$Entry#1;
-
- inline$storm_KeSetEvent$17$Entry#1:
- inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$17$start#1;
-
- inline$storm_KeSetEvent$17$start#1:
- inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$17$label_3#1;
-
- inline$storm_KeSetEvent$17$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$17$label_1#1;
-
- inline$storm_KeSetEvent$17$label_1#1:
- goto inline$storm_KeSetEvent$17$Return#1;
-
- inline$storm_KeSetEvent$17$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$15$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$15$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$15$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$15$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$15$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$15$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$15$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_9#1:
- inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$15$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$15$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$15$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$15$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$15$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$15$Return#1:
- inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$15$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$15$anon12_Then#1, inline$CallCompletionRoutine$15$anon12_Else#1;
-
- inline$CallCompletionRoutine$15$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$15$anon5#1;
-
- inline$CallCompletionRoutine$15$anon5#1:
- goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$15$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$15$label_23#1;
-
- inline$CallCompletionRoutine$15$label_23#1:
- inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$15$label_24#1;
-
- inline$CallCompletionRoutine$15$label_24#1:
- goto inline$CallCompletionRoutine$15$label_24_true#1, inline$CallCompletionRoutine$15$label_24_false#1;
-
- inline$CallCompletionRoutine$15$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$15$label_1#1;
-
- inline$CallCompletionRoutine$15$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$15$label_25#1;
-
- inline$CallCompletionRoutine$15$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$15$label_1#1;
-
- inline$CallCompletionRoutine$15$label_1#1:
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$15$Return#1;
-
- inline$CallCompletionRoutine$15$Return#1:
- goto inline$storm_IoCallDriver$7$label_39$1#1;
-
- inline$storm_IoCallDriver$7$label_39$1#1:
- goto inline$storm_IoCallDriver$7$anon15_Then#1, inline$storm_IoCallDriver$7$anon15_Else#1;
-
- inline$storm_IoCallDriver$7$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$7$anon10#1;
-
- inline$storm_IoCallDriver$7$anon10#1:
- goto inline$storm_IoCallDriver$7$label_36#1;
-
- inline$storm_IoCallDriver$7$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$label_27_case_0#1:
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$7$label_28#1;
-
- inline$storm_IoCallDriver$7$label_28#1:
- inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$7$label_42#1;
-
- inline$storm_IoCallDriver$7$label_42#1:
- goto inline$storm_IoCallDriver$7$label_43#1;
-
- inline$storm_IoCallDriver$7$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$7$label_36#1;
-
- inline$storm_IoCallDriver$7$label_36#1:
- inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$7$label_1#1;
-
- inline$storm_IoCallDriver$7$label_1#1:
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$7$Return#1;
-
- inline$storm_IoCallDriver$7$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_25#1:
- goto inline$storm_PoCallDriver$3$Entry#1;
-
- inline$storm_PoCallDriver$3$Entry#1:
- inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$3$start#1;
-
- inline$storm_PoCallDriver$3$start#1:
- inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$3$label_3#1;
-
- inline$storm_PoCallDriver$3$label_3#1:
- goto inline$storm_IoCallDriver$8$Entry#1;
-
- inline$storm_IoCallDriver$8$Entry#1:
- inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$8$start#1;
-
- inline$storm_IoCallDriver$8$start#1:
- inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$8$label_3#1;
-
- inline$storm_IoCallDriver$8$label_3#1:
- goto inline$storm_IoCallDriver$8$label_4#1;
-
- inline$storm_IoCallDriver$8$label_4#1:
- goto inline$storm_IoCallDriver$8$label_5#1;
-
- inline$storm_IoCallDriver$8$label_5#1:
- goto inline$storm_IoCallDriver$8$label_6#1;
-
- inline$storm_IoCallDriver$8$label_6#1:
- call inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$8$label_9#1;
-
- inline$storm_IoCallDriver$8$label_9#1:
- goto inline$storm_IoCallDriver$8$label_9_true#1, inline$storm_IoCallDriver$8$label_9_false#1;
-
- inline$storm_IoCallDriver$8$label_9_false#1:
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$8$label_10#1;
-
- inline$storm_IoCallDriver$8$label_9_true#1:
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$8$label_13#1;
-
- inline$storm_IoCallDriver$8$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$8$anon12_Then#1, inline$storm_IoCallDriver$8$anon12_Else#1;
-
- inline$storm_IoCallDriver$8$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$8$anon3#1;
-
- inline$storm_IoCallDriver$8$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$8$label_14#1;
-
- inline$storm_IoCallDriver$8$label_14#1:
- goto inline$storm_IoCallDriver$8$label_14_true#1, inline$storm_IoCallDriver$8$label_14_false#1;
-
- inline$storm_IoCallDriver$8$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$8$label_15#1;
-
- inline$storm_IoCallDriver$8$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$8$label_1#1;
-
- inline$storm_IoCallDriver$8$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$8$label_10#1;
-
- inline$storm_IoCallDriver$8$label_10#1:
- goto inline$IoSetNextIrpStackLocation$9$Entry#1;
-
- inline$IoSetNextIrpStackLocation$9$Entry#1:
- inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$9$start#1;
-
- inline$IoSetNextIrpStackLocation$9$start#1:
- inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$9$label_3#1;
-
- inline$IoSetNextIrpStackLocation$9$label_3#1:
- goto inline$IoSetNextIrpStackLocation$9$label_3_true#1, inline$IoSetNextIrpStackLocation$9$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$9$label_3_false#1:
- inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$9$myVar_0);
- goto inline$IoSetNextIrpStackLocation$9$label_4#1;
-
- inline$IoSetNextIrpStackLocation$9$label_4#1:
- call inline$IoSetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$9$label_7#1;
-
- inline$IoSetNextIrpStackLocation$9$label_7#1:
- call inline$IoSetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$9$label_8#1;
-
- inline$IoSetNextIrpStackLocation$9$label_8#1:
- goto inline$IoSetNextIrpStackLocation$9$label_5#1;
-
- inline$IoSetNextIrpStackLocation$9$label_3_true#1:
- inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$9$myVar_0);
- goto inline$IoSetNextIrpStackLocation$9$label_5#1;
-
- inline$IoSetNextIrpStackLocation$9$label_5#1:
- inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$9$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$9$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$9$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$9$label_6#1;
-
- inline$IoSetNextIrpStackLocation$9$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$9$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$9$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$9$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$9$label_1#1;
-
- inline$IoSetNextIrpStackLocation$9$label_1#1:
- goto inline$IoSetNextIrpStackLocation$9$Return#1;
-
- inline$IoSetNextIrpStackLocation$9$Return#1:
- goto inline$storm_IoCallDriver$8$label_10$1#1;
-
- inline$storm_IoCallDriver$8$label_10$1#1:
- goto inline$storm_IoCallDriver$8$anon11_Then#1, inline$storm_IoCallDriver$8$anon11_Else#1;
-
- inline$storm_IoCallDriver$8$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$8$anon1#1;
-
- inline$storm_IoCallDriver$8$anon1#1:
- goto inline$storm_IoCallDriver$8$label_18#1;
-
- inline$storm_IoCallDriver$8$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$83$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$83$Entry#1:
- inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$83$start#1;
-
- inline$IoGetCurrentIrpStackLocation$83$start#1:
- inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$83$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$83$label_3_true#1, inline$IoGetCurrentIrpStackLocation$83$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$83$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$83$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$83$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$83$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$83$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$83$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$83$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$83$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$83$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$83$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$83$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$83$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$83$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$83$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$83$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$83$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$83$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$83$Return#1:
- goto inline$storm_IoCallDriver$8$label_18$1#1;
-
- inline$storm_IoCallDriver$8$label_18$1#1:
- goto inline$storm_IoCallDriver$8$anon13_Then#1, inline$storm_IoCallDriver$8$anon13_Else#1;
-
- inline$storm_IoCallDriver$8$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$8$anon6#1;
-
- inline$storm_IoCallDriver$8$anon6#1:
- goto inline$storm_IoCallDriver$8$label_21#1;
-
- inline$storm_IoCallDriver$8$label_21#1:
- goto inline$storm_IoCallDriver$8$label_22#1;
-
- inline$storm_IoCallDriver$8$label_22#1:
- goto inline$storm_IoCallDriver$8$label_23#1;
-
- inline$storm_IoCallDriver$8$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$8$label_24#1;
-
- inline$storm_IoCallDriver$8$label_24#1:
- call inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$8$label_27#1;
-
- inline$storm_IoCallDriver$8$label_27#1:
- goto inline$storm_IoCallDriver$8$label_27_case_0#1, inline$storm_IoCallDriver$8$label_27_case_1#1, inline$storm_IoCallDriver$8$label_27_case_2#1;
-
- inline$storm_IoCallDriver$8$label_27_case_2#1:
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$8$label_30#1;
-
- inline$storm_IoCallDriver$8$label_30#1:
- inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$8$label_31#1;
-
- inline$storm_IoCallDriver$8$label_31#1:
- goto inline$storm_IoCallDriver$8$label_32#1;
-
- inline$storm_IoCallDriver$8$label_32#1:
- goto inline$storm_IoCallDriver$8$label_33#1;
-
- inline$storm_IoCallDriver$8$label_33#1:
- goto inline$CallCompletionRoutine$16$Entry#1;
-
- inline$CallCompletionRoutine$16$Entry#1:
- inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$16$start#1;
-
- inline$CallCompletionRoutine$16$start#1:
- inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$16$label_3#1;
-
- inline$CallCompletionRoutine$16$label_3#1:
- goto inline$CallCompletionRoutine$16$label_4#1;
-
- inline$CallCompletionRoutine$16$label_4#1:
- goto inline$CallCompletionRoutine$16$label_5#1;
-
- inline$CallCompletionRoutine$16$label_5#1:
- goto inline$CallCompletionRoutine$16$label_6#1;
-
- inline$CallCompletionRoutine$16$label_6#1:
- goto inline$CallCompletionRoutine$16$label_7#1;
-
- inline$CallCompletionRoutine$16$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$84$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$84$Entry#1:
- inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$84$start#1;
-
- inline$IoGetCurrentIrpStackLocation$84$start#1:
- inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$84$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$84$label_3_true#1, inline$IoGetCurrentIrpStackLocation$84$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$84$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$84$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$84$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$84$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$84$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$84$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$84$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$84$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$84$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$84$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$84$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$84$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$84$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$84$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$84$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$84$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$84$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$84$Return#1:
- goto inline$CallCompletionRoutine$16$label_7$1#1;
-
- inline$CallCompletionRoutine$16$label_7$1#1:
- goto inline$CallCompletionRoutine$16$anon10_Then#1, inline$CallCompletionRoutine$16$anon10_Else#1;
-
- inline$CallCompletionRoutine$16$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$16$anon1#1;
-
- inline$CallCompletionRoutine$16$anon1#1:
- goto inline$CallCompletionRoutine$16$label_10#1;
-
- inline$CallCompletionRoutine$16$label_10#1:
- goto inline$CallCompletionRoutine$16$label_11#1;
-
- inline$CallCompletionRoutine$16$label_11#1:
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$CallCompletionRoutine$16$label_12#1;
-
- inline$CallCompletionRoutine$16$label_12#1:
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$CallCompletionRoutine$16$label_13#1;
-
- inline$CallCompletionRoutine$16$label_13#1:
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$CallCompletionRoutine$16$label_14#1;
-
- inline$CallCompletionRoutine$16$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$85$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$85$Entry#1:
- inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$85$start#1;
-
- inline$IoGetCurrentIrpStackLocation$85$start#1:
- inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$85$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$85$label_3_true#1, inline$IoGetCurrentIrpStackLocation$85$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$85$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$85$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$85$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$85$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$85$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$85$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$85$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$85$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$85$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$85$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$85$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$85$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$85$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$85$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$85$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$85$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$85$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$85$Return#1:
- goto inline$CallCompletionRoutine$16$label_14$1#1;
-
- inline$CallCompletionRoutine$16$label_14$1#1:
- goto inline$CallCompletionRoutine$16$anon11_Then#1, inline$CallCompletionRoutine$16$anon11_Else#1;
-
- inline$CallCompletionRoutine$16$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$16$anon3#1;
-
- inline$CallCompletionRoutine$16$anon3#1:
- goto inline$CallCompletionRoutine$16$label_17#1;
-
- inline$CallCompletionRoutine$16$label_17#1:
- goto inline$CallCompletionRoutine$16$label_18#1;
-
- inline$CallCompletionRoutine$16$label_18#1:
- goto inline$CallCompletionRoutine$16$label_18_true#1, inline$CallCompletionRoutine$16$label_18_false#1;
-
- inline$CallCompletionRoutine$16$label_18_false#1:
- assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$16$label_1#1;
-
- inline$CallCompletionRoutine$16$label_18_true#1:
- assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$16$label_19#1;
-
- inline$CallCompletionRoutine$16$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$16$label_20#1;
-
- inline$CallCompletionRoutine$16$label_20#1:
- goto inline$CallCompletionRoutine$16$label_20_icall_1#1, inline$CallCompletionRoutine$16$label_20_icall_2#1, inline$CallCompletionRoutine$16$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$16$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$16$Entry#1:
- inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$16$start#1;
-
- inline$BDLSystemPowerIoCompletion$16$start#1:
- call inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$16$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_4#1:
- inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_6#1:
- inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$16$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$16$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$87$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$87$Entry#1:
- inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$87$start#1;
-
- inline$IoGetCurrentIrpStackLocation$87$start#1:
- inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$87$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$87$label_3_true#1, inline$IoGetCurrentIrpStackLocation$87$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$87$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$87$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$87$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$87$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$87$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$87$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$87$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$87$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$87$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$87$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$87$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$87$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$87$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$87$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$87$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$87$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$87$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$87$Return#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon36_Then#1, inline$BDLSystemPowerIoCompletion$16$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_15#1:
- goto inline$BDLGetDebugLevel$439$Entry#1;
-
- inline$BDLGetDebugLevel$439$Entry#1:
- goto inline$BDLGetDebugLevel$439$start#1;
-
- inline$BDLGetDebugLevel$439$start#1:
- goto inline$BDLGetDebugLevel$439$label_3#1;
-
- inline$BDLGetDebugLevel$439$label_3#1:
- havoc inline$BDLGetDebugLevel$439$myNondetVar_0;
- inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$439$myNondetVar_0;
- goto inline$BDLGetDebugLevel$439$label_1#1;
-
- inline$BDLGetDebugLevel$439$label_1#1:
- goto inline$BDLGetDebugLevel$439$Return#1;
-
- inline$BDLGetDebugLevel$439$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon37_Then#1, inline$BDLSystemPowerIoCompletion$16$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_18_true#1, inline$BDLSystemPowerIoCompletion$16$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_22#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_23#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_24#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$16$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_19#1:
- goto inline$BDLGetDebugLevel$440$Entry#1;
-
- inline$BDLGetDebugLevel$440$Entry#1:
- goto inline$BDLGetDebugLevel$440$start#1;
-
- inline$BDLGetDebugLevel$440$start#1:
- goto inline$BDLGetDebugLevel$440$label_3#1;
-
- inline$BDLGetDebugLevel$440$label_3#1:
- havoc inline$BDLGetDebugLevel$440$myNondetVar_0;
- inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$440$myNondetVar_0;
- goto inline$BDLGetDebugLevel$440$label_1#1;
-
- inline$BDLGetDebugLevel$440$label_1#1:
- goto inline$BDLGetDebugLevel$440$Return#1;
-
- inline$BDLGetDebugLevel$440$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon38_Then#1, inline$BDLSystemPowerIoCompletion$16$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_28_true#1, inline$BDLSystemPowerIoCompletion$16$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_32#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_33#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_34#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$16$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_29#1:
- goto inline$BDLGetDebugLevel$441$Entry#1;
-
- inline$BDLGetDebugLevel$441$Entry#1:
- goto inline$BDLGetDebugLevel$441$start#1;
-
- inline$BDLGetDebugLevel$441$start#1:
- goto inline$BDLGetDebugLevel$441$label_3#1;
-
- inline$BDLGetDebugLevel$441$label_3#1:
- havoc inline$BDLGetDebugLevel$441$myNondetVar_0;
- inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$441$myNondetVar_0;
- goto inline$BDLGetDebugLevel$441$label_1#1;
-
- inline$BDLGetDebugLevel$441$label_1#1:
- goto inline$BDLGetDebugLevel$441$Return#1;
-
- inline$BDLGetDebugLevel$441$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon39_Then#1, inline$BDLSystemPowerIoCompletion$16$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_38_true#1, inline$BDLSystemPowerIoCompletion$16$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_39_true#1, inline$BDLSystemPowerIoCompletion$16$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$16$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$16$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$16$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_106_true#1, inline$BDLSystemPowerIoCompletion$16$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_142#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_143#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$16$myNondetVar_0, inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$16$anon50_Then#1, inline$BDLSystemPowerIoCompletion$16$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_112#1:
- inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$16$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_113_true#1, inline$BDLSystemPowerIoCompletion$16$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$16$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_117#1:
- goto inline$BDLGetDebugLevel$448$Entry#1;
-
- inline$BDLGetDebugLevel$448$Entry#1:
- goto inline$BDLGetDebugLevel$448$start#1;
-
- inline$BDLGetDebugLevel$448$start#1:
- goto inline$BDLGetDebugLevel$448$label_3#1;
-
- inline$BDLGetDebugLevel$448$label_3#1:
- havoc inline$BDLGetDebugLevel$448$myNondetVar_0;
- inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$448$myNondetVar_0;
- goto inline$BDLGetDebugLevel$448$label_1#1;
-
- inline$BDLGetDebugLevel$448$label_1#1:
- goto inline$BDLGetDebugLevel$448$Return#1;
-
- inline$BDLGetDebugLevel$448$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon51_Then#1, inline$BDLSystemPowerIoCompletion$16$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_120_true#1, inline$BDLSystemPowerIoCompletion$16$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_124#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_125#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_126#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$16$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_121#1:
- goto inline$BDLGetDebugLevel$449$Entry#1;
-
- inline$BDLGetDebugLevel$449$Entry#1:
- goto inline$BDLGetDebugLevel$449$start#1;
-
- inline$BDLGetDebugLevel$449$start#1:
- goto inline$BDLGetDebugLevel$449$label_3#1;
-
- inline$BDLGetDebugLevel$449$label_3#1:
- havoc inline$BDLGetDebugLevel$449$myNondetVar_0;
- inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$449$myNondetVar_0;
- goto inline$BDLGetDebugLevel$449$label_1#1;
-
- inline$BDLGetDebugLevel$449$label_1#1:
- goto inline$BDLGetDebugLevel$449$Return#1;
-
- inline$BDLGetDebugLevel$449$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon52_Then#1, inline$BDLSystemPowerIoCompletion$16$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_130_true#1, inline$BDLSystemPowerIoCompletion$16$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_134#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_135#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_136#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$16$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_131#1:
- goto inline$BDLGetDebugLevel$450$Entry#1;
-
- inline$BDLGetDebugLevel$450$Entry#1:
- goto inline$BDLGetDebugLevel$450$start#1;
-
- inline$BDLGetDebugLevel$450$start#1:
- goto inline$BDLGetDebugLevel$450$label_3#1;
-
- inline$BDLGetDebugLevel$450$label_3#1:
- havoc inline$BDLGetDebugLevel$450$myNondetVar_0;
- inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$450$myNondetVar_0;
- goto inline$BDLGetDebugLevel$450$label_1#1;
-
- inline$BDLGetDebugLevel$450$label_1#1:
- goto inline$BDLGetDebugLevel$450$Return#1;
-
- inline$BDLGetDebugLevel$450$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon53_Then#1, inline$BDLSystemPowerIoCompletion$16$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_140_true#1, inline$BDLSystemPowerIoCompletion$16$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$16$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_115#1:
- inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$16$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$16$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_43#1:
- goto inline$BDLGetDebugLevel$442$Entry#1;
-
- inline$BDLGetDebugLevel$442$Entry#1:
- goto inline$BDLGetDebugLevel$442$start#1;
-
- inline$BDLGetDebugLevel$442$start#1:
- goto inline$BDLGetDebugLevel$442$label_3#1;
-
- inline$BDLGetDebugLevel$442$label_3#1:
- havoc inline$BDLGetDebugLevel$442$myNondetVar_0;
- inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$442$myNondetVar_0;
- goto inline$BDLGetDebugLevel$442$label_1#1;
-
- inline$BDLGetDebugLevel$442$label_1#1:
- goto inline$BDLGetDebugLevel$442$Return#1;
-
- inline$BDLGetDebugLevel$442$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon40_Then#1, inline$BDLSystemPowerIoCompletion$16$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_46_true#1, inline$BDLSystemPowerIoCompletion$16$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_50#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_51#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_52#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$16$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_47#1:
- goto inline$BDLGetDebugLevel$443$Entry#1;
-
- inline$BDLGetDebugLevel$443$Entry#1:
- goto inline$BDLGetDebugLevel$443$start#1;
-
- inline$BDLGetDebugLevel$443$start#1:
- goto inline$BDLGetDebugLevel$443$label_3#1;
-
- inline$BDLGetDebugLevel$443$label_3#1:
- havoc inline$BDLGetDebugLevel$443$myNondetVar_0;
- inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$443$myNondetVar_0;
- goto inline$BDLGetDebugLevel$443$label_1#1;
-
- inline$BDLGetDebugLevel$443$label_1#1:
- goto inline$BDLGetDebugLevel$443$Return#1;
-
- inline$BDLGetDebugLevel$443$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon41_Then#1, inline$BDLSystemPowerIoCompletion$16$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_56_true#1, inline$BDLSystemPowerIoCompletion$16$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_60#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_61#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_62#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$16$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_57#1:
- goto inline$BDLGetDebugLevel$444$Entry#1;
-
- inline$BDLGetDebugLevel$444$Entry#1:
- goto inline$BDLGetDebugLevel$444$start#1;
-
- inline$BDLGetDebugLevel$444$start#1:
- goto inline$BDLGetDebugLevel$444$label_3#1;
-
- inline$BDLGetDebugLevel$444$label_3#1:
- havoc inline$BDLGetDebugLevel$444$myNondetVar_0;
- inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$444$myNondetVar_0;
- goto inline$BDLGetDebugLevel$444$label_1#1;
-
- inline$BDLGetDebugLevel$444$label_1#1:
- goto inline$BDLGetDebugLevel$444$Return#1;
-
- inline$BDLGetDebugLevel$444$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon42_Then#1, inline$BDLSystemPowerIoCompletion$16$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_66_true#1, inline$BDLSystemPowerIoCompletion$16$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$16$anon43_Then#1, inline$BDLSystemPowerIoCompletion$16$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$16$anon44_Then#1, inline$BDLSystemPowerIoCompletion$16$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_74#1:
- goto inline$storm_IoCompleteRequest$34$Entry#1;
-
- inline$storm_IoCompleteRequest$34$Entry#1:
- inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$34$start#1;
-
- inline$storm_IoCompleteRequest$34$start#1:
- inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$34$label_3#1;
-
- inline$storm_IoCompleteRequest$34$label_3#1:
- call inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$34$label_6#1;
-
- inline$storm_IoCompleteRequest$34$label_6#1:
- goto inline$storm_IoCompleteRequest$34$label_6_true#1, inline$storm_IoCompleteRequest$34$label_6_false#1;
-
- inline$storm_IoCompleteRequest$34$label_6_false#1:
- assume inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$34$label_7#1;
-
- inline$storm_IoCompleteRequest$34$label_6_true#1:
- assume inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$34$label_8#1;
-
- inline$storm_IoCompleteRequest$34$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$34$anon3_Then#1, inline$storm_IoCompleteRequest$34$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$34$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$34$anon1#1;
-
- inline$storm_IoCompleteRequest$34$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$34$label_9#1;
-
- inline$storm_IoCompleteRequest$34$label_9#1:
- goto inline$storm_IoCompleteRequest$34$label_9_true#1, inline$storm_IoCompleteRequest$34$label_9_false#1;
-
- inline$storm_IoCompleteRequest$34$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$34$label_10#1;
-
- inline$storm_IoCompleteRequest$34$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$34$label_1#1;
-
- inline$storm_IoCompleteRequest$34$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$34$label_7#1;
-
- inline$storm_IoCompleteRequest$34$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$34$label_1#1;
-
- inline$storm_IoCompleteRequest$34$label_1#1:
- goto inline$storm_IoCompleteRequest$34$Return#1;
-
- inline$storm_IoCompleteRequest$34$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$34$Return#1;
-
- inline$storm_IoCompleteRequest$34$Return#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon45_Then#1, inline$BDLSystemPowerIoCompletion$16$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$16$anon46_Then#1, inline$BDLSystemPowerIoCompletion$16$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_80#1:
- goto inline$BDLGetDebugLevel$445$Entry#1;
-
- inline$BDLGetDebugLevel$445$Entry#1:
- goto inline$BDLGetDebugLevel$445$start#1;
-
- inline$BDLGetDebugLevel$445$start#1:
- goto inline$BDLGetDebugLevel$445$label_3#1;
-
- inline$BDLGetDebugLevel$445$label_3#1:
- havoc inline$BDLGetDebugLevel$445$myNondetVar_0;
- inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$445$myNondetVar_0;
- goto inline$BDLGetDebugLevel$445$label_1#1;
-
- inline$BDLGetDebugLevel$445$label_1#1:
- goto inline$BDLGetDebugLevel$445$Return#1;
-
- inline$BDLGetDebugLevel$445$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon47_Then#1, inline$BDLSystemPowerIoCompletion$16$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_83_true#1, inline$BDLSystemPowerIoCompletion$16$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_87#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_88#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_89#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$16$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_84#1:
- goto inline$BDLGetDebugLevel$446$Entry#1;
-
- inline$BDLGetDebugLevel$446$Entry#1:
- goto inline$BDLGetDebugLevel$446$start#1;
-
- inline$BDLGetDebugLevel$446$start#1:
- goto inline$BDLGetDebugLevel$446$label_3#1;
-
- inline$BDLGetDebugLevel$446$label_3#1:
- havoc inline$BDLGetDebugLevel$446$myNondetVar_0;
- inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$446$myNondetVar_0;
- goto inline$BDLGetDebugLevel$446$label_1#1;
-
- inline$BDLGetDebugLevel$446$label_1#1:
- goto inline$BDLGetDebugLevel$446$Return#1;
-
- inline$BDLGetDebugLevel$446$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon48_Then#1, inline$BDLSystemPowerIoCompletion$16$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_93_true#1, inline$BDLSystemPowerIoCompletion$16$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_97#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_98#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_99#1:
- call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$16$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$16$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_94#1:
- goto inline$BDLGetDebugLevel$447$Entry#1;
-
- inline$BDLGetDebugLevel$447$Entry#1:
- goto inline$BDLGetDebugLevel$447$start#1;
-
- inline$BDLGetDebugLevel$447$start#1:
- goto inline$BDLGetDebugLevel$447$label_3#1;
-
- inline$BDLGetDebugLevel$447$label_3#1:
- havoc inline$BDLGetDebugLevel$447$myNondetVar_0;
- inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$447$myNondetVar_0;
- goto inline$BDLGetDebugLevel$447$label_1#1;
-
- inline$BDLGetDebugLevel$447$label_1#1:
- goto inline$BDLGetDebugLevel$447$Return#1;
-
- inline$BDLGetDebugLevel$447$Return#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$16$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$16$anon49_Then#1, inline$BDLSystemPowerIoCompletion$16$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_103_true#1, inline$BDLSystemPowerIoCompletion$16$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$16$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$16$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_104#1:
- inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$16$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$16$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$16$Return#1;
-
- inline$BDLSystemPowerIoCompletion$16$Return#1:
- inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$16$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$16$anon14_Then#1, inline$CallCompletionRoutine$16$anon14_Else#1;
-
- inline$CallCompletionRoutine$16$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$16$anon9#1;
-
- inline$CallCompletionRoutine$16$anon9#1:
- goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$16$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$16$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$16$Entry#1:
- inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$16$start#1;
-
- inline$BDLDevicePowerIoCompletion$16$start#1:
- call inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$16$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_4#1:
- inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_6#1:
- inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$16$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$16$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$86$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$86$Entry#1:
- inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$86$start#1;
-
- inline$IoGetCurrentIrpStackLocation$86$start#1:
- inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$86$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$86$label_3_true#1, inline$IoGetCurrentIrpStackLocation$86$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$86$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$86$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$86$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$86$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$86$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$86$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$86$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$86$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$86$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$86$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$86$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$86$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$86$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$86$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$86$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$86$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$86$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon30_Then#1, inline$BDLDevicePowerIoCompletion$16$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_13#1:
- inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$16$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$16$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_17#1:
- goto inline$BDLGetDebugLevel$430$Entry#1;
-
- inline$BDLGetDebugLevel$430$Entry#1:
- goto inline$BDLGetDebugLevel$430$start#1;
-
- inline$BDLGetDebugLevel$430$start#1:
- goto inline$BDLGetDebugLevel$430$label_3#1;
-
- inline$BDLGetDebugLevel$430$label_3#1:
- havoc inline$BDLGetDebugLevel$430$myNondetVar_0;
- inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$430$myNondetVar_0;
- goto inline$BDLGetDebugLevel$430$label_1#1;
-
- inline$BDLGetDebugLevel$430$label_1#1:
- goto inline$BDLGetDebugLevel$430$Return#1;
-
- inline$BDLGetDebugLevel$430$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon31_Then#1, inline$BDLDevicePowerIoCompletion$16$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_20_true#1, inline$BDLDevicePowerIoCompletion$16$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_24#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_25#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_26#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$16$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_21#1:
- goto inline$BDLGetDebugLevel$431$Entry#1;
-
- inline$BDLGetDebugLevel$431$Entry#1:
- goto inline$BDLGetDebugLevel$431$start#1;
-
- inline$BDLGetDebugLevel$431$start#1:
- goto inline$BDLGetDebugLevel$431$label_3#1;
-
- inline$BDLGetDebugLevel$431$label_3#1:
- havoc inline$BDLGetDebugLevel$431$myNondetVar_0;
- inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$431$myNondetVar_0;
- goto inline$BDLGetDebugLevel$431$label_1#1;
-
- inline$BDLGetDebugLevel$431$label_1#1:
- goto inline$BDLGetDebugLevel$431$Return#1;
-
- inline$BDLGetDebugLevel$431$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon32_Then#1, inline$BDLDevicePowerIoCompletion$16$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_30_true#1, inline$BDLDevicePowerIoCompletion$16$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_34#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_35#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_36#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$16$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_31#1:
- goto inline$BDLGetDebugLevel$432$Entry#1;
-
- inline$BDLGetDebugLevel$432$Entry#1:
- goto inline$BDLGetDebugLevel$432$start#1;
-
- inline$BDLGetDebugLevel$432$start#1:
- goto inline$BDLGetDebugLevel$432$label_3#1;
-
- inline$BDLGetDebugLevel$432$label_3#1:
- havoc inline$BDLGetDebugLevel$432$myNondetVar_0;
- inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$432$myNondetVar_0;
- goto inline$BDLGetDebugLevel$432$label_1#1;
-
- inline$BDLGetDebugLevel$432$label_1#1:
- goto inline$BDLGetDebugLevel$432$Return#1;
-
- inline$BDLGetDebugLevel$432$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon33_Then#1, inline$BDLDevicePowerIoCompletion$16$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_40_true#1, inline$BDLDevicePowerIoCompletion$16$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_41_true#1, inline$BDLDevicePowerIoCompletion$16$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$16$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$16$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_44_true#1, inline$BDLDevicePowerIoCompletion$16$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$16$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_45_true#1, inline$BDLDevicePowerIoCompletion$16$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$16$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$16$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$16$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$16$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$16$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_54#1:
- inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$16$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_55_true#1, inline$BDLDevicePowerIoCompletion$16$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_56#1:
- call inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$16$anon34_Then#1, inline$BDLDevicePowerIoCompletion$16$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_59#1:
- goto inline$BDLGetDebugLevel$433$Entry#1;
-
- inline$BDLGetDebugLevel$433$Entry#1:
- goto inline$BDLGetDebugLevel$433$start#1;
-
- inline$BDLGetDebugLevel$433$start#1:
- goto inline$BDLGetDebugLevel$433$label_3#1;
-
- inline$BDLGetDebugLevel$433$label_3#1:
- havoc inline$BDLGetDebugLevel$433$myNondetVar_0;
- inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$433$myNondetVar_0;
- goto inline$BDLGetDebugLevel$433$label_1#1;
-
- inline$BDLGetDebugLevel$433$label_1#1:
- goto inline$BDLGetDebugLevel$433$Return#1;
-
- inline$BDLGetDebugLevel$433$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon35_Then#1, inline$BDLDevicePowerIoCompletion$16$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_62_true#1, inline$BDLDevicePowerIoCompletion$16$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_66#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_67#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_68#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$16$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_63#1:
- goto inline$BDLGetDebugLevel$434$Entry#1;
-
- inline$BDLGetDebugLevel$434$Entry#1:
- goto inline$BDLGetDebugLevel$434$start#1;
-
- inline$BDLGetDebugLevel$434$start#1:
- goto inline$BDLGetDebugLevel$434$label_3#1;
-
- inline$BDLGetDebugLevel$434$label_3#1:
- havoc inline$BDLGetDebugLevel$434$myNondetVar_0;
- inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$434$myNondetVar_0;
- goto inline$BDLGetDebugLevel$434$label_1#1;
-
- inline$BDLGetDebugLevel$434$label_1#1:
- goto inline$BDLGetDebugLevel$434$Return#1;
-
- inline$BDLGetDebugLevel$434$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon36_Then#1, inline$BDLDevicePowerIoCompletion$16$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_72_true#1, inline$BDLDevicePowerIoCompletion$16$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_76#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_77#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_78#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$16$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_73#1:
- goto inline$BDLGetDebugLevel$435$Entry#1;
-
- inline$BDLGetDebugLevel$435$Entry#1:
- goto inline$BDLGetDebugLevel$435$start#1;
-
- inline$BDLGetDebugLevel$435$start#1:
- goto inline$BDLGetDebugLevel$435$label_3#1;
-
- inline$BDLGetDebugLevel$435$label_3#1:
- havoc inline$BDLGetDebugLevel$435$myNondetVar_0;
- inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$435$myNondetVar_0;
- goto inline$BDLGetDebugLevel$435$label_1#1;
-
- inline$BDLGetDebugLevel$435$label_1#1:
- goto inline$BDLGetDebugLevel$435$Return#1;
-
- inline$BDLGetDebugLevel$435$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon37_Then#1, inline$BDLDevicePowerIoCompletion$16$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_82_true#1, inline$BDLDevicePowerIoCompletion$16$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$16$anon38_Then#1, inline$BDLDevicePowerIoCompletion$16$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$16$anon39_Then#1, inline$BDLDevicePowerIoCompletion$16$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_92#1:
- goto inline$storm_IoCompleteRequest$33$Entry#1;
-
- inline$storm_IoCompleteRequest$33$Entry#1:
- inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$33$start#1;
-
- inline$storm_IoCompleteRequest$33$start#1:
- inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$33$label_3#1;
-
- inline$storm_IoCompleteRequest$33$label_3#1:
- call inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$33$label_6#1;
-
- inline$storm_IoCompleteRequest$33$label_6#1:
- goto inline$storm_IoCompleteRequest$33$label_6_true#1, inline$storm_IoCompleteRequest$33$label_6_false#1;
-
- inline$storm_IoCompleteRequest$33$label_6_false#1:
- assume inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$33$label_7#1;
-
- inline$storm_IoCompleteRequest$33$label_6_true#1:
- assume inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$33$label_8#1;
-
- inline$storm_IoCompleteRequest$33$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$33$anon3_Then#1, inline$storm_IoCompleteRequest$33$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$33$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$33$anon1#1;
-
- inline$storm_IoCompleteRequest$33$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$33$label_9#1;
-
- inline$storm_IoCompleteRequest$33$label_9#1:
- goto inline$storm_IoCompleteRequest$33$label_9_true#1, inline$storm_IoCompleteRequest$33$label_9_false#1;
-
- inline$storm_IoCompleteRequest$33$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$33$label_10#1;
-
- inline$storm_IoCompleteRequest$33$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$33$label_1#1;
-
- inline$storm_IoCompleteRequest$33$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$33$label_7#1;
-
- inline$storm_IoCompleteRequest$33$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$33$label_1#1;
-
- inline$storm_IoCompleteRequest$33$label_1#1:
- goto inline$storm_IoCompleteRequest$33$Return#1;
-
- inline$storm_IoCompleteRequest$33$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$33$Return#1;
-
- inline$storm_IoCompleteRequest$33$Return#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon40_Then#1, inline$BDLDevicePowerIoCompletion$16$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$16$anon41_Then#1, inline$BDLDevicePowerIoCompletion$16$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_98#1:
- goto inline$BDLGetDebugLevel$436$Entry#1;
-
- inline$BDLGetDebugLevel$436$Entry#1:
- goto inline$BDLGetDebugLevel$436$start#1;
-
- inline$BDLGetDebugLevel$436$start#1:
- goto inline$BDLGetDebugLevel$436$label_3#1;
-
- inline$BDLGetDebugLevel$436$label_3#1:
- havoc inline$BDLGetDebugLevel$436$myNondetVar_0;
- inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$436$myNondetVar_0;
- goto inline$BDLGetDebugLevel$436$label_1#1;
-
- inline$BDLGetDebugLevel$436$label_1#1:
- goto inline$BDLGetDebugLevel$436$Return#1;
-
- inline$BDLGetDebugLevel$436$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon42_Then#1, inline$BDLDevicePowerIoCompletion$16$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_101_true#1, inline$BDLDevicePowerIoCompletion$16$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_105#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_106#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_107#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$16$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_102#1:
- goto inline$BDLGetDebugLevel$437$Entry#1;
-
- inline$BDLGetDebugLevel$437$Entry#1:
- goto inline$BDLGetDebugLevel$437$start#1;
-
- inline$BDLGetDebugLevel$437$start#1:
- goto inline$BDLGetDebugLevel$437$label_3#1;
-
- inline$BDLGetDebugLevel$437$label_3#1:
- havoc inline$BDLGetDebugLevel$437$myNondetVar_0;
- inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$437$myNondetVar_0;
- goto inline$BDLGetDebugLevel$437$label_1#1;
-
- inline$BDLGetDebugLevel$437$label_1#1:
- goto inline$BDLGetDebugLevel$437$Return#1;
-
- inline$BDLGetDebugLevel$437$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon43_Then#1, inline$BDLDevicePowerIoCompletion$16$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_111_true#1, inline$BDLDevicePowerIoCompletion$16$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_115#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_116#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_117#1:
- call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$16$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$16$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_112#1:
- goto inline$BDLGetDebugLevel$438$Entry#1;
-
- inline$BDLGetDebugLevel$438$Entry#1:
- goto inline$BDLGetDebugLevel$438$start#1;
-
- inline$BDLGetDebugLevel$438$start#1:
- goto inline$BDLGetDebugLevel$438$label_3#1;
-
- inline$BDLGetDebugLevel$438$label_3#1:
- havoc inline$BDLGetDebugLevel$438$myNondetVar_0;
- inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$438$myNondetVar_0;
- goto inline$BDLGetDebugLevel$438$label_1#1;
-
- inline$BDLGetDebugLevel$438$label_1#1:
- goto inline$BDLGetDebugLevel$438$Return#1;
-
- inline$BDLGetDebugLevel$438$Return#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$16$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$16$anon44_Then#1, inline$BDLDevicePowerIoCompletion$16$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_121_true#1, inline$BDLDevicePowerIoCompletion$16$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$16$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$16$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_122#1:
- inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$16$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$16$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$16$Return#1;
-
- inline$BDLDevicePowerIoCompletion$16$Return#1:
- inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$16$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$16$anon13_Then#1, inline$CallCompletionRoutine$16$anon13_Else#1;
-
- inline$CallCompletionRoutine$16$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$16$anon7#1;
-
- inline$CallCompletionRoutine$16$anon7#1:
- goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$16$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$16$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$16$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$16$Entry#1:
- inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$16$start#1;
-
- inline$BDLCallDriverCompletionRoutine$16$start#1:
- inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$16$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$16$label_3_true#1, inline$BDLCallDriverCompletionRoutine$16$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$16$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$16$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$16$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$16$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_6#1:
- goto inline$storm_KeSetEvent$18$Entry#1;
-
- inline$storm_KeSetEvent$18$Entry#1:
- inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$18$start#1;
-
- inline$storm_KeSetEvent$18$start#1:
- inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$18$label_3#1;
-
- inline$storm_KeSetEvent$18$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$18$label_1#1;
-
- inline$storm_KeSetEvent$18$label_1#1:
- goto inline$storm_KeSetEvent$18$Return#1;
-
- inline$storm_KeSetEvent$18$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$16$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$16$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$16$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$16$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$16$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$16$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$16$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_9#1:
- inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$16$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$16$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$16$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$16$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$16$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$16$Return#1:
- inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$16$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$16$anon12_Then#1, inline$CallCompletionRoutine$16$anon12_Else#1;
-
- inline$CallCompletionRoutine$16$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$16$anon5#1;
-
- inline$CallCompletionRoutine$16$anon5#1:
- goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$16$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$16$label_23#1;
-
- inline$CallCompletionRoutine$16$label_23#1:
- inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$16$label_24#1;
-
- inline$CallCompletionRoutine$16$label_24#1:
- goto inline$CallCompletionRoutine$16$label_24_true#1, inline$CallCompletionRoutine$16$label_24_false#1;
-
- inline$CallCompletionRoutine$16$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$16$label_1#1;
-
- inline$CallCompletionRoutine$16$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$16$label_25#1;
-
- inline$CallCompletionRoutine$16$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$16$label_1#1;
-
- inline$CallCompletionRoutine$16$label_1#1:
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$16$Return#1;
-
- inline$CallCompletionRoutine$16$Return#1:
- goto inline$storm_IoCallDriver$8$label_33$1#1;
-
- inline$storm_IoCallDriver$8$label_33$1#1:
- goto inline$storm_IoCallDriver$8$anon14_Then#1, inline$storm_IoCallDriver$8$anon14_Else#1;
-
- inline$storm_IoCallDriver$8$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$8$anon8#1;
-
- inline$storm_IoCallDriver$8$anon8#1:
- goto inline$storm_IoCallDriver$8$label_36#1;
-
- inline$storm_IoCallDriver$8$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$label_27_case_1#1:
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$8$label_29#1;
-
- inline$storm_IoCallDriver$8$label_29#1:
- inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$8$label_37#1;
-
- inline$storm_IoCallDriver$8$label_37#1:
- goto inline$storm_IoCallDriver$8$label_38#1;
-
- inline$storm_IoCallDriver$8$label_38#1:
- goto inline$storm_IoCallDriver$8$label_39#1;
-
- inline$storm_IoCallDriver$8$label_39#1:
- goto inline$CallCompletionRoutine$17$Entry#1;
-
- inline$CallCompletionRoutine$17$Entry#1:
- inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$17$start#1;
-
- inline$CallCompletionRoutine$17$start#1:
- inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$17$label_3#1;
-
- inline$CallCompletionRoutine$17$label_3#1:
- goto inline$CallCompletionRoutine$17$label_4#1;
-
- inline$CallCompletionRoutine$17$label_4#1:
- goto inline$CallCompletionRoutine$17$label_5#1;
-
- inline$CallCompletionRoutine$17$label_5#1:
- goto inline$CallCompletionRoutine$17$label_6#1;
-
- inline$CallCompletionRoutine$17$label_6#1:
- goto inline$CallCompletionRoutine$17$label_7#1;
-
- inline$CallCompletionRoutine$17$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$88$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$88$Entry#1:
- inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$88$start#1;
-
- inline$IoGetCurrentIrpStackLocation$88$start#1:
- inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$88$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$88$label_3_true#1, inline$IoGetCurrentIrpStackLocation$88$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$88$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$88$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$88$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$88$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$88$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$88$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$88$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$88$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$88$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$88$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$88$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$88$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$88$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$88$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$88$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$88$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$88$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$88$Return#1:
- goto inline$CallCompletionRoutine$17$label_7$1#1;
-
- inline$CallCompletionRoutine$17$label_7$1#1:
- goto inline$CallCompletionRoutine$17$anon10_Then#1, inline$CallCompletionRoutine$17$anon10_Else#1;
-
- inline$CallCompletionRoutine$17$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$17$anon1#1;
-
- inline$CallCompletionRoutine$17$anon1#1:
- goto inline$CallCompletionRoutine$17$label_10#1;
-
- inline$CallCompletionRoutine$17$label_10#1:
- goto inline$CallCompletionRoutine$17$label_11#1;
-
- inline$CallCompletionRoutine$17$label_11#1:
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$CallCompletionRoutine$17$label_12#1;
-
- inline$CallCompletionRoutine$17$label_12#1:
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$CallCompletionRoutine$17$label_13#1;
-
- inline$CallCompletionRoutine$17$label_13#1:
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$CallCompletionRoutine$17$label_14#1;
-
- inline$CallCompletionRoutine$17$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$89$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$89$Entry#1:
- inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$89$start#1;
-
- inline$IoGetCurrentIrpStackLocation$89$start#1:
- inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$89$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$89$label_3_true#1, inline$IoGetCurrentIrpStackLocation$89$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$89$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$89$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$89$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$89$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$89$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$89$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$89$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$89$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$89$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$89$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$89$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$89$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$89$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$89$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$89$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$89$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$89$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$89$Return#1:
- goto inline$CallCompletionRoutine$17$label_14$1#1;
-
- inline$CallCompletionRoutine$17$label_14$1#1:
- goto inline$CallCompletionRoutine$17$anon11_Then#1, inline$CallCompletionRoutine$17$anon11_Else#1;
-
- inline$CallCompletionRoutine$17$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$17$anon3#1;
-
- inline$CallCompletionRoutine$17$anon3#1:
- goto inline$CallCompletionRoutine$17$label_17#1;
-
- inline$CallCompletionRoutine$17$label_17#1:
- goto inline$CallCompletionRoutine$17$label_18#1;
-
- inline$CallCompletionRoutine$17$label_18#1:
- goto inline$CallCompletionRoutine$17$label_18_true#1, inline$CallCompletionRoutine$17$label_18_false#1;
-
- inline$CallCompletionRoutine$17$label_18_false#1:
- assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$17$label_1#1;
-
- inline$CallCompletionRoutine$17$label_18_true#1:
- assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$17$label_19#1;
-
- inline$CallCompletionRoutine$17$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$17$label_20#1;
-
- inline$CallCompletionRoutine$17$label_20#1:
- goto inline$CallCompletionRoutine$17$label_20_icall_1#1, inline$CallCompletionRoutine$17$label_20_icall_2#1, inline$CallCompletionRoutine$17$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$17$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$17$Entry#1:
- inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$17$start#1;
-
- inline$BDLSystemPowerIoCompletion$17$start#1:
- call inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$17$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_4#1:
- inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_6#1:
- inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$17$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$17$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$91$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$91$Entry#1:
- inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$91$start#1;
-
- inline$IoGetCurrentIrpStackLocation$91$start#1:
- inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$91$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$91$label_3_true#1, inline$IoGetCurrentIrpStackLocation$91$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$91$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$91$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$91$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$91$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$91$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$91$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$91$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$91$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$91$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$91$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$91$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$91$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$91$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$91$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$91$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$91$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$91$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$91$Return#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon36_Then#1, inline$BDLSystemPowerIoCompletion$17$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_15#1:
- goto inline$BDLGetDebugLevel$460$Entry#1;
-
- inline$BDLGetDebugLevel$460$Entry#1:
- goto inline$BDLGetDebugLevel$460$start#1;
-
- inline$BDLGetDebugLevel$460$start#1:
- goto inline$BDLGetDebugLevel$460$label_3#1;
-
- inline$BDLGetDebugLevel$460$label_3#1:
- havoc inline$BDLGetDebugLevel$460$myNondetVar_0;
- inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$460$myNondetVar_0;
- goto inline$BDLGetDebugLevel$460$label_1#1;
-
- inline$BDLGetDebugLevel$460$label_1#1:
- goto inline$BDLGetDebugLevel$460$Return#1;
-
- inline$BDLGetDebugLevel$460$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon37_Then#1, inline$BDLSystemPowerIoCompletion$17$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_18_true#1, inline$BDLSystemPowerIoCompletion$17$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_22#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_23#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_24#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$17$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_19#1:
- goto inline$BDLGetDebugLevel$461$Entry#1;
-
- inline$BDLGetDebugLevel$461$Entry#1:
- goto inline$BDLGetDebugLevel$461$start#1;
-
- inline$BDLGetDebugLevel$461$start#1:
- goto inline$BDLGetDebugLevel$461$label_3#1;
-
- inline$BDLGetDebugLevel$461$label_3#1:
- havoc inline$BDLGetDebugLevel$461$myNondetVar_0;
- inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$461$myNondetVar_0;
- goto inline$BDLGetDebugLevel$461$label_1#1;
-
- inline$BDLGetDebugLevel$461$label_1#1:
- goto inline$BDLGetDebugLevel$461$Return#1;
-
- inline$BDLGetDebugLevel$461$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon38_Then#1, inline$BDLSystemPowerIoCompletion$17$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_28_true#1, inline$BDLSystemPowerIoCompletion$17$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_32#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_33#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_34#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$17$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_29#1:
- goto inline$BDLGetDebugLevel$462$Entry#1;
-
- inline$BDLGetDebugLevel$462$Entry#1:
- goto inline$BDLGetDebugLevel$462$start#1;
-
- inline$BDLGetDebugLevel$462$start#1:
- goto inline$BDLGetDebugLevel$462$label_3#1;
-
- inline$BDLGetDebugLevel$462$label_3#1:
- havoc inline$BDLGetDebugLevel$462$myNondetVar_0;
- inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$462$myNondetVar_0;
- goto inline$BDLGetDebugLevel$462$label_1#1;
-
- inline$BDLGetDebugLevel$462$label_1#1:
- goto inline$BDLGetDebugLevel$462$Return#1;
-
- inline$BDLGetDebugLevel$462$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon39_Then#1, inline$BDLSystemPowerIoCompletion$17$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_38_true#1, inline$BDLSystemPowerIoCompletion$17$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_39_true#1, inline$BDLSystemPowerIoCompletion$17$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$17$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$17$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$17$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_106_true#1, inline$BDLSystemPowerIoCompletion$17$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_142#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_143#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$17$myNondetVar_0, inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$17$anon50_Then#1, inline$BDLSystemPowerIoCompletion$17$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_112#1:
- inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$17$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_113_true#1, inline$BDLSystemPowerIoCompletion$17$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$17$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_117#1:
- goto inline$BDLGetDebugLevel$469$Entry#1;
-
- inline$BDLGetDebugLevel$469$Entry#1:
- goto inline$BDLGetDebugLevel$469$start#1;
-
- inline$BDLGetDebugLevel$469$start#1:
- goto inline$BDLGetDebugLevel$469$label_3#1;
-
- inline$BDLGetDebugLevel$469$label_3#1:
- havoc inline$BDLGetDebugLevel$469$myNondetVar_0;
- inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$469$myNondetVar_0;
- goto inline$BDLGetDebugLevel$469$label_1#1;
-
- inline$BDLGetDebugLevel$469$label_1#1:
- goto inline$BDLGetDebugLevel$469$Return#1;
-
- inline$BDLGetDebugLevel$469$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon51_Then#1, inline$BDLSystemPowerIoCompletion$17$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_120_true#1, inline$BDLSystemPowerIoCompletion$17$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_124#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_125#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_126#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$17$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_121#1:
- goto inline$BDLGetDebugLevel$470$Entry#1;
-
- inline$BDLGetDebugLevel$470$Entry#1:
- goto inline$BDLGetDebugLevel$470$start#1;
-
- inline$BDLGetDebugLevel$470$start#1:
- goto inline$BDLGetDebugLevel$470$label_3#1;
-
- inline$BDLGetDebugLevel$470$label_3#1:
- havoc inline$BDLGetDebugLevel$470$myNondetVar_0;
- inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$470$myNondetVar_0;
- goto inline$BDLGetDebugLevel$470$label_1#1;
-
- inline$BDLGetDebugLevel$470$label_1#1:
- goto inline$BDLGetDebugLevel$470$Return#1;
-
- inline$BDLGetDebugLevel$470$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon52_Then#1, inline$BDLSystemPowerIoCompletion$17$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_130_true#1, inline$BDLSystemPowerIoCompletion$17$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_134#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_135#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_136#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$17$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_131#1:
- goto inline$BDLGetDebugLevel$471$Entry#1;
-
- inline$BDLGetDebugLevel$471$Entry#1:
- goto inline$BDLGetDebugLevel$471$start#1;
-
- inline$BDLGetDebugLevel$471$start#1:
- goto inline$BDLGetDebugLevel$471$label_3#1;
-
- inline$BDLGetDebugLevel$471$label_3#1:
- havoc inline$BDLGetDebugLevel$471$myNondetVar_0;
- inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$471$myNondetVar_0;
- goto inline$BDLGetDebugLevel$471$label_1#1;
-
- inline$BDLGetDebugLevel$471$label_1#1:
- goto inline$BDLGetDebugLevel$471$Return#1;
-
- inline$BDLGetDebugLevel$471$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon53_Then#1, inline$BDLSystemPowerIoCompletion$17$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_140_true#1, inline$BDLSystemPowerIoCompletion$17$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$17$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_115#1:
- inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$17$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$17$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_43#1:
- goto inline$BDLGetDebugLevel$463$Entry#1;
-
- inline$BDLGetDebugLevel$463$Entry#1:
- goto inline$BDLGetDebugLevel$463$start#1;
-
- inline$BDLGetDebugLevel$463$start#1:
- goto inline$BDLGetDebugLevel$463$label_3#1;
-
- inline$BDLGetDebugLevel$463$label_3#1:
- havoc inline$BDLGetDebugLevel$463$myNondetVar_0;
- inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$463$myNondetVar_0;
- goto inline$BDLGetDebugLevel$463$label_1#1;
-
- inline$BDLGetDebugLevel$463$label_1#1:
- goto inline$BDLGetDebugLevel$463$Return#1;
-
- inline$BDLGetDebugLevel$463$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon40_Then#1, inline$BDLSystemPowerIoCompletion$17$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_46_true#1, inline$BDLSystemPowerIoCompletion$17$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_50#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_51#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_52#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$17$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_47#1:
- goto inline$BDLGetDebugLevel$464$Entry#1;
-
- inline$BDLGetDebugLevel$464$Entry#1:
- goto inline$BDLGetDebugLevel$464$start#1;
-
- inline$BDLGetDebugLevel$464$start#1:
- goto inline$BDLGetDebugLevel$464$label_3#1;
-
- inline$BDLGetDebugLevel$464$label_3#1:
- havoc inline$BDLGetDebugLevel$464$myNondetVar_0;
- inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$464$myNondetVar_0;
- goto inline$BDLGetDebugLevel$464$label_1#1;
-
- inline$BDLGetDebugLevel$464$label_1#1:
- goto inline$BDLGetDebugLevel$464$Return#1;
-
- inline$BDLGetDebugLevel$464$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon41_Then#1, inline$BDLSystemPowerIoCompletion$17$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_56_true#1, inline$BDLSystemPowerIoCompletion$17$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_60#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_61#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_62#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$17$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_57#1:
- goto inline$BDLGetDebugLevel$465$Entry#1;
-
- inline$BDLGetDebugLevel$465$Entry#1:
- goto inline$BDLGetDebugLevel$465$start#1;
-
- inline$BDLGetDebugLevel$465$start#1:
- goto inline$BDLGetDebugLevel$465$label_3#1;
-
- inline$BDLGetDebugLevel$465$label_3#1:
- havoc inline$BDLGetDebugLevel$465$myNondetVar_0;
- inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$465$myNondetVar_0;
- goto inline$BDLGetDebugLevel$465$label_1#1;
-
- inline$BDLGetDebugLevel$465$label_1#1:
- goto inline$BDLGetDebugLevel$465$Return#1;
-
- inline$BDLGetDebugLevel$465$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon42_Then#1, inline$BDLSystemPowerIoCompletion$17$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_66_true#1, inline$BDLSystemPowerIoCompletion$17$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$17$anon43_Then#1, inline$BDLSystemPowerIoCompletion$17$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$17$anon44_Then#1, inline$BDLSystemPowerIoCompletion$17$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_74#1:
- goto inline$storm_IoCompleteRequest$36$Entry#1;
-
- inline$storm_IoCompleteRequest$36$Entry#1:
- inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$36$start#1;
-
- inline$storm_IoCompleteRequest$36$start#1:
- inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$36$label_3#1;
-
- inline$storm_IoCompleteRequest$36$label_3#1:
- call inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$36$label_6#1;
-
- inline$storm_IoCompleteRequest$36$label_6#1:
- goto inline$storm_IoCompleteRequest$36$label_6_true#1, inline$storm_IoCompleteRequest$36$label_6_false#1;
-
- inline$storm_IoCompleteRequest$36$label_6_false#1:
- assume inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$36$label_7#1;
-
- inline$storm_IoCompleteRequest$36$label_6_true#1:
- assume inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$36$label_8#1;
-
- inline$storm_IoCompleteRequest$36$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$36$anon3_Then#1, inline$storm_IoCompleteRequest$36$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$36$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$36$anon1#1;
-
- inline$storm_IoCompleteRequest$36$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$36$label_9#1;
-
- inline$storm_IoCompleteRequest$36$label_9#1:
- goto inline$storm_IoCompleteRequest$36$label_9_true#1, inline$storm_IoCompleteRequest$36$label_9_false#1;
-
- inline$storm_IoCompleteRequest$36$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$36$label_10#1;
-
- inline$storm_IoCompleteRequest$36$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$36$label_1#1;
-
- inline$storm_IoCompleteRequest$36$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$36$label_7#1;
-
- inline$storm_IoCompleteRequest$36$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$36$label_1#1;
-
- inline$storm_IoCompleteRequest$36$label_1#1:
- goto inline$storm_IoCompleteRequest$36$Return#1;
-
- inline$storm_IoCompleteRequest$36$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$36$Return#1;
-
- inline$storm_IoCompleteRequest$36$Return#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon45_Then#1, inline$BDLSystemPowerIoCompletion$17$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$17$anon46_Then#1, inline$BDLSystemPowerIoCompletion$17$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_80#1:
- goto inline$BDLGetDebugLevel$466$Entry#1;
-
- inline$BDLGetDebugLevel$466$Entry#1:
- goto inline$BDLGetDebugLevel$466$start#1;
-
- inline$BDLGetDebugLevel$466$start#1:
- goto inline$BDLGetDebugLevel$466$label_3#1;
-
- inline$BDLGetDebugLevel$466$label_3#1:
- havoc inline$BDLGetDebugLevel$466$myNondetVar_0;
- inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$466$myNondetVar_0;
- goto inline$BDLGetDebugLevel$466$label_1#1;
-
- inline$BDLGetDebugLevel$466$label_1#1:
- goto inline$BDLGetDebugLevel$466$Return#1;
-
- inline$BDLGetDebugLevel$466$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon47_Then#1, inline$BDLSystemPowerIoCompletion$17$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_83_true#1, inline$BDLSystemPowerIoCompletion$17$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_87#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_88#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_89#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$17$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_84#1:
- goto inline$BDLGetDebugLevel$467$Entry#1;
-
- inline$BDLGetDebugLevel$467$Entry#1:
- goto inline$BDLGetDebugLevel$467$start#1;
-
- inline$BDLGetDebugLevel$467$start#1:
- goto inline$BDLGetDebugLevel$467$label_3#1;
-
- inline$BDLGetDebugLevel$467$label_3#1:
- havoc inline$BDLGetDebugLevel$467$myNondetVar_0;
- inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$467$myNondetVar_0;
- goto inline$BDLGetDebugLevel$467$label_1#1;
-
- inline$BDLGetDebugLevel$467$label_1#1:
- goto inline$BDLGetDebugLevel$467$Return#1;
-
- inline$BDLGetDebugLevel$467$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon48_Then#1, inline$BDLSystemPowerIoCompletion$17$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_93_true#1, inline$BDLSystemPowerIoCompletion$17$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_97#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_98#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_99#1:
- call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$17$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$17$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_94#1:
- goto inline$BDLGetDebugLevel$468$Entry#1;
-
- inline$BDLGetDebugLevel$468$Entry#1:
- goto inline$BDLGetDebugLevel$468$start#1;
-
- inline$BDLGetDebugLevel$468$start#1:
- goto inline$BDLGetDebugLevel$468$label_3#1;
-
- inline$BDLGetDebugLevel$468$label_3#1:
- havoc inline$BDLGetDebugLevel$468$myNondetVar_0;
- inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$468$myNondetVar_0;
- goto inline$BDLGetDebugLevel$468$label_1#1;
-
- inline$BDLGetDebugLevel$468$label_1#1:
- goto inline$BDLGetDebugLevel$468$Return#1;
-
- inline$BDLGetDebugLevel$468$Return#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$17$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$17$anon49_Then#1, inline$BDLSystemPowerIoCompletion$17$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_103_true#1, inline$BDLSystemPowerIoCompletion$17$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$17$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$17$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_104#1:
- inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$17$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$17$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$17$Return#1;
-
- inline$BDLSystemPowerIoCompletion$17$Return#1:
- inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$17$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$17$anon14_Then#1, inline$CallCompletionRoutine$17$anon14_Else#1;
-
- inline$CallCompletionRoutine$17$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$17$anon9#1;
-
- inline$CallCompletionRoutine$17$anon9#1:
- goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$17$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$17$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$17$Entry#1:
- inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$17$start#1;
-
- inline$BDLDevicePowerIoCompletion$17$start#1:
- call inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$17$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_4#1:
- inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_6#1:
- inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$17$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$17$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$90$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$90$Entry#1:
- inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$90$start#1;
-
- inline$IoGetCurrentIrpStackLocation$90$start#1:
- inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$90$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$90$label_3_true#1, inline$IoGetCurrentIrpStackLocation$90$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$90$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$90$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$90$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$90$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$90$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$90$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$90$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$90$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$90$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$90$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$90$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$90$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$90$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$90$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$90$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$90$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$90$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon30_Then#1, inline$BDLDevicePowerIoCompletion$17$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_13#1:
- inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$17$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$17$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_17#1:
- goto inline$BDLGetDebugLevel$451$Entry#1;
-
- inline$BDLGetDebugLevel$451$Entry#1:
- goto inline$BDLGetDebugLevel$451$start#1;
-
- inline$BDLGetDebugLevel$451$start#1:
- goto inline$BDLGetDebugLevel$451$label_3#1;
-
- inline$BDLGetDebugLevel$451$label_3#1:
- havoc inline$BDLGetDebugLevel$451$myNondetVar_0;
- inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$451$myNondetVar_0;
- goto inline$BDLGetDebugLevel$451$label_1#1;
-
- inline$BDLGetDebugLevel$451$label_1#1:
- goto inline$BDLGetDebugLevel$451$Return#1;
-
- inline$BDLGetDebugLevel$451$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon31_Then#1, inline$BDLDevicePowerIoCompletion$17$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_20_true#1, inline$BDLDevicePowerIoCompletion$17$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_24#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_25#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_26#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$17$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_21#1:
- goto inline$BDLGetDebugLevel$452$Entry#1;
-
- inline$BDLGetDebugLevel$452$Entry#1:
- goto inline$BDLGetDebugLevel$452$start#1;
-
- inline$BDLGetDebugLevel$452$start#1:
- goto inline$BDLGetDebugLevel$452$label_3#1;
-
- inline$BDLGetDebugLevel$452$label_3#1:
- havoc inline$BDLGetDebugLevel$452$myNondetVar_0;
- inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$452$myNondetVar_0;
- goto inline$BDLGetDebugLevel$452$label_1#1;
-
- inline$BDLGetDebugLevel$452$label_1#1:
- goto inline$BDLGetDebugLevel$452$Return#1;
-
- inline$BDLGetDebugLevel$452$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon32_Then#1, inline$BDLDevicePowerIoCompletion$17$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_30_true#1, inline$BDLDevicePowerIoCompletion$17$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_34#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_35#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_36#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$17$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_31#1:
- goto inline$BDLGetDebugLevel$453$Entry#1;
-
- inline$BDLGetDebugLevel$453$Entry#1:
- goto inline$BDLGetDebugLevel$453$start#1;
-
- inline$BDLGetDebugLevel$453$start#1:
- goto inline$BDLGetDebugLevel$453$label_3#1;
-
- inline$BDLGetDebugLevel$453$label_3#1:
- havoc inline$BDLGetDebugLevel$453$myNondetVar_0;
- inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$453$myNondetVar_0;
- goto inline$BDLGetDebugLevel$453$label_1#1;
-
- inline$BDLGetDebugLevel$453$label_1#1:
- goto inline$BDLGetDebugLevel$453$Return#1;
-
- inline$BDLGetDebugLevel$453$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon33_Then#1, inline$BDLDevicePowerIoCompletion$17$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_40_true#1, inline$BDLDevicePowerIoCompletion$17$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_41_true#1, inline$BDLDevicePowerIoCompletion$17$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$17$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$17$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_44_true#1, inline$BDLDevicePowerIoCompletion$17$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$17$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_45_true#1, inline$BDLDevicePowerIoCompletion$17$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$17$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$17$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$17$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$17$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$17$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_54#1:
- inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$17$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_55_true#1, inline$BDLDevicePowerIoCompletion$17$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_56#1:
- call inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$17$anon34_Then#1, inline$BDLDevicePowerIoCompletion$17$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_59#1:
- goto inline$BDLGetDebugLevel$454$Entry#1;
-
- inline$BDLGetDebugLevel$454$Entry#1:
- goto inline$BDLGetDebugLevel$454$start#1;
-
- inline$BDLGetDebugLevel$454$start#1:
- goto inline$BDLGetDebugLevel$454$label_3#1;
-
- inline$BDLGetDebugLevel$454$label_3#1:
- havoc inline$BDLGetDebugLevel$454$myNondetVar_0;
- inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$454$myNondetVar_0;
- goto inline$BDLGetDebugLevel$454$label_1#1;
-
- inline$BDLGetDebugLevel$454$label_1#1:
- goto inline$BDLGetDebugLevel$454$Return#1;
-
- inline$BDLGetDebugLevel$454$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon35_Then#1, inline$BDLDevicePowerIoCompletion$17$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_62_true#1, inline$BDLDevicePowerIoCompletion$17$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_66#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_67#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_68#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$17$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_63#1:
- goto inline$BDLGetDebugLevel$455$Entry#1;
-
- inline$BDLGetDebugLevel$455$Entry#1:
- goto inline$BDLGetDebugLevel$455$start#1;
-
- inline$BDLGetDebugLevel$455$start#1:
- goto inline$BDLGetDebugLevel$455$label_3#1;
-
- inline$BDLGetDebugLevel$455$label_3#1:
- havoc inline$BDLGetDebugLevel$455$myNondetVar_0;
- inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$455$myNondetVar_0;
- goto inline$BDLGetDebugLevel$455$label_1#1;
-
- inline$BDLGetDebugLevel$455$label_1#1:
- goto inline$BDLGetDebugLevel$455$Return#1;
-
- inline$BDLGetDebugLevel$455$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon36_Then#1, inline$BDLDevicePowerIoCompletion$17$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_72_true#1, inline$BDLDevicePowerIoCompletion$17$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_76#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_77#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_78#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$17$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_73#1:
- goto inline$BDLGetDebugLevel$456$Entry#1;
-
- inline$BDLGetDebugLevel$456$Entry#1:
- goto inline$BDLGetDebugLevel$456$start#1;
-
- inline$BDLGetDebugLevel$456$start#1:
- goto inline$BDLGetDebugLevel$456$label_3#1;
-
- inline$BDLGetDebugLevel$456$label_3#1:
- havoc inline$BDLGetDebugLevel$456$myNondetVar_0;
- inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$456$myNondetVar_0;
- goto inline$BDLGetDebugLevel$456$label_1#1;
-
- inline$BDLGetDebugLevel$456$label_1#1:
- goto inline$BDLGetDebugLevel$456$Return#1;
-
- inline$BDLGetDebugLevel$456$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon37_Then#1, inline$BDLDevicePowerIoCompletion$17$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_82_true#1, inline$BDLDevicePowerIoCompletion$17$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$17$anon38_Then#1, inline$BDLDevicePowerIoCompletion$17$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$17$anon39_Then#1, inline$BDLDevicePowerIoCompletion$17$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_92#1:
- goto inline$storm_IoCompleteRequest$35$Entry#1;
-
- inline$storm_IoCompleteRequest$35$Entry#1:
- inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$35$start#1;
-
- inline$storm_IoCompleteRequest$35$start#1:
- inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$35$label_3#1;
-
- inline$storm_IoCompleteRequest$35$label_3#1:
- call inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$35$label_6#1;
-
- inline$storm_IoCompleteRequest$35$label_6#1:
- goto inline$storm_IoCompleteRequest$35$label_6_true#1, inline$storm_IoCompleteRequest$35$label_6_false#1;
-
- inline$storm_IoCompleteRequest$35$label_6_false#1:
- assume inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$35$label_7#1;
-
- inline$storm_IoCompleteRequest$35$label_6_true#1:
- assume inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$35$label_8#1;
-
- inline$storm_IoCompleteRequest$35$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$35$anon3_Then#1, inline$storm_IoCompleteRequest$35$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$35$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$35$anon1#1;
-
- inline$storm_IoCompleteRequest$35$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$35$label_9#1;
-
- inline$storm_IoCompleteRequest$35$label_9#1:
- goto inline$storm_IoCompleteRequest$35$label_9_true#1, inline$storm_IoCompleteRequest$35$label_9_false#1;
-
- inline$storm_IoCompleteRequest$35$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$35$label_10#1;
-
- inline$storm_IoCompleteRequest$35$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$35$label_1#1;
-
- inline$storm_IoCompleteRequest$35$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$35$label_7#1;
-
- inline$storm_IoCompleteRequest$35$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$35$label_1#1;
-
- inline$storm_IoCompleteRequest$35$label_1#1:
- goto inline$storm_IoCompleteRequest$35$Return#1;
-
- inline$storm_IoCompleteRequest$35$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$35$Return#1;
-
- inline$storm_IoCompleteRequest$35$Return#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon40_Then#1, inline$BDLDevicePowerIoCompletion$17$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$17$anon41_Then#1, inline$BDLDevicePowerIoCompletion$17$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_98#1:
- goto inline$BDLGetDebugLevel$457$Entry#1;
-
- inline$BDLGetDebugLevel$457$Entry#1:
- goto inline$BDLGetDebugLevel$457$start#1;
-
- inline$BDLGetDebugLevel$457$start#1:
- goto inline$BDLGetDebugLevel$457$label_3#1;
-
- inline$BDLGetDebugLevel$457$label_3#1:
- havoc inline$BDLGetDebugLevel$457$myNondetVar_0;
- inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$457$myNondetVar_0;
- goto inline$BDLGetDebugLevel$457$label_1#1;
-
- inline$BDLGetDebugLevel$457$label_1#1:
- goto inline$BDLGetDebugLevel$457$Return#1;
-
- inline$BDLGetDebugLevel$457$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon42_Then#1, inline$BDLDevicePowerIoCompletion$17$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_101_true#1, inline$BDLDevicePowerIoCompletion$17$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_105#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_106#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_107#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$17$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_102#1:
- goto inline$BDLGetDebugLevel$458$Entry#1;
-
- inline$BDLGetDebugLevel$458$Entry#1:
- goto inline$BDLGetDebugLevel$458$start#1;
-
- inline$BDLGetDebugLevel$458$start#1:
- goto inline$BDLGetDebugLevel$458$label_3#1;
-
- inline$BDLGetDebugLevel$458$label_3#1:
- havoc inline$BDLGetDebugLevel$458$myNondetVar_0;
- inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$458$myNondetVar_0;
- goto inline$BDLGetDebugLevel$458$label_1#1;
-
- inline$BDLGetDebugLevel$458$label_1#1:
- goto inline$BDLGetDebugLevel$458$Return#1;
-
- inline$BDLGetDebugLevel$458$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon43_Then#1, inline$BDLDevicePowerIoCompletion$17$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_111_true#1, inline$BDLDevicePowerIoCompletion$17$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_115#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_116#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_117#1:
- call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$17$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$17$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_112#1:
- goto inline$BDLGetDebugLevel$459$Entry#1;
-
- inline$BDLGetDebugLevel$459$Entry#1:
- goto inline$BDLGetDebugLevel$459$start#1;
-
- inline$BDLGetDebugLevel$459$start#1:
- goto inline$BDLGetDebugLevel$459$label_3#1;
-
- inline$BDLGetDebugLevel$459$label_3#1:
- havoc inline$BDLGetDebugLevel$459$myNondetVar_0;
- inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$459$myNondetVar_0;
- goto inline$BDLGetDebugLevel$459$label_1#1;
-
- inline$BDLGetDebugLevel$459$label_1#1:
- goto inline$BDLGetDebugLevel$459$Return#1;
-
- inline$BDLGetDebugLevel$459$Return#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$17$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$17$anon44_Then#1, inline$BDLDevicePowerIoCompletion$17$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_121_true#1, inline$BDLDevicePowerIoCompletion$17$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$17$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$17$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_122#1:
- inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$17$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$17$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$17$Return#1;
-
- inline$BDLDevicePowerIoCompletion$17$Return#1:
- inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$17$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$17$anon13_Then#1, inline$CallCompletionRoutine$17$anon13_Else#1;
-
- inline$CallCompletionRoutine$17$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$17$anon7#1;
-
- inline$CallCompletionRoutine$17$anon7#1:
- goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$17$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$17$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$17$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$17$Entry#1:
- inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$17$start#1;
-
- inline$BDLCallDriverCompletionRoutine$17$start#1:
- inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$17$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$17$label_3_true#1, inline$BDLCallDriverCompletionRoutine$17$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$17$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$17$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$17$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$17$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_6#1:
- goto inline$storm_KeSetEvent$19$Entry#1;
-
- inline$storm_KeSetEvent$19$Entry#1:
- inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$19$start#1;
-
- inline$storm_KeSetEvent$19$start#1:
- inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$19$label_3#1;
-
- inline$storm_KeSetEvent$19$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$19$label_1#1;
-
- inline$storm_KeSetEvent$19$label_1#1:
- goto inline$storm_KeSetEvent$19$Return#1;
-
- inline$storm_KeSetEvent$19$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$17$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$17$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$17$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$17$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$17$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$17$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$17$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_9#1:
- inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$17$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$17$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$17$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$17$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$17$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$17$Return#1:
- inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$17$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$17$anon12_Then#1, inline$CallCompletionRoutine$17$anon12_Else#1;
-
- inline$CallCompletionRoutine$17$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$17$anon5#1;
-
- inline$CallCompletionRoutine$17$anon5#1:
- goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$17$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$17$label_23#1;
-
- inline$CallCompletionRoutine$17$label_23#1:
- inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$17$label_24#1;
-
- inline$CallCompletionRoutine$17$label_24#1:
- goto inline$CallCompletionRoutine$17$label_24_true#1, inline$CallCompletionRoutine$17$label_24_false#1;
-
- inline$CallCompletionRoutine$17$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$17$label_1#1;
-
- inline$CallCompletionRoutine$17$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$17$label_25#1;
-
- inline$CallCompletionRoutine$17$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$17$label_1#1;
-
- inline$CallCompletionRoutine$17$label_1#1:
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$17$Return#1;
-
- inline$CallCompletionRoutine$17$Return#1:
- goto inline$storm_IoCallDriver$8$label_39$1#1;
-
- inline$storm_IoCallDriver$8$label_39$1#1:
- goto inline$storm_IoCallDriver$8$anon15_Then#1, inline$storm_IoCallDriver$8$anon15_Else#1;
-
- inline$storm_IoCallDriver$8$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$8$anon10#1;
-
- inline$storm_IoCallDriver$8$anon10#1:
- goto inline$storm_IoCallDriver$8$label_36#1;
-
- inline$storm_IoCallDriver$8$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$label_27_case_0#1:
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$8$label_28#1;
-
- inline$storm_IoCallDriver$8$label_28#1:
- inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$8$label_42#1;
-
- inline$storm_IoCallDriver$8$label_42#1:
- goto inline$storm_IoCallDriver$8$label_43#1;
-
- inline$storm_IoCallDriver$8$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$8$label_36#1;
-
- inline$storm_IoCallDriver$8$label_36#1:
- inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$8$label_1#1;
-
- inline$storm_IoCallDriver$8$label_1#1:
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$8$Return#1;
-
- inline$storm_IoCallDriver$8$Return#1:
- inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$3$label_3$1#1;
-
- inline$storm_PoCallDriver$3$label_3$1#1:
- goto inline$storm_PoCallDriver$3$anon2_Then#1, inline$storm_PoCallDriver$3$anon2_Else#1;
-
- inline$storm_PoCallDriver$3$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$3$anon1#1;
-
- inline$storm_PoCallDriver$3$anon1#1:
- goto inline$storm_PoCallDriver$3$label_6#1;
-
- inline$storm_PoCallDriver$3$label_6#1:
- inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$3$label_1#1;
-
- inline$storm_PoCallDriver$3$label_1#1:
- goto inline$storm_PoCallDriver$3$Return#1;
-
- inline$storm_PoCallDriver$3$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$3$Return#1;
-
- inline$storm_PoCallDriver$3$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_31#1:
- goto inline$storm_KeWaitForSingleObject$3$Entry#1;
-
- inline$storm_KeWaitForSingleObject$3$Entry#1:
- inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$3$start#1;
-
- inline$storm_KeWaitForSingleObject$3$start#1:
- inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$3$label_3#1;
-
- inline$storm_KeWaitForSingleObject$3$label_3#1:
- goto inline$storm_KeWaitForSingleObject$3$label_3_true#1, inline$storm_KeWaitForSingleObject$3$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$3$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$3$label_4#1;
-
- inline$storm_KeWaitForSingleObject$3$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon6_Then#1, inline$storm_KeWaitForSingleObject$3$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$3$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon1#1;
-
- inline$storm_KeWaitForSingleObject$3$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$3$label_13#1;
-
- inline$storm_KeWaitForSingleObject$3$label_13#1:
- inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$3$label_1#1;
-
- inline$storm_KeWaitForSingleObject$3$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$3$Return#1;
-
- inline$storm_KeWaitForSingleObject$3$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$3$label_5#1;
-
- inline$storm_KeWaitForSingleObject$3$label_5#1:
- call inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$3$label_8#1;
-
- inline$storm_KeWaitForSingleObject$3$label_8#1:
- goto inline$storm_KeWaitForSingleObject$3$label_8_true#1, inline$storm_KeWaitForSingleObject$3$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$3$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$3$label_9#1;
-
- inline$storm_KeWaitForSingleObject$3$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon7_Then#1, inline$storm_KeWaitForSingleObject$3$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$3$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon3#1;
-
- inline$storm_KeWaitForSingleObject$3$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$3$label_12#1;
-
- inline$storm_KeWaitForSingleObject$3$label_12#1:
- inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$3$label_1#1;
-
- inline$storm_KeWaitForSingleObject$3$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$3$Return#1;
-
- inline$storm_KeWaitForSingleObject$3$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$3$label_10#1;
-
- inline$storm_KeWaitForSingleObject$3$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon8_Then#1, inline$storm_KeWaitForSingleObject$3$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$3$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$3$anon5#1;
-
- inline$storm_KeWaitForSingleObject$3$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$3$label_11#1;
-
- inline$storm_KeWaitForSingleObject$3$label_11#1:
- inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$3$label_1#1;
-
- inline$storm_KeWaitForSingleObject$3$label_1#1:
- goto inline$storm_KeWaitForSingleObject$3$Return#1;
-
- inline$storm_KeWaitForSingleObject$3$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$3$Return#1;
-
- inline$storm_KeWaitForSingleObject$3$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$3$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$3$Return#1:
- inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$ := inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPStop$0$label_92$1#1;
-
- inline$BDLPnPStop$0$label_92$1#1:
- goto inline$BDLPnPStop$0$anon38_Then#1, inline$BDLPnPStop$0$anon38_Else#1;
-
- inline$BDLPnPStop$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon21#1;
-
- inline$BDLPnPStop$0$anon21#1:
- goto inline$BDLPnPStop$0$label_95#1;
-
- inline$BDLPnPStop$0$label_95#1:
- inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$;
- goto inline$BDLPnPStop$0$label_96#1;
-
- inline$BDLPnPStop$0$label_96#1:
- goto inline$BDLPnPStop$0$label_96_true#1, inline$BDLPnPStop$0$label_96_false#1;
-
- inline$BDLPnPStop$0$label_96_false#1:
- assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 == 0;
- goto inline$BDLPnPStop$0$label_65#1;
-
- inline$BDLPnPStop$0$label_96_true#1:
- assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 != 0;
- goto inline$BDLPnPStop$0$label_97#1;
-
- inline$BDLPnPStop$0$label_97#1:
- goto inline$BDLGetDebugLevel$472$Entry#1;
-
- inline$BDLGetDebugLevel$472$Entry#1:
- goto inline$BDLGetDebugLevel$472$start#1;
-
- inline$BDLGetDebugLevel$472$start#1:
- goto inline$BDLGetDebugLevel$472$label_3#1;
-
- inline$BDLGetDebugLevel$472$label_3#1:
- havoc inline$BDLGetDebugLevel$472$myNondetVar_0;
- inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$472$myNondetVar_0;
- goto inline$BDLGetDebugLevel$472$label_1#1;
-
- inline$BDLGetDebugLevel$472$label_1#1:
- goto inline$BDLGetDebugLevel$472$Return#1;
-
- inline$BDLGetDebugLevel$472$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$ := inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_97$1#1;
-
- inline$BDLPnPStop$0$label_97$1#1:
- goto inline$BDLPnPStop$0$anon39_Then#1, inline$BDLPnPStop$0$anon39_Else#1;
-
- inline$BDLPnPStop$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon23#1;
-
- inline$BDLPnPStop$0$anon23#1:
- goto inline$BDLPnPStop$0$label_100#1;
-
- inline$BDLPnPStop$0$label_100#1:
- goto inline$BDLPnPStop$0$label_100_true#1, inline$BDLPnPStop$0$label_100_false#1;
-
- inline$BDLPnPStop$0$label_100_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$) == 0;
- goto inline$BDLPnPStop$0$label_101#1;
-
- inline$BDLPnPStop$0$label_100_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$) != 0;
- goto inline$BDLPnPStop$0$label_104#1;
-
- inline$BDLPnPStop$0$label_104#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_105#1;
-
- inline$BDLPnPStop$0$label_105#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_106#1;
-
- inline$BDLPnPStop$0$label_106#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_107#1;
-
- inline$BDLPnPStop$0$label_107#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2231.0$28$;
- goto inline$BDLPnPStop$0$label_101#1;
-
- inline$BDLPnPStop$0$label_101#1:
- goto inline$BDLGetDebugLevel$473$Entry#1;
-
- inline$BDLGetDebugLevel$473$Entry#1:
- goto inline$BDLGetDebugLevel$473$start#1;
-
- inline$BDLGetDebugLevel$473$start#1:
- goto inline$BDLGetDebugLevel$473$label_3#1;
-
- inline$BDLGetDebugLevel$473$label_3#1:
- havoc inline$BDLGetDebugLevel$473$myNondetVar_0;
- inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$473$myNondetVar_0;
- goto inline$BDLGetDebugLevel$473$label_1#1;
-
- inline$BDLGetDebugLevel$473$label_1#1:
- goto inline$BDLGetDebugLevel$473$Return#1;
-
- inline$BDLGetDebugLevel$473$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$ := inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_101$1#1;
-
- inline$BDLPnPStop$0$label_101$1#1:
- goto inline$BDLPnPStop$0$anon40_Then#1, inline$BDLPnPStop$0$anon40_Else#1;
-
- inline$BDLPnPStop$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon25#1;
-
- inline$BDLPnPStop$0$anon25#1:
- goto inline$BDLPnPStop$0$label_110#1;
-
- inline$BDLPnPStop$0$label_110#1:
- goto inline$BDLPnPStop$0$label_110_true#1, inline$BDLPnPStop$0$label_110_false#1;
-
- inline$BDLPnPStop$0$label_110_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$) == 0;
- goto inline$BDLPnPStop$0$label_111#1;
-
- inline$BDLPnPStop$0$label_110_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$) != 0;
- goto inline$BDLPnPStop$0$label_114#1;
-
- inline$BDLPnPStop$0$label_114#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_115#1;
-
- inline$BDLPnPStop$0$label_115#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_116#1;
-
- inline$BDLPnPStop$0$label_116#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_117#1;
-
- inline$BDLPnPStop$0$label_117#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2231.0$33$;
- goto inline$BDLPnPStop$0$label_111#1;
-
- inline$BDLPnPStop$0$label_111#1:
- goto inline$BDLGetDebugLevel$474$Entry#1;
-
- inline$BDLGetDebugLevel$474$Entry#1:
- goto inline$BDLGetDebugLevel$474$start#1;
-
- inline$BDLGetDebugLevel$474$start#1:
- goto inline$BDLGetDebugLevel$474$label_3#1;
-
- inline$BDLGetDebugLevel$474$label_3#1:
- havoc inline$BDLGetDebugLevel$474$myNondetVar_0;
- inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$474$myNondetVar_0;
- goto inline$BDLGetDebugLevel$474$label_1#1;
-
- inline$BDLGetDebugLevel$474$label_1#1:
- goto inline$BDLGetDebugLevel$474$Return#1;
-
- inline$BDLGetDebugLevel$474$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$ := inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_111$1#1;
-
- inline$BDLPnPStop$0$label_111$1#1:
- goto inline$BDLPnPStop$0$anon41_Then#1, inline$BDLPnPStop$0$anon41_Else#1;
-
- inline$BDLPnPStop$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon27#1;
-
- inline$BDLPnPStop$0$anon27#1:
- goto inline$BDLPnPStop$0$label_120#1;
-
- inline$BDLPnPStop$0$label_120#1:
- goto inline$BDLPnPStop$0$label_120_true#1, inline$BDLPnPStop$0$label_120_false#1;
-
- inline$BDLPnPStop$0$label_120_false#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$, 4) == 0;
- goto inline$BDLPnPStop$0$label_65#1;
-
- inline$BDLPnPStop$0$label_120_true#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$, 4) != 0;
- goto inline$BDLPnPStop$0$label_121#1;
-
- inline$BDLPnPStop$0$label_121#1:
- goto inline$BDLPnPStop$0$label_65#1;
-
- inline$BDLPnPStop$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$label_39_true#1:
- assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 != 0;
- goto inline$BDLPnPStop$0$label_41#1;
-
- inline$BDLPnPStop$0$label_41#1:
- goto inline$BDLGetDebugLevel$382$Entry#1;
-
- inline$BDLGetDebugLevel$382$Entry#1:
- goto inline$BDLGetDebugLevel$382$start#1;
-
- inline$BDLGetDebugLevel$382$start#1:
- goto inline$BDLGetDebugLevel$382$label_3#1;
-
- inline$BDLGetDebugLevel$382$label_3#1:
- havoc inline$BDLGetDebugLevel$382$myNondetVar_0;
- inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$382$myNondetVar_0;
- goto inline$BDLGetDebugLevel$382$label_1#1;
-
- inline$BDLGetDebugLevel$382$label_1#1:
- goto inline$BDLGetDebugLevel$382$Return#1;
-
- inline$BDLGetDebugLevel$382$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$ := inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_41$1#1;
-
- inline$BDLPnPStop$0$label_41$1#1:
- goto inline$BDLPnPStop$0$anon32_Then#1, inline$BDLPnPStop$0$anon32_Else#1;
-
- inline$BDLPnPStop$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon9#1;
-
- inline$BDLPnPStop$0$anon9#1:
- goto inline$BDLPnPStop$0$label_44#1;
-
- inline$BDLPnPStop$0$label_44#1:
- goto inline$BDLPnPStop$0$label_44_true#1, inline$BDLPnPStop$0$label_44_false#1;
-
- inline$BDLPnPStop$0$label_44_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$) == 0;
- goto inline$BDLPnPStop$0$label_45#1;
-
- inline$BDLPnPStop$0$label_44_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$) != 0;
- goto inline$BDLPnPStop$0$label_48#1;
-
- inline$BDLPnPStop$0$label_48#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_49#1;
-
- inline$BDLPnPStop$0$label_49#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_50#1;
-
- inline$BDLPnPStop$0$label_50#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_51#1;
-
- inline$BDLPnPStop$0$label_51#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2208.0$16$;
- goto inline$BDLPnPStop$0$label_45#1;
-
- inline$BDLPnPStop$0$label_45#1:
- goto inline$BDLGetDebugLevel$383$Entry#1;
-
- inline$BDLGetDebugLevel$383$Entry#1:
- goto inline$BDLGetDebugLevel$383$start#1;
-
- inline$BDLGetDebugLevel$383$start#1:
- goto inline$BDLGetDebugLevel$383$label_3#1;
-
- inline$BDLGetDebugLevel$383$label_3#1:
- havoc inline$BDLGetDebugLevel$383$myNondetVar_0;
- inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$383$myNondetVar_0;
- goto inline$BDLGetDebugLevel$383$label_1#1;
-
- inline$BDLGetDebugLevel$383$label_1#1:
- goto inline$BDLGetDebugLevel$383$Return#1;
-
- inline$BDLGetDebugLevel$383$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$ := inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_45$1#1;
-
- inline$BDLPnPStop$0$label_45$1#1:
- goto inline$BDLPnPStop$0$anon33_Then#1, inline$BDLPnPStop$0$anon33_Else#1;
-
- inline$BDLPnPStop$0$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon11#1;
-
- inline$BDLPnPStop$0$anon11#1:
- goto inline$BDLPnPStop$0$label_54#1;
-
- inline$BDLPnPStop$0$label_54#1:
- goto inline$BDLPnPStop$0$label_54_true#1, inline$BDLPnPStop$0$label_54_false#1;
-
- inline$BDLPnPStop$0$label_54_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$) == 0;
- goto inline$BDLPnPStop$0$label_55#1;
-
- inline$BDLPnPStop$0$label_54_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$) != 0;
- goto inline$BDLPnPStop$0$label_58#1;
-
- inline$BDLPnPStop$0$label_58#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_59#1;
-
- inline$BDLPnPStop$0$label_59#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_60#1;
-
- inline$BDLPnPStop$0$label_60#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_61#1;
-
- inline$BDLPnPStop$0$label_61#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2208.0$21$;
- goto inline$BDLPnPStop$0$label_55#1;
-
- inline$BDLPnPStop$0$label_55#1:
- goto inline$BDLGetDebugLevel$384$Entry#1;
-
- inline$BDLGetDebugLevel$384$Entry#1:
- goto inline$BDLGetDebugLevel$384$start#1;
-
- inline$BDLGetDebugLevel$384$start#1:
- goto inline$BDLGetDebugLevel$384$label_3#1;
-
- inline$BDLGetDebugLevel$384$label_3#1:
- havoc inline$BDLGetDebugLevel$384$myNondetVar_0;
- inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$384$myNondetVar_0;
- goto inline$BDLGetDebugLevel$384$label_1#1;
-
- inline$BDLGetDebugLevel$384$label_1#1:
- goto inline$BDLGetDebugLevel$384$Return#1;
-
- inline$BDLGetDebugLevel$384$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$ := inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_55$1#1;
-
- inline$BDLPnPStop$0$label_55$1#1:
- goto inline$BDLPnPStop$0$anon34_Then#1, inline$BDLPnPStop$0$anon34_Else#1;
-
- inline$BDLPnPStop$0$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon13#1;
-
- inline$BDLPnPStop$0$anon13#1:
- goto inline$BDLPnPStop$0$label_64#1;
-
- inline$BDLPnPStop$0$label_64#1:
- goto inline$BDLPnPStop$0$label_64_true#1, inline$BDLPnPStop$0$label_64_false#1;
-
- inline$BDLPnPStop$0$label_64_false#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$, 4) == 0;
- goto inline$BDLPnPStop$0$label_65#1;
-
- inline$BDLPnPStop$0$label_64_true#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$, 4) != 0;
- goto inline$BDLPnPStop$0$label_68#1;
-
- inline$BDLPnPStop$0$label_68#1:
- goto inline$BDLPnPStop$0$label_65#1;
-
- inline$BDLPnPStop$0$label_65#1:
- goto inline$BDLGetDebugLevel$385$Entry#1;
-
- inline$BDLGetDebugLevel$385$Entry#1:
- goto inline$BDLGetDebugLevel$385$start#1;
-
- inline$BDLGetDebugLevel$385$start#1:
- goto inline$BDLGetDebugLevel$385$label_3#1;
-
- inline$BDLGetDebugLevel$385$label_3#1:
- havoc inline$BDLGetDebugLevel$385$myNondetVar_0;
- inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$385$myNondetVar_0;
- goto inline$BDLGetDebugLevel$385$label_1#1;
-
- inline$BDLGetDebugLevel$385$label_1#1:
- goto inline$BDLGetDebugLevel$385$Return#1;
-
- inline$BDLGetDebugLevel$385$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$ := inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_65$1#1;
-
- inline$BDLPnPStop$0$label_65$1#1:
- goto inline$BDLPnPStop$0$anon35_Then#1, inline$BDLPnPStop$0$anon35_Else#1;
-
- inline$BDLPnPStop$0$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon15#1;
-
- inline$BDLPnPStop$0$anon15#1:
- goto inline$BDLPnPStop$0$label_69#1;
-
- inline$BDLPnPStop$0$label_69#1:
- goto inline$BDLPnPStop$0$label_69_true#1, inline$BDLPnPStop$0$label_69_false#1;
-
- inline$BDLPnPStop$0$label_69_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$) == 0;
- goto inline$BDLPnPStop$0$label_70#1;
-
- inline$BDLPnPStop$0$label_69_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$) != 0;
- goto inline$BDLPnPStop$0$label_73#1;
-
- inline$BDLPnPStop$0$label_73#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_74#1;
-
- inline$BDLPnPStop$0$label_74#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_75#1;
-
- inline$BDLPnPStop$0$label_75#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_76#1;
-
- inline$BDLPnPStop$0$label_76#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2243.0$39$;
- goto inline$BDLPnPStop$0$label_70#1;
-
- inline$BDLPnPStop$0$label_70#1:
- goto inline$BDLGetDebugLevel$386$Entry#1;
-
- inline$BDLGetDebugLevel$386$Entry#1:
- goto inline$BDLGetDebugLevel$386$start#1;
-
- inline$BDLGetDebugLevel$386$start#1:
- goto inline$BDLGetDebugLevel$386$label_3#1;
-
- inline$BDLGetDebugLevel$386$label_3#1:
- havoc inline$BDLGetDebugLevel$386$myNondetVar_0;
- inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$386$myNondetVar_0;
- goto inline$BDLGetDebugLevel$386$label_1#1;
-
- inline$BDLGetDebugLevel$386$label_1#1:
- goto inline$BDLGetDebugLevel$386$Return#1;
-
- inline$BDLGetDebugLevel$386$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$ := inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_70$1#1;
-
- inline$BDLPnPStop$0$label_70$1#1:
- goto inline$BDLPnPStop$0$anon36_Then#1, inline$BDLPnPStop$0$anon36_Else#1;
-
- inline$BDLPnPStop$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon17#1;
-
- inline$BDLPnPStop$0$anon17#1:
- goto inline$BDLPnPStop$0$label_79#1;
-
- inline$BDLPnPStop$0$label_79#1:
- goto inline$BDLPnPStop$0$label_79_true#1, inline$BDLPnPStop$0$label_79_false#1;
-
- inline$BDLPnPStop$0$label_79_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$) == 0;
- goto inline$BDLPnPStop$0$label_80#1;
-
- inline$BDLPnPStop$0$label_79_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$) != 0;
- goto inline$BDLPnPStop$0$label_83#1;
-
- inline$BDLPnPStop$0$label_83#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_84#1;
-
- inline$BDLPnPStop$0$label_84#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_85#1;
-
- inline$BDLPnPStop$0$label_85#1:
- call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStop$0$label_86#1;
-
- inline$BDLPnPStop$0$label_86#1:
- havoc inline$BDLPnPStop$0$$result.DbgPrint$2243.0$44$;
- goto inline$BDLPnPStop$0$label_80#1;
-
- inline$BDLPnPStop$0$label_80#1:
- goto inline$BDLGetDebugLevel$387$Entry#1;
-
- inline$BDLGetDebugLevel$387$Entry#1:
- goto inline$BDLGetDebugLevel$387$start#1;
-
- inline$BDLGetDebugLevel$387$start#1:
- goto inline$BDLGetDebugLevel$387$label_3#1;
-
- inline$BDLGetDebugLevel$387$label_3#1:
- havoc inline$BDLGetDebugLevel$387$myNondetVar_0;
- inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$387$myNondetVar_0;
- goto inline$BDLGetDebugLevel$387$label_1#1;
-
- inline$BDLGetDebugLevel$387$label_1#1:
- goto inline$BDLGetDebugLevel$387$Return#1;
-
- inline$BDLGetDebugLevel$387$Return#1:
- inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$ := inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStop$0$label_80$1#1;
-
- inline$BDLPnPStop$0$label_80$1#1:
- goto inline$BDLPnPStop$0$anon37_Then#1, inline$BDLPnPStop$0$anon37_Else#1;
-
- inline$BDLPnPStop$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStop$0$anon19#1;
-
- inline$BDLPnPStop$0$anon19#1:
- goto inline$BDLPnPStop$0$label_89#1;
-
- inline$BDLPnPStop$0$label_89#1:
- goto inline$BDLPnPStop$0$label_89_true#1, inline$BDLPnPStop$0$label_89_false#1;
-
- inline$BDLPnPStop$0$label_89_false#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$, 4) == 0;
- goto inline$BDLPnPStop$0$label_90#1;
-
- inline$BDLPnPStop$0$label_89_true#1:
- assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$, 4) != 0;
- goto inline$BDLPnPStop$0$label_91#1;
-
- inline$BDLPnPStop$0$label_91#1:
- goto inline$BDLPnPStop$0$label_90#1;
-
- inline$BDLPnPStop$0$label_90#1:
- inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$ := inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12;
- goto inline$BDLPnPStop$0$label_1#1;
-
- inline$BDLPnPStop$0$label_1#1:
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon35_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon34_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon33_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPStop$0$Return#1;
-
- inline$BDLPnPStop$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$ := inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$;
- goto inline$BDLPnP$0$label_125$1#1;
-
- inline$BDLPnP$0$label_125$1#1:
- goto inline$BDLPnP$0$anon71_Then#1, inline$BDLPnP$0$anon71_Else#1;
-
- inline$BDLPnP$0$anon71_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon35#1;
-
- inline$BDLPnP$0$anon35#1:
- goto inline$BDLPnP$0$label_150#1;
-
- inline$BDLPnP$0$label_150#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon71_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_4#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 3;
- goto inline$BDLPnP$0$label_122#1;
-
- inline$BDLPnP$0$label_122#1:
- goto inline$BDLPnPCancelRemove$0$Entry#1;
-
- inline$BDLPnPCancelRemove$0$Entry#1:
- inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPCancelRemove$0$start#1;
-
- inline$BDLPnPCancelRemove$0$start#1:
- inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1;
- inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1;
- goto inline$BDLPnPCancelRemove$0$label_3#1;
-
- inline$BDLPnPCancelRemove$0$label_3#1:
- goto inline$BDLPnPCancelRemove$0$label_4#1;
-
- inline$BDLPnPCancelRemove$0$label_4#1:
- inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := 0;
- goto inline$BDLPnPCancelRemove$0$label_5#1;
-
- inline$BDLPnPCancelRemove$0$label_5#1:
- goto inline$BDLGetDebugLevel$283$Entry#1;
-
- inline$BDLGetDebugLevel$283$Entry#1:
- goto inline$BDLGetDebugLevel$283$start#1;
-
- inline$BDLGetDebugLevel$283$start#1:
- goto inline$BDLGetDebugLevel$283$label_3#1;
-
- inline$BDLGetDebugLevel$283$label_3#1:
- havoc inline$BDLGetDebugLevel$283$myNondetVar_0;
- inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$283$myNondetVar_0;
- goto inline$BDLGetDebugLevel$283$label_1#1;
-
- inline$BDLGetDebugLevel$283$label_1#1:
- goto inline$BDLGetDebugLevel$283$Return#1;
-
- inline$BDLGetDebugLevel$283$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$ := inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_5$1#1;
-
- inline$BDLPnPCancelRemove$0$label_5$1#1:
- goto inline$BDLPnPCancelRemove$0$anon28_Then#1, inline$BDLPnPCancelRemove$0$anon28_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon1#1;
-
- inline$BDLPnPCancelRemove$0$anon1#1:
- goto inline$BDLPnPCancelRemove$0$label_8#1;
-
- inline$BDLPnPCancelRemove$0$label_8#1:
- goto inline$BDLPnPCancelRemove$0$label_8_true#1, inline$BDLPnPCancelRemove$0$label_8_false#1;
-
- inline$BDLPnPCancelRemove$0$label_8_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_9#1;
-
- inline$BDLPnPCancelRemove$0$label_8_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_12#1;
-
- inline$BDLPnPCancelRemove$0$label_12#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_13#1;
-
- inline$BDLPnPCancelRemove$0$label_13#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_14#1;
-
- inline$BDLPnPCancelRemove$0$label_14#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_15#1;
-
- inline$BDLPnPCancelRemove$0$label_15#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$3$;
- goto inline$BDLPnPCancelRemove$0$label_9#1;
-
- inline$BDLPnPCancelRemove$0$label_9#1:
- goto inline$BDLGetDebugLevel$284$Entry#1;
-
- inline$BDLGetDebugLevel$284$Entry#1:
- goto inline$BDLGetDebugLevel$284$start#1;
-
- inline$BDLGetDebugLevel$284$start#1:
- goto inline$BDLGetDebugLevel$284$label_3#1;
-
- inline$BDLGetDebugLevel$284$label_3#1:
- havoc inline$BDLGetDebugLevel$284$myNondetVar_0;
- inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$284$myNondetVar_0;
- goto inline$BDLGetDebugLevel$284$label_1#1;
-
- inline$BDLGetDebugLevel$284$label_1#1:
- goto inline$BDLGetDebugLevel$284$Return#1;
-
- inline$BDLGetDebugLevel$284$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$ := inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_9$1#1;
-
- inline$BDLPnPCancelRemove$0$label_9$1#1:
- goto inline$BDLPnPCancelRemove$0$anon29_Then#1, inline$BDLPnPCancelRemove$0$anon29_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon3#1;
-
- inline$BDLPnPCancelRemove$0$anon3#1:
- goto inline$BDLPnPCancelRemove$0$label_18#1;
-
- inline$BDLPnPCancelRemove$0$label_18#1:
- goto inline$BDLPnPCancelRemove$0$label_18_true#1, inline$BDLPnPCancelRemove$0$label_18_false#1;
-
- inline$BDLPnPCancelRemove$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_19#1;
-
- inline$BDLPnPCancelRemove$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_22#1;
-
- inline$BDLPnPCancelRemove$0$label_22#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_23#1;
-
- inline$BDLPnPCancelRemove$0$label_23#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_24#1;
-
- inline$BDLPnPCancelRemove$0$label_24#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_25#1;
-
- inline$BDLPnPCancelRemove$0$label_25#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$8$;
- goto inline$BDLPnPCancelRemove$0$label_19#1;
-
- inline$BDLPnPCancelRemove$0$label_19#1:
- goto inline$BDLGetDebugLevel$285$Entry#1;
-
- inline$BDLGetDebugLevel$285$Entry#1:
- goto inline$BDLGetDebugLevel$285$start#1;
-
- inline$BDLGetDebugLevel$285$start#1:
- goto inline$BDLGetDebugLevel$285$label_3#1;
-
- inline$BDLGetDebugLevel$285$label_3#1:
- havoc inline$BDLGetDebugLevel$285$myNondetVar_0;
- inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$285$myNondetVar_0;
- goto inline$BDLGetDebugLevel$285$label_1#1;
-
- inline$BDLGetDebugLevel$285$label_1#1:
- goto inline$BDLGetDebugLevel$285$Return#1;
-
- inline$BDLGetDebugLevel$285$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$ := inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_19$1#1;
-
- inline$BDLPnPCancelRemove$0$label_19$1#1:
- goto inline$BDLPnPCancelRemove$0$anon30_Then#1, inline$BDLPnPCancelRemove$0$anon30_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon5#1;
-
- inline$BDLPnPCancelRemove$0$anon5#1:
- goto inline$BDLPnPCancelRemove$0$label_28#1;
-
- inline$BDLPnPCancelRemove$0$label_28#1:
- goto inline$BDLPnPCancelRemove$0$label_28_true#1, inline$BDLPnPCancelRemove$0$label_28_false#1;
-
- inline$BDLPnPCancelRemove$0$label_28_false#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$, 4) == 0;
- goto inline$BDLPnPCancelRemove$0$label_29#1;
-
- inline$BDLPnPCancelRemove$0$label_28_true#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$, 4) != 0;
- goto inline$BDLPnPCancelRemove$0$label_32#1;
-
- inline$BDLPnPCancelRemove$0$label_32#1:
- goto inline$BDLPnPCancelRemove$0$label_29#1;
-
- inline$BDLPnPCancelRemove$0$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12;
- goto inline$BDLCallLowerLevelDriverAndWait$2$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$52$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$52$Entry#1:
- inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$52$start#1;
-
- inline$IoGetCurrentIrpStackLocation$52$start#1:
- inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$52$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$52$label_3_true#1, inline$IoGetCurrentIrpStackLocation$52$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$52$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$52$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$52$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$52$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$52$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$52$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$52$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$52$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$52$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$52$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$52$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$52$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$52$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$52$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$52$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$52$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$52$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$52$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_9#1:
- goto inline$IoGetNextIrpStackLocation$5$Entry#1;
-
- inline$IoGetNextIrpStackLocation$5$Entry#1:
- inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$5$start#1;
-
- inline$IoGetNextIrpStackLocation$5$start#1:
- inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$5$label_3#1;
-
- inline$IoGetNextIrpStackLocation$5$label_3#1:
- goto inline$IoGetNextIrpStackLocation$5$label_3_true#1, inline$IoGetNextIrpStackLocation$5$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$5$label_3_false#1:
- inline$IoGetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$5$myVar_0);
- goto inline$IoGetNextIrpStackLocation$5$label_4#1;
-
- inline$IoGetNextIrpStackLocation$5$label_4#1:
- call inline$IoGetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$5$label_6#1;
-
- inline$IoGetNextIrpStackLocation$5$label_6#1:
- call inline$IoGetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$5$label_7#1;
-
- inline$IoGetNextIrpStackLocation$5$label_7#1:
- goto inline$IoGetNextIrpStackLocation$5$label_5#1;
-
- inline$IoGetNextIrpStackLocation$5$label_3_true#1:
- inline$IoGetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$5$myVar_0);
- goto inline$IoGetNextIrpStackLocation$5$label_5#1;
-
- inline$IoGetNextIrpStackLocation$5$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$5$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$5$label_1#1;
-
- inline$IoGetNextIrpStackLocation$5$label_1#1:
- goto inline$IoGetNextIrpStackLocation$5$Return#1;
-
- inline$IoGetNextIrpStackLocation$5$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$2$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_9#1:
- goto inline$storm_KeInitializeEvent$2$Entry#1;
-
- inline$storm_KeInitializeEvent$2$Entry#1:
- inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$2$start#1;
-
- inline$storm_KeInitializeEvent$2$start#1:
- inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$2$label_3#1;
-
- inline$storm_KeInitializeEvent$2$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$2$label_1#1;
-
- inline$storm_KeInitializeEvent$2$label_1#1:
- goto inline$storm_KeInitializeEvent$2$Return#1;
-
- inline$storm_KeInitializeEvent$2$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$2$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$2$Entry#1:
- inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$2$start#1;
-
- inline$storm_IoSetCompletionRoutine$2$start#1:
- inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$2$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_4#1:
- call inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$2$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_7_true#1, inline$storm_IoSetCompletionRoutine$2$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$2$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$2$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$2$anon6_Then#1, inline$storm_IoSetCompletionRoutine$2$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$2$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$2$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_12_true#1, inline$storm_IoSetCompletionRoutine$2$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$2$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$2$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$2$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_8#1:
- goto inline$IoGetNextIrpStackLocation$6$Entry#1;
-
- inline$IoGetNextIrpStackLocation$6$Entry#1:
- inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$6$start#1;
-
- inline$IoGetNextIrpStackLocation$6$start#1:
- inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$6$label_3#1;
-
- inline$IoGetNextIrpStackLocation$6$label_3#1:
- goto inline$IoGetNextIrpStackLocation$6$label_3_true#1, inline$IoGetNextIrpStackLocation$6$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$6$label_3_false#1:
- inline$IoGetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$6$myVar_0);
- goto inline$IoGetNextIrpStackLocation$6$label_4#1;
-
- inline$IoGetNextIrpStackLocation$6$label_4#1:
- call inline$IoGetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$6$label_6#1;
-
- inline$IoGetNextIrpStackLocation$6$label_6#1:
- call inline$IoGetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$6$label_7#1;
-
- inline$IoGetNextIrpStackLocation$6$label_7#1:
- goto inline$IoGetNextIrpStackLocation$6$label_5#1;
-
- inline$IoGetNextIrpStackLocation$6$label_3_true#1:
- inline$IoGetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$6$myVar_0);
- goto inline$IoGetNextIrpStackLocation$6$label_5#1;
-
- inline$IoGetNextIrpStackLocation$6$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$6$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$6$label_1#1;
-
- inline$IoGetNextIrpStackLocation$6$label_1#1:
- goto inline$IoGetNextIrpStackLocation$6$Return#1;
-
- inline$IoGetNextIrpStackLocation$6$Return#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$2$anon5_Then#1, inline$storm_IoSetCompletionRoutine$2$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$2$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$2$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$2$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$2$Return#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$2$Return#1;
-
- inline$storm_IoSetCompletionRoutine$2$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$2$Return#1;
-
- inline$storm_IoSetCompletionRoutine$2$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$53$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$53$Entry#1:
- inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$53$start#1;
-
- inline$IoGetCurrentIrpStackLocation$53$start#1:
- inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$53$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$53$label_3_true#1, inline$IoGetCurrentIrpStackLocation$53$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$53$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$53$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$53$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$53$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$53$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$53$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$53$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$53$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$53$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$53$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$53$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$53$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$53$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$53$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$53$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$53$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$53$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$53$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_19#1:
- goto inline$storm_IoCallDriver$5$Entry#1;
-
- inline$storm_IoCallDriver$5$Entry#1:
- inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$5$start#1;
-
- inline$storm_IoCallDriver$5$start#1:
- inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$5$label_3#1;
-
- inline$storm_IoCallDriver$5$label_3#1:
- goto inline$storm_IoCallDriver$5$label_4#1;
-
- inline$storm_IoCallDriver$5$label_4#1:
- goto inline$storm_IoCallDriver$5$label_5#1;
-
- inline$storm_IoCallDriver$5$label_5#1:
- goto inline$storm_IoCallDriver$5$label_6#1;
-
- inline$storm_IoCallDriver$5$label_6#1:
- call inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$5$label_9#1;
-
- inline$storm_IoCallDriver$5$label_9#1:
- goto inline$storm_IoCallDriver$5$label_9_true#1, inline$storm_IoCallDriver$5$label_9_false#1;
-
- inline$storm_IoCallDriver$5$label_9_false#1:
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$5$label_10#1;
-
- inline$storm_IoCallDriver$5$label_9_true#1:
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$5$label_13#1;
-
- inline$storm_IoCallDriver$5$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$5$anon12_Then#1, inline$storm_IoCallDriver$5$anon12_Else#1;
-
- inline$storm_IoCallDriver$5$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$5$anon3#1;
-
- inline$storm_IoCallDriver$5$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$5$label_14#1;
-
- inline$storm_IoCallDriver$5$label_14#1:
- goto inline$storm_IoCallDriver$5$label_14_true#1, inline$storm_IoCallDriver$5$label_14_false#1;
-
- inline$storm_IoCallDriver$5$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$5$label_15#1;
-
- inline$storm_IoCallDriver$5$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$5$label_1#1;
-
- inline$storm_IoCallDriver$5$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$5$label_10#1;
-
- inline$storm_IoCallDriver$5$label_10#1:
- goto inline$IoSetNextIrpStackLocation$6$Entry#1;
-
- inline$IoSetNextIrpStackLocation$6$Entry#1:
- inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$6$start#1;
-
- inline$IoSetNextIrpStackLocation$6$start#1:
- inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$6$label_3#1;
-
- inline$IoSetNextIrpStackLocation$6$label_3#1:
- goto inline$IoSetNextIrpStackLocation$6$label_3_true#1, inline$IoSetNextIrpStackLocation$6$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$6$label_3_false#1:
- inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$6$myVar_0);
- goto inline$IoSetNextIrpStackLocation$6$label_4#1;
-
- inline$IoSetNextIrpStackLocation$6$label_4#1:
- call inline$IoSetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$6$label_7#1;
-
- inline$IoSetNextIrpStackLocation$6$label_7#1:
- call inline$IoSetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$6$label_8#1;
-
- inline$IoSetNextIrpStackLocation$6$label_8#1:
- goto inline$IoSetNextIrpStackLocation$6$label_5#1;
-
- inline$IoSetNextIrpStackLocation$6$label_3_true#1:
- inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$6$myVar_0);
- goto inline$IoSetNextIrpStackLocation$6$label_5#1;
-
- inline$IoSetNextIrpStackLocation$6$label_5#1:
- inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$6$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$6$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$6$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$6$label_6#1;
-
- inline$IoSetNextIrpStackLocation$6$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$6$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$6$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$6$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$6$label_1#1;
-
- inline$IoSetNextIrpStackLocation$6$label_1#1:
- goto inline$IoSetNextIrpStackLocation$6$Return#1;
-
- inline$IoSetNextIrpStackLocation$6$Return#1:
- goto inline$storm_IoCallDriver$5$label_10$1#1;
-
- inline$storm_IoCallDriver$5$label_10$1#1:
- goto inline$storm_IoCallDriver$5$anon11_Then#1, inline$storm_IoCallDriver$5$anon11_Else#1;
-
- inline$storm_IoCallDriver$5$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$5$anon1#1;
-
- inline$storm_IoCallDriver$5$anon1#1:
- goto inline$storm_IoCallDriver$5$label_18#1;
-
- inline$storm_IoCallDriver$5$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$54$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$54$Entry#1:
- inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$54$start#1;
-
- inline$IoGetCurrentIrpStackLocation$54$start#1:
- inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$54$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$54$label_3_true#1, inline$IoGetCurrentIrpStackLocation$54$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$54$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$54$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$54$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$54$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$54$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$54$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$54$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$54$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$54$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$54$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$54$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$54$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$54$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$54$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$54$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$54$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$54$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$54$Return#1:
- goto inline$storm_IoCallDriver$5$label_18$1#1;
-
- inline$storm_IoCallDriver$5$label_18$1#1:
- goto inline$storm_IoCallDriver$5$anon13_Then#1, inline$storm_IoCallDriver$5$anon13_Else#1;
-
- inline$storm_IoCallDriver$5$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$5$anon6#1;
-
- inline$storm_IoCallDriver$5$anon6#1:
- goto inline$storm_IoCallDriver$5$label_21#1;
-
- inline$storm_IoCallDriver$5$label_21#1:
- goto inline$storm_IoCallDriver$5$label_22#1;
-
- inline$storm_IoCallDriver$5$label_22#1:
- goto inline$storm_IoCallDriver$5$label_23#1;
-
- inline$storm_IoCallDriver$5$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$5$label_24#1;
-
- inline$storm_IoCallDriver$5$label_24#1:
- call inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$5$label_27#1;
-
- inline$storm_IoCallDriver$5$label_27#1:
- goto inline$storm_IoCallDriver$5$label_27_case_0#1, inline$storm_IoCallDriver$5$label_27_case_1#1, inline$storm_IoCallDriver$5$label_27_case_2#1;
-
- inline$storm_IoCallDriver$5$label_27_case_2#1:
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$5$label_30#1;
-
- inline$storm_IoCallDriver$5$label_30#1:
- inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$5$label_31#1;
-
- inline$storm_IoCallDriver$5$label_31#1:
- goto inline$storm_IoCallDriver$5$label_32#1;
-
- inline$storm_IoCallDriver$5$label_32#1:
- goto inline$storm_IoCallDriver$5$label_33#1;
-
- inline$storm_IoCallDriver$5$label_33#1:
- goto inline$CallCompletionRoutine$10$Entry#1;
-
- inline$CallCompletionRoutine$10$Entry#1:
- inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$10$start#1;
-
- inline$CallCompletionRoutine$10$start#1:
- inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$10$label_3#1;
-
- inline$CallCompletionRoutine$10$label_3#1:
- goto inline$CallCompletionRoutine$10$label_4#1;
-
- inline$CallCompletionRoutine$10$label_4#1:
- goto inline$CallCompletionRoutine$10$label_5#1;
-
- inline$CallCompletionRoutine$10$label_5#1:
- goto inline$CallCompletionRoutine$10$label_6#1;
-
- inline$CallCompletionRoutine$10$label_6#1:
- goto inline$CallCompletionRoutine$10$label_7#1;
-
- inline$CallCompletionRoutine$10$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$55$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$55$Entry#1:
- inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$55$start#1;
-
- inline$IoGetCurrentIrpStackLocation$55$start#1:
- inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$55$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$55$label_3_true#1, inline$IoGetCurrentIrpStackLocation$55$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$55$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$55$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$55$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$55$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$55$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$55$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$55$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$55$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$55$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$55$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$55$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$55$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$55$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$55$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$55$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$55$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$55$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$55$Return#1:
- goto inline$CallCompletionRoutine$10$label_7$1#1;
-
- inline$CallCompletionRoutine$10$label_7$1#1:
- goto inline$CallCompletionRoutine$10$anon10_Then#1, inline$CallCompletionRoutine$10$anon10_Else#1;
-
- inline$CallCompletionRoutine$10$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$10$anon1#1;
-
- inline$CallCompletionRoutine$10$anon1#1:
- goto inline$CallCompletionRoutine$10$label_10#1;
-
- inline$CallCompletionRoutine$10$label_10#1:
- goto inline$CallCompletionRoutine$10$label_11#1;
-
- inline$CallCompletionRoutine$10$label_11#1:
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$CallCompletionRoutine$10$label_12#1;
-
- inline$CallCompletionRoutine$10$label_12#1:
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$CallCompletionRoutine$10$label_13#1;
-
- inline$CallCompletionRoutine$10$label_13#1:
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$CallCompletionRoutine$10$label_14#1;
-
- inline$CallCompletionRoutine$10$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$56$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$56$Entry#1:
- inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$56$start#1;
-
- inline$IoGetCurrentIrpStackLocation$56$start#1:
- inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$56$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$56$label_3_true#1, inline$IoGetCurrentIrpStackLocation$56$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$56$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$56$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$56$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$56$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$56$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$56$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$56$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$56$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$56$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$56$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$56$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$56$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$56$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$56$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$56$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$56$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$56$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$56$Return#1:
- goto inline$CallCompletionRoutine$10$label_14$1#1;
-
- inline$CallCompletionRoutine$10$label_14$1#1:
- goto inline$CallCompletionRoutine$10$anon11_Then#1, inline$CallCompletionRoutine$10$anon11_Else#1;
-
- inline$CallCompletionRoutine$10$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$10$anon3#1;
-
- inline$CallCompletionRoutine$10$anon3#1:
- goto inline$CallCompletionRoutine$10$label_17#1;
-
- inline$CallCompletionRoutine$10$label_17#1:
- goto inline$CallCompletionRoutine$10$label_18#1;
-
- inline$CallCompletionRoutine$10$label_18#1:
- goto inline$CallCompletionRoutine$10$label_18_true#1, inline$CallCompletionRoutine$10$label_18_false#1;
-
- inline$CallCompletionRoutine$10$label_18_false#1:
- assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$10$label_1#1;
-
- inline$CallCompletionRoutine$10$label_18_true#1:
- assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$10$label_19#1;
-
- inline$CallCompletionRoutine$10$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$10$label_20#1;
-
- inline$CallCompletionRoutine$10$label_20#1:
- goto inline$CallCompletionRoutine$10$label_20_icall_1#1, inline$CallCompletionRoutine$10$label_20_icall_2#1, inline$CallCompletionRoutine$10$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$10$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$10$Entry#1:
- inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$10$start#1;
-
- inline$BDLSystemPowerIoCompletion$10$start#1:
- call inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$10$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_4#1:
- inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_6#1:
- inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$10$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$10$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$58$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$58$Entry#1:
- inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$58$start#1;
-
- inline$IoGetCurrentIrpStackLocation$58$start#1:
- inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$58$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$58$label_3_true#1, inline$IoGetCurrentIrpStackLocation$58$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$58$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$58$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$58$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$58$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$58$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$58$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$58$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$58$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$58$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$58$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$58$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$58$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$58$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$58$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$58$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$58$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$58$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$58$Return#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon36_Then#1, inline$BDLSystemPowerIoCompletion$10$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_15#1:
- goto inline$BDLGetDebugLevel$295$Entry#1;
-
- inline$BDLGetDebugLevel$295$Entry#1:
- goto inline$BDLGetDebugLevel$295$start#1;
-
- inline$BDLGetDebugLevel$295$start#1:
- goto inline$BDLGetDebugLevel$295$label_3#1;
-
- inline$BDLGetDebugLevel$295$label_3#1:
- havoc inline$BDLGetDebugLevel$295$myNondetVar_0;
- inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$295$myNondetVar_0;
- goto inline$BDLGetDebugLevel$295$label_1#1;
-
- inline$BDLGetDebugLevel$295$label_1#1:
- goto inline$BDLGetDebugLevel$295$Return#1;
-
- inline$BDLGetDebugLevel$295$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon37_Then#1, inline$BDLSystemPowerIoCompletion$10$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_18_true#1, inline$BDLSystemPowerIoCompletion$10$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_22#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_23#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_24#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$10$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_19#1:
- goto inline$BDLGetDebugLevel$296$Entry#1;
-
- inline$BDLGetDebugLevel$296$Entry#1:
- goto inline$BDLGetDebugLevel$296$start#1;
-
- inline$BDLGetDebugLevel$296$start#1:
- goto inline$BDLGetDebugLevel$296$label_3#1;
-
- inline$BDLGetDebugLevel$296$label_3#1:
- havoc inline$BDLGetDebugLevel$296$myNondetVar_0;
- inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$296$myNondetVar_0;
- goto inline$BDLGetDebugLevel$296$label_1#1;
-
- inline$BDLGetDebugLevel$296$label_1#1:
- goto inline$BDLGetDebugLevel$296$Return#1;
-
- inline$BDLGetDebugLevel$296$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon38_Then#1, inline$BDLSystemPowerIoCompletion$10$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_28_true#1, inline$BDLSystemPowerIoCompletion$10$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_32#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_33#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_34#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$10$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_29#1:
- goto inline$BDLGetDebugLevel$297$Entry#1;
-
- inline$BDLGetDebugLevel$297$Entry#1:
- goto inline$BDLGetDebugLevel$297$start#1;
-
- inline$BDLGetDebugLevel$297$start#1:
- goto inline$BDLGetDebugLevel$297$label_3#1;
-
- inline$BDLGetDebugLevel$297$label_3#1:
- havoc inline$BDLGetDebugLevel$297$myNondetVar_0;
- inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$297$myNondetVar_0;
- goto inline$BDLGetDebugLevel$297$label_1#1;
-
- inline$BDLGetDebugLevel$297$label_1#1:
- goto inline$BDLGetDebugLevel$297$Return#1;
-
- inline$BDLGetDebugLevel$297$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon39_Then#1, inline$BDLSystemPowerIoCompletion$10$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_38_true#1, inline$BDLSystemPowerIoCompletion$10$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_39_true#1, inline$BDLSystemPowerIoCompletion$10$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$10$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$10$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$10$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_106_true#1, inline$BDLSystemPowerIoCompletion$10$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_142#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_143#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$10$myNondetVar_0, inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$10$anon50_Then#1, inline$BDLSystemPowerIoCompletion$10$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_112#1:
- inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$10$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_113_true#1, inline$BDLSystemPowerIoCompletion$10$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$10$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_117#1:
- goto inline$BDLGetDebugLevel$304$Entry#1;
-
- inline$BDLGetDebugLevel$304$Entry#1:
- goto inline$BDLGetDebugLevel$304$start#1;
-
- inline$BDLGetDebugLevel$304$start#1:
- goto inline$BDLGetDebugLevel$304$label_3#1;
-
- inline$BDLGetDebugLevel$304$label_3#1:
- havoc inline$BDLGetDebugLevel$304$myNondetVar_0;
- inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$304$myNondetVar_0;
- goto inline$BDLGetDebugLevel$304$label_1#1;
-
- inline$BDLGetDebugLevel$304$label_1#1:
- goto inline$BDLGetDebugLevel$304$Return#1;
-
- inline$BDLGetDebugLevel$304$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon51_Then#1, inline$BDLSystemPowerIoCompletion$10$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_120_true#1, inline$BDLSystemPowerIoCompletion$10$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_124#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_125#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_126#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$10$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_121#1:
- goto inline$BDLGetDebugLevel$305$Entry#1;
-
- inline$BDLGetDebugLevel$305$Entry#1:
- goto inline$BDLGetDebugLevel$305$start#1;
-
- inline$BDLGetDebugLevel$305$start#1:
- goto inline$BDLGetDebugLevel$305$label_3#1;
-
- inline$BDLGetDebugLevel$305$label_3#1:
- havoc inline$BDLGetDebugLevel$305$myNondetVar_0;
- inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$305$myNondetVar_0;
- goto inline$BDLGetDebugLevel$305$label_1#1;
-
- inline$BDLGetDebugLevel$305$label_1#1:
- goto inline$BDLGetDebugLevel$305$Return#1;
-
- inline$BDLGetDebugLevel$305$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon52_Then#1, inline$BDLSystemPowerIoCompletion$10$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_130_true#1, inline$BDLSystemPowerIoCompletion$10$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_134#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_135#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_136#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$10$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_131#1:
- goto inline$BDLGetDebugLevel$306$Entry#1;
-
- inline$BDLGetDebugLevel$306$Entry#1:
- goto inline$BDLGetDebugLevel$306$start#1;
-
- inline$BDLGetDebugLevel$306$start#1:
- goto inline$BDLGetDebugLevel$306$label_3#1;
-
- inline$BDLGetDebugLevel$306$label_3#1:
- havoc inline$BDLGetDebugLevel$306$myNondetVar_0;
- inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$306$myNondetVar_0;
- goto inline$BDLGetDebugLevel$306$label_1#1;
-
- inline$BDLGetDebugLevel$306$label_1#1:
- goto inline$BDLGetDebugLevel$306$Return#1;
-
- inline$BDLGetDebugLevel$306$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon53_Then#1, inline$BDLSystemPowerIoCompletion$10$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_140_true#1, inline$BDLSystemPowerIoCompletion$10$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$10$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_115#1:
- inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$10$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$10$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_43#1:
- goto inline$BDLGetDebugLevel$298$Entry#1;
-
- inline$BDLGetDebugLevel$298$Entry#1:
- goto inline$BDLGetDebugLevel$298$start#1;
-
- inline$BDLGetDebugLevel$298$start#1:
- goto inline$BDLGetDebugLevel$298$label_3#1;
-
- inline$BDLGetDebugLevel$298$label_3#1:
- havoc inline$BDLGetDebugLevel$298$myNondetVar_0;
- inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$298$myNondetVar_0;
- goto inline$BDLGetDebugLevel$298$label_1#1;
-
- inline$BDLGetDebugLevel$298$label_1#1:
- goto inline$BDLGetDebugLevel$298$Return#1;
-
- inline$BDLGetDebugLevel$298$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon40_Then#1, inline$BDLSystemPowerIoCompletion$10$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_46_true#1, inline$BDLSystemPowerIoCompletion$10$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_50#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_51#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_52#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$10$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_47#1:
- goto inline$BDLGetDebugLevel$299$Entry#1;
-
- inline$BDLGetDebugLevel$299$Entry#1:
- goto inline$BDLGetDebugLevel$299$start#1;
-
- inline$BDLGetDebugLevel$299$start#1:
- goto inline$BDLGetDebugLevel$299$label_3#1;
-
- inline$BDLGetDebugLevel$299$label_3#1:
- havoc inline$BDLGetDebugLevel$299$myNondetVar_0;
- inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$299$myNondetVar_0;
- goto inline$BDLGetDebugLevel$299$label_1#1;
-
- inline$BDLGetDebugLevel$299$label_1#1:
- goto inline$BDLGetDebugLevel$299$Return#1;
-
- inline$BDLGetDebugLevel$299$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon41_Then#1, inline$BDLSystemPowerIoCompletion$10$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_56_true#1, inline$BDLSystemPowerIoCompletion$10$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_60#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_61#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_62#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$10$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_57#1:
- goto inline$BDLGetDebugLevel$300$Entry#1;
-
- inline$BDLGetDebugLevel$300$Entry#1:
- goto inline$BDLGetDebugLevel$300$start#1;
-
- inline$BDLGetDebugLevel$300$start#1:
- goto inline$BDLGetDebugLevel$300$label_3#1;
-
- inline$BDLGetDebugLevel$300$label_3#1:
- havoc inline$BDLGetDebugLevel$300$myNondetVar_0;
- inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$300$myNondetVar_0;
- goto inline$BDLGetDebugLevel$300$label_1#1;
-
- inline$BDLGetDebugLevel$300$label_1#1:
- goto inline$BDLGetDebugLevel$300$Return#1;
-
- inline$BDLGetDebugLevel$300$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon42_Then#1, inline$BDLSystemPowerIoCompletion$10$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_66_true#1, inline$BDLSystemPowerIoCompletion$10$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$10$anon43_Then#1, inline$BDLSystemPowerIoCompletion$10$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$10$anon44_Then#1, inline$BDLSystemPowerIoCompletion$10$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_74#1:
- goto inline$storm_IoCompleteRequest$22$Entry#1;
-
- inline$storm_IoCompleteRequest$22$Entry#1:
- inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$22$start#1;
-
- inline$storm_IoCompleteRequest$22$start#1:
- inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$22$label_3#1;
-
- inline$storm_IoCompleteRequest$22$label_3#1:
- call inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$22$label_6#1;
-
- inline$storm_IoCompleteRequest$22$label_6#1:
- goto inline$storm_IoCompleteRequest$22$label_6_true#1, inline$storm_IoCompleteRequest$22$label_6_false#1;
-
- inline$storm_IoCompleteRequest$22$label_6_false#1:
- assume inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$22$label_7#1;
-
- inline$storm_IoCompleteRequest$22$label_6_true#1:
- assume inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$22$label_8#1;
-
- inline$storm_IoCompleteRequest$22$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$22$anon3_Then#1, inline$storm_IoCompleteRequest$22$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$22$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$22$anon1#1;
-
- inline$storm_IoCompleteRequest$22$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$22$label_9#1;
-
- inline$storm_IoCompleteRequest$22$label_9#1:
- goto inline$storm_IoCompleteRequest$22$label_9_true#1, inline$storm_IoCompleteRequest$22$label_9_false#1;
-
- inline$storm_IoCompleteRequest$22$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$22$label_10#1;
-
- inline$storm_IoCompleteRequest$22$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$22$label_1#1;
-
- inline$storm_IoCompleteRequest$22$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$22$label_7#1;
-
- inline$storm_IoCompleteRequest$22$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$22$label_1#1;
-
- inline$storm_IoCompleteRequest$22$label_1#1:
- goto inline$storm_IoCompleteRequest$22$Return#1;
-
- inline$storm_IoCompleteRequest$22$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$22$Return#1;
-
- inline$storm_IoCompleteRequest$22$Return#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon45_Then#1, inline$BDLSystemPowerIoCompletion$10$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$10$anon46_Then#1, inline$BDLSystemPowerIoCompletion$10$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_80#1:
- goto inline$BDLGetDebugLevel$301$Entry#1;
-
- inline$BDLGetDebugLevel$301$Entry#1:
- goto inline$BDLGetDebugLevel$301$start#1;
-
- inline$BDLGetDebugLevel$301$start#1:
- goto inline$BDLGetDebugLevel$301$label_3#1;
-
- inline$BDLGetDebugLevel$301$label_3#1:
- havoc inline$BDLGetDebugLevel$301$myNondetVar_0;
- inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$301$myNondetVar_0;
- goto inline$BDLGetDebugLevel$301$label_1#1;
-
- inline$BDLGetDebugLevel$301$label_1#1:
- goto inline$BDLGetDebugLevel$301$Return#1;
-
- inline$BDLGetDebugLevel$301$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon47_Then#1, inline$BDLSystemPowerIoCompletion$10$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_83_true#1, inline$BDLSystemPowerIoCompletion$10$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_87#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_88#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_89#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$10$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_84#1:
- goto inline$BDLGetDebugLevel$302$Entry#1;
-
- inline$BDLGetDebugLevel$302$Entry#1:
- goto inline$BDLGetDebugLevel$302$start#1;
-
- inline$BDLGetDebugLevel$302$start#1:
- goto inline$BDLGetDebugLevel$302$label_3#1;
-
- inline$BDLGetDebugLevel$302$label_3#1:
- havoc inline$BDLGetDebugLevel$302$myNondetVar_0;
- inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$302$myNondetVar_0;
- goto inline$BDLGetDebugLevel$302$label_1#1;
-
- inline$BDLGetDebugLevel$302$label_1#1:
- goto inline$BDLGetDebugLevel$302$Return#1;
-
- inline$BDLGetDebugLevel$302$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon48_Then#1, inline$BDLSystemPowerIoCompletion$10$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_93_true#1, inline$BDLSystemPowerIoCompletion$10$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_97#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_98#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_99#1:
- call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$10$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$10$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_94#1:
- goto inline$BDLGetDebugLevel$303$Entry#1;
-
- inline$BDLGetDebugLevel$303$Entry#1:
- goto inline$BDLGetDebugLevel$303$start#1;
-
- inline$BDLGetDebugLevel$303$start#1:
- goto inline$BDLGetDebugLevel$303$label_3#1;
-
- inline$BDLGetDebugLevel$303$label_3#1:
- havoc inline$BDLGetDebugLevel$303$myNondetVar_0;
- inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$303$myNondetVar_0;
- goto inline$BDLGetDebugLevel$303$label_1#1;
-
- inline$BDLGetDebugLevel$303$label_1#1:
- goto inline$BDLGetDebugLevel$303$Return#1;
-
- inline$BDLGetDebugLevel$303$Return#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$10$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$10$anon49_Then#1, inline$BDLSystemPowerIoCompletion$10$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_103_true#1, inline$BDLSystemPowerIoCompletion$10$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$10$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$10$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_104#1:
- inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$10$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$10$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$10$Return#1;
-
- inline$BDLSystemPowerIoCompletion$10$Return#1:
- inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$10$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$10$anon14_Then#1, inline$CallCompletionRoutine$10$anon14_Else#1;
-
- inline$CallCompletionRoutine$10$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$10$anon9#1;
-
- inline$CallCompletionRoutine$10$anon9#1:
- goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$10$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$10$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$10$Entry#1:
- inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$10$start#1;
-
- inline$BDLDevicePowerIoCompletion$10$start#1:
- call inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$10$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_4#1:
- inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_6#1:
- inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$10$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$10$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$57$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$57$Entry#1:
- inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$57$start#1;
-
- inline$IoGetCurrentIrpStackLocation$57$start#1:
- inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$57$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$57$label_3_true#1, inline$IoGetCurrentIrpStackLocation$57$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$57$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$57$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$57$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$57$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$57$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$57$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$57$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$57$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$57$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$57$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$57$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$57$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$57$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$57$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$57$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$57$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$57$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon30_Then#1, inline$BDLDevicePowerIoCompletion$10$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_13#1:
- inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$10$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$10$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_17#1:
- goto inline$BDLGetDebugLevel$286$Entry#1;
-
- inline$BDLGetDebugLevel$286$Entry#1:
- goto inline$BDLGetDebugLevel$286$start#1;
-
- inline$BDLGetDebugLevel$286$start#1:
- goto inline$BDLGetDebugLevel$286$label_3#1;
-
- inline$BDLGetDebugLevel$286$label_3#1:
- havoc inline$BDLGetDebugLevel$286$myNondetVar_0;
- inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$286$myNondetVar_0;
- goto inline$BDLGetDebugLevel$286$label_1#1;
-
- inline$BDLGetDebugLevel$286$label_1#1:
- goto inline$BDLGetDebugLevel$286$Return#1;
-
- inline$BDLGetDebugLevel$286$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon31_Then#1, inline$BDLDevicePowerIoCompletion$10$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_20_true#1, inline$BDLDevicePowerIoCompletion$10$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_24#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_25#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_26#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$10$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_21#1:
- goto inline$BDLGetDebugLevel$287$Entry#1;
-
- inline$BDLGetDebugLevel$287$Entry#1:
- goto inline$BDLGetDebugLevel$287$start#1;
-
- inline$BDLGetDebugLevel$287$start#1:
- goto inline$BDLGetDebugLevel$287$label_3#1;
-
- inline$BDLGetDebugLevel$287$label_3#1:
- havoc inline$BDLGetDebugLevel$287$myNondetVar_0;
- inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$287$myNondetVar_0;
- goto inline$BDLGetDebugLevel$287$label_1#1;
-
- inline$BDLGetDebugLevel$287$label_1#1:
- goto inline$BDLGetDebugLevel$287$Return#1;
-
- inline$BDLGetDebugLevel$287$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon32_Then#1, inline$BDLDevicePowerIoCompletion$10$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_30_true#1, inline$BDLDevicePowerIoCompletion$10$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_34#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_35#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_36#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$10$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_31#1:
- goto inline$BDLGetDebugLevel$288$Entry#1;
-
- inline$BDLGetDebugLevel$288$Entry#1:
- goto inline$BDLGetDebugLevel$288$start#1;
-
- inline$BDLGetDebugLevel$288$start#1:
- goto inline$BDLGetDebugLevel$288$label_3#1;
-
- inline$BDLGetDebugLevel$288$label_3#1:
- havoc inline$BDLGetDebugLevel$288$myNondetVar_0;
- inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$288$myNondetVar_0;
- goto inline$BDLGetDebugLevel$288$label_1#1;
-
- inline$BDLGetDebugLevel$288$label_1#1:
- goto inline$BDLGetDebugLevel$288$Return#1;
-
- inline$BDLGetDebugLevel$288$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon33_Then#1, inline$BDLDevicePowerIoCompletion$10$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_40_true#1, inline$BDLDevicePowerIoCompletion$10$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_41_true#1, inline$BDLDevicePowerIoCompletion$10$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$10$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$10$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_44_true#1, inline$BDLDevicePowerIoCompletion$10$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$10$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_45_true#1, inline$BDLDevicePowerIoCompletion$10$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$10$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$10$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$10$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$10$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$10$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_54#1:
- inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$10$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_55_true#1, inline$BDLDevicePowerIoCompletion$10$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_56#1:
- call inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$10$anon34_Then#1, inline$BDLDevicePowerIoCompletion$10$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_59#1:
- goto inline$BDLGetDebugLevel$289$Entry#1;
-
- inline$BDLGetDebugLevel$289$Entry#1:
- goto inline$BDLGetDebugLevel$289$start#1;
-
- inline$BDLGetDebugLevel$289$start#1:
- goto inline$BDLGetDebugLevel$289$label_3#1;
-
- inline$BDLGetDebugLevel$289$label_3#1:
- havoc inline$BDLGetDebugLevel$289$myNondetVar_0;
- inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$289$myNondetVar_0;
- goto inline$BDLGetDebugLevel$289$label_1#1;
-
- inline$BDLGetDebugLevel$289$label_1#1:
- goto inline$BDLGetDebugLevel$289$Return#1;
-
- inline$BDLGetDebugLevel$289$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon35_Then#1, inline$BDLDevicePowerIoCompletion$10$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_62_true#1, inline$BDLDevicePowerIoCompletion$10$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_66#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_67#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_68#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$10$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_63#1:
- goto inline$BDLGetDebugLevel$290$Entry#1;
-
- inline$BDLGetDebugLevel$290$Entry#1:
- goto inline$BDLGetDebugLevel$290$start#1;
-
- inline$BDLGetDebugLevel$290$start#1:
- goto inline$BDLGetDebugLevel$290$label_3#1;
-
- inline$BDLGetDebugLevel$290$label_3#1:
- havoc inline$BDLGetDebugLevel$290$myNondetVar_0;
- inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$290$myNondetVar_0;
- goto inline$BDLGetDebugLevel$290$label_1#1;
-
- inline$BDLGetDebugLevel$290$label_1#1:
- goto inline$BDLGetDebugLevel$290$Return#1;
-
- inline$BDLGetDebugLevel$290$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon36_Then#1, inline$BDLDevicePowerIoCompletion$10$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_72_true#1, inline$BDLDevicePowerIoCompletion$10$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_76#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_77#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_78#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$10$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_73#1:
- goto inline$BDLGetDebugLevel$291$Entry#1;
-
- inline$BDLGetDebugLevel$291$Entry#1:
- goto inline$BDLGetDebugLevel$291$start#1;
-
- inline$BDLGetDebugLevel$291$start#1:
- goto inline$BDLGetDebugLevel$291$label_3#1;
-
- inline$BDLGetDebugLevel$291$label_3#1:
- havoc inline$BDLGetDebugLevel$291$myNondetVar_0;
- inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$291$myNondetVar_0;
- goto inline$BDLGetDebugLevel$291$label_1#1;
-
- inline$BDLGetDebugLevel$291$label_1#1:
- goto inline$BDLGetDebugLevel$291$Return#1;
-
- inline$BDLGetDebugLevel$291$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon37_Then#1, inline$BDLDevicePowerIoCompletion$10$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_82_true#1, inline$BDLDevicePowerIoCompletion$10$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$10$anon38_Then#1, inline$BDLDevicePowerIoCompletion$10$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$10$anon39_Then#1, inline$BDLDevicePowerIoCompletion$10$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_92#1:
- goto inline$storm_IoCompleteRequest$21$Entry#1;
-
- inline$storm_IoCompleteRequest$21$Entry#1:
- inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$21$start#1;
-
- inline$storm_IoCompleteRequest$21$start#1:
- inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$21$label_3#1;
-
- inline$storm_IoCompleteRequest$21$label_3#1:
- call inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$21$label_6#1;
-
- inline$storm_IoCompleteRequest$21$label_6#1:
- goto inline$storm_IoCompleteRequest$21$label_6_true#1, inline$storm_IoCompleteRequest$21$label_6_false#1;
-
- inline$storm_IoCompleteRequest$21$label_6_false#1:
- assume inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$21$label_7#1;
-
- inline$storm_IoCompleteRequest$21$label_6_true#1:
- assume inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$21$label_8#1;
-
- inline$storm_IoCompleteRequest$21$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$21$anon3_Then#1, inline$storm_IoCompleteRequest$21$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$21$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$21$anon1#1;
-
- inline$storm_IoCompleteRequest$21$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$21$label_9#1;
-
- inline$storm_IoCompleteRequest$21$label_9#1:
- goto inline$storm_IoCompleteRequest$21$label_9_true#1, inline$storm_IoCompleteRequest$21$label_9_false#1;
-
- inline$storm_IoCompleteRequest$21$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$21$label_10#1;
-
- inline$storm_IoCompleteRequest$21$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$21$label_1#1;
-
- inline$storm_IoCompleteRequest$21$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$21$label_7#1;
-
- inline$storm_IoCompleteRequest$21$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$21$label_1#1;
-
- inline$storm_IoCompleteRequest$21$label_1#1:
- goto inline$storm_IoCompleteRequest$21$Return#1;
-
- inline$storm_IoCompleteRequest$21$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$21$Return#1;
-
- inline$storm_IoCompleteRequest$21$Return#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon40_Then#1, inline$BDLDevicePowerIoCompletion$10$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$10$anon41_Then#1, inline$BDLDevicePowerIoCompletion$10$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_98#1:
- goto inline$BDLGetDebugLevel$292$Entry#1;
-
- inline$BDLGetDebugLevel$292$Entry#1:
- goto inline$BDLGetDebugLevel$292$start#1;
-
- inline$BDLGetDebugLevel$292$start#1:
- goto inline$BDLGetDebugLevel$292$label_3#1;
-
- inline$BDLGetDebugLevel$292$label_3#1:
- havoc inline$BDLGetDebugLevel$292$myNondetVar_0;
- inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$292$myNondetVar_0;
- goto inline$BDLGetDebugLevel$292$label_1#1;
-
- inline$BDLGetDebugLevel$292$label_1#1:
- goto inline$BDLGetDebugLevel$292$Return#1;
-
- inline$BDLGetDebugLevel$292$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon42_Then#1, inline$BDLDevicePowerIoCompletion$10$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_101_true#1, inline$BDLDevicePowerIoCompletion$10$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_105#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_106#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_107#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$10$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_102#1:
- goto inline$BDLGetDebugLevel$293$Entry#1;
-
- inline$BDLGetDebugLevel$293$Entry#1:
- goto inline$BDLGetDebugLevel$293$start#1;
-
- inline$BDLGetDebugLevel$293$start#1:
- goto inline$BDLGetDebugLevel$293$label_3#1;
-
- inline$BDLGetDebugLevel$293$label_3#1:
- havoc inline$BDLGetDebugLevel$293$myNondetVar_0;
- inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$293$myNondetVar_0;
- goto inline$BDLGetDebugLevel$293$label_1#1;
-
- inline$BDLGetDebugLevel$293$label_1#1:
- goto inline$BDLGetDebugLevel$293$Return#1;
-
- inline$BDLGetDebugLevel$293$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon43_Then#1, inline$BDLDevicePowerIoCompletion$10$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_111_true#1, inline$BDLDevicePowerIoCompletion$10$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_115#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_116#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_117#1:
- call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$10$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$10$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_112#1:
- goto inline$BDLGetDebugLevel$294$Entry#1;
-
- inline$BDLGetDebugLevel$294$Entry#1:
- goto inline$BDLGetDebugLevel$294$start#1;
-
- inline$BDLGetDebugLevel$294$start#1:
- goto inline$BDLGetDebugLevel$294$label_3#1;
-
- inline$BDLGetDebugLevel$294$label_3#1:
- havoc inline$BDLGetDebugLevel$294$myNondetVar_0;
- inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$294$myNondetVar_0;
- goto inline$BDLGetDebugLevel$294$label_1#1;
-
- inline$BDLGetDebugLevel$294$label_1#1:
- goto inline$BDLGetDebugLevel$294$Return#1;
-
- inline$BDLGetDebugLevel$294$Return#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$10$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$10$anon44_Then#1, inline$BDLDevicePowerIoCompletion$10$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_121_true#1, inline$BDLDevicePowerIoCompletion$10$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$10$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$10$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_122#1:
- inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$10$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$10$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$10$Return#1;
-
- inline$BDLDevicePowerIoCompletion$10$Return#1:
- inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$10$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$10$anon13_Then#1, inline$CallCompletionRoutine$10$anon13_Else#1;
-
- inline$CallCompletionRoutine$10$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$10$anon7#1;
-
- inline$CallCompletionRoutine$10$anon7#1:
- goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$10$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$10$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$10$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$10$Entry#1:
- inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$10$start#1;
-
- inline$BDLCallDriverCompletionRoutine$10$start#1:
- inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$10$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$10$label_3_true#1, inline$BDLCallDriverCompletionRoutine$10$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$10$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$10$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$10$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$10$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_6#1:
- goto inline$storm_KeSetEvent$12$Entry#1;
-
- inline$storm_KeSetEvent$12$Entry#1:
- inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$12$start#1;
-
- inline$storm_KeSetEvent$12$start#1:
- inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$12$label_3#1;
-
- inline$storm_KeSetEvent$12$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$12$label_1#1;
-
- inline$storm_KeSetEvent$12$label_1#1:
- goto inline$storm_KeSetEvent$12$Return#1;
-
- inline$storm_KeSetEvent$12$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$10$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$10$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$10$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$10$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$10$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$10$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$10$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_9#1:
- inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$10$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$10$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$10$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$10$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$10$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$10$Return#1:
- inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$10$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$10$anon12_Then#1, inline$CallCompletionRoutine$10$anon12_Else#1;
-
- inline$CallCompletionRoutine$10$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$10$anon5#1;
-
- inline$CallCompletionRoutine$10$anon5#1:
- goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$10$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$10$label_23#1;
-
- inline$CallCompletionRoutine$10$label_23#1:
- inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$10$label_24#1;
-
- inline$CallCompletionRoutine$10$label_24#1:
- goto inline$CallCompletionRoutine$10$label_24_true#1, inline$CallCompletionRoutine$10$label_24_false#1;
-
- inline$CallCompletionRoutine$10$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$10$label_1#1;
-
- inline$CallCompletionRoutine$10$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$10$label_25#1;
-
- inline$CallCompletionRoutine$10$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$10$label_1#1;
-
- inline$CallCompletionRoutine$10$label_1#1:
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$10$Return#1;
-
- inline$CallCompletionRoutine$10$Return#1:
- goto inline$storm_IoCallDriver$5$label_33$1#1;
-
- inline$storm_IoCallDriver$5$label_33$1#1:
- goto inline$storm_IoCallDriver$5$anon14_Then#1, inline$storm_IoCallDriver$5$anon14_Else#1;
-
- inline$storm_IoCallDriver$5$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$5$anon8#1;
-
- inline$storm_IoCallDriver$5$anon8#1:
- goto inline$storm_IoCallDriver$5$label_36#1;
-
- inline$storm_IoCallDriver$5$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$label_27_case_1#1:
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$5$label_29#1;
-
- inline$storm_IoCallDriver$5$label_29#1:
- inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$5$label_37#1;
-
- inline$storm_IoCallDriver$5$label_37#1:
- goto inline$storm_IoCallDriver$5$label_38#1;
-
- inline$storm_IoCallDriver$5$label_38#1:
- goto inline$storm_IoCallDriver$5$label_39#1;
-
- inline$storm_IoCallDriver$5$label_39#1:
- goto inline$CallCompletionRoutine$11$Entry#1;
-
- inline$CallCompletionRoutine$11$Entry#1:
- inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$11$start#1;
-
- inline$CallCompletionRoutine$11$start#1:
- inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$11$label_3#1;
-
- inline$CallCompletionRoutine$11$label_3#1:
- goto inline$CallCompletionRoutine$11$label_4#1;
-
- inline$CallCompletionRoutine$11$label_4#1:
- goto inline$CallCompletionRoutine$11$label_5#1;
-
- inline$CallCompletionRoutine$11$label_5#1:
- goto inline$CallCompletionRoutine$11$label_6#1;
-
- inline$CallCompletionRoutine$11$label_6#1:
- goto inline$CallCompletionRoutine$11$label_7#1;
-
- inline$CallCompletionRoutine$11$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$59$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$59$Entry#1:
- inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$59$start#1;
-
- inline$IoGetCurrentIrpStackLocation$59$start#1:
- inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$59$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$59$label_3_true#1, inline$IoGetCurrentIrpStackLocation$59$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$59$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$59$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$59$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$59$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$59$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$59$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$59$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$59$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$59$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$59$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$59$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$59$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$59$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$59$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$59$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$59$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$59$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$59$Return#1:
- goto inline$CallCompletionRoutine$11$label_7$1#1;
-
- inline$CallCompletionRoutine$11$label_7$1#1:
- goto inline$CallCompletionRoutine$11$anon10_Then#1, inline$CallCompletionRoutine$11$anon10_Else#1;
-
- inline$CallCompletionRoutine$11$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$11$anon1#1;
-
- inline$CallCompletionRoutine$11$anon1#1:
- goto inline$CallCompletionRoutine$11$label_10#1;
-
- inline$CallCompletionRoutine$11$label_10#1:
- goto inline$CallCompletionRoutine$11$label_11#1;
-
- inline$CallCompletionRoutine$11$label_11#1:
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$CallCompletionRoutine$11$label_12#1;
-
- inline$CallCompletionRoutine$11$label_12#1:
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$CallCompletionRoutine$11$label_13#1;
-
- inline$CallCompletionRoutine$11$label_13#1:
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$CallCompletionRoutine$11$label_14#1;
-
- inline$CallCompletionRoutine$11$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$60$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$60$Entry#1:
- inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$60$start#1;
-
- inline$IoGetCurrentIrpStackLocation$60$start#1:
- inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$60$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$60$label_3_true#1, inline$IoGetCurrentIrpStackLocation$60$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$60$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$60$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$60$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$60$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$60$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$60$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$60$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$60$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$60$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$60$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$60$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$60$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$60$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$60$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$60$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$60$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$60$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$60$Return#1:
- goto inline$CallCompletionRoutine$11$label_14$1#1;
-
- inline$CallCompletionRoutine$11$label_14$1#1:
- goto inline$CallCompletionRoutine$11$anon11_Then#1, inline$CallCompletionRoutine$11$anon11_Else#1;
-
- inline$CallCompletionRoutine$11$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$11$anon3#1;
-
- inline$CallCompletionRoutine$11$anon3#1:
- goto inline$CallCompletionRoutine$11$label_17#1;
-
- inline$CallCompletionRoutine$11$label_17#1:
- goto inline$CallCompletionRoutine$11$label_18#1;
-
- inline$CallCompletionRoutine$11$label_18#1:
- goto inline$CallCompletionRoutine$11$label_18_true#1, inline$CallCompletionRoutine$11$label_18_false#1;
-
- inline$CallCompletionRoutine$11$label_18_false#1:
- assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$11$label_1#1;
-
- inline$CallCompletionRoutine$11$label_18_true#1:
- assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$11$label_19#1;
-
- inline$CallCompletionRoutine$11$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$11$label_20#1;
-
- inline$CallCompletionRoutine$11$label_20#1:
- goto inline$CallCompletionRoutine$11$label_20_icall_1#1, inline$CallCompletionRoutine$11$label_20_icall_2#1, inline$CallCompletionRoutine$11$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$11$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$11$Entry#1:
- inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$11$start#1;
-
- inline$BDLSystemPowerIoCompletion$11$start#1:
- call inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$11$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_4#1:
- inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_6#1:
- inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$11$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$11$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$62$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$62$Entry#1:
- inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$62$start#1;
-
- inline$IoGetCurrentIrpStackLocation$62$start#1:
- inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$62$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$62$label_3_true#1, inline$IoGetCurrentIrpStackLocation$62$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$62$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$62$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$62$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$62$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$62$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$62$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$62$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$62$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$62$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$62$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$62$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$62$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$62$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$62$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$62$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$62$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$62$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$62$Return#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon36_Then#1, inline$BDLSystemPowerIoCompletion$11$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_15#1:
- goto inline$BDLGetDebugLevel$316$Entry#1;
-
- inline$BDLGetDebugLevel$316$Entry#1:
- goto inline$BDLGetDebugLevel$316$start#1;
-
- inline$BDLGetDebugLevel$316$start#1:
- goto inline$BDLGetDebugLevel$316$label_3#1;
-
- inline$BDLGetDebugLevel$316$label_3#1:
- havoc inline$BDLGetDebugLevel$316$myNondetVar_0;
- inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$316$myNondetVar_0;
- goto inline$BDLGetDebugLevel$316$label_1#1;
-
- inline$BDLGetDebugLevel$316$label_1#1:
- goto inline$BDLGetDebugLevel$316$Return#1;
-
- inline$BDLGetDebugLevel$316$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon37_Then#1, inline$BDLSystemPowerIoCompletion$11$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_18_true#1, inline$BDLSystemPowerIoCompletion$11$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_22#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_23#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_24#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$11$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_19#1:
- goto inline$BDLGetDebugLevel$317$Entry#1;
-
- inline$BDLGetDebugLevel$317$Entry#1:
- goto inline$BDLGetDebugLevel$317$start#1;
-
- inline$BDLGetDebugLevel$317$start#1:
- goto inline$BDLGetDebugLevel$317$label_3#1;
-
- inline$BDLGetDebugLevel$317$label_3#1:
- havoc inline$BDLGetDebugLevel$317$myNondetVar_0;
- inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$317$myNondetVar_0;
- goto inline$BDLGetDebugLevel$317$label_1#1;
-
- inline$BDLGetDebugLevel$317$label_1#1:
- goto inline$BDLGetDebugLevel$317$Return#1;
-
- inline$BDLGetDebugLevel$317$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon38_Then#1, inline$BDLSystemPowerIoCompletion$11$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_28_true#1, inline$BDLSystemPowerIoCompletion$11$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_32#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_33#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_34#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$11$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_29#1:
- goto inline$BDLGetDebugLevel$318$Entry#1;
-
- inline$BDLGetDebugLevel$318$Entry#1:
- goto inline$BDLGetDebugLevel$318$start#1;
-
- inline$BDLGetDebugLevel$318$start#1:
- goto inline$BDLGetDebugLevel$318$label_3#1;
-
- inline$BDLGetDebugLevel$318$label_3#1:
- havoc inline$BDLGetDebugLevel$318$myNondetVar_0;
- inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$318$myNondetVar_0;
- goto inline$BDLGetDebugLevel$318$label_1#1;
-
- inline$BDLGetDebugLevel$318$label_1#1:
- goto inline$BDLGetDebugLevel$318$Return#1;
-
- inline$BDLGetDebugLevel$318$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon39_Then#1, inline$BDLSystemPowerIoCompletion$11$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_38_true#1, inline$BDLSystemPowerIoCompletion$11$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_39_true#1, inline$BDLSystemPowerIoCompletion$11$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$11$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$11$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$11$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_106_true#1, inline$BDLSystemPowerIoCompletion$11$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_142#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_143#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$11$myNondetVar_0, inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$11$anon50_Then#1, inline$BDLSystemPowerIoCompletion$11$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_112#1:
- inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$11$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_113_true#1, inline$BDLSystemPowerIoCompletion$11$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$11$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_117#1:
- goto inline$BDLGetDebugLevel$325$Entry#1;
-
- inline$BDLGetDebugLevel$325$Entry#1:
- goto inline$BDLGetDebugLevel$325$start#1;
-
- inline$BDLGetDebugLevel$325$start#1:
- goto inline$BDLGetDebugLevel$325$label_3#1;
-
- inline$BDLGetDebugLevel$325$label_3#1:
- havoc inline$BDLGetDebugLevel$325$myNondetVar_0;
- inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$325$myNondetVar_0;
- goto inline$BDLGetDebugLevel$325$label_1#1;
-
- inline$BDLGetDebugLevel$325$label_1#1:
- goto inline$BDLGetDebugLevel$325$Return#1;
-
- inline$BDLGetDebugLevel$325$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon51_Then#1, inline$BDLSystemPowerIoCompletion$11$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_120_true#1, inline$BDLSystemPowerIoCompletion$11$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_124#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_125#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_126#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$11$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_121#1:
- goto inline$BDLGetDebugLevel$326$Entry#1;
-
- inline$BDLGetDebugLevel$326$Entry#1:
- goto inline$BDLGetDebugLevel$326$start#1;
-
- inline$BDLGetDebugLevel$326$start#1:
- goto inline$BDLGetDebugLevel$326$label_3#1;
-
- inline$BDLGetDebugLevel$326$label_3#1:
- havoc inline$BDLGetDebugLevel$326$myNondetVar_0;
- inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$326$myNondetVar_0;
- goto inline$BDLGetDebugLevel$326$label_1#1;
-
- inline$BDLGetDebugLevel$326$label_1#1:
- goto inline$BDLGetDebugLevel$326$Return#1;
-
- inline$BDLGetDebugLevel$326$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon52_Then#1, inline$BDLSystemPowerIoCompletion$11$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_130_true#1, inline$BDLSystemPowerIoCompletion$11$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_134#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_135#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_136#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$11$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_131#1:
- goto inline$BDLGetDebugLevel$327$Entry#1;
-
- inline$BDLGetDebugLevel$327$Entry#1:
- goto inline$BDLGetDebugLevel$327$start#1;
-
- inline$BDLGetDebugLevel$327$start#1:
- goto inline$BDLGetDebugLevel$327$label_3#1;
-
- inline$BDLGetDebugLevel$327$label_3#1:
- havoc inline$BDLGetDebugLevel$327$myNondetVar_0;
- inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$327$myNondetVar_0;
- goto inline$BDLGetDebugLevel$327$label_1#1;
-
- inline$BDLGetDebugLevel$327$label_1#1:
- goto inline$BDLGetDebugLevel$327$Return#1;
-
- inline$BDLGetDebugLevel$327$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon53_Then#1, inline$BDLSystemPowerIoCompletion$11$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_140_true#1, inline$BDLSystemPowerIoCompletion$11$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$11$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_115#1:
- inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$11$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$11$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_43#1:
- goto inline$BDLGetDebugLevel$319$Entry#1;
-
- inline$BDLGetDebugLevel$319$Entry#1:
- goto inline$BDLGetDebugLevel$319$start#1;
-
- inline$BDLGetDebugLevel$319$start#1:
- goto inline$BDLGetDebugLevel$319$label_3#1;
-
- inline$BDLGetDebugLevel$319$label_3#1:
- havoc inline$BDLGetDebugLevel$319$myNondetVar_0;
- inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$319$myNondetVar_0;
- goto inline$BDLGetDebugLevel$319$label_1#1;
-
- inline$BDLGetDebugLevel$319$label_1#1:
- goto inline$BDLGetDebugLevel$319$Return#1;
-
- inline$BDLGetDebugLevel$319$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon40_Then#1, inline$BDLSystemPowerIoCompletion$11$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_46_true#1, inline$BDLSystemPowerIoCompletion$11$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_50#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_51#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_52#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$11$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_47#1:
- goto inline$BDLGetDebugLevel$320$Entry#1;
-
- inline$BDLGetDebugLevel$320$Entry#1:
- goto inline$BDLGetDebugLevel$320$start#1;
-
- inline$BDLGetDebugLevel$320$start#1:
- goto inline$BDLGetDebugLevel$320$label_3#1;
-
- inline$BDLGetDebugLevel$320$label_3#1:
- havoc inline$BDLGetDebugLevel$320$myNondetVar_0;
- inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$320$myNondetVar_0;
- goto inline$BDLGetDebugLevel$320$label_1#1;
-
- inline$BDLGetDebugLevel$320$label_1#1:
- goto inline$BDLGetDebugLevel$320$Return#1;
-
- inline$BDLGetDebugLevel$320$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon41_Then#1, inline$BDLSystemPowerIoCompletion$11$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_56_true#1, inline$BDLSystemPowerIoCompletion$11$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_60#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_61#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_62#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$11$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_57#1:
- goto inline$BDLGetDebugLevel$321$Entry#1;
-
- inline$BDLGetDebugLevel$321$Entry#1:
- goto inline$BDLGetDebugLevel$321$start#1;
-
- inline$BDLGetDebugLevel$321$start#1:
- goto inline$BDLGetDebugLevel$321$label_3#1;
-
- inline$BDLGetDebugLevel$321$label_3#1:
- havoc inline$BDLGetDebugLevel$321$myNondetVar_0;
- inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$321$myNondetVar_0;
- goto inline$BDLGetDebugLevel$321$label_1#1;
-
- inline$BDLGetDebugLevel$321$label_1#1:
- goto inline$BDLGetDebugLevel$321$Return#1;
-
- inline$BDLGetDebugLevel$321$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon42_Then#1, inline$BDLSystemPowerIoCompletion$11$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_66_true#1, inline$BDLSystemPowerIoCompletion$11$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$11$anon43_Then#1, inline$BDLSystemPowerIoCompletion$11$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$11$anon44_Then#1, inline$BDLSystemPowerIoCompletion$11$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_74#1:
- goto inline$storm_IoCompleteRequest$24$Entry#1;
-
- inline$storm_IoCompleteRequest$24$Entry#1:
- inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$24$start#1;
-
- inline$storm_IoCompleteRequest$24$start#1:
- inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$24$label_3#1;
-
- inline$storm_IoCompleteRequest$24$label_3#1:
- call inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$24$label_6#1;
-
- inline$storm_IoCompleteRequest$24$label_6#1:
- goto inline$storm_IoCompleteRequest$24$label_6_true#1, inline$storm_IoCompleteRequest$24$label_6_false#1;
-
- inline$storm_IoCompleteRequest$24$label_6_false#1:
- assume inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$24$label_7#1;
-
- inline$storm_IoCompleteRequest$24$label_6_true#1:
- assume inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$24$label_8#1;
-
- inline$storm_IoCompleteRequest$24$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$24$anon3_Then#1, inline$storm_IoCompleteRequest$24$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$24$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$24$anon1#1;
-
- inline$storm_IoCompleteRequest$24$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$24$label_9#1;
-
- inline$storm_IoCompleteRequest$24$label_9#1:
- goto inline$storm_IoCompleteRequest$24$label_9_true#1, inline$storm_IoCompleteRequest$24$label_9_false#1;
-
- inline$storm_IoCompleteRequest$24$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$24$label_10#1;
-
- inline$storm_IoCompleteRequest$24$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$24$label_1#1;
-
- inline$storm_IoCompleteRequest$24$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$24$label_7#1;
-
- inline$storm_IoCompleteRequest$24$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$24$label_1#1;
-
- inline$storm_IoCompleteRequest$24$label_1#1:
- goto inline$storm_IoCompleteRequest$24$Return#1;
-
- inline$storm_IoCompleteRequest$24$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$24$Return#1;
-
- inline$storm_IoCompleteRequest$24$Return#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon45_Then#1, inline$BDLSystemPowerIoCompletion$11$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$11$anon46_Then#1, inline$BDLSystemPowerIoCompletion$11$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_80#1:
- goto inline$BDLGetDebugLevel$322$Entry#1;
-
- inline$BDLGetDebugLevel$322$Entry#1:
- goto inline$BDLGetDebugLevel$322$start#1;
-
- inline$BDLGetDebugLevel$322$start#1:
- goto inline$BDLGetDebugLevel$322$label_3#1;
-
- inline$BDLGetDebugLevel$322$label_3#1:
- havoc inline$BDLGetDebugLevel$322$myNondetVar_0;
- inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$322$myNondetVar_0;
- goto inline$BDLGetDebugLevel$322$label_1#1;
-
- inline$BDLGetDebugLevel$322$label_1#1:
- goto inline$BDLGetDebugLevel$322$Return#1;
-
- inline$BDLGetDebugLevel$322$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon47_Then#1, inline$BDLSystemPowerIoCompletion$11$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_83_true#1, inline$BDLSystemPowerIoCompletion$11$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_87#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_88#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_89#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$11$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_84#1:
- goto inline$BDLGetDebugLevel$323$Entry#1;
-
- inline$BDLGetDebugLevel$323$Entry#1:
- goto inline$BDLGetDebugLevel$323$start#1;
-
- inline$BDLGetDebugLevel$323$start#1:
- goto inline$BDLGetDebugLevel$323$label_3#1;
-
- inline$BDLGetDebugLevel$323$label_3#1:
- havoc inline$BDLGetDebugLevel$323$myNondetVar_0;
- inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$323$myNondetVar_0;
- goto inline$BDLGetDebugLevel$323$label_1#1;
-
- inline$BDLGetDebugLevel$323$label_1#1:
- goto inline$BDLGetDebugLevel$323$Return#1;
-
- inline$BDLGetDebugLevel$323$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon48_Then#1, inline$BDLSystemPowerIoCompletion$11$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_93_true#1, inline$BDLSystemPowerIoCompletion$11$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_97#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_98#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_99#1:
- call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$11$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$11$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_94#1:
- goto inline$BDLGetDebugLevel$324$Entry#1;
-
- inline$BDLGetDebugLevel$324$Entry#1:
- goto inline$BDLGetDebugLevel$324$start#1;
-
- inline$BDLGetDebugLevel$324$start#1:
- goto inline$BDLGetDebugLevel$324$label_3#1;
-
- inline$BDLGetDebugLevel$324$label_3#1:
- havoc inline$BDLGetDebugLevel$324$myNondetVar_0;
- inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$324$myNondetVar_0;
- goto inline$BDLGetDebugLevel$324$label_1#1;
-
- inline$BDLGetDebugLevel$324$label_1#1:
- goto inline$BDLGetDebugLevel$324$Return#1;
-
- inline$BDLGetDebugLevel$324$Return#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$11$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$11$anon49_Then#1, inline$BDLSystemPowerIoCompletion$11$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_103_true#1, inline$BDLSystemPowerIoCompletion$11$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$11$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$11$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_104#1:
- inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$11$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$11$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$11$Return#1;
-
- inline$BDLSystemPowerIoCompletion$11$Return#1:
- inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$11$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$11$anon14_Then#1, inline$CallCompletionRoutine$11$anon14_Else#1;
-
- inline$CallCompletionRoutine$11$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$11$anon9#1;
-
- inline$CallCompletionRoutine$11$anon9#1:
- goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$11$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$11$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$11$Entry#1:
- inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$11$start#1;
-
- inline$BDLDevicePowerIoCompletion$11$start#1:
- call inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$11$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_4#1:
- inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_6#1:
- inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$11$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$11$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$61$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$61$Entry#1:
- inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$61$start#1;
-
- inline$IoGetCurrentIrpStackLocation$61$start#1:
- inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$61$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$61$label_3_true#1, inline$IoGetCurrentIrpStackLocation$61$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$61$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$61$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$61$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$61$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$61$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$61$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$61$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$61$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$61$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$61$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$61$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$61$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$61$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$61$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$61$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$61$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$61$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon30_Then#1, inline$BDLDevicePowerIoCompletion$11$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_13#1:
- inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$11$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$11$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_17#1:
- goto inline$BDLGetDebugLevel$307$Entry#1;
-
- inline$BDLGetDebugLevel$307$Entry#1:
- goto inline$BDLGetDebugLevel$307$start#1;
-
- inline$BDLGetDebugLevel$307$start#1:
- goto inline$BDLGetDebugLevel$307$label_3#1;
-
- inline$BDLGetDebugLevel$307$label_3#1:
- havoc inline$BDLGetDebugLevel$307$myNondetVar_0;
- inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$307$myNondetVar_0;
- goto inline$BDLGetDebugLevel$307$label_1#1;
-
- inline$BDLGetDebugLevel$307$label_1#1:
- goto inline$BDLGetDebugLevel$307$Return#1;
-
- inline$BDLGetDebugLevel$307$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon31_Then#1, inline$BDLDevicePowerIoCompletion$11$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_20_true#1, inline$BDLDevicePowerIoCompletion$11$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_24#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_25#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_26#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$11$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_21#1:
- goto inline$BDLGetDebugLevel$308$Entry#1;
-
- inline$BDLGetDebugLevel$308$Entry#1:
- goto inline$BDLGetDebugLevel$308$start#1;
-
- inline$BDLGetDebugLevel$308$start#1:
- goto inline$BDLGetDebugLevel$308$label_3#1;
-
- inline$BDLGetDebugLevel$308$label_3#1:
- havoc inline$BDLGetDebugLevel$308$myNondetVar_0;
- inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$308$myNondetVar_0;
- goto inline$BDLGetDebugLevel$308$label_1#1;
-
- inline$BDLGetDebugLevel$308$label_1#1:
- goto inline$BDLGetDebugLevel$308$Return#1;
-
- inline$BDLGetDebugLevel$308$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon32_Then#1, inline$BDLDevicePowerIoCompletion$11$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_30_true#1, inline$BDLDevicePowerIoCompletion$11$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_34#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_35#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_36#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$11$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_31#1:
- goto inline$BDLGetDebugLevel$309$Entry#1;
-
- inline$BDLGetDebugLevel$309$Entry#1:
- goto inline$BDLGetDebugLevel$309$start#1;
-
- inline$BDLGetDebugLevel$309$start#1:
- goto inline$BDLGetDebugLevel$309$label_3#1;
-
- inline$BDLGetDebugLevel$309$label_3#1:
- havoc inline$BDLGetDebugLevel$309$myNondetVar_0;
- inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$309$myNondetVar_0;
- goto inline$BDLGetDebugLevel$309$label_1#1;
-
- inline$BDLGetDebugLevel$309$label_1#1:
- goto inline$BDLGetDebugLevel$309$Return#1;
-
- inline$BDLGetDebugLevel$309$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon33_Then#1, inline$BDLDevicePowerIoCompletion$11$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_40_true#1, inline$BDLDevicePowerIoCompletion$11$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_41_true#1, inline$BDLDevicePowerIoCompletion$11$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$11$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$11$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_44_true#1, inline$BDLDevicePowerIoCompletion$11$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$11$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_45_true#1, inline$BDLDevicePowerIoCompletion$11$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$11$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$11$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$11$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$11$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$11$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_54#1:
- inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$11$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_55_true#1, inline$BDLDevicePowerIoCompletion$11$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_56#1:
- call inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$11$anon34_Then#1, inline$BDLDevicePowerIoCompletion$11$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_59#1:
- goto inline$BDLGetDebugLevel$310$Entry#1;
-
- inline$BDLGetDebugLevel$310$Entry#1:
- goto inline$BDLGetDebugLevel$310$start#1;
-
- inline$BDLGetDebugLevel$310$start#1:
- goto inline$BDLGetDebugLevel$310$label_3#1;
-
- inline$BDLGetDebugLevel$310$label_3#1:
- havoc inline$BDLGetDebugLevel$310$myNondetVar_0;
- inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$310$myNondetVar_0;
- goto inline$BDLGetDebugLevel$310$label_1#1;
-
- inline$BDLGetDebugLevel$310$label_1#1:
- goto inline$BDLGetDebugLevel$310$Return#1;
-
- inline$BDLGetDebugLevel$310$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon35_Then#1, inline$BDLDevicePowerIoCompletion$11$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_62_true#1, inline$BDLDevicePowerIoCompletion$11$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_66#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_67#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_68#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$11$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_63#1:
- goto inline$BDLGetDebugLevel$311$Entry#1;
-
- inline$BDLGetDebugLevel$311$Entry#1:
- goto inline$BDLGetDebugLevel$311$start#1;
-
- inline$BDLGetDebugLevel$311$start#1:
- goto inline$BDLGetDebugLevel$311$label_3#1;
-
- inline$BDLGetDebugLevel$311$label_3#1:
- havoc inline$BDLGetDebugLevel$311$myNondetVar_0;
- inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$311$myNondetVar_0;
- goto inline$BDLGetDebugLevel$311$label_1#1;
-
- inline$BDLGetDebugLevel$311$label_1#1:
- goto inline$BDLGetDebugLevel$311$Return#1;
-
- inline$BDLGetDebugLevel$311$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon36_Then#1, inline$BDLDevicePowerIoCompletion$11$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_72_true#1, inline$BDLDevicePowerIoCompletion$11$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_76#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_77#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_78#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$11$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_73#1:
- goto inline$BDLGetDebugLevel$312$Entry#1;
-
- inline$BDLGetDebugLevel$312$Entry#1:
- goto inline$BDLGetDebugLevel$312$start#1;
-
- inline$BDLGetDebugLevel$312$start#1:
- goto inline$BDLGetDebugLevel$312$label_3#1;
-
- inline$BDLGetDebugLevel$312$label_3#1:
- havoc inline$BDLGetDebugLevel$312$myNondetVar_0;
- inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$312$myNondetVar_0;
- goto inline$BDLGetDebugLevel$312$label_1#1;
-
- inline$BDLGetDebugLevel$312$label_1#1:
- goto inline$BDLGetDebugLevel$312$Return#1;
-
- inline$BDLGetDebugLevel$312$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon37_Then#1, inline$BDLDevicePowerIoCompletion$11$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_82_true#1, inline$BDLDevicePowerIoCompletion$11$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$11$anon38_Then#1, inline$BDLDevicePowerIoCompletion$11$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$11$anon39_Then#1, inline$BDLDevicePowerIoCompletion$11$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_92#1:
- goto inline$storm_IoCompleteRequest$23$Entry#1;
-
- inline$storm_IoCompleteRequest$23$Entry#1:
- inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$23$start#1;
-
- inline$storm_IoCompleteRequest$23$start#1:
- inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$23$label_3#1;
-
- inline$storm_IoCompleteRequest$23$label_3#1:
- call inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$23$label_6#1;
-
- inline$storm_IoCompleteRequest$23$label_6#1:
- goto inline$storm_IoCompleteRequest$23$label_6_true#1, inline$storm_IoCompleteRequest$23$label_6_false#1;
-
- inline$storm_IoCompleteRequest$23$label_6_false#1:
- assume inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$23$label_7#1;
-
- inline$storm_IoCompleteRequest$23$label_6_true#1:
- assume inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$23$label_8#1;
-
- inline$storm_IoCompleteRequest$23$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$23$anon3_Then#1, inline$storm_IoCompleteRequest$23$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$23$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$23$anon1#1;
-
- inline$storm_IoCompleteRequest$23$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$23$label_9#1;
-
- inline$storm_IoCompleteRequest$23$label_9#1:
- goto inline$storm_IoCompleteRequest$23$label_9_true#1, inline$storm_IoCompleteRequest$23$label_9_false#1;
-
- inline$storm_IoCompleteRequest$23$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$23$label_10#1;
-
- inline$storm_IoCompleteRequest$23$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$23$label_1#1;
-
- inline$storm_IoCompleteRequest$23$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$23$label_7#1;
-
- inline$storm_IoCompleteRequest$23$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$23$label_1#1;
-
- inline$storm_IoCompleteRequest$23$label_1#1:
- goto inline$storm_IoCompleteRequest$23$Return#1;
-
- inline$storm_IoCompleteRequest$23$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$23$Return#1;
-
- inline$storm_IoCompleteRequest$23$Return#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon40_Then#1, inline$BDLDevicePowerIoCompletion$11$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$11$anon41_Then#1, inline$BDLDevicePowerIoCompletion$11$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_98#1:
- goto inline$BDLGetDebugLevel$313$Entry#1;
-
- inline$BDLGetDebugLevel$313$Entry#1:
- goto inline$BDLGetDebugLevel$313$start#1;
-
- inline$BDLGetDebugLevel$313$start#1:
- goto inline$BDLGetDebugLevel$313$label_3#1;
-
- inline$BDLGetDebugLevel$313$label_3#1:
- havoc inline$BDLGetDebugLevel$313$myNondetVar_0;
- inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$313$myNondetVar_0;
- goto inline$BDLGetDebugLevel$313$label_1#1;
-
- inline$BDLGetDebugLevel$313$label_1#1:
- goto inline$BDLGetDebugLevel$313$Return#1;
-
- inline$BDLGetDebugLevel$313$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon42_Then#1, inline$BDLDevicePowerIoCompletion$11$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_101_true#1, inline$BDLDevicePowerIoCompletion$11$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_105#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_106#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_107#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$11$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_102#1:
- goto inline$BDLGetDebugLevel$314$Entry#1;
-
- inline$BDLGetDebugLevel$314$Entry#1:
- goto inline$BDLGetDebugLevel$314$start#1;
-
- inline$BDLGetDebugLevel$314$start#1:
- goto inline$BDLGetDebugLevel$314$label_3#1;
-
- inline$BDLGetDebugLevel$314$label_3#1:
- havoc inline$BDLGetDebugLevel$314$myNondetVar_0;
- inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$314$myNondetVar_0;
- goto inline$BDLGetDebugLevel$314$label_1#1;
-
- inline$BDLGetDebugLevel$314$label_1#1:
- goto inline$BDLGetDebugLevel$314$Return#1;
-
- inline$BDLGetDebugLevel$314$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon43_Then#1, inline$BDLDevicePowerIoCompletion$11$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_111_true#1, inline$BDLDevicePowerIoCompletion$11$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_115#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_116#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_117#1:
- call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$11$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$11$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_112#1:
- goto inline$BDLGetDebugLevel$315$Entry#1;
-
- inline$BDLGetDebugLevel$315$Entry#1:
- goto inline$BDLGetDebugLevel$315$start#1;
-
- inline$BDLGetDebugLevel$315$start#1:
- goto inline$BDLGetDebugLevel$315$label_3#1;
-
- inline$BDLGetDebugLevel$315$label_3#1:
- havoc inline$BDLGetDebugLevel$315$myNondetVar_0;
- inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$315$myNondetVar_0;
- goto inline$BDLGetDebugLevel$315$label_1#1;
-
- inline$BDLGetDebugLevel$315$label_1#1:
- goto inline$BDLGetDebugLevel$315$Return#1;
-
- inline$BDLGetDebugLevel$315$Return#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$11$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$11$anon44_Then#1, inline$BDLDevicePowerIoCompletion$11$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_121_true#1, inline$BDLDevicePowerIoCompletion$11$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$11$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$11$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_122#1:
- inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$11$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$11$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$11$Return#1;
-
- inline$BDLDevicePowerIoCompletion$11$Return#1:
- inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$11$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$11$anon13_Then#1, inline$CallCompletionRoutine$11$anon13_Else#1;
-
- inline$CallCompletionRoutine$11$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$11$anon7#1;
-
- inline$CallCompletionRoutine$11$anon7#1:
- goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$11$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$11$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$11$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$11$Entry#1:
- inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$11$start#1;
-
- inline$BDLCallDriverCompletionRoutine$11$start#1:
- inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$11$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$11$label_3_true#1, inline$BDLCallDriverCompletionRoutine$11$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$11$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$11$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$11$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$11$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_6#1:
- goto inline$storm_KeSetEvent$13$Entry#1;
-
- inline$storm_KeSetEvent$13$Entry#1:
- inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$13$start#1;
-
- inline$storm_KeSetEvent$13$start#1:
- inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$13$label_3#1;
-
- inline$storm_KeSetEvent$13$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$13$label_1#1;
-
- inline$storm_KeSetEvent$13$label_1#1:
- goto inline$storm_KeSetEvent$13$Return#1;
-
- inline$storm_KeSetEvent$13$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$11$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$11$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$11$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$11$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$11$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$11$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$11$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_9#1:
- inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$11$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$11$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$11$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$11$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$11$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$11$Return#1:
- inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$11$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$11$anon12_Then#1, inline$CallCompletionRoutine$11$anon12_Else#1;
-
- inline$CallCompletionRoutine$11$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$11$anon5#1;
-
- inline$CallCompletionRoutine$11$anon5#1:
- goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$11$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$11$label_23#1;
-
- inline$CallCompletionRoutine$11$label_23#1:
- inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$11$label_24#1;
-
- inline$CallCompletionRoutine$11$label_24#1:
- goto inline$CallCompletionRoutine$11$label_24_true#1, inline$CallCompletionRoutine$11$label_24_false#1;
-
- inline$CallCompletionRoutine$11$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$11$label_1#1;
-
- inline$CallCompletionRoutine$11$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$11$label_25#1;
-
- inline$CallCompletionRoutine$11$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$11$label_1#1;
-
- inline$CallCompletionRoutine$11$label_1#1:
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$11$Return#1;
-
- inline$CallCompletionRoutine$11$Return#1:
- goto inline$storm_IoCallDriver$5$label_39$1#1;
-
- inline$storm_IoCallDriver$5$label_39$1#1:
- goto inline$storm_IoCallDriver$5$anon15_Then#1, inline$storm_IoCallDriver$5$anon15_Else#1;
-
- inline$storm_IoCallDriver$5$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$5$anon10#1;
-
- inline$storm_IoCallDriver$5$anon10#1:
- goto inline$storm_IoCallDriver$5$label_36#1;
-
- inline$storm_IoCallDriver$5$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$label_27_case_0#1:
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$5$label_28#1;
-
- inline$storm_IoCallDriver$5$label_28#1:
- inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$5$label_42#1;
-
- inline$storm_IoCallDriver$5$label_42#1:
- goto inline$storm_IoCallDriver$5$label_43#1;
-
- inline$storm_IoCallDriver$5$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$5$label_36#1;
-
- inline$storm_IoCallDriver$5$label_36#1:
- inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$5$label_1#1;
-
- inline$storm_IoCallDriver$5$label_1#1:
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$5$Return#1;
-
- inline$storm_IoCallDriver$5$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_25#1:
- goto inline$storm_PoCallDriver$2$Entry#1;
-
- inline$storm_PoCallDriver$2$Entry#1:
- inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$2$start#1;
-
- inline$storm_PoCallDriver$2$start#1:
- inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$2$label_3#1;
-
- inline$storm_PoCallDriver$2$label_3#1:
- goto inline$storm_IoCallDriver$6$Entry#1;
-
- inline$storm_IoCallDriver$6$Entry#1:
- inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$6$start#1;
-
- inline$storm_IoCallDriver$6$start#1:
- inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$6$label_3#1;
-
- inline$storm_IoCallDriver$6$label_3#1:
- goto inline$storm_IoCallDriver$6$label_4#1;
-
- inline$storm_IoCallDriver$6$label_4#1:
- goto inline$storm_IoCallDriver$6$label_5#1;
-
- inline$storm_IoCallDriver$6$label_5#1:
- goto inline$storm_IoCallDriver$6$label_6#1;
-
- inline$storm_IoCallDriver$6$label_6#1:
- call inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$6$label_9#1;
-
- inline$storm_IoCallDriver$6$label_9#1:
- goto inline$storm_IoCallDriver$6$label_9_true#1, inline$storm_IoCallDriver$6$label_9_false#1;
-
- inline$storm_IoCallDriver$6$label_9_false#1:
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$6$label_10#1;
-
- inline$storm_IoCallDriver$6$label_9_true#1:
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$6$label_13#1;
-
- inline$storm_IoCallDriver$6$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$6$anon12_Then#1, inline$storm_IoCallDriver$6$anon12_Else#1;
-
- inline$storm_IoCallDriver$6$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$6$anon3#1;
-
- inline$storm_IoCallDriver$6$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$6$label_14#1;
-
- inline$storm_IoCallDriver$6$label_14#1:
- goto inline$storm_IoCallDriver$6$label_14_true#1, inline$storm_IoCallDriver$6$label_14_false#1;
-
- inline$storm_IoCallDriver$6$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$6$label_15#1;
-
- inline$storm_IoCallDriver$6$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$6$label_1#1;
-
- inline$storm_IoCallDriver$6$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$6$label_10#1;
-
- inline$storm_IoCallDriver$6$label_10#1:
- goto inline$IoSetNextIrpStackLocation$7$Entry#1;
-
- inline$IoSetNextIrpStackLocation$7$Entry#1:
- inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$7$start#1;
-
- inline$IoSetNextIrpStackLocation$7$start#1:
- inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$7$label_3#1;
-
- inline$IoSetNextIrpStackLocation$7$label_3#1:
- goto inline$IoSetNextIrpStackLocation$7$label_3_true#1, inline$IoSetNextIrpStackLocation$7$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$7$label_3_false#1:
- inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$7$myVar_0);
- goto inline$IoSetNextIrpStackLocation$7$label_4#1;
-
- inline$IoSetNextIrpStackLocation$7$label_4#1:
- call inline$IoSetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$7$label_7#1;
-
- inline$IoSetNextIrpStackLocation$7$label_7#1:
- call inline$IoSetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$7$label_8#1;
-
- inline$IoSetNextIrpStackLocation$7$label_8#1:
- goto inline$IoSetNextIrpStackLocation$7$label_5#1;
-
- inline$IoSetNextIrpStackLocation$7$label_3_true#1:
- inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$7$myVar_0);
- goto inline$IoSetNextIrpStackLocation$7$label_5#1;
-
- inline$IoSetNextIrpStackLocation$7$label_5#1:
- inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$7$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$7$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$7$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$7$label_6#1;
-
- inline$IoSetNextIrpStackLocation$7$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$7$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$7$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$7$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$7$label_1#1;
-
- inline$IoSetNextIrpStackLocation$7$label_1#1:
- goto inline$IoSetNextIrpStackLocation$7$Return#1;
-
- inline$IoSetNextIrpStackLocation$7$Return#1:
- goto inline$storm_IoCallDriver$6$label_10$1#1;
-
- inline$storm_IoCallDriver$6$label_10$1#1:
- goto inline$storm_IoCallDriver$6$anon11_Then#1, inline$storm_IoCallDriver$6$anon11_Else#1;
-
- inline$storm_IoCallDriver$6$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$6$anon1#1;
-
- inline$storm_IoCallDriver$6$anon1#1:
- goto inline$storm_IoCallDriver$6$label_18#1;
-
- inline$storm_IoCallDriver$6$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$63$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$63$Entry#1:
- inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$63$start#1;
-
- inline$IoGetCurrentIrpStackLocation$63$start#1:
- inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$63$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$63$label_3_true#1, inline$IoGetCurrentIrpStackLocation$63$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$63$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$63$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$63$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$63$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$63$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$63$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$63$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$63$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$63$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$63$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$63$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$63$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$63$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$63$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$63$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$63$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$63$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$63$Return#1:
- goto inline$storm_IoCallDriver$6$label_18$1#1;
-
- inline$storm_IoCallDriver$6$label_18$1#1:
- goto inline$storm_IoCallDriver$6$anon13_Then#1, inline$storm_IoCallDriver$6$anon13_Else#1;
-
- inline$storm_IoCallDriver$6$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$6$anon6#1;
-
- inline$storm_IoCallDriver$6$anon6#1:
- goto inline$storm_IoCallDriver$6$label_21#1;
-
- inline$storm_IoCallDriver$6$label_21#1:
- goto inline$storm_IoCallDriver$6$label_22#1;
-
- inline$storm_IoCallDriver$6$label_22#1:
- goto inline$storm_IoCallDriver$6$label_23#1;
-
- inline$storm_IoCallDriver$6$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$6$label_24#1;
-
- inline$storm_IoCallDriver$6$label_24#1:
- call inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$6$label_27#1;
-
- inline$storm_IoCallDriver$6$label_27#1:
- goto inline$storm_IoCallDriver$6$label_27_case_0#1, inline$storm_IoCallDriver$6$label_27_case_1#1, inline$storm_IoCallDriver$6$label_27_case_2#1;
-
- inline$storm_IoCallDriver$6$label_27_case_2#1:
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$6$label_30#1;
-
- inline$storm_IoCallDriver$6$label_30#1:
- inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$6$label_31#1;
-
- inline$storm_IoCallDriver$6$label_31#1:
- goto inline$storm_IoCallDriver$6$label_32#1;
-
- inline$storm_IoCallDriver$6$label_32#1:
- goto inline$storm_IoCallDriver$6$label_33#1;
-
- inline$storm_IoCallDriver$6$label_33#1:
- goto inline$CallCompletionRoutine$12$Entry#1;
-
- inline$CallCompletionRoutine$12$Entry#1:
- inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$12$start#1;
-
- inline$CallCompletionRoutine$12$start#1:
- inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$12$label_3#1;
-
- inline$CallCompletionRoutine$12$label_3#1:
- goto inline$CallCompletionRoutine$12$label_4#1;
-
- inline$CallCompletionRoutine$12$label_4#1:
- goto inline$CallCompletionRoutine$12$label_5#1;
-
- inline$CallCompletionRoutine$12$label_5#1:
- goto inline$CallCompletionRoutine$12$label_6#1;
-
- inline$CallCompletionRoutine$12$label_6#1:
- goto inline$CallCompletionRoutine$12$label_7#1;
-
- inline$CallCompletionRoutine$12$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$64$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$64$Entry#1:
- inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$64$start#1;
-
- inline$IoGetCurrentIrpStackLocation$64$start#1:
- inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$64$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$64$label_3_true#1, inline$IoGetCurrentIrpStackLocation$64$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$64$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$64$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$64$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$64$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$64$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$64$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$64$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$64$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$64$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$64$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$64$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$64$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$64$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$64$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$64$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$64$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$64$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$64$Return#1:
- goto inline$CallCompletionRoutine$12$label_7$1#1;
-
- inline$CallCompletionRoutine$12$label_7$1#1:
- goto inline$CallCompletionRoutine$12$anon10_Then#1, inline$CallCompletionRoutine$12$anon10_Else#1;
-
- inline$CallCompletionRoutine$12$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$12$anon1#1;
-
- inline$CallCompletionRoutine$12$anon1#1:
- goto inline$CallCompletionRoutine$12$label_10#1;
-
- inline$CallCompletionRoutine$12$label_10#1:
- goto inline$CallCompletionRoutine$12$label_11#1;
-
- inline$CallCompletionRoutine$12$label_11#1:
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$CallCompletionRoutine$12$label_12#1;
-
- inline$CallCompletionRoutine$12$label_12#1:
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$CallCompletionRoutine$12$label_13#1;
-
- inline$CallCompletionRoutine$12$label_13#1:
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$CallCompletionRoutine$12$label_14#1;
-
- inline$CallCompletionRoutine$12$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$65$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$65$Entry#1:
- inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$65$start#1;
-
- inline$IoGetCurrentIrpStackLocation$65$start#1:
- inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$65$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$65$label_3_true#1, inline$IoGetCurrentIrpStackLocation$65$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$65$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$65$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$65$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$65$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$65$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$65$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$65$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$65$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$65$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$65$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$65$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$65$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$65$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$65$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$65$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$65$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$65$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$65$Return#1:
- goto inline$CallCompletionRoutine$12$label_14$1#1;
-
- inline$CallCompletionRoutine$12$label_14$1#1:
- goto inline$CallCompletionRoutine$12$anon11_Then#1, inline$CallCompletionRoutine$12$anon11_Else#1;
-
- inline$CallCompletionRoutine$12$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$12$anon3#1;
-
- inline$CallCompletionRoutine$12$anon3#1:
- goto inline$CallCompletionRoutine$12$label_17#1;
-
- inline$CallCompletionRoutine$12$label_17#1:
- goto inline$CallCompletionRoutine$12$label_18#1;
-
- inline$CallCompletionRoutine$12$label_18#1:
- goto inline$CallCompletionRoutine$12$label_18_true#1, inline$CallCompletionRoutine$12$label_18_false#1;
-
- inline$CallCompletionRoutine$12$label_18_false#1:
- assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$12$label_1#1;
-
- inline$CallCompletionRoutine$12$label_18_true#1:
- assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$12$label_19#1;
-
- inline$CallCompletionRoutine$12$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$12$label_20#1;
-
- inline$CallCompletionRoutine$12$label_20#1:
- goto inline$CallCompletionRoutine$12$label_20_icall_1#1, inline$CallCompletionRoutine$12$label_20_icall_2#1, inline$CallCompletionRoutine$12$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$12$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$12$Entry#1:
- inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$12$start#1;
-
- inline$BDLSystemPowerIoCompletion$12$start#1:
- call inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$12$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_4#1:
- inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_6#1:
- inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$12$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$12$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$67$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$67$Entry#1:
- inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$67$start#1;
-
- inline$IoGetCurrentIrpStackLocation$67$start#1:
- inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$67$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$67$label_3_true#1, inline$IoGetCurrentIrpStackLocation$67$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$67$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$67$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$67$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$67$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$67$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$67$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$67$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$67$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$67$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$67$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$67$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$67$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$67$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$67$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$67$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$67$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$67$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$67$Return#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon36_Then#1, inline$BDLSystemPowerIoCompletion$12$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_15#1:
- goto inline$BDLGetDebugLevel$337$Entry#1;
-
- inline$BDLGetDebugLevel$337$Entry#1:
- goto inline$BDLGetDebugLevel$337$start#1;
-
- inline$BDLGetDebugLevel$337$start#1:
- goto inline$BDLGetDebugLevel$337$label_3#1;
-
- inline$BDLGetDebugLevel$337$label_3#1:
- havoc inline$BDLGetDebugLevel$337$myNondetVar_0;
- inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$337$myNondetVar_0;
- goto inline$BDLGetDebugLevel$337$label_1#1;
-
- inline$BDLGetDebugLevel$337$label_1#1:
- goto inline$BDLGetDebugLevel$337$Return#1;
-
- inline$BDLGetDebugLevel$337$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon37_Then#1, inline$BDLSystemPowerIoCompletion$12$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_18_true#1, inline$BDLSystemPowerIoCompletion$12$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_22#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_23#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_24#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$12$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_19#1:
- goto inline$BDLGetDebugLevel$338$Entry#1;
-
- inline$BDLGetDebugLevel$338$Entry#1:
- goto inline$BDLGetDebugLevel$338$start#1;
-
- inline$BDLGetDebugLevel$338$start#1:
- goto inline$BDLGetDebugLevel$338$label_3#1;
-
- inline$BDLGetDebugLevel$338$label_3#1:
- havoc inline$BDLGetDebugLevel$338$myNondetVar_0;
- inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$338$myNondetVar_0;
- goto inline$BDLGetDebugLevel$338$label_1#1;
-
- inline$BDLGetDebugLevel$338$label_1#1:
- goto inline$BDLGetDebugLevel$338$Return#1;
-
- inline$BDLGetDebugLevel$338$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon38_Then#1, inline$BDLSystemPowerIoCompletion$12$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_28_true#1, inline$BDLSystemPowerIoCompletion$12$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_32#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_33#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_34#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$12$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_29#1:
- goto inline$BDLGetDebugLevel$339$Entry#1;
-
- inline$BDLGetDebugLevel$339$Entry#1:
- goto inline$BDLGetDebugLevel$339$start#1;
-
- inline$BDLGetDebugLevel$339$start#1:
- goto inline$BDLGetDebugLevel$339$label_3#1;
-
- inline$BDLGetDebugLevel$339$label_3#1:
- havoc inline$BDLGetDebugLevel$339$myNondetVar_0;
- inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$339$myNondetVar_0;
- goto inline$BDLGetDebugLevel$339$label_1#1;
-
- inline$BDLGetDebugLevel$339$label_1#1:
- goto inline$BDLGetDebugLevel$339$Return#1;
-
- inline$BDLGetDebugLevel$339$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon39_Then#1, inline$BDLSystemPowerIoCompletion$12$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_38_true#1, inline$BDLSystemPowerIoCompletion$12$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_39_true#1, inline$BDLSystemPowerIoCompletion$12$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$12$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$12$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$12$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_106_true#1, inline$BDLSystemPowerIoCompletion$12$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_142#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_143#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$12$myNondetVar_0, inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$12$anon50_Then#1, inline$BDLSystemPowerIoCompletion$12$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_112#1:
- inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$12$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_113_true#1, inline$BDLSystemPowerIoCompletion$12$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$12$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_117#1:
- goto inline$BDLGetDebugLevel$346$Entry#1;
-
- inline$BDLGetDebugLevel$346$Entry#1:
- goto inline$BDLGetDebugLevel$346$start#1;
-
- inline$BDLGetDebugLevel$346$start#1:
- goto inline$BDLGetDebugLevel$346$label_3#1;
-
- inline$BDLGetDebugLevel$346$label_3#1:
- havoc inline$BDLGetDebugLevel$346$myNondetVar_0;
- inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$346$myNondetVar_0;
- goto inline$BDLGetDebugLevel$346$label_1#1;
-
- inline$BDLGetDebugLevel$346$label_1#1:
- goto inline$BDLGetDebugLevel$346$Return#1;
-
- inline$BDLGetDebugLevel$346$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon51_Then#1, inline$BDLSystemPowerIoCompletion$12$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_120_true#1, inline$BDLSystemPowerIoCompletion$12$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_124#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_125#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_126#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$12$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_121#1:
- goto inline$BDLGetDebugLevel$347$Entry#1;
-
- inline$BDLGetDebugLevel$347$Entry#1:
- goto inline$BDLGetDebugLevel$347$start#1;
-
- inline$BDLGetDebugLevel$347$start#1:
- goto inline$BDLGetDebugLevel$347$label_3#1;
-
- inline$BDLGetDebugLevel$347$label_3#1:
- havoc inline$BDLGetDebugLevel$347$myNondetVar_0;
- inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$347$myNondetVar_0;
- goto inline$BDLGetDebugLevel$347$label_1#1;
-
- inline$BDLGetDebugLevel$347$label_1#1:
- goto inline$BDLGetDebugLevel$347$Return#1;
-
- inline$BDLGetDebugLevel$347$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon52_Then#1, inline$BDLSystemPowerIoCompletion$12$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_130_true#1, inline$BDLSystemPowerIoCompletion$12$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_134#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_135#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_136#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$12$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_131#1:
- goto inline$BDLGetDebugLevel$348$Entry#1;
-
- inline$BDLGetDebugLevel$348$Entry#1:
- goto inline$BDLGetDebugLevel$348$start#1;
-
- inline$BDLGetDebugLevel$348$start#1:
- goto inline$BDLGetDebugLevel$348$label_3#1;
-
- inline$BDLGetDebugLevel$348$label_3#1:
- havoc inline$BDLGetDebugLevel$348$myNondetVar_0;
- inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$348$myNondetVar_0;
- goto inline$BDLGetDebugLevel$348$label_1#1;
-
- inline$BDLGetDebugLevel$348$label_1#1:
- goto inline$BDLGetDebugLevel$348$Return#1;
-
- inline$BDLGetDebugLevel$348$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon53_Then#1, inline$BDLSystemPowerIoCompletion$12$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_140_true#1, inline$BDLSystemPowerIoCompletion$12$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$12$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_115#1:
- inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$12$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$12$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_43#1:
- goto inline$BDLGetDebugLevel$340$Entry#1;
-
- inline$BDLGetDebugLevel$340$Entry#1:
- goto inline$BDLGetDebugLevel$340$start#1;
-
- inline$BDLGetDebugLevel$340$start#1:
- goto inline$BDLGetDebugLevel$340$label_3#1;
-
- inline$BDLGetDebugLevel$340$label_3#1:
- havoc inline$BDLGetDebugLevel$340$myNondetVar_0;
- inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$340$myNondetVar_0;
- goto inline$BDLGetDebugLevel$340$label_1#1;
-
- inline$BDLGetDebugLevel$340$label_1#1:
- goto inline$BDLGetDebugLevel$340$Return#1;
-
- inline$BDLGetDebugLevel$340$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon40_Then#1, inline$BDLSystemPowerIoCompletion$12$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_46_true#1, inline$BDLSystemPowerIoCompletion$12$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_50#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_51#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_52#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$12$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_47#1:
- goto inline$BDLGetDebugLevel$341$Entry#1;
-
- inline$BDLGetDebugLevel$341$Entry#1:
- goto inline$BDLGetDebugLevel$341$start#1;
-
- inline$BDLGetDebugLevel$341$start#1:
- goto inline$BDLGetDebugLevel$341$label_3#1;
-
- inline$BDLGetDebugLevel$341$label_3#1:
- havoc inline$BDLGetDebugLevel$341$myNondetVar_0;
- inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$341$myNondetVar_0;
- goto inline$BDLGetDebugLevel$341$label_1#1;
-
- inline$BDLGetDebugLevel$341$label_1#1:
- goto inline$BDLGetDebugLevel$341$Return#1;
-
- inline$BDLGetDebugLevel$341$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon41_Then#1, inline$BDLSystemPowerIoCompletion$12$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_56_true#1, inline$BDLSystemPowerIoCompletion$12$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_60#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_61#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_62#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$12$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_57#1:
- goto inline$BDLGetDebugLevel$342$Entry#1;
-
- inline$BDLGetDebugLevel$342$Entry#1:
- goto inline$BDLGetDebugLevel$342$start#1;
-
- inline$BDLGetDebugLevel$342$start#1:
- goto inline$BDLGetDebugLevel$342$label_3#1;
-
- inline$BDLGetDebugLevel$342$label_3#1:
- havoc inline$BDLGetDebugLevel$342$myNondetVar_0;
- inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$342$myNondetVar_0;
- goto inline$BDLGetDebugLevel$342$label_1#1;
-
- inline$BDLGetDebugLevel$342$label_1#1:
- goto inline$BDLGetDebugLevel$342$Return#1;
-
- inline$BDLGetDebugLevel$342$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon42_Then#1, inline$BDLSystemPowerIoCompletion$12$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_66_true#1, inline$BDLSystemPowerIoCompletion$12$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$12$anon43_Then#1, inline$BDLSystemPowerIoCompletion$12$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$12$anon44_Then#1, inline$BDLSystemPowerIoCompletion$12$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_74#1:
- goto inline$storm_IoCompleteRequest$26$Entry#1;
-
- inline$storm_IoCompleteRequest$26$Entry#1:
- inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$26$start#1;
-
- inline$storm_IoCompleteRequest$26$start#1:
- inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$26$label_3#1;
-
- inline$storm_IoCompleteRequest$26$label_3#1:
- call inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$26$label_6#1;
-
- inline$storm_IoCompleteRequest$26$label_6#1:
- goto inline$storm_IoCompleteRequest$26$label_6_true#1, inline$storm_IoCompleteRequest$26$label_6_false#1;
-
- inline$storm_IoCompleteRequest$26$label_6_false#1:
- assume inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$26$label_7#1;
-
- inline$storm_IoCompleteRequest$26$label_6_true#1:
- assume inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$26$label_8#1;
-
- inline$storm_IoCompleteRequest$26$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$26$anon3_Then#1, inline$storm_IoCompleteRequest$26$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$26$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$26$anon1#1;
-
- inline$storm_IoCompleteRequest$26$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$26$label_9#1;
-
- inline$storm_IoCompleteRequest$26$label_9#1:
- goto inline$storm_IoCompleteRequest$26$label_9_true#1, inline$storm_IoCompleteRequest$26$label_9_false#1;
-
- inline$storm_IoCompleteRequest$26$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$26$label_10#1;
-
- inline$storm_IoCompleteRequest$26$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$26$label_1#1;
-
- inline$storm_IoCompleteRequest$26$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$26$label_7#1;
-
- inline$storm_IoCompleteRequest$26$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$26$label_1#1;
-
- inline$storm_IoCompleteRequest$26$label_1#1:
- goto inline$storm_IoCompleteRequest$26$Return#1;
-
- inline$storm_IoCompleteRequest$26$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$26$Return#1;
-
- inline$storm_IoCompleteRequest$26$Return#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon45_Then#1, inline$BDLSystemPowerIoCompletion$12$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$12$anon46_Then#1, inline$BDLSystemPowerIoCompletion$12$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_80#1:
- goto inline$BDLGetDebugLevel$343$Entry#1;
-
- inline$BDLGetDebugLevel$343$Entry#1:
- goto inline$BDLGetDebugLevel$343$start#1;
-
- inline$BDLGetDebugLevel$343$start#1:
- goto inline$BDLGetDebugLevel$343$label_3#1;
-
- inline$BDLGetDebugLevel$343$label_3#1:
- havoc inline$BDLGetDebugLevel$343$myNondetVar_0;
- inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$343$myNondetVar_0;
- goto inline$BDLGetDebugLevel$343$label_1#1;
-
- inline$BDLGetDebugLevel$343$label_1#1:
- goto inline$BDLGetDebugLevel$343$Return#1;
-
- inline$BDLGetDebugLevel$343$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon47_Then#1, inline$BDLSystemPowerIoCompletion$12$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_83_true#1, inline$BDLSystemPowerIoCompletion$12$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_87#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_88#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_89#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$12$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_84#1:
- goto inline$BDLGetDebugLevel$344$Entry#1;
-
- inline$BDLGetDebugLevel$344$Entry#1:
- goto inline$BDLGetDebugLevel$344$start#1;
-
- inline$BDLGetDebugLevel$344$start#1:
- goto inline$BDLGetDebugLevel$344$label_3#1;
-
- inline$BDLGetDebugLevel$344$label_3#1:
- havoc inline$BDLGetDebugLevel$344$myNondetVar_0;
- inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$344$myNondetVar_0;
- goto inline$BDLGetDebugLevel$344$label_1#1;
-
- inline$BDLGetDebugLevel$344$label_1#1:
- goto inline$BDLGetDebugLevel$344$Return#1;
-
- inline$BDLGetDebugLevel$344$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon48_Then#1, inline$BDLSystemPowerIoCompletion$12$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_93_true#1, inline$BDLSystemPowerIoCompletion$12$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_97#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_98#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_99#1:
- call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$12$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$12$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_94#1:
- goto inline$BDLGetDebugLevel$345$Entry#1;
-
- inline$BDLGetDebugLevel$345$Entry#1:
- goto inline$BDLGetDebugLevel$345$start#1;
-
- inline$BDLGetDebugLevel$345$start#1:
- goto inline$BDLGetDebugLevel$345$label_3#1;
-
- inline$BDLGetDebugLevel$345$label_3#1:
- havoc inline$BDLGetDebugLevel$345$myNondetVar_0;
- inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$345$myNondetVar_0;
- goto inline$BDLGetDebugLevel$345$label_1#1;
-
- inline$BDLGetDebugLevel$345$label_1#1:
- goto inline$BDLGetDebugLevel$345$Return#1;
-
- inline$BDLGetDebugLevel$345$Return#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$12$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$12$anon49_Then#1, inline$BDLSystemPowerIoCompletion$12$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_103_true#1, inline$BDLSystemPowerIoCompletion$12$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$12$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$12$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_104#1:
- inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$12$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$12$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$12$Return#1;
-
- inline$BDLSystemPowerIoCompletion$12$Return#1:
- inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$12$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$12$anon14_Then#1, inline$CallCompletionRoutine$12$anon14_Else#1;
-
- inline$CallCompletionRoutine$12$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$12$anon9#1;
-
- inline$CallCompletionRoutine$12$anon9#1:
- goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$12$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$12$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$12$Entry#1:
- inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$12$start#1;
-
- inline$BDLDevicePowerIoCompletion$12$start#1:
- call inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$12$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_4#1:
- inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_6#1:
- inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$12$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$12$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$66$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$66$Entry#1:
- inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$66$start#1;
-
- inline$IoGetCurrentIrpStackLocation$66$start#1:
- inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$66$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$66$label_3_true#1, inline$IoGetCurrentIrpStackLocation$66$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$66$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$66$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$66$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$66$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$66$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$66$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$66$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$66$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$66$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$66$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$66$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$66$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$66$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$66$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$66$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$66$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$66$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon30_Then#1, inline$BDLDevicePowerIoCompletion$12$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_13#1:
- inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$12$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$12$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_17#1:
- goto inline$BDLGetDebugLevel$328$Entry#1;
-
- inline$BDLGetDebugLevel$328$Entry#1:
- goto inline$BDLGetDebugLevel$328$start#1;
-
- inline$BDLGetDebugLevel$328$start#1:
- goto inline$BDLGetDebugLevel$328$label_3#1;
-
- inline$BDLGetDebugLevel$328$label_3#1:
- havoc inline$BDLGetDebugLevel$328$myNondetVar_0;
- inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$328$myNondetVar_0;
- goto inline$BDLGetDebugLevel$328$label_1#1;
-
- inline$BDLGetDebugLevel$328$label_1#1:
- goto inline$BDLGetDebugLevel$328$Return#1;
-
- inline$BDLGetDebugLevel$328$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon31_Then#1, inline$BDLDevicePowerIoCompletion$12$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_20_true#1, inline$BDLDevicePowerIoCompletion$12$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_24#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_25#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_26#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$12$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_21#1:
- goto inline$BDLGetDebugLevel$329$Entry#1;
-
- inline$BDLGetDebugLevel$329$Entry#1:
- goto inline$BDLGetDebugLevel$329$start#1;
-
- inline$BDLGetDebugLevel$329$start#1:
- goto inline$BDLGetDebugLevel$329$label_3#1;
-
- inline$BDLGetDebugLevel$329$label_3#1:
- havoc inline$BDLGetDebugLevel$329$myNondetVar_0;
- inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$329$myNondetVar_0;
- goto inline$BDLGetDebugLevel$329$label_1#1;
-
- inline$BDLGetDebugLevel$329$label_1#1:
- goto inline$BDLGetDebugLevel$329$Return#1;
-
- inline$BDLGetDebugLevel$329$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon32_Then#1, inline$BDLDevicePowerIoCompletion$12$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_30_true#1, inline$BDLDevicePowerIoCompletion$12$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_34#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_35#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_36#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$12$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_31#1:
- goto inline$BDLGetDebugLevel$330$Entry#1;
-
- inline$BDLGetDebugLevel$330$Entry#1:
- goto inline$BDLGetDebugLevel$330$start#1;
-
- inline$BDLGetDebugLevel$330$start#1:
- goto inline$BDLGetDebugLevel$330$label_3#1;
-
- inline$BDLGetDebugLevel$330$label_3#1:
- havoc inline$BDLGetDebugLevel$330$myNondetVar_0;
- inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$330$myNondetVar_0;
- goto inline$BDLGetDebugLevel$330$label_1#1;
-
- inline$BDLGetDebugLevel$330$label_1#1:
- goto inline$BDLGetDebugLevel$330$Return#1;
-
- inline$BDLGetDebugLevel$330$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon33_Then#1, inline$BDLDevicePowerIoCompletion$12$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_40_true#1, inline$BDLDevicePowerIoCompletion$12$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_41_true#1, inline$BDLDevicePowerIoCompletion$12$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$12$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$12$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_44_true#1, inline$BDLDevicePowerIoCompletion$12$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$12$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_45_true#1, inline$BDLDevicePowerIoCompletion$12$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$12$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$12$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$12$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$12$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$12$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_54#1:
- inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$12$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_55_true#1, inline$BDLDevicePowerIoCompletion$12$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_56#1:
- call inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$12$anon34_Then#1, inline$BDLDevicePowerIoCompletion$12$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_59#1:
- goto inline$BDLGetDebugLevel$331$Entry#1;
-
- inline$BDLGetDebugLevel$331$Entry#1:
- goto inline$BDLGetDebugLevel$331$start#1;
-
- inline$BDLGetDebugLevel$331$start#1:
- goto inline$BDLGetDebugLevel$331$label_3#1;
-
- inline$BDLGetDebugLevel$331$label_3#1:
- havoc inline$BDLGetDebugLevel$331$myNondetVar_0;
- inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$331$myNondetVar_0;
- goto inline$BDLGetDebugLevel$331$label_1#1;
-
- inline$BDLGetDebugLevel$331$label_1#1:
- goto inline$BDLGetDebugLevel$331$Return#1;
-
- inline$BDLGetDebugLevel$331$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon35_Then#1, inline$BDLDevicePowerIoCompletion$12$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_62_true#1, inline$BDLDevicePowerIoCompletion$12$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_66#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_67#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_68#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$12$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_63#1:
- goto inline$BDLGetDebugLevel$332$Entry#1;
-
- inline$BDLGetDebugLevel$332$Entry#1:
- goto inline$BDLGetDebugLevel$332$start#1;
-
- inline$BDLGetDebugLevel$332$start#1:
- goto inline$BDLGetDebugLevel$332$label_3#1;
-
- inline$BDLGetDebugLevel$332$label_3#1:
- havoc inline$BDLGetDebugLevel$332$myNondetVar_0;
- inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$332$myNondetVar_0;
- goto inline$BDLGetDebugLevel$332$label_1#1;
-
- inline$BDLGetDebugLevel$332$label_1#1:
- goto inline$BDLGetDebugLevel$332$Return#1;
-
- inline$BDLGetDebugLevel$332$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon36_Then#1, inline$BDLDevicePowerIoCompletion$12$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_72_true#1, inline$BDLDevicePowerIoCompletion$12$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_76#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_77#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_78#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$12$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_73#1:
- goto inline$BDLGetDebugLevel$333$Entry#1;
-
- inline$BDLGetDebugLevel$333$Entry#1:
- goto inline$BDLGetDebugLevel$333$start#1;
-
- inline$BDLGetDebugLevel$333$start#1:
- goto inline$BDLGetDebugLevel$333$label_3#1;
-
- inline$BDLGetDebugLevel$333$label_3#1:
- havoc inline$BDLGetDebugLevel$333$myNondetVar_0;
- inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$333$myNondetVar_0;
- goto inline$BDLGetDebugLevel$333$label_1#1;
-
- inline$BDLGetDebugLevel$333$label_1#1:
- goto inline$BDLGetDebugLevel$333$Return#1;
-
- inline$BDLGetDebugLevel$333$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon37_Then#1, inline$BDLDevicePowerIoCompletion$12$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_82_true#1, inline$BDLDevicePowerIoCompletion$12$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$12$anon38_Then#1, inline$BDLDevicePowerIoCompletion$12$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$12$anon39_Then#1, inline$BDLDevicePowerIoCompletion$12$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_92#1:
- goto inline$storm_IoCompleteRequest$25$Entry#1;
-
- inline$storm_IoCompleteRequest$25$Entry#1:
- inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$25$start#1;
-
- inline$storm_IoCompleteRequest$25$start#1:
- inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$25$label_3#1;
-
- inline$storm_IoCompleteRequest$25$label_3#1:
- call inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$25$label_6#1;
-
- inline$storm_IoCompleteRequest$25$label_6#1:
- goto inline$storm_IoCompleteRequest$25$label_6_true#1, inline$storm_IoCompleteRequest$25$label_6_false#1;
-
- inline$storm_IoCompleteRequest$25$label_6_false#1:
- assume inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$25$label_7#1;
-
- inline$storm_IoCompleteRequest$25$label_6_true#1:
- assume inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$25$label_8#1;
-
- inline$storm_IoCompleteRequest$25$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$25$anon3_Then#1, inline$storm_IoCompleteRequest$25$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$25$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$25$anon1#1;
-
- inline$storm_IoCompleteRequest$25$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$25$label_9#1;
-
- inline$storm_IoCompleteRequest$25$label_9#1:
- goto inline$storm_IoCompleteRequest$25$label_9_true#1, inline$storm_IoCompleteRequest$25$label_9_false#1;
-
- inline$storm_IoCompleteRequest$25$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$25$label_10#1;
-
- inline$storm_IoCompleteRequest$25$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$25$label_1#1;
-
- inline$storm_IoCompleteRequest$25$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$25$label_7#1;
-
- inline$storm_IoCompleteRequest$25$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$25$label_1#1;
-
- inline$storm_IoCompleteRequest$25$label_1#1:
- goto inline$storm_IoCompleteRequest$25$Return#1;
-
- inline$storm_IoCompleteRequest$25$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$25$Return#1;
-
- inline$storm_IoCompleteRequest$25$Return#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon40_Then#1, inline$BDLDevicePowerIoCompletion$12$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$12$anon41_Then#1, inline$BDLDevicePowerIoCompletion$12$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_98#1:
- goto inline$BDLGetDebugLevel$334$Entry#1;
-
- inline$BDLGetDebugLevel$334$Entry#1:
- goto inline$BDLGetDebugLevel$334$start#1;
-
- inline$BDLGetDebugLevel$334$start#1:
- goto inline$BDLGetDebugLevel$334$label_3#1;
-
- inline$BDLGetDebugLevel$334$label_3#1:
- havoc inline$BDLGetDebugLevel$334$myNondetVar_0;
- inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$334$myNondetVar_0;
- goto inline$BDLGetDebugLevel$334$label_1#1;
-
- inline$BDLGetDebugLevel$334$label_1#1:
- goto inline$BDLGetDebugLevel$334$Return#1;
-
- inline$BDLGetDebugLevel$334$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon42_Then#1, inline$BDLDevicePowerIoCompletion$12$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_101_true#1, inline$BDLDevicePowerIoCompletion$12$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_105#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_106#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_107#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$12$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_102#1:
- goto inline$BDLGetDebugLevel$335$Entry#1;
-
- inline$BDLGetDebugLevel$335$Entry#1:
- goto inline$BDLGetDebugLevel$335$start#1;
-
- inline$BDLGetDebugLevel$335$start#1:
- goto inline$BDLGetDebugLevel$335$label_3#1;
-
- inline$BDLGetDebugLevel$335$label_3#1:
- havoc inline$BDLGetDebugLevel$335$myNondetVar_0;
- inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$335$myNondetVar_0;
- goto inline$BDLGetDebugLevel$335$label_1#1;
-
- inline$BDLGetDebugLevel$335$label_1#1:
- goto inline$BDLGetDebugLevel$335$Return#1;
-
- inline$BDLGetDebugLevel$335$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon43_Then#1, inline$BDLDevicePowerIoCompletion$12$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_111_true#1, inline$BDLDevicePowerIoCompletion$12$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_115#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_116#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_117#1:
- call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$12$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$12$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_112#1:
- goto inline$BDLGetDebugLevel$336$Entry#1;
-
- inline$BDLGetDebugLevel$336$Entry#1:
- goto inline$BDLGetDebugLevel$336$start#1;
-
- inline$BDLGetDebugLevel$336$start#1:
- goto inline$BDLGetDebugLevel$336$label_3#1;
-
- inline$BDLGetDebugLevel$336$label_3#1:
- havoc inline$BDLGetDebugLevel$336$myNondetVar_0;
- inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$336$myNondetVar_0;
- goto inline$BDLGetDebugLevel$336$label_1#1;
-
- inline$BDLGetDebugLevel$336$label_1#1:
- goto inline$BDLGetDebugLevel$336$Return#1;
-
- inline$BDLGetDebugLevel$336$Return#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$12$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$12$anon44_Then#1, inline$BDLDevicePowerIoCompletion$12$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_121_true#1, inline$BDLDevicePowerIoCompletion$12$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$12$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$12$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_122#1:
- inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$12$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$12$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$12$Return#1;
-
- inline$BDLDevicePowerIoCompletion$12$Return#1:
- inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$12$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$12$anon13_Then#1, inline$CallCompletionRoutine$12$anon13_Else#1;
-
- inline$CallCompletionRoutine$12$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$12$anon7#1;
-
- inline$CallCompletionRoutine$12$anon7#1:
- goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$12$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$12$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$12$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$12$Entry#1:
- inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$12$start#1;
-
- inline$BDLCallDriverCompletionRoutine$12$start#1:
- inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$12$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$12$label_3_true#1, inline$BDLCallDriverCompletionRoutine$12$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$12$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$12$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$12$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$12$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_6#1:
- goto inline$storm_KeSetEvent$14$Entry#1;
-
- inline$storm_KeSetEvent$14$Entry#1:
- inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$14$start#1;
-
- inline$storm_KeSetEvent$14$start#1:
- inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$14$label_3#1;
-
- inline$storm_KeSetEvent$14$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$14$label_1#1;
-
- inline$storm_KeSetEvent$14$label_1#1:
- goto inline$storm_KeSetEvent$14$Return#1;
-
- inline$storm_KeSetEvent$14$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$12$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$12$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$12$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$12$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$12$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$12$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$12$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_9#1:
- inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$12$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$12$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$12$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$12$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$12$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$12$Return#1:
- inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$12$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$12$anon12_Then#1, inline$CallCompletionRoutine$12$anon12_Else#1;
-
- inline$CallCompletionRoutine$12$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$12$anon5#1;
-
- inline$CallCompletionRoutine$12$anon5#1:
- goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$12$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$12$label_23#1;
-
- inline$CallCompletionRoutine$12$label_23#1:
- inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$12$label_24#1;
-
- inline$CallCompletionRoutine$12$label_24#1:
- goto inline$CallCompletionRoutine$12$label_24_true#1, inline$CallCompletionRoutine$12$label_24_false#1;
-
- inline$CallCompletionRoutine$12$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$12$label_1#1;
-
- inline$CallCompletionRoutine$12$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$12$label_25#1;
-
- inline$CallCompletionRoutine$12$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$12$label_1#1;
-
- inline$CallCompletionRoutine$12$label_1#1:
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$12$Return#1;
-
- inline$CallCompletionRoutine$12$Return#1:
- goto inline$storm_IoCallDriver$6$label_33$1#1;
-
- inline$storm_IoCallDriver$6$label_33$1#1:
- goto inline$storm_IoCallDriver$6$anon14_Then#1, inline$storm_IoCallDriver$6$anon14_Else#1;
-
- inline$storm_IoCallDriver$6$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$6$anon8#1;
-
- inline$storm_IoCallDriver$6$anon8#1:
- goto inline$storm_IoCallDriver$6$label_36#1;
-
- inline$storm_IoCallDriver$6$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$label_27_case_1#1:
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$6$label_29#1;
-
- inline$storm_IoCallDriver$6$label_29#1:
- inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$6$label_37#1;
-
- inline$storm_IoCallDriver$6$label_37#1:
- goto inline$storm_IoCallDriver$6$label_38#1;
-
- inline$storm_IoCallDriver$6$label_38#1:
- goto inline$storm_IoCallDriver$6$label_39#1;
-
- inline$storm_IoCallDriver$6$label_39#1:
- goto inline$CallCompletionRoutine$13$Entry#1;
-
- inline$CallCompletionRoutine$13$Entry#1:
- inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$13$start#1;
-
- inline$CallCompletionRoutine$13$start#1:
- inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$13$label_3#1;
-
- inline$CallCompletionRoutine$13$label_3#1:
- goto inline$CallCompletionRoutine$13$label_4#1;
-
- inline$CallCompletionRoutine$13$label_4#1:
- goto inline$CallCompletionRoutine$13$label_5#1;
-
- inline$CallCompletionRoutine$13$label_5#1:
- goto inline$CallCompletionRoutine$13$label_6#1;
-
- inline$CallCompletionRoutine$13$label_6#1:
- goto inline$CallCompletionRoutine$13$label_7#1;
-
- inline$CallCompletionRoutine$13$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$68$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$68$Entry#1:
- inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$68$start#1;
-
- inline$IoGetCurrentIrpStackLocation$68$start#1:
- inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$68$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$68$label_3_true#1, inline$IoGetCurrentIrpStackLocation$68$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$68$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$68$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$68$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$68$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$68$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$68$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$68$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$68$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$68$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$68$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$68$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$68$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$68$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$68$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$68$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$68$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$68$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$68$Return#1:
- goto inline$CallCompletionRoutine$13$label_7$1#1;
-
- inline$CallCompletionRoutine$13$label_7$1#1:
- goto inline$CallCompletionRoutine$13$anon10_Then#1, inline$CallCompletionRoutine$13$anon10_Else#1;
-
- inline$CallCompletionRoutine$13$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$13$anon1#1;
-
- inline$CallCompletionRoutine$13$anon1#1:
- goto inline$CallCompletionRoutine$13$label_10#1;
-
- inline$CallCompletionRoutine$13$label_10#1:
- goto inline$CallCompletionRoutine$13$label_11#1;
-
- inline$CallCompletionRoutine$13$label_11#1:
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$CallCompletionRoutine$13$label_12#1;
-
- inline$CallCompletionRoutine$13$label_12#1:
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$CallCompletionRoutine$13$label_13#1;
-
- inline$CallCompletionRoutine$13$label_13#1:
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$CallCompletionRoutine$13$label_14#1;
-
- inline$CallCompletionRoutine$13$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$69$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$69$Entry#1:
- inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$69$start#1;
-
- inline$IoGetCurrentIrpStackLocation$69$start#1:
- inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$69$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$69$label_3_true#1, inline$IoGetCurrentIrpStackLocation$69$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$69$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$69$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$69$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$69$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$69$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$69$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$69$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$69$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$69$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$69$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$69$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$69$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$69$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$69$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$69$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$69$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$69$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$69$Return#1:
- goto inline$CallCompletionRoutine$13$label_14$1#1;
-
- inline$CallCompletionRoutine$13$label_14$1#1:
- goto inline$CallCompletionRoutine$13$anon11_Then#1, inline$CallCompletionRoutine$13$anon11_Else#1;
-
- inline$CallCompletionRoutine$13$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$13$anon3#1;
-
- inline$CallCompletionRoutine$13$anon3#1:
- goto inline$CallCompletionRoutine$13$label_17#1;
-
- inline$CallCompletionRoutine$13$label_17#1:
- goto inline$CallCompletionRoutine$13$label_18#1;
-
- inline$CallCompletionRoutine$13$label_18#1:
- goto inline$CallCompletionRoutine$13$label_18_true#1, inline$CallCompletionRoutine$13$label_18_false#1;
-
- inline$CallCompletionRoutine$13$label_18_false#1:
- assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$13$label_1#1;
-
- inline$CallCompletionRoutine$13$label_18_true#1:
- assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$13$label_19#1;
-
- inline$CallCompletionRoutine$13$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$13$label_20#1;
-
- inline$CallCompletionRoutine$13$label_20#1:
- goto inline$CallCompletionRoutine$13$label_20_icall_1#1, inline$CallCompletionRoutine$13$label_20_icall_2#1, inline$CallCompletionRoutine$13$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$13$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$13$Entry#1:
- inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$13$start#1;
-
- inline$BDLSystemPowerIoCompletion$13$start#1:
- call inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$13$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_4#1:
- inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_6#1:
- inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$13$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$13$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$71$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$71$Entry#1:
- inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$71$start#1;
-
- inline$IoGetCurrentIrpStackLocation$71$start#1:
- inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$71$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$71$label_3_true#1, inline$IoGetCurrentIrpStackLocation$71$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$71$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$71$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$71$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$71$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$71$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$71$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$71$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$71$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$71$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$71$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$71$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$71$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$71$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$71$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$71$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$71$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$71$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$71$Return#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon36_Then#1, inline$BDLSystemPowerIoCompletion$13$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_15#1:
- goto inline$BDLGetDebugLevel$358$Entry#1;
-
- inline$BDLGetDebugLevel$358$Entry#1:
- goto inline$BDLGetDebugLevel$358$start#1;
-
- inline$BDLGetDebugLevel$358$start#1:
- goto inline$BDLGetDebugLevel$358$label_3#1;
-
- inline$BDLGetDebugLevel$358$label_3#1:
- havoc inline$BDLGetDebugLevel$358$myNondetVar_0;
- inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$358$myNondetVar_0;
- goto inline$BDLGetDebugLevel$358$label_1#1;
-
- inline$BDLGetDebugLevel$358$label_1#1:
- goto inline$BDLGetDebugLevel$358$Return#1;
-
- inline$BDLGetDebugLevel$358$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon37_Then#1, inline$BDLSystemPowerIoCompletion$13$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_18_true#1, inline$BDLSystemPowerIoCompletion$13$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_22#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_23#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_24#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$13$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_19#1:
- goto inline$BDLGetDebugLevel$359$Entry#1;
-
- inline$BDLGetDebugLevel$359$Entry#1:
- goto inline$BDLGetDebugLevel$359$start#1;
-
- inline$BDLGetDebugLevel$359$start#1:
- goto inline$BDLGetDebugLevel$359$label_3#1;
-
- inline$BDLGetDebugLevel$359$label_3#1:
- havoc inline$BDLGetDebugLevel$359$myNondetVar_0;
- inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$359$myNondetVar_0;
- goto inline$BDLGetDebugLevel$359$label_1#1;
-
- inline$BDLGetDebugLevel$359$label_1#1:
- goto inline$BDLGetDebugLevel$359$Return#1;
-
- inline$BDLGetDebugLevel$359$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon38_Then#1, inline$BDLSystemPowerIoCompletion$13$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_28_true#1, inline$BDLSystemPowerIoCompletion$13$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_32#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_33#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_34#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$13$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_29#1:
- goto inline$BDLGetDebugLevel$360$Entry#1;
-
- inline$BDLGetDebugLevel$360$Entry#1:
- goto inline$BDLGetDebugLevel$360$start#1;
-
- inline$BDLGetDebugLevel$360$start#1:
- goto inline$BDLGetDebugLevel$360$label_3#1;
-
- inline$BDLGetDebugLevel$360$label_3#1:
- havoc inline$BDLGetDebugLevel$360$myNondetVar_0;
- inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$360$myNondetVar_0;
- goto inline$BDLGetDebugLevel$360$label_1#1;
-
- inline$BDLGetDebugLevel$360$label_1#1:
- goto inline$BDLGetDebugLevel$360$Return#1;
-
- inline$BDLGetDebugLevel$360$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon39_Then#1, inline$BDLSystemPowerIoCompletion$13$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_38_true#1, inline$BDLSystemPowerIoCompletion$13$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_39_true#1, inline$BDLSystemPowerIoCompletion$13$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$13$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$13$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$13$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_106_true#1, inline$BDLSystemPowerIoCompletion$13$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_142#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_143#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$13$myNondetVar_0, inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$13$anon50_Then#1, inline$BDLSystemPowerIoCompletion$13$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_112#1:
- inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$13$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_113_true#1, inline$BDLSystemPowerIoCompletion$13$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$13$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_117#1:
- goto inline$BDLGetDebugLevel$367$Entry#1;
-
- inline$BDLGetDebugLevel$367$Entry#1:
- goto inline$BDLGetDebugLevel$367$start#1;
-
- inline$BDLGetDebugLevel$367$start#1:
- goto inline$BDLGetDebugLevel$367$label_3#1;
-
- inline$BDLGetDebugLevel$367$label_3#1:
- havoc inline$BDLGetDebugLevel$367$myNondetVar_0;
- inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$367$myNondetVar_0;
- goto inline$BDLGetDebugLevel$367$label_1#1;
-
- inline$BDLGetDebugLevel$367$label_1#1:
- goto inline$BDLGetDebugLevel$367$Return#1;
-
- inline$BDLGetDebugLevel$367$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon51_Then#1, inline$BDLSystemPowerIoCompletion$13$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_120_true#1, inline$BDLSystemPowerIoCompletion$13$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_124#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_125#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_126#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$13$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_121#1:
- goto inline$BDLGetDebugLevel$368$Entry#1;
-
- inline$BDLGetDebugLevel$368$Entry#1:
- goto inline$BDLGetDebugLevel$368$start#1;
-
- inline$BDLGetDebugLevel$368$start#1:
- goto inline$BDLGetDebugLevel$368$label_3#1;
-
- inline$BDLGetDebugLevel$368$label_3#1:
- havoc inline$BDLGetDebugLevel$368$myNondetVar_0;
- inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$368$myNondetVar_0;
- goto inline$BDLGetDebugLevel$368$label_1#1;
-
- inline$BDLGetDebugLevel$368$label_1#1:
- goto inline$BDLGetDebugLevel$368$Return#1;
-
- inline$BDLGetDebugLevel$368$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon52_Then#1, inline$BDLSystemPowerIoCompletion$13$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_130_true#1, inline$BDLSystemPowerIoCompletion$13$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_134#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_135#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_136#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$13$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_131#1:
- goto inline$BDLGetDebugLevel$369$Entry#1;
-
- inline$BDLGetDebugLevel$369$Entry#1:
- goto inline$BDLGetDebugLevel$369$start#1;
-
- inline$BDLGetDebugLevel$369$start#1:
- goto inline$BDLGetDebugLevel$369$label_3#1;
-
- inline$BDLGetDebugLevel$369$label_3#1:
- havoc inline$BDLGetDebugLevel$369$myNondetVar_0;
- inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$369$myNondetVar_0;
- goto inline$BDLGetDebugLevel$369$label_1#1;
-
- inline$BDLGetDebugLevel$369$label_1#1:
- goto inline$BDLGetDebugLevel$369$Return#1;
-
- inline$BDLGetDebugLevel$369$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon53_Then#1, inline$BDLSystemPowerIoCompletion$13$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_140_true#1, inline$BDLSystemPowerIoCompletion$13$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$13$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_115#1:
- inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$13$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$13$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_43#1:
- goto inline$BDLGetDebugLevel$361$Entry#1;
-
- inline$BDLGetDebugLevel$361$Entry#1:
- goto inline$BDLGetDebugLevel$361$start#1;
-
- inline$BDLGetDebugLevel$361$start#1:
- goto inline$BDLGetDebugLevel$361$label_3#1;
-
- inline$BDLGetDebugLevel$361$label_3#1:
- havoc inline$BDLGetDebugLevel$361$myNondetVar_0;
- inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$361$myNondetVar_0;
- goto inline$BDLGetDebugLevel$361$label_1#1;
-
- inline$BDLGetDebugLevel$361$label_1#1:
- goto inline$BDLGetDebugLevel$361$Return#1;
-
- inline$BDLGetDebugLevel$361$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon40_Then#1, inline$BDLSystemPowerIoCompletion$13$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_46_true#1, inline$BDLSystemPowerIoCompletion$13$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_50#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_51#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_52#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$13$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_47#1:
- goto inline$BDLGetDebugLevel$362$Entry#1;
-
- inline$BDLGetDebugLevel$362$Entry#1:
- goto inline$BDLGetDebugLevel$362$start#1;
-
- inline$BDLGetDebugLevel$362$start#1:
- goto inline$BDLGetDebugLevel$362$label_3#1;
-
- inline$BDLGetDebugLevel$362$label_3#1:
- havoc inline$BDLGetDebugLevel$362$myNondetVar_0;
- inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$362$myNondetVar_0;
- goto inline$BDLGetDebugLevel$362$label_1#1;
-
- inline$BDLGetDebugLevel$362$label_1#1:
- goto inline$BDLGetDebugLevel$362$Return#1;
-
- inline$BDLGetDebugLevel$362$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon41_Then#1, inline$BDLSystemPowerIoCompletion$13$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_56_true#1, inline$BDLSystemPowerIoCompletion$13$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_60#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_61#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_62#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$13$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_57#1:
- goto inline$BDLGetDebugLevel$363$Entry#1;
-
- inline$BDLGetDebugLevel$363$Entry#1:
- goto inline$BDLGetDebugLevel$363$start#1;
-
- inline$BDLGetDebugLevel$363$start#1:
- goto inline$BDLGetDebugLevel$363$label_3#1;
-
- inline$BDLGetDebugLevel$363$label_3#1:
- havoc inline$BDLGetDebugLevel$363$myNondetVar_0;
- inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$363$myNondetVar_0;
- goto inline$BDLGetDebugLevel$363$label_1#1;
-
- inline$BDLGetDebugLevel$363$label_1#1:
- goto inline$BDLGetDebugLevel$363$Return#1;
-
- inline$BDLGetDebugLevel$363$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon42_Then#1, inline$BDLSystemPowerIoCompletion$13$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_66_true#1, inline$BDLSystemPowerIoCompletion$13$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$13$anon43_Then#1, inline$BDLSystemPowerIoCompletion$13$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$13$anon44_Then#1, inline$BDLSystemPowerIoCompletion$13$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_74#1:
- goto inline$storm_IoCompleteRequest$28$Entry#1;
-
- inline$storm_IoCompleteRequest$28$Entry#1:
- inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$28$start#1;
-
- inline$storm_IoCompleteRequest$28$start#1:
- inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$28$label_3#1;
-
- inline$storm_IoCompleteRequest$28$label_3#1:
- call inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$28$label_6#1;
-
- inline$storm_IoCompleteRequest$28$label_6#1:
- goto inline$storm_IoCompleteRequest$28$label_6_true#1, inline$storm_IoCompleteRequest$28$label_6_false#1;
-
- inline$storm_IoCompleteRequest$28$label_6_false#1:
- assume inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$28$label_7#1;
-
- inline$storm_IoCompleteRequest$28$label_6_true#1:
- assume inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$28$label_8#1;
-
- inline$storm_IoCompleteRequest$28$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$28$anon3_Then#1, inline$storm_IoCompleteRequest$28$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$28$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$28$anon1#1;
-
- inline$storm_IoCompleteRequest$28$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$28$label_9#1;
-
- inline$storm_IoCompleteRequest$28$label_9#1:
- goto inline$storm_IoCompleteRequest$28$label_9_true#1, inline$storm_IoCompleteRequest$28$label_9_false#1;
-
- inline$storm_IoCompleteRequest$28$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$28$label_10#1;
-
- inline$storm_IoCompleteRequest$28$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$28$label_1#1;
-
- inline$storm_IoCompleteRequest$28$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$28$label_7#1;
-
- inline$storm_IoCompleteRequest$28$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$28$label_1#1;
-
- inline$storm_IoCompleteRequest$28$label_1#1:
- goto inline$storm_IoCompleteRequest$28$Return#1;
-
- inline$storm_IoCompleteRequest$28$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$28$Return#1;
-
- inline$storm_IoCompleteRequest$28$Return#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon45_Then#1, inline$BDLSystemPowerIoCompletion$13$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$13$anon46_Then#1, inline$BDLSystemPowerIoCompletion$13$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_80#1:
- goto inline$BDLGetDebugLevel$364$Entry#1;
-
- inline$BDLGetDebugLevel$364$Entry#1:
- goto inline$BDLGetDebugLevel$364$start#1;
-
- inline$BDLGetDebugLevel$364$start#1:
- goto inline$BDLGetDebugLevel$364$label_3#1;
-
- inline$BDLGetDebugLevel$364$label_3#1:
- havoc inline$BDLGetDebugLevel$364$myNondetVar_0;
- inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$364$myNondetVar_0;
- goto inline$BDLGetDebugLevel$364$label_1#1;
-
- inline$BDLGetDebugLevel$364$label_1#1:
- goto inline$BDLGetDebugLevel$364$Return#1;
-
- inline$BDLGetDebugLevel$364$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon47_Then#1, inline$BDLSystemPowerIoCompletion$13$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_83_true#1, inline$BDLSystemPowerIoCompletion$13$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_87#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_88#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_89#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$13$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_84#1:
- goto inline$BDLGetDebugLevel$365$Entry#1;
-
- inline$BDLGetDebugLevel$365$Entry#1:
- goto inline$BDLGetDebugLevel$365$start#1;
-
- inline$BDLGetDebugLevel$365$start#1:
- goto inline$BDLGetDebugLevel$365$label_3#1;
-
- inline$BDLGetDebugLevel$365$label_3#1:
- havoc inline$BDLGetDebugLevel$365$myNondetVar_0;
- inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$365$myNondetVar_0;
- goto inline$BDLGetDebugLevel$365$label_1#1;
-
- inline$BDLGetDebugLevel$365$label_1#1:
- goto inline$BDLGetDebugLevel$365$Return#1;
-
- inline$BDLGetDebugLevel$365$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon48_Then#1, inline$BDLSystemPowerIoCompletion$13$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_93_true#1, inline$BDLSystemPowerIoCompletion$13$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_97#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_98#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_99#1:
- call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$13$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$13$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_94#1:
- goto inline$BDLGetDebugLevel$366$Entry#1;
-
- inline$BDLGetDebugLevel$366$Entry#1:
- goto inline$BDLGetDebugLevel$366$start#1;
-
- inline$BDLGetDebugLevel$366$start#1:
- goto inline$BDLGetDebugLevel$366$label_3#1;
-
- inline$BDLGetDebugLevel$366$label_3#1:
- havoc inline$BDLGetDebugLevel$366$myNondetVar_0;
- inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$366$myNondetVar_0;
- goto inline$BDLGetDebugLevel$366$label_1#1;
-
- inline$BDLGetDebugLevel$366$label_1#1:
- goto inline$BDLGetDebugLevel$366$Return#1;
-
- inline$BDLGetDebugLevel$366$Return#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$13$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$13$anon49_Then#1, inline$BDLSystemPowerIoCompletion$13$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_103_true#1, inline$BDLSystemPowerIoCompletion$13$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$13$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$13$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_104#1:
- inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$13$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$13$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$13$Return#1;
-
- inline$BDLSystemPowerIoCompletion$13$Return#1:
- inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$13$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$13$anon14_Then#1, inline$CallCompletionRoutine$13$anon14_Else#1;
-
- inline$CallCompletionRoutine$13$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$13$anon9#1;
-
- inline$CallCompletionRoutine$13$anon9#1:
- goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$13$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$13$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$13$Entry#1:
- inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$13$start#1;
-
- inline$BDLDevicePowerIoCompletion$13$start#1:
- call inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$13$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_4#1:
- inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_6#1:
- inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$13$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$13$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$70$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$70$Entry#1:
- inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$70$start#1;
-
- inline$IoGetCurrentIrpStackLocation$70$start#1:
- inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$70$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$70$label_3_true#1, inline$IoGetCurrentIrpStackLocation$70$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$70$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$70$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$70$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$70$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$70$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$70$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$70$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$70$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$70$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$70$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$70$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$70$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$70$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$70$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$70$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$70$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$70$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon30_Then#1, inline$BDLDevicePowerIoCompletion$13$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_13#1:
- inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$13$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$13$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_17#1:
- goto inline$BDLGetDebugLevel$349$Entry#1;
-
- inline$BDLGetDebugLevel$349$Entry#1:
- goto inline$BDLGetDebugLevel$349$start#1;
-
- inline$BDLGetDebugLevel$349$start#1:
- goto inline$BDLGetDebugLevel$349$label_3#1;
-
- inline$BDLGetDebugLevel$349$label_3#1:
- havoc inline$BDLGetDebugLevel$349$myNondetVar_0;
- inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$349$myNondetVar_0;
- goto inline$BDLGetDebugLevel$349$label_1#1;
-
- inline$BDLGetDebugLevel$349$label_1#1:
- goto inline$BDLGetDebugLevel$349$Return#1;
-
- inline$BDLGetDebugLevel$349$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon31_Then#1, inline$BDLDevicePowerIoCompletion$13$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_20_true#1, inline$BDLDevicePowerIoCompletion$13$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_24#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_25#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_26#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$13$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_21#1:
- goto inline$BDLGetDebugLevel$350$Entry#1;
-
- inline$BDLGetDebugLevel$350$Entry#1:
- goto inline$BDLGetDebugLevel$350$start#1;
-
- inline$BDLGetDebugLevel$350$start#1:
- goto inline$BDLGetDebugLevel$350$label_3#1;
-
- inline$BDLGetDebugLevel$350$label_3#1:
- havoc inline$BDLGetDebugLevel$350$myNondetVar_0;
- inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$350$myNondetVar_0;
- goto inline$BDLGetDebugLevel$350$label_1#1;
-
- inline$BDLGetDebugLevel$350$label_1#1:
- goto inline$BDLGetDebugLevel$350$Return#1;
-
- inline$BDLGetDebugLevel$350$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon32_Then#1, inline$BDLDevicePowerIoCompletion$13$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_30_true#1, inline$BDLDevicePowerIoCompletion$13$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_34#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_35#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_36#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$13$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_31#1:
- goto inline$BDLGetDebugLevel$351$Entry#1;
-
- inline$BDLGetDebugLevel$351$Entry#1:
- goto inline$BDLGetDebugLevel$351$start#1;
-
- inline$BDLGetDebugLevel$351$start#1:
- goto inline$BDLGetDebugLevel$351$label_3#1;
-
- inline$BDLGetDebugLevel$351$label_3#1:
- havoc inline$BDLGetDebugLevel$351$myNondetVar_0;
- inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$351$myNondetVar_0;
- goto inline$BDLGetDebugLevel$351$label_1#1;
-
- inline$BDLGetDebugLevel$351$label_1#1:
- goto inline$BDLGetDebugLevel$351$Return#1;
-
- inline$BDLGetDebugLevel$351$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon33_Then#1, inline$BDLDevicePowerIoCompletion$13$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_40_true#1, inline$BDLDevicePowerIoCompletion$13$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_41_true#1, inline$BDLDevicePowerIoCompletion$13$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$13$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$13$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_44_true#1, inline$BDLDevicePowerIoCompletion$13$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$13$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_45_true#1, inline$BDLDevicePowerIoCompletion$13$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$13$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$13$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$13$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$13$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$13$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_54#1:
- inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$13$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_55_true#1, inline$BDLDevicePowerIoCompletion$13$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_56#1:
- call inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$13$anon34_Then#1, inline$BDLDevicePowerIoCompletion$13$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_59#1:
- goto inline$BDLGetDebugLevel$352$Entry#1;
-
- inline$BDLGetDebugLevel$352$Entry#1:
- goto inline$BDLGetDebugLevel$352$start#1;
-
- inline$BDLGetDebugLevel$352$start#1:
- goto inline$BDLGetDebugLevel$352$label_3#1;
-
- inline$BDLGetDebugLevel$352$label_3#1:
- havoc inline$BDLGetDebugLevel$352$myNondetVar_0;
- inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$352$myNondetVar_0;
- goto inline$BDLGetDebugLevel$352$label_1#1;
-
- inline$BDLGetDebugLevel$352$label_1#1:
- goto inline$BDLGetDebugLevel$352$Return#1;
-
- inline$BDLGetDebugLevel$352$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon35_Then#1, inline$BDLDevicePowerIoCompletion$13$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_62_true#1, inline$BDLDevicePowerIoCompletion$13$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_66#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_67#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_68#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$13$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_63#1:
- goto inline$BDLGetDebugLevel$353$Entry#1;
-
- inline$BDLGetDebugLevel$353$Entry#1:
- goto inline$BDLGetDebugLevel$353$start#1;
-
- inline$BDLGetDebugLevel$353$start#1:
- goto inline$BDLGetDebugLevel$353$label_3#1;
-
- inline$BDLGetDebugLevel$353$label_3#1:
- havoc inline$BDLGetDebugLevel$353$myNondetVar_0;
- inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$353$myNondetVar_0;
- goto inline$BDLGetDebugLevel$353$label_1#1;
-
- inline$BDLGetDebugLevel$353$label_1#1:
- goto inline$BDLGetDebugLevel$353$Return#1;
-
- inline$BDLGetDebugLevel$353$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon36_Then#1, inline$BDLDevicePowerIoCompletion$13$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_72_true#1, inline$BDLDevicePowerIoCompletion$13$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_76#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_77#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_78#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$13$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_73#1:
- goto inline$BDLGetDebugLevel$354$Entry#1;
-
- inline$BDLGetDebugLevel$354$Entry#1:
- goto inline$BDLGetDebugLevel$354$start#1;
-
- inline$BDLGetDebugLevel$354$start#1:
- goto inline$BDLGetDebugLevel$354$label_3#1;
-
- inline$BDLGetDebugLevel$354$label_3#1:
- havoc inline$BDLGetDebugLevel$354$myNondetVar_0;
- inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$354$myNondetVar_0;
- goto inline$BDLGetDebugLevel$354$label_1#1;
-
- inline$BDLGetDebugLevel$354$label_1#1:
- goto inline$BDLGetDebugLevel$354$Return#1;
-
- inline$BDLGetDebugLevel$354$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon37_Then#1, inline$BDLDevicePowerIoCompletion$13$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_82_true#1, inline$BDLDevicePowerIoCompletion$13$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$13$anon38_Then#1, inline$BDLDevicePowerIoCompletion$13$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$13$anon39_Then#1, inline$BDLDevicePowerIoCompletion$13$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_92#1:
- goto inline$storm_IoCompleteRequest$27$Entry#1;
-
- inline$storm_IoCompleteRequest$27$Entry#1:
- inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$27$start#1;
-
- inline$storm_IoCompleteRequest$27$start#1:
- inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$27$label_3#1;
-
- inline$storm_IoCompleteRequest$27$label_3#1:
- call inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$27$label_6#1;
-
- inline$storm_IoCompleteRequest$27$label_6#1:
- goto inline$storm_IoCompleteRequest$27$label_6_true#1, inline$storm_IoCompleteRequest$27$label_6_false#1;
-
- inline$storm_IoCompleteRequest$27$label_6_false#1:
- assume inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$27$label_7#1;
-
- inline$storm_IoCompleteRequest$27$label_6_true#1:
- assume inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$27$label_8#1;
-
- inline$storm_IoCompleteRequest$27$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$27$anon3_Then#1, inline$storm_IoCompleteRequest$27$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$27$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$27$anon1#1;
-
- inline$storm_IoCompleteRequest$27$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$27$label_9#1;
-
- inline$storm_IoCompleteRequest$27$label_9#1:
- goto inline$storm_IoCompleteRequest$27$label_9_true#1, inline$storm_IoCompleteRequest$27$label_9_false#1;
-
- inline$storm_IoCompleteRequest$27$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$27$label_10#1;
-
- inline$storm_IoCompleteRequest$27$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$27$label_1#1;
-
- inline$storm_IoCompleteRequest$27$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$27$label_7#1;
-
- inline$storm_IoCompleteRequest$27$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$27$label_1#1;
-
- inline$storm_IoCompleteRequest$27$label_1#1:
- goto inline$storm_IoCompleteRequest$27$Return#1;
-
- inline$storm_IoCompleteRequest$27$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$27$Return#1;
-
- inline$storm_IoCompleteRequest$27$Return#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon40_Then#1, inline$BDLDevicePowerIoCompletion$13$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$13$anon41_Then#1, inline$BDLDevicePowerIoCompletion$13$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_98#1:
- goto inline$BDLGetDebugLevel$355$Entry#1;
-
- inline$BDLGetDebugLevel$355$Entry#1:
- goto inline$BDLGetDebugLevel$355$start#1;
-
- inline$BDLGetDebugLevel$355$start#1:
- goto inline$BDLGetDebugLevel$355$label_3#1;
-
- inline$BDLGetDebugLevel$355$label_3#1:
- havoc inline$BDLGetDebugLevel$355$myNondetVar_0;
- inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$355$myNondetVar_0;
- goto inline$BDLGetDebugLevel$355$label_1#1;
-
- inline$BDLGetDebugLevel$355$label_1#1:
- goto inline$BDLGetDebugLevel$355$Return#1;
-
- inline$BDLGetDebugLevel$355$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon42_Then#1, inline$BDLDevicePowerIoCompletion$13$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_101_true#1, inline$BDLDevicePowerIoCompletion$13$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_105#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_106#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_107#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$13$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_102#1:
- goto inline$BDLGetDebugLevel$356$Entry#1;
-
- inline$BDLGetDebugLevel$356$Entry#1:
- goto inline$BDLGetDebugLevel$356$start#1;
-
- inline$BDLGetDebugLevel$356$start#1:
- goto inline$BDLGetDebugLevel$356$label_3#1;
-
- inline$BDLGetDebugLevel$356$label_3#1:
- havoc inline$BDLGetDebugLevel$356$myNondetVar_0;
- inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$356$myNondetVar_0;
- goto inline$BDLGetDebugLevel$356$label_1#1;
-
- inline$BDLGetDebugLevel$356$label_1#1:
- goto inline$BDLGetDebugLevel$356$Return#1;
-
- inline$BDLGetDebugLevel$356$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon43_Then#1, inline$BDLDevicePowerIoCompletion$13$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_111_true#1, inline$BDLDevicePowerIoCompletion$13$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_115#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_116#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_117#1:
- call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$13$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$13$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_112#1:
- goto inline$BDLGetDebugLevel$357$Entry#1;
-
- inline$BDLGetDebugLevel$357$Entry#1:
- goto inline$BDLGetDebugLevel$357$start#1;
-
- inline$BDLGetDebugLevel$357$start#1:
- goto inline$BDLGetDebugLevel$357$label_3#1;
-
- inline$BDLGetDebugLevel$357$label_3#1:
- havoc inline$BDLGetDebugLevel$357$myNondetVar_0;
- inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$357$myNondetVar_0;
- goto inline$BDLGetDebugLevel$357$label_1#1;
-
- inline$BDLGetDebugLevel$357$label_1#1:
- goto inline$BDLGetDebugLevel$357$Return#1;
-
- inline$BDLGetDebugLevel$357$Return#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$13$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$13$anon44_Then#1, inline$BDLDevicePowerIoCompletion$13$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_121_true#1, inline$BDLDevicePowerIoCompletion$13$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$13$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$13$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_122#1:
- inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$13$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$13$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$13$Return#1;
-
- inline$BDLDevicePowerIoCompletion$13$Return#1:
- inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$13$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$13$anon13_Then#1, inline$CallCompletionRoutine$13$anon13_Else#1;
-
- inline$CallCompletionRoutine$13$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$13$anon7#1;
-
- inline$CallCompletionRoutine$13$anon7#1:
- goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$13$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$13$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$13$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$13$Entry#1:
- inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$13$start#1;
-
- inline$BDLCallDriverCompletionRoutine$13$start#1:
- inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$13$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$13$label_3_true#1, inline$BDLCallDriverCompletionRoutine$13$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$13$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$13$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$13$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$13$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_6#1:
- goto inline$storm_KeSetEvent$15$Entry#1;
-
- inline$storm_KeSetEvent$15$Entry#1:
- inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$15$start#1;
-
- inline$storm_KeSetEvent$15$start#1:
- inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$15$label_3#1;
-
- inline$storm_KeSetEvent$15$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$15$label_1#1;
-
- inline$storm_KeSetEvent$15$label_1#1:
- goto inline$storm_KeSetEvent$15$Return#1;
-
- inline$storm_KeSetEvent$15$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$13$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$13$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$13$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$13$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$13$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$13$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$13$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_9#1:
- inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$13$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$13$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$13$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$13$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$13$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$13$Return#1:
- inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$13$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$13$anon12_Then#1, inline$CallCompletionRoutine$13$anon12_Else#1;
-
- inline$CallCompletionRoutine$13$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$13$anon5#1;
-
- inline$CallCompletionRoutine$13$anon5#1:
- goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$13$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$13$label_23#1;
-
- inline$CallCompletionRoutine$13$label_23#1:
- inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$13$label_24#1;
-
- inline$CallCompletionRoutine$13$label_24#1:
- goto inline$CallCompletionRoutine$13$label_24_true#1, inline$CallCompletionRoutine$13$label_24_false#1;
-
- inline$CallCompletionRoutine$13$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$13$label_1#1;
-
- inline$CallCompletionRoutine$13$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$13$label_25#1;
-
- inline$CallCompletionRoutine$13$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$13$label_1#1;
-
- inline$CallCompletionRoutine$13$label_1#1:
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$13$Return#1;
-
- inline$CallCompletionRoutine$13$Return#1:
- goto inline$storm_IoCallDriver$6$label_39$1#1;
-
- inline$storm_IoCallDriver$6$label_39$1#1:
- goto inline$storm_IoCallDriver$6$anon15_Then#1, inline$storm_IoCallDriver$6$anon15_Else#1;
-
- inline$storm_IoCallDriver$6$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$6$anon10#1;
-
- inline$storm_IoCallDriver$6$anon10#1:
- goto inline$storm_IoCallDriver$6$label_36#1;
-
- inline$storm_IoCallDriver$6$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$label_27_case_0#1:
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$6$label_28#1;
-
- inline$storm_IoCallDriver$6$label_28#1:
- inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$6$label_42#1;
-
- inline$storm_IoCallDriver$6$label_42#1:
- goto inline$storm_IoCallDriver$6$label_43#1;
-
- inline$storm_IoCallDriver$6$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$6$label_36#1;
-
- inline$storm_IoCallDriver$6$label_36#1:
- inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$6$label_1#1;
-
- inline$storm_IoCallDriver$6$label_1#1:
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$6$Return#1;
-
- inline$storm_IoCallDriver$6$Return#1:
- inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$2$label_3$1#1;
-
- inline$storm_PoCallDriver$2$label_3$1#1:
- goto inline$storm_PoCallDriver$2$anon2_Then#1, inline$storm_PoCallDriver$2$anon2_Else#1;
-
- inline$storm_PoCallDriver$2$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$2$anon1#1;
-
- inline$storm_PoCallDriver$2$anon1#1:
- goto inline$storm_PoCallDriver$2$label_6#1;
-
- inline$storm_PoCallDriver$2$label_6#1:
- inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$2$label_1#1;
-
- inline$storm_PoCallDriver$2$label_1#1:
- goto inline$storm_PoCallDriver$2$Return#1;
-
- inline$storm_PoCallDriver$2$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$2$Return#1;
-
- inline$storm_PoCallDriver$2$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_31#1:
- goto inline$storm_KeWaitForSingleObject$2$Entry#1;
-
- inline$storm_KeWaitForSingleObject$2$Entry#1:
- inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$2$start#1;
-
- inline$storm_KeWaitForSingleObject$2$start#1:
- inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$2$label_3#1;
-
- inline$storm_KeWaitForSingleObject$2$label_3#1:
- goto inline$storm_KeWaitForSingleObject$2$label_3_true#1, inline$storm_KeWaitForSingleObject$2$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$2$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$2$label_4#1;
-
- inline$storm_KeWaitForSingleObject$2$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon6_Then#1, inline$storm_KeWaitForSingleObject$2$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$2$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon1#1;
-
- inline$storm_KeWaitForSingleObject$2$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$2$label_13#1;
-
- inline$storm_KeWaitForSingleObject$2$label_13#1:
- inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$2$label_1#1;
-
- inline$storm_KeWaitForSingleObject$2$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$2$Return#1;
-
- inline$storm_KeWaitForSingleObject$2$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$2$label_5#1;
-
- inline$storm_KeWaitForSingleObject$2$label_5#1:
- call inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$2$label_8#1;
-
- inline$storm_KeWaitForSingleObject$2$label_8#1:
- goto inline$storm_KeWaitForSingleObject$2$label_8_true#1, inline$storm_KeWaitForSingleObject$2$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$2$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$2$label_9#1;
-
- inline$storm_KeWaitForSingleObject$2$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon7_Then#1, inline$storm_KeWaitForSingleObject$2$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$2$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon3#1;
-
- inline$storm_KeWaitForSingleObject$2$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$2$label_12#1;
-
- inline$storm_KeWaitForSingleObject$2$label_12#1:
- inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$2$label_1#1;
-
- inline$storm_KeWaitForSingleObject$2$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$2$Return#1;
-
- inline$storm_KeWaitForSingleObject$2$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$2$label_10#1;
-
- inline$storm_KeWaitForSingleObject$2$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon8_Then#1, inline$storm_KeWaitForSingleObject$2$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$2$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$2$anon5#1;
-
- inline$storm_KeWaitForSingleObject$2$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$2$label_11#1;
-
- inline$storm_KeWaitForSingleObject$2$label_11#1:
- inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$2$label_1#1;
-
- inline$storm_KeWaitForSingleObject$2$label_1#1:
- goto inline$storm_KeWaitForSingleObject$2$Return#1;
-
- inline$storm_KeWaitForSingleObject$2$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$2$Return#1;
-
- inline$storm_KeWaitForSingleObject$2$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$2$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$2$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$ := inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_29$1#1;
-
- inline$BDLPnPCancelRemove$0$label_29$1#1:
- goto inline$BDLPnPCancelRemove$0$anon31_Then#1, inline$BDLPnPCancelRemove$0$anon31_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon7#1;
-
- inline$BDLPnPCancelRemove$0$anon7#1:
- goto inline$BDLPnPCancelRemove$0$label_33#1;
-
- inline$BDLPnPCancelRemove$0$label_33#1:
- inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$;
- goto inline$BDLPnPCancelRemove$0$label_34#1;
-
- inline$BDLPnPCancelRemove$0$label_34#1:
- goto inline$BDLPnPCancelRemove$0$label_34_true#1, inline$BDLPnPCancelRemove$0$label_34_false#1;
-
- inline$BDLPnPCancelRemove$0$label_34_false#1:
- assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 == 0;
- goto inline$BDLPnPCancelRemove$0$label_35#1;
-
- inline$BDLPnPCancelRemove$0$label_35#1:
- call inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12), 1);
- goto inline$BDLPnPCancelRemove$0$anon32_Then#1, inline$BDLPnPCancelRemove$0$anon32_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon9#1;
-
- inline$BDLPnPCancelRemove$0$anon9#1:
- goto inline$BDLPnPCancelRemove$0$label_89#1;
-
- inline$BDLPnPCancelRemove$0$label_89#1:
- inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$;
- goto inline$BDLPnPCancelRemove$0$label_90#1;
-
- inline$BDLPnPCancelRemove$0$label_90#1:
- goto inline$BDLPnPCancelRemove$0$label_90_true#1, inline$BDLPnPCancelRemove$0$label_90_false#1;
-
- inline$BDLPnPCancelRemove$0$label_90_false#1:
- assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 == 0;
- goto inline$BDLPnPCancelRemove$0$label_62#1;
-
- inline$BDLPnPCancelRemove$0$label_90_true#1:
- assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 != 0;
- goto inline$BDLPnPCancelRemove$0$label_91#1;
-
- inline$BDLPnPCancelRemove$0$label_91#1:
- goto inline$BDLGetDebugLevel$376$Entry#1;
-
- inline$BDLGetDebugLevel$376$Entry#1:
- goto inline$BDLGetDebugLevel$376$start#1;
-
- inline$BDLGetDebugLevel$376$start#1:
- goto inline$BDLGetDebugLevel$376$label_3#1;
-
- inline$BDLGetDebugLevel$376$label_3#1:
- havoc inline$BDLGetDebugLevel$376$myNondetVar_0;
- inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$376$myNondetVar_0;
- goto inline$BDLGetDebugLevel$376$label_1#1;
-
- inline$BDLGetDebugLevel$376$label_1#1:
- goto inline$BDLGetDebugLevel$376$Return#1;
-
- inline$BDLGetDebugLevel$376$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$ := inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_91$1#1;
-
- inline$BDLPnPCancelRemove$0$label_91$1#1:
- goto inline$BDLPnPCancelRemove$0$anon39_Then#1, inline$BDLPnPCancelRemove$0$anon39_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon23#1;
-
- inline$BDLPnPCancelRemove$0$anon23#1:
- goto inline$BDLPnPCancelRemove$0$label_94#1;
-
- inline$BDLPnPCancelRemove$0$label_94#1:
- goto inline$BDLPnPCancelRemove$0$label_94_true#1, inline$BDLPnPCancelRemove$0$label_94_false#1;
-
- inline$BDLPnPCancelRemove$0$label_94_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_95#1;
-
- inline$BDLPnPCancelRemove$0$label_94_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_98#1;
-
- inline$BDLPnPCancelRemove$0$label_98#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_99#1;
-
- inline$BDLPnPCancelRemove$0$label_99#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_100#1;
-
- inline$BDLPnPCancelRemove$0$label_100#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_101#1;
-
- inline$BDLPnPCancelRemove$0$label_101#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$27$;
- goto inline$BDLPnPCancelRemove$0$label_95#1;
-
- inline$BDLPnPCancelRemove$0$label_95#1:
- goto inline$BDLGetDebugLevel$377$Entry#1;
-
- inline$BDLGetDebugLevel$377$Entry#1:
- goto inline$BDLGetDebugLevel$377$start#1;
-
- inline$BDLGetDebugLevel$377$start#1:
- goto inline$BDLGetDebugLevel$377$label_3#1;
-
- inline$BDLGetDebugLevel$377$label_3#1:
- havoc inline$BDLGetDebugLevel$377$myNondetVar_0;
- inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$377$myNondetVar_0;
- goto inline$BDLGetDebugLevel$377$label_1#1;
-
- inline$BDLGetDebugLevel$377$label_1#1:
- goto inline$BDLGetDebugLevel$377$Return#1;
-
- inline$BDLGetDebugLevel$377$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$ := inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_95$1#1;
-
- inline$BDLPnPCancelRemove$0$label_95$1#1:
- goto inline$BDLPnPCancelRemove$0$anon40_Then#1, inline$BDLPnPCancelRemove$0$anon40_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon25#1;
-
- inline$BDLPnPCancelRemove$0$anon25#1:
- goto inline$BDLPnPCancelRemove$0$label_104#1;
-
- inline$BDLPnPCancelRemove$0$label_104#1:
- goto inline$BDLPnPCancelRemove$0$label_104_true#1, inline$BDLPnPCancelRemove$0$label_104_false#1;
-
- inline$BDLPnPCancelRemove$0$label_104_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_105#1;
-
- inline$BDLPnPCancelRemove$0$label_104_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_108#1;
-
- inline$BDLPnPCancelRemove$0$label_108#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_109#1;
-
- inline$BDLPnPCancelRemove$0$label_109#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_110#1;
-
- inline$BDLPnPCancelRemove$0$label_110#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_111#1;
-
- inline$BDLPnPCancelRemove$0$label_111#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$32$;
- goto inline$BDLPnPCancelRemove$0$label_105#1;
-
- inline$BDLPnPCancelRemove$0$label_105#1:
- goto inline$BDLGetDebugLevel$378$Entry#1;
-
- inline$BDLGetDebugLevel$378$Entry#1:
- goto inline$BDLGetDebugLevel$378$start#1;
-
- inline$BDLGetDebugLevel$378$start#1:
- goto inline$BDLGetDebugLevel$378$label_3#1;
-
- inline$BDLGetDebugLevel$378$label_3#1:
- havoc inline$BDLGetDebugLevel$378$myNondetVar_0;
- inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$378$myNondetVar_0;
- goto inline$BDLGetDebugLevel$378$label_1#1;
-
- inline$BDLGetDebugLevel$378$label_1#1:
- goto inline$BDLGetDebugLevel$378$Return#1;
-
- inline$BDLGetDebugLevel$378$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$ := inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_105$1#1;
-
- inline$BDLPnPCancelRemove$0$label_105$1#1:
- goto inline$BDLPnPCancelRemove$0$anon41_Then#1, inline$BDLPnPCancelRemove$0$anon41_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon27#1;
-
- inline$BDLPnPCancelRemove$0$anon27#1:
- goto inline$BDLPnPCancelRemove$0$label_114#1;
-
- inline$BDLPnPCancelRemove$0$label_114#1:
- goto inline$BDLPnPCancelRemove$0$label_114_true#1, inline$BDLPnPCancelRemove$0$label_114_false#1;
-
- inline$BDLPnPCancelRemove$0$label_114_false#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$, 4) == 0;
- goto inline$BDLPnPCancelRemove$0$label_62#1;
-
- inline$BDLPnPCancelRemove$0$label_114_true#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$, 4) != 0;
- goto inline$BDLPnPCancelRemove$0$label_115#1;
-
- inline$BDLPnPCancelRemove$0$label_115#1:
- goto inline$BDLPnPCancelRemove$0$label_62#1;
-
- inline$BDLPnPCancelRemove$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$label_34_true#1:
- assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 != 0;
- goto inline$BDLPnPCancelRemove$0$label_38#1;
-
- inline$BDLPnPCancelRemove$0$label_38#1:
- goto inline$BDLGetDebugLevel$370$Entry#1;
-
- inline$BDLGetDebugLevel$370$Entry#1:
- goto inline$BDLGetDebugLevel$370$start#1;
-
- inline$BDLGetDebugLevel$370$start#1:
- goto inline$BDLGetDebugLevel$370$label_3#1;
-
- inline$BDLGetDebugLevel$370$label_3#1:
- havoc inline$BDLGetDebugLevel$370$myNondetVar_0;
- inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$370$myNondetVar_0;
- goto inline$BDLGetDebugLevel$370$label_1#1;
-
- inline$BDLGetDebugLevel$370$label_1#1:
- goto inline$BDLGetDebugLevel$370$Return#1;
-
- inline$BDLGetDebugLevel$370$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$ := inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_38$1#1;
-
- inline$BDLPnPCancelRemove$0$label_38$1#1:
- goto inline$BDLPnPCancelRemove$0$anon33_Then#1, inline$BDLPnPCancelRemove$0$anon33_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon11#1;
-
- inline$BDLPnPCancelRemove$0$anon11#1:
- goto inline$BDLPnPCancelRemove$0$label_41#1;
-
- inline$BDLPnPCancelRemove$0$label_41#1:
- goto inline$BDLPnPCancelRemove$0$label_41_true#1, inline$BDLPnPCancelRemove$0$label_41_false#1;
-
- inline$BDLPnPCancelRemove$0$label_41_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_42#1;
-
- inline$BDLPnPCancelRemove$0$label_41_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_45#1;
-
- inline$BDLPnPCancelRemove$0$label_45#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_46#1;
-
- inline$BDLPnPCancelRemove$0$label_46#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_47#1;
-
- inline$BDLPnPCancelRemove$0$label_47#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_48#1;
-
- inline$BDLPnPCancelRemove$0$label_48#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$15$;
- goto inline$BDLPnPCancelRemove$0$label_42#1;
-
- inline$BDLPnPCancelRemove$0$label_42#1:
- goto inline$BDLGetDebugLevel$371$Entry#1;
-
- inline$BDLGetDebugLevel$371$Entry#1:
- goto inline$BDLGetDebugLevel$371$start#1;
-
- inline$BDLGetDebugLevel$371$start#1:
- goto inline$BDLGetDebugLevel$371$label_3#1;
-
- inline$BDLGetDebugLevel$371$label_3#1:
- havoc inline$BDLGetDebugLevel$371$myNondetVar_0;
- inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$371$myNondetVar_0;
- goto inline$BDLGetDebugLevel$371$label_1#1;
-
- inline$BDLGetDebugLevel$371$label_1#1:
- goto inline$BDLGetDebugLevel$371$Return#1;
-
- inline$BDLGetDebugLevel$371$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$ := inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_42$1#1;
-
- inline$BDLPnPCancelRemove$0$label_42$1#1:
- goto inline$BDLPnPCancelRemove$0$anon34_Then#1, inline$BDLPnPCancelRemove$0$anon34_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon13#1;
-
- inline$BDLPnPCancelRemove$0$anon13#1:
- goto inline$BDLPnPCancelRemove$0$label_51#1;
-
- inline$BDLPnPCancelRemove$0$label_51#1:
- goto inline$BDLPnPCancelRemove$0$label_51_true#1, inline$BDLPnPCancelRemove$0$label_51_false#1;
-
- inline$BDLPnPCancelRemove$0$label_51_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_52#1;
-
- inline$BDLPnPCancelRemove$0$label_51_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_55#1;
-
- inline$BDLPnPCancelRemove$0$label_55#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_56#1;
-
- inline$BDLPnPCancelRemove$0$label_56#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_57#1;
-
- inline$BDLPnPCancelRemove$0$label_57#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_58#1;
-
- inline$BDLPnPCancelRemove$0$label_58#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$20$;
- goto inline$BDLPnPCancelRemove$0$label_52#1;
-
- inline$BDLPnPCancelRemove$0$label_52#1:
- goto inline$BDLGetDebugLevel$372$Entry#1;
-
- inline$BDLGetDebugLevel$372$Entry#1:
- goto inline$BDLGetDebugLevel$372$start#1;
-
- inline$BDLGetDebugLevel$372$start#1:
- goto inline$BDLGetDebugLevel$372$label_3#1;
-
- inline$BDLGetDebugLevel$372$label_3#1:
- havoc inline$BDLGetDebugLevel$372$myNondetVar_0;
- inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$372$myNondetVar_0;
- goto inline$BDLGetDebugLevel$372$label_1#1;
-
- inline$BDLGetDebugLevel$372$label_1#1:
- goto inline$BDLGetDebugLevel$372$Return#1;
-
- inline$BDLGetDebugLevel$372$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$ := inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_52$1#1;
-
- inline$BDLPnPCancelRemove$0$label_52$1#1:
- goto inline$BDLPnPCancelRemove$0$anon35_Then#1, inline$BDLPnPCancelRemove$0$anon35_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon15#1;
-
- inline$BDLPnPCancelRemove$0$anon15#1:
- goto inline$BDLPnPCancelRemove$0$label_61#1;
-
- inline$BDLPnPCancelRemove$0$label_61#1:
- goto inline$BDLPnPCancelRemove$0$label_61_true#1, inline$BDLPnPCancelRemove$0$label_61_false#1;
-
- inline$BDLPnPCancelRemove$0$label_61_false#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$, 4) == 0;
- goto inline$BDLPnPCancelRemove$0$label_62#1;
-
- inline$BDLPnPCancelRemove$0$label_61_true#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$, 4) != 0;
- goto inline$BDLPnPCancelRemove$0$label_65#1;
-
- inline$BDLPnPCancelRemove$0$label_65#1:
- goto inline$BDLPnPCancelRemove$0$label_62#1;
-
- inline$BDLPnPCancelRemove$0$label_62#1:
- goto inline$BDLGetDebugLevel$373$Entry#1;
-
- inline$BDLGetDebugLevel$373$Entry#1:
- goto inline$BDLGetDebugLevel$373$start#1;
-
- inline$BDLGetDebugLevel$373$start#1:
- goto inline$BDLGetDebugLevel$373$label_3#1;
-
- inline$BDLGetDebugLevel$373$label_3#1:
- havoc inline$BDLGetDebugLevel$373$myNondetVar_0;
- inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$373$myNondetVar_0;
- goto inline$BDLGetDebugLevel$373$label_1#1;
-
- inline$BDLGetDebugLevel$373$label_1#1:
- goto inline$BDLGetDebugLevel$373$Return#1;
-
- inline$BDLGetDebugLevel$373$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$ := inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_62$1#1;
-
- inline$BDLPnPCancelRemove$0$label_62$1#1:
- goto inline$BDLPnPCancelRemove$0$anon36_Then#1, inline$BDLPnPCancelRemove$0$anon36_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon17#1;
-
- inline$BDLPnPCancelRemove$0$anon17#1:
- goto inline$BDLPnPCancelRemove$0$label_66#1;
-
- inline$BDLPnPCancelRemove$0$label_66#1:
- goto inline$BDLPnPCancelRemove$0$label_66_true#1, inline$BDLPnPCancelRemove$0$label_66_false#1;
-
- inline$BDLPnPCancelRemove$0$label_66_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_67#1;
-
- inline$BDLPnPCancelRemove$0$label_66_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_70#1;
-
- inline$BDLPnPCancelRemove$0$label_70#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_71#1;
-
- inline$BDLPnPCancelRemove$0$label_71#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_72#1;
-
- inline$BDLPnPCancelRemove$0$label_72#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_73#1;
-
- inline$BDLPnPCancelRemove$0$label_73#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$38$;
- goto inline$BDLPnPCancelRemove$0$label_67#1;
-
- inline$BDLPnPCancelRemove$0$label_67#1:
- goto inline$BDLGetDebugLevel$374$Entry#1;
-
- inline$BDLGetDebugLevel$374$Entry#1:
- goto inline$BDLGetDebugLevel$374$start#1;
-
- inline$BDLGetDebugLevel$374$start#1:
- goto inline$BDLGetDebugLevel$374$label_3#1;
-
- inline$BDLGetDebugLevel$374$label_3#1:
- havoc inline$BDLGetDebugLevel$374$myNondetVar_0;
- inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$374$myNondetVar_0;
- goto inline$BDLGetDebugLevel$374$label_1#1;
-
- inline$BDLGetDebugLevel$374$label_1#1:
- goto inline$BDLGetDebugLevel$374$Return#1;
-
- inline$BDLGetDebugLevel$374$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$ := inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_67$1#1;
-
- inline$BDLPnPCancelRemove$0$label_67$1#1:
- goto inline$BDLPnPCancelRemove$0$anon37_Then#1, inline$BDLPnPCancelRemove$0$anon37_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon19#1;
-
- inline$BDLPnPCancelRemove$0$anon19#1:
- goto inline$BDLPnPCancelRemove$0$label_76#1;
-
- inline$BDLPnPCancelRemove$0$label_76#1:
- goto inline$BDLPnPCancelRemove$0$label_76_true#1, inline$BDLPnPCancelRemove$0$label_76_false#1;
-
- inline$BDLPnPCancelRemove$0$label_76_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$) == 0;
- goto inline$BDLPnPCancelRemove$0$label_77#1;
-
- inline$BDLPnPCancelRemove$0$label_76_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$) != 0;
- goto inline$BDLPnPCancelRemove$0$label_80#1;
-
- inline$BDLPnPCancelRemove$0$label_80#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_81#1;
-
- inline$BDLPnPCancelRemove$0$label_81#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_82#1;
-
- inline$BDLPnPCancelRemove$0$label_82#1:
- call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPCancelRemove$0$label_83#1;
-
- inline$BDLPnPCancelRemove$0$label_83#1:
- havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$43$;
- goto inline$BDLPnPCancelRemove$0$label_77#1;
-
- inline$BDLPnPCancelRemove$0$label_77#1:
- goto inline$BDLGetDebugLevel$375$Entry#1;
-
- inline$BDLGetDebugLevel$375$Entry#1:
- goto inline$BDLGetDebugLevel$375$start#1;
-
- inline$BDLGetDebugLevel$375$start#1:
- goto inline$BDLGetDebugLevel$375$label_3#1;
-
- inline$BDLGetDebugLevel$375$label_3#1:
- havoc inline$BDLGetDebugLevel$375$myNondetVar_0;
- inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$375$myNondetVar_0;
- goto inline$BDLGetDebugLevel$375$label_1#1;
-
- inline$BDLGetDebugLevel$375$label_1#1:
- goto inline$BDLGetDebugLevel$375$Return#1;
-
- inline$BDLGetDebugLevel$375$Return#1:
- inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$ := inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPCancelRemove$0$label_77$1#1;
-
- inline$BDLPnPCancelRemove$0$label_77$1#1:
- goto inline$BDLPnPCancelRemove$0$anon38_Then#1, inline$BDLPnPCancelRemove$0$anon38_Else#1;
-
- inline$BDLPnPCancelRemove$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLPnPCancelRemove$0$anon21#1;
-
- inline$BDLPnPCancelRemove$0$anon21#1:
- goto inline$BDLPnPCancelRemove$0$label_86#1;
-
- inline$BDLPnPCancelRemove$0$label_86#1:
- goto inline$BDLPnPCancelRemove$0$label_86_true#1, inline$BDLPnPCancelRemove$0$label_86_false#1;
-
- inline$BDLPnPCancelRemove$0$label_86_false#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$, 4) == 0;
- goto inline$BDLPnPCancelRemove$0$label_87#1;
-
- inline$BDLPnPCancelRemove$0$label_86_true#1:
- assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$, 4) != 0;
- goto inline$BDLPnPCancelRemove$0$label_88#1;
-
- inline$BDLPnPCancelRemove$0$label_88#1:
- goto inline$BDLPnPCancelRemove$0$label_87#1;
-
- inline$BDLPnPCancelRemove$0$label_87#1:
- inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$ := inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12;
- goto inline$BDLPnPCancelRemove$0$label_1#1;
-
- inline$BDLPnPCancelRemove$0$label_1#1:
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon35_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon34_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon33_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPCancelRemove$0$Return#1;
-
- inline$BDLPnPCancelRemove$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$ := inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$;
- goto inline$BDLPnP$0$label_122$1#1;
-
- inline$BDLPnP$0$label_122$1#1:
- goto inline$BDLPnP$0$anon70_Then#1, inline$BDLPnP$0$anon70_Else#1;
-
- inline$BDLPnP$0$anon70_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon33#1;
-
- inline$BDLPnP$0$anon33#1:
- goto inline$BDLPnP$0$label_151#1;
-
- inline$BDLPnP$0$label_151#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon70_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_3#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 2;
- goto inline$BDLPnP$0$label_119#1;
-
- inline$BDLPnP$0$label_119#1:
- goto inline$BDLPnPRemove$0$Entry#1;
-
- inline$BDLPnPRemove$0$Entry#1:
- inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8;
- inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8;
- inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPRemove$0$start#1;
-
- inline$BDLPnPRemove$0$start#1:
- inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1;
- inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1;
- inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1;
- inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1;
- goto inline$BDLPnPRemove$0$label_3#1;
-
- inline$BDLPnPRemove$0$label_3#1:
- goto inline$BDLPnPRemove$0$label_4#1;
-
- inline$BDLPnPRemove$0$label_4#1:
- inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16 := 0;
- goto inline$BDLPnPRemove$0$label_5#1;
-
- inline$BDLPnPRemove$0$label_5#1:
- goto inline$BDLGetDebugLevel$202$Entry#1;
-
- inline$BDLGetDebugLevel$202$Entry#1:
- goto inline$BDLGetDebugLevel$202$start#1;
-
- inline$BDLGetDebugLevel$202$start#1:
- goto inline$BDLGetDebugLevel$202$label_3#1;
-
- inline$BDLGetDebugLevel$202$label_3#1:
- havoc inline$BDLGetDebugLevel$202$myNondetVar_0;
- inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$202$myNondetVar_0;
- goto inline$BDLGetDebugLevel$202$label_1#1;
-
- inline$BDLGetDebugLevel$202$label_1#1:
- goto inline$BDLGetDebugLevel$202$Return#1;
-
- inline$BDLGetDebugLevel$202$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$ := inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_5$1#1;
-
- inline$BDLPnPRemove$0$label_5$1#1:
- goto inline$BDLPnPRemove$0$anon24_Then#1, inline$BDLPnPRemove$0$anon24_Else#1;
-
- inline$BDLPnPRemove$0$anon24_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon1#1;
-
- inline$BDLPnPRemove$0$anon1#1:
- goto inline$BDLPnPRemove$0$label_8#1;
-
- inline$BDLPnPRemove$0$label_8#1:
- goto inline$BDLPnPRemove$0$label_8_true#1, inline$BDLPnPRemove$0$label_8_false#1;
-
- inline$BDLPnPRemove$0$label_8_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$) == 0;
- goto inline$BDLPnPRemove$0$label_9#1;
-
- inline$BDLPnPRemove$0$label_8_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$) != 0;
- goto inline$BDLPnPRemove$0$label_12#1;
-
- inline$BDLPnPRemove$0$label_12#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_13#1;
-
- inline$BDLPnPRemove$0$label_13#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_14#1;
-
- inline$BDLPnPRemove$0$label_14#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_15#1;
-
- inline$BDLPnPRemove$0$label_15#1:
- havoc inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$3$;
- goto inline$BDLPnPRemove$0$label_9#1;
-
- inline$BDLPnPRemove$0$label_9#1:
- goto inline$BDLGetDebugLevel$203$Entry#1;
-
- inline$BDLGetDebugLevel$203$Entry#1:
- goto inline$BDLGetDebugLevel$203$start#1;
-
- inline$BDLGetDebugLevel$203$start#1:
- goto inline$BDLGetDebugLevel$203$label_3#1;
-
- inline$BDLGetDebugLevel$203$label_3#1:
- havoc inline$BDLGetDebugLevel$203$myNondetVar_0;
- inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$203$myNondetVar_0;
- goto inline$BDLGetDebugLevel$203$label_1#1;
-
- inline$BDLGetDebugLevel$203$label_1#1:
- goto inline$BDLGetDebugLevel$203$Return#1;
-
- inline$BDLGetDebugLevel$203$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$ := inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_9$1#1;
-
- inline$BDLPnPRemove$0$label_9$1#1:
- goto inline$BDLPnPRemove$0$anon25_Then#1, inline$BDLPnPRemove$0$anon25_Else#1;
-
- inline$BDLPnPRemove$0$anon25_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon3#1;
-
- inline$BDLPnPRemove$0$anon3#1:
- goto inline$BDLPnPRemove$0$label_18#1;
-
- inline$BDLPnPRemove$0$label_18#1:
- goto inline$BDLPnPRemove$0$label_18_true#1, inline$BDLPnPRemove$0$label_18_false#1;
-
- inline$BDLPnPRemove$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$) == 0;
- goto inline$BDLPnPRemove$0$label_19#1;
-
- inline$BDLPnPRemove$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$) != 0;
- goto inline$BDLPnPRemove$0$label_22#1;
-
- inline$BDLPnPRemove$0$label_22#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_23#1;
-
- inline$BDLPnPRemove$0$label_23#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_24#1;
-
- inline$BDLPnPRemove$0$label_24#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_25#1;
-
- inline$BDLPnPRemove$0$label_25#1:
- havoc inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$8$;
- goto inline$BDLPnPRemove$0$label_19#1;
-
- inline$BDLPnPRemove$0$label_19#1:
- goto inline$BDLGetDebugLevel$204$Entry#1;
-
- inline$BDLGetDebugLevel$204$Entry#1:
- goto inline$BDLGetDebugLevel$204$start#1;
-
- inline$BDLGetDebugLevel$204$start#1:
- goto inline$BDLGetDebugLevel$204$label_3#1;
-
- inline$BDLGetDebugLevel$204$label_3#1:
- havoc inline$BDLGetDebugLevel$204$myNondetVar_0;
- inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$204$myNondetVar_0;
- goto inline$BDLGetDebugLevel$204$label_1#1;
-
- inline$BDLGetDebugLevel$204$label_1#1:
- goto inline$BDLGetDebugLevel$204$Return#1;
-
- inline$BDLGetDebugLevel$204$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$ := inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_19$1#1;
-
- inline$BDLPnPRemove$0$label_19$1#1:
- goto inline$BDLPnPRemove$0$anon26_Then#1, inline$BDLPnPRemove$0$anon26_Else#1;
-
- inline$BDLPnPRemove$0$anon26_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon5#1;
-
- inline$BDLPnPRemove$0$anon5#1:
- goto inline$BDLPnPRemove$0$label_28#1;
-
- inline$BDLPnPRemove$0$label_28#1:
- goto inline$BDLPnPRemove$0$label_28_true#1, inline$BDLPnPRemove$0$label_28_false#1;
-
- inline$BDLPnPRemove$0$label_28_false#1:
- assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$, 4) == 0;
- goto inline$BDLPnPRemove$0$label_29#1;
-
- inline$BDLPnPRemove$0$label_28_true#1:
- assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$, 4) != 0;
- goto inline$BDLPnPRemove$0$label_30#1;
-
- inline$BDLPnPRemove$0$label_30#1:
- goto inline$BDLPnPRemove$0$label_29#1;
-
- inline$BDLPnPRemove$0$label_29#1:
- goto inline$BDLPnPRemove$0$label_29_true#1, inline$BDLPnPRemove$0$label_29_false#1;
-
- inline$BDLPnPRemove$0$label_29_false#1:
- havoc inline$BDLPnPRemove$0$myNondetVar_0;
- assume inline$BDLPnPRemove$0$myNondetVar_0 == 0;
- goto inline$BDLPnPRemove$0$label_31#1;
-
- inline$BDLPnPRemove$0$label_31#1:
- goto inline$BDLPnPRemove$0$label_73#1;
-
- inline$BDLPnPRemove$0$label_73#1:
- goto inline$BDLHandleRemove$0$Entry#1;
-
- inline$BDLHandleRemove$0$Entry#1:
- inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1 := inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16;
- inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1 := inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16;
- goto inline$BDLHandleRemove$0$start#1;
-
- inline$BDLHandleRemove$0$start#1:
- inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1;
- inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1;
- goto inline$BDLHandleRemove$0$label_3#1;
-
- inline$BDLHandleRemove$0$label_3#1:
- goto inline$BDLHandleRemove$0$label_4#1;
-
- inline$BDLHandleRemove$0$label_4#1:
- inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := 0;
- goto inline$BDLHandleRemove$0$label_5#1;
-
- inline$BDLHandleRemove$0$label_5#1:
- goto inline$BDLHandleRemove$0$label_6#1;
-
- inline$BDLHandleRemove$0$label_6#1:
- havoc inline$BDLHandleRemove$0$myNondetVar_0;
- goto inline$BDLHandleRemove$0$label_7#1;
-
- inline$BDLHandleRemove$0$label_7#1:
- goto inline$BDLGetDebugLevel$208$Entry#1;
-
- inline$BDLGetDebugLevel$208$Entry#1:
- goto inline$BDLGetDebugLevel$208$start#1;
-
- inline$BDLGetDebugLevel$208$start#1:
- goto inline$BDLGetDebugLevel$208$label_3#1;
-
- inline$BDLGetDebugLevel$208$label_3#1:
- havoc inline$BDLGetDebugLevel$208$myNondetVar_0;
- inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$208$myNondetVar_0;
- goto inline$BDLGetDebugLevel$208$label_1#1;
-
- inline$BDLGetDebugLevel$208$label_1#1:
- goto inline$BDLGetDebugLevel$208$Return#1;
-
- inline$BDLGetDebugLevel$208$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$ := inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_7$1#1;
-
- inline$BDLHandleRemove$0$label_7$1#1:
- goto inline$BDLHandleRemove$0$anon42_Then#1, inline$BDLHandleRemove$0$anon42_Else#1;
-
- inline$BDLHandleRemove$0$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon1#1;
-
- inline$BDLHandleRemove$0$anon1#1:
- goto inline$BDLHandleRemove$0$label_10#1;
-
- inline$BDLHandleRemove$0$label_10#1:
- goto inline$BDLHandleRemove$0$label_10_true#1, inline$BDLHandleRemove$0$label_10_false#1;
-
- inline$BDLHandleRemove$0$label_10_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$) == 0;
- goto inline$BDLHandleRemove$0$label_11#1;
-
- inline$BDLHandleRemove$0$label_10_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$) != 0;
- goto inline$BDLHandleRemove$0$label_14#1;
-
- inline$BDLHandleRemove$0$label_14#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_15#1;
-
- inline$BDLHandleRemove$0$label_15#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_16#1;
-
- inline$BDLHandleRemove$0$label_16#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_17#1;
-
- inline$BDLHandleRemove$0$label_17#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$3$;
- goto inline$BDLHandleRemove$0$label_11#1;
-
- inline$BDLHandleRemove$0$label_11#1:
- goto inline$BDLGetDebugLevel$209$Entry#1;
-
- inline$BDLGetDebugLevel$209$Entry#1:
- goto inline$BDLGetDebugLevel$209$start#1;
-
- inline$BDLGetDebugLevel$209$start#1:
- goto inline$BDLGetDebugLevel$209$label_3#1;
-
- inline$BDLGetDebugLevel$209$label_3#1:
- havoc inline$BDLGetDebugLevel$209$myNondetVar_0;
- inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$209$myNondetVar_0;
- goto inline$BDLGetDebugLevel$209$label_1#1;
-
- inline$BDLGetDebugLevel$209$label_1#1:
- goto inline$BDLGetDebugLevel$209$Return#1;
-
- inline$BDLGetDebugLevel$209$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$ := inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_11$1#1;
-
- inline$BDLHandleRemove$0$label_11$1#1:
- goto inline$BDLHandleRemove$0$anon43_Then#1, inline$BDLHandleRemove$0$anon43_Else#1;
-
- inline$BDLHandleRemove$0$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon3#1;
-
- inline$BDLHandleRemove$0$anon3#1:
- goto inline$BDLHandleRemove$0$label_20#1;
-
- inline$BDLHandleRemove$0$label_20#1:
- goto inline$BDLHandleRemove$0$label_20_true#1, inline$BDLHandleRemove$0$label_20_false#1;
-
- inline$BDLHandleRemove$0$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$) == 0;
- goto inline$BDLHandleRemove$0$label_21#1;
-
- inline$BDLHandleRemove$0$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$) != 0;
- goto inline$BDLHandleRemove$0$label_24#1;
-
- inline$BDLHandleRemove$0$label_24#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_25#1;
-
- inline$BDLHandleRemove$0$label_25#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_26#1;
-
- inline$BDLHandleRemove$0$label_26#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_27#1;
-
- inline$BDLHandleRemove$0$label_27#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$8$;
- goto inline$BDLHandleRemove$0$label_21#1;
-
- inline$BDLHandleRemove$0$label_21#1:
- goto inline$BDLGetDebugLevel$210$Entry#1;
-
- inline$BDLGetDebugLevel$210$Entry#1:
- goto inline$BDLGetDebugLevel$210$start#1;
-
- inline$BDLGetDebugLevel$210$start#1:
- goto inline$BDLGetDebugLevel$210$label_3#1;
-
- inline$BDLGetDebugLevel$210$label_3#1:
- havoc inline$BDLGetDebugLevel$210$myNondetVar_0;
- inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$210$myNondetVar_0;
- goto inline$BDLGetDebugLevel$210$label_1#1;
-
- inline$BDLGetDebugLevel$210$label_1#1:
- goto inline$BDLGetDebugLevel$210$Return#1;
-
- inline$BDLGetDebugLevel$210$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$ := inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_21$1#1;
-
- inline$BDLHandleRemove$0$label_21$1#1:
- goto inline$BDLHandleRemove$0$anon44_Then#1, inline$BDLHandleRemove$0$anon44_Else#1;
-
- inline$BDLHandleRemove$0$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon5#1;
-
- inline$BDLHandleRemove$0$anon5#1:
- goto inline$BDLHandleRemove$0$label_30#1;
-
- inline$BDLHandleRemove$0$label_30#1:
- goto inline$BDLHandleRemove$0$label_30_true#1, inline$BDLHandleRemove$0$label_30_false#1;
-
- inline$BDLHandleRemove$0$label_30_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$, 4) == 0;
- goto inline$BDLHandleRemove$0$label_31#1;
-
- inline$BDLHandleRemove$0$label_30_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$, 4) != 0;
- goto inline$BDLHandleRemove$0$label_34#1;
-
- inline$BDLHandleRemove$0$label_34#1:
- goto inline$BDLHandleRemove$0$label_31#1;
-
- inline$BDLHandleRemove$0$label_31#1:
- goto inline$storm_KeSetEvent$9$Entry#1;
-
- inline$storm_KeSetEvent$9$Entry#1:
- inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12);
- goto inline$storm_KeSetEvent$9$start#1;
-
- inline$storm_KeSetEvent$9$start#1:
- inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$9$label_3#1;
-
- inline$storm_KeSetEvent$9$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$9$label_1#1;
-
- inline$storm_KeSetEvent$9$label_1#1:
- goto inline$storm_KeSetEvent$9$Return#1;
-
- inline$storm_KeSetEvent$9$Return#1:
- goto inline$BDLHandleRemove$0$label_31$1#1;
-
- inline$BDLHandleRemove$0$label_31$1#1:
- goto inline$BDLHandleRemove$0$anon45_Then#1, inline$BDLHandleRemove$0$anon45_Else#1;
-
- inline$BDLHandleRemove$0$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon7#1;
-
- inline$BDLHandleRemove$0$anon7#1:
- goto inline$BDLHandleRemove$0$label_35#1;
-
- inline$BDLHandleRemove$0$label_35#1:
- call inline$BDLHandleRemove$0$$result.IoSetDeviceInterfaceState$2406.29$14$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12), 0);
- goto inline$BDLHandleRemove$0$anon46_Then#1, inline$BDLHandleRemove$0$anon46_Else#1;
-
- inline$BDLHandleRemove$0$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon9#1;
-
- inline$BDLHandleRemove$0$anon9#1:
- goto inline$BDLHandleRemove$0$label_38#1;
-
- inline$BDLHandleRemove$0$label_38#1:
- goto inline$BDLCleanupNotificationStruct$0$Entry#1;
-
- inline$BDLCleanupNotificationStruct$0$Entry#1:
- inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
- goto inline$BDLCleanupNotificationStruct$0$start#1;
-
- inline$BDLCleanupNotificationStruct$0$start#1:
- call inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(20);
- call inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(1);
- inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1;
- goto inline$BDLCleanupNotificationStruct$0$label_3#1;
-
- inline$BDLCleanupNotificationStruct$0$label_3#1:
- goto inline$BDLCleanupNotificationStruct$0$label_4#1;
-
- inline$BDLCleanupNotificationStruct$0$label_4#1:
- goto inline$BDLCleanupNotificationStruct$0$label_5#1;
-
- inline$BDLCleanupNotificationStruct$0$label_5#1:
- goto inline$BDLCleanupNotificationStruct$0$label_6#1;
-
- inline$BDLCleanupNotificationStruct$0$label_6#1:
- goto inline$BDLCleanupNotificationStruct$0$label_7#1;
-
- inline$BDLCleanupNotificationStruct$0$label_7#1:
- goto inline$BDLCleanupNotificationStruct$0$label_8#1;
-
- inline$BDLCleanupNotificationStruct$0$label_8#1:
- goto inline$BDLCleanupNotificationStruct$0$label_9#1;
-
- inline$BDLCleanupNotificationStruct$0$label_9#1:
- goto inline$BDLCleanupNotificationStruct$0$label_10#1;
-
- inline$BDLCleanupNotificationStruct$0$label_10#1:
- inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$0$label_11#1;
-
- inline$BDLCleanupNotificationStruct$0$label_11#1:
- goto inline$BDLCleanupNotificationStruct$0$label_12#1;
-
- inline$BDLCleanupNotificationStruct$0$label_12#1:
- inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$0$label_13#1;
-
- inline$BDLCleanupNotificationStruct$0$label_13#1:
- goto inline$BDLCleanupNotificationStruct$0$label_14#1;
-
- inline$BDLCleanupNotificationStruct$0$label_14#1:
- inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$0$label_15#1;
-
- inline$BDLCleanupNotificationStruct$0$label_15#1:
- goto inline$BDLCleanupNotificationStruct$0$label_16#1;
-
- inline$BDLCleanupNotificationStruct$0$label_16#1:
- inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := 0;
- goto inline$BDLCleanupNotificationStruct$0$label_17#1;
-
- inline$BDLCleanupNotificationStruct$0$label_17#1:
- goto inline$BDLCleanupNotificationStruct$0$label_18#1;
-
- inline$BDLCleanupNotificationStruct$0$label_18#1:
- goto inline$BDLCleanupNotificationStruct$0$label_19#1;
-
- inline$BDLCleanupNotificationStruct$0$label_19#1:
- goto inline$BDLGetDebugLevel$211$Entry#1;
-
- inline$BDLGetDebugLevel$211$Entry#1:
- goto inline$BDLGetDebugLevel$211$start#1;
-
- inline$BDLGetDebugLevel$211$start#1:
- goto inline$BDLGetDebugLevel$211$label_3#1;
-
- inline$BDLGetDebugLevel$211$label_3#1:
- havoc inline$BDLGetDebugLevel$211$myNondetVar_0;
- inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$211$myNondetVar_0;
- goto inline$BDLGetDebugLevel$211$label_1#1;
-
- inline$BDLGetDebugLevel$211$label_1#1:
- goto inline$BDLGetDebugLevel$211$Return#1;
-
- inline$BDLGetDebugLevel$211$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$ := inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_19$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_19$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon46_Then#1, inline$BDLCleanupNotificationStruct$0$anon46_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon1#1;
-
- inline$BDLCleanupNotificationStruct$0$anon1#1:
- goto inline$BDLCleanupNotificationStruct$0$label_22#1;
-
- inline$BDLCleanupNotificationStruct$0$label_22#1:
- goto inline$BDLCleanupNotificationStruct$0$label_22_true#1, inline$BDLCleanupNotificationStruct$0$label_22_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_22_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_23#1;
-
- inline$BDLCleanupNotificationStruct$0$label_22_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_26#1;
-
- inline$BDLCleanupNotificationStruct$0$label_26#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_27#1;
-
- inline$BDLCleanupNotificationStruct$0$label_27#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_28#1;
-
- inline$BDLCleanupNotificationStruct$0$label_28#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_29#1;
-
- inline$BDLCleanupNotificationStruct$0$label_29#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$2$;
- goto inline$BDLCleanupNotificationStruct$0$label_23#1;
-
- inline$BDLCleanupNotificationStruct$0$label_23#1:
- goto inline$BDLGetDebugLevel$212$Entry#1;
-
- inline$BDLGetDebugLevel$212$Entry#1:
- goto inline$BDLGetDebugLevel$212$start#1;
-
- inline$BDLGetDebugLevel$212$start#1:
- goto inline$BDLGetDebugLevel$212$label_3#1;
-
- inline$BDLGetDebugLevel$212$label_3#1:
- havoc inline$BDLGetDebugLevel$212$myNondetVar_0;
- inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$212$myNondetVar_0;
- goto inline$BDLGetDebugLevel$212$label_1#1;
-
- inline$BDLGetDebugLevel$212$label_1#1:
- goto inline$BDLGetDebugLevel$212$Return#1;
-
- inline$BDLGetDebugLevel$212$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$ := inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_23$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_23$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon47_Then#1, inline$BDLCleanupNotificationStruct$0$anon47_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon3#1;
-
- inline$BDLCleanupNotificationStruct$0$anon3#1:
- goto inline$BDLCleanupNotificationStruct$0$label_32#1;
-
- inline$BDLCleanupNotificationStruct$0$label_32#1:
- goto inline$BDLCleanupNotificationStruct$0$label_32_true#1, inline$BDLCleanupNotificationStruct$0$label_32_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_32_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_33#1;
-
- inline$BDLCleanupNotificationStruct$0$label_32_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_36#1;
-
- inline$BDLCleanupNotificationStruct$0$label_36#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_37#1;
-
- inline$BDLCleanupNotificationStruct$0$label_37#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_38#1;
-
- inline$BDLCleanupNotificationStruct$0$label_38#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_39#1;
-
- inline$BDLCleanupNotificationStruct$0$label_39#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$7$;
- goto inline$BDLCleanupNotificationStruct$0$label_33#1;
-
- inline$BDLCleanupNotificationStruct$0$label_33#1:
- goto inline$BDLGetDebugLevel$213$Entry#1;
-
- inline$BDLGetDebugLevel$213$Entry#1:
- goto inline$BDLGetDebugLevel$213$start#1;
-
- inline$BDLGetDebugLevel$213$start#1:
- goto inline$BDLGetDebugLevel$213$label_3#1;
-
- inline$BDLGetDebugLevel$213$label_3#1:
- havoc inline$BDLGetDebugLevel$213$myNondetVar_0;
- inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$213$myNondetVar_0;
- goto inline$BDLGetDebugLevel$213$label_1#1;
-
- inline$BDLGetDebugLevel$213$label_1#1:
- goto inline$BDLGetDebugLevel$213$Return#1;
-
- inline$BDLGetDebugLevel$213$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$ := inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_33$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_33$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon48_Then#1, inline$BDLCleanupNotificationStruct$0$anon48_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon5#1;
-
- inline$BDLCleanupNotificationStruct$0$anon5#1:
- goto inline$BDLCleanupNotificationStruct$0$label_42#1;
-
- inline$BDLCleanupNotificationStruct$0$label_42#1:
- goto inline$BDLCleanupNotificationStruct$0$label_42_true#1, inline$BDLCleanupNotificationStruct$0$label_42_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_42_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_43#1;
-
- inline$BDLCleanupNotificationStruct$0$label_42_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_44#1;
-
- inline$BDLCleanupNotificationStruct$0$label_44#1:
- goto inline$BDLCleanupNotificationStruct$0$label_43#1;
-
- inline$BDLCleanupNotificationStruct$0$label_43#1:
- goto inline$BDLCleanupNotificationStruct$0$label_45#1;
-
- inline$BDLCleanupNotificationStruct$0$label_45#1:
- goto inline$BDLCleanupNotificationStruct$0$label_45_head#1;
-
- inline$BDLCleanupNotificationStruct$0$label_45_head#1:
- goto inline$BDLCleanupNotificationStruct$0$label_45_true#1, inline$BDLCleanupNotificationStruct$0$label_45_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_45_false#1:
- assume 1 == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_46#1;
-
- inline$BDLCleanupNotificationStruct$0$label_45_true#1:
- assume 1 != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_49#1;
-
- inline$BDLCleanupNotificationStruct$0$label_49#1:
- call inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$ := KfRaiseIrql(2);
- goto inline$BDLCleanupNotificationStruct$0$anon50_Then#1, inline$BDLCleanupNotificationStruct$0$anon50_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon9#1;
-
- inline$BDLCleanupNotificationStruct$0$anon9#1:
- goto inline$BDLCleanupNotificationStruct$0$label_52#1;
-
- inline$BDLCleanupNotificationStruct$0$label_52#1:
- inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$;
- goto inline$BDLCleanupNotificationStruct$0$label_53#1;
-
- inline$BDLCleanupNotificationStruct$0$label_53#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$0$Entry#1;
-
- inline$storm_KeAcquireSpinLock$0$Entry#1:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeAcquireSpinLock$0$start#1;
-
- inline$storm_KeAcquireSpinLock$0$start#1:
- inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
- goto inline$storm_KeAcquireSpinLock$0$label_3#1;
-
- inline$storm_KeAcquireSpinLock$0$label_3#1:
- goto inline$storm_KeAcquireSpinLock$0$label_4#1;
-
- inline$storm_KeAcquireSpinLock$0$label_4#1:
- goto inline$storm_getThreadID$0$Entry#1;
-
- inline$storm_getThreadID$0$Entry#1:
- goto inline$storm_getThreadID$0$anon0#1;
-
- inline$storm_getThreadID$0$anon0#1:
- inline$storm_getThreadID$0$tid := __storm_thread_id;
- goto inline$storm_getThreadID$0$Return#1;
-
- inline$storm_getThreadID$0$Return#1:
- inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tid;
- goto inline$storm_KeAcquireSpinLock$0$label_4$1#1;
-
- inline$storm_KeAcquireSpinLock$0$label_4$1#1:
- goto inline$storm_KeAcquireSpinLock$0$label_7#1;
-
- inline$storm_KeAcquireSpinLock$0$label_7#1:
- inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$0$label_8#1;
-
- inline$storm_KeAcquireSpinLock$0$label_8#1:
- goto inline$storm_KeAcquireSpinLock$0$label_9#1;
-
- inline$storm_KeAcquireSpinLock$0$label_9#1:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$0$label_12#1;
-
- inline$storm_KeAcquireSpinLock$0$label_12#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon7_Then#1, inline$storm_KeAcquireSpinLock$0$anon7_Else#1;
-
- inline$storm_KeAcquireSpinLock$0$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon1#1;
-
- inline$storm_KeAcquireSpinLock$0$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_13#1;
-
- inline$storm_KeAcquireSpinLock$0$label_13#1:
- goto inline$storm_KeAcquireSpinLock$0$label_13_true#1, inline$storm_KeAcquireSpinLock$0$label_13_false#1;
-
- inline$storm_KeAcquireSpinLock$0$label_13_false#1:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$0$label_14#1;
-
- inline$storm_KeAcquireSpinLock$0$label_14#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$0$label_1#1;
-
- inline$storm_KeAcquireSpinLock$0$label_13_true#1:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$0$label_17#1;
-
- inline$storm_KeAcquireSpinLock$0$label_17#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon8_Then#1, inline$storm_KeAcquireSpinLock$0$anon8_Else#1;
-
- inline$storm_KeAcquireSpinLock$0$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$0$anon4#1;
-
- inline$storm_KeAcquireSpinLock$0$anon4#1:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
- goto inline$storm_KeAcquireSpinLock$0$label_18#1;
-
- inline$storm_KeAcquireSpinLock$0$label_18#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_19#1;
-
- inline$storm_KeAcquireSpinLock$0$label_19#1:
- goto inline$storm_KeAcquireSpinLock$0$anon9_Then#1, inline$storm_KeAcquireSpinLock$0$anon9_Else#1;
-
- inline$storm_KeAcquireSpinLock$0$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$0$anon6#1;
-
- inline$storm_KeAcquireSpinLock$0$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$0$anon6#1;
-
- inline$storm_KeAcquireSpinLock$0$anon6#1:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$0$label_1#1;
-
- inline$storm_KeAcquireSpinLock$0$label_1#1:
- goto inline$storm_KeAcquireSpinLock$0$Return#1;
-
- inline$storm_KeAcquireSpinLock$0$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#1;
-
- inline$storm_KeAcquireSpinLock$0$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$0$Return#1;
-
- inline$storm_KeAcquireSpinLock$0$Return#1:
- goto inline$BDLCleanupNotificationStruct$0$label_53$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_53$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon51_Then#1, inline$BDLCleanupNotificationStruct$0$anon51_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon11#1;
-
- inline$BDLCleanupNotificationStruct$0$anon11#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_56#1;
-
- inline$BDLCleanupNotificationStruct$0$label_56#1:
- goto inline$IsListEmpty$1$Entry#1;
-
- inline$IsListEmpty$1$Entry#1:
- inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1 := ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$1$start#1;
-
- inline$IsListEmpty$1$start#1:
- inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$1$label_3#1;
-
- inline$IsListEmpty$1$label_3#1:
- havoc inline$IsListEmpty$1$myNondetVar_0;
- inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$1$myNondetVar_0, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$1$label_1#1;
-
- inline$IsListEmpty$1$label_1#1:
- goto inline$IsListEmpty$1$Return#1;
-
- inline$IsListEmpty$1$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ := inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_56$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_56$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon52_Then#1, inline$BDLCleanupNotificationStruct$0$anon52_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon13#1;
-
- inline$BDLCleanupNotificationStruct$0$anon13#1:
- goto inline$BDLCleanupNotificationStruct$0$label_59#1;
-
- inline$BDLCleanupNotificationStruct$0$label_59#1:
- goto inline$BDLCleanupNotificationStruct$0$label_59_true#1, inline$BDLCleanupNotificationStruct$0$label_59_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_59_false#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_60#1;
-
- inline$BDLCleanupNotificationStruct$0$label_60#1:
- goto inline$RemoveHeadList$0$Entry#1;
-
- inline$RemoveHeadList$0$Entry#1:
- goto inline$RemoveHeadList$0$start#1;
-
- inline$RemoveHeadList$0$start#1:
- goto inline$RemoveHeadList$0$label_3#1;
-
- inline$RemoveHeadList$0$label_3#1:
- goto inline$RemoveHeadList$0$label_4#1;
-
- inline$RemoveHeadList$0$label_4#1:
- goto inline$RemoveHeadList$0$label_5#1;
-
- inline$RemoveHeadList$0$label_5#1:
- havoc inline$RemoveHeadList$0$myNondetVar_0;
- inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$0$myNondetVar_0;
- goto inline$RemoveHeadList$0$label_6#1;
-
- inline$RemoveHeadList$0$label_6#1:
- havoc inline$RemoveHeadList$0$myNondetVar_0;
- goto inline$RemoveHeadList$0$label_7#1;
-
- inline$RemoveHeadList$0$label_7#1:
- goto inline$RemoveHeadList$0$label_8#1;
-
- inline$RemoveHeadList$0$label_8#1:
- goto inline$RemoveHeadList$0$label_9#1;
-
- inline$RemoveHeadList$0$label_9#1:
- inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4;
- goto inline$RemoveHeadList$0$label_1#1;
-
- inline$RemoveHeadList$0$label_1#1:
- goto inline$RemoveHeadList$0$Return#1;
-
- inline$RemoveHeadList$0$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$ := inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_60$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_60$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon53_Then#1, inline$BDLCleanupNotificationStruct$0$anon53_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon15#1;
-
- inline$BDLCleanupNotificationStruct$0$anon15#1:
- goto inline$BDLCleanupNotificationStruct$0$label_63#1;
-
- inline$BDLCleanupNotificationStruct$0$label_63#1:
- inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$;
- goto inline$BDLCleanupNotificationStruct$0$label_64#1;
-
- inline$BDLCleanupNotificationStruct$0$label_64#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$0$Entry#1;
-
- inline$storm_KeReleaseSpinLock$0$Entry#1:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeReleaseSpinLock$0$start#1;
-
- inline$storm_KeReleaseSpinLock$0$start#1:
- inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$0$label_3#1;
-
- inline$storm_KeReleaseSpinLock$0$label_3#1:
- goto inline$storm_KeReleaseSpinLock$0$label_4#1;
-
- inline$storm_KeReleaseSpinLock$0$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$0$label_7#1;
-
- inline$storm_KeReleaseSpinLock$0$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon5_Then#1, inline$storm_KeReleaseSpinLock$0$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$0$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$0$anon1#1;
-
- inline$storm_KeReleaseSpinLock$0$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_8#1;
-
- inline$storm_KeReleaseSpinLock$0$label_8#1:
- goto inline$storm_getThreadID$1$Entry#1;
-
- inline$storm_getThreadID$1$Entry#1:
- goto inline$storm_getThreadID$1$anon0#1;
-
- inline$storm_getThreadID$1$anon0#1:
- inline$storm_getThreadID$1$tid := __storm_thread_id;
- goto inline$storm_getThreadID$1$Return#1;
-
- inline$storm_getThreadID$1$Return#1:
- inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tid;
- goto inline$storm_KeReleaseSpinLock$0$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$0$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$0$label_11#1;
-
- inline$storm_KeReleaseSpinLock$0$label_11#1:
- goto inline$storm_KeReleaseSpinLock$0$label_11_true#1, inline$storm_KeReleaseSpinLock$0$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$0$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_12#1;
-
- inline$storm_KeReleaseSpinLock$0$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$0$label_1#1;
-
- inline$storm_KeReleaseSpinLock$0$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$0$label_15#1;
-
- inline$storm_KeReleaseSpinLock$0$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_16#1;
-
- inline$storm_KeReleaseSpinLock$0$label_16#1:
- goto inline$storm_KeReleaseSpinLock$0$anon6_Then#1, inline$storm_KeReleaseSpinLock$0$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$0$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$0$anon4#1;
-
- inline$storm_KeReleaseSpinLock$0$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$0$anon4#1;
-
- inline$storm_KeReleaseSpinLock$0$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$0$label_1#1;
-
- inline$storm_KeReleaseSpinLock$0$label_1#1:
- goto inline$storm_KeReleaseSpinLock$0$Return#1;
-
- inline$storm_KeReleaseSpinLock$0$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$0$Return#1;
-
- inline$storm_KeReleaseSpinLock$0$Return#1:
- goto inline$BDLCleanupNotificationStruct$0$label_64$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_64$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon54_Then#1, inline$BDLCleanupNotificationStruct$0$anon54_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon54_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon17#1;
-
- inline$BDLCleanupNotificationStruct$0$anon17#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_67#1;
-
- inline$BDLCleanupNotificationStruct$0$label_67#1:
- call KfLowerIrql(inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$0$anon55_Then#1, inline$BDLCleanupNotificationStruct$0$anon55_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon55_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon19#1;
-
- inline$BDLCleanupNotificationStruct$0$anon19#1:
- goto inline$BDLCleanupNotificationStruct$0$label_70#1;
-
- inline$BDLCleanupNotificationStruct$0$label_70#1:
- inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4, 1, 12);
- goto inline$BDLCleanupNotificationStruct$0$label_71#1;
-
- inline$BDLCleanupNotificationStruct$0$label_71#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_72#1;
-
- inline$BDLCleanupNotificationStruct$0$label_72#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_73#1;
-
- inline$BDLCleanupNotificationStruct$0$label_73#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_74#1;
-
- inline$BDLCleanupNotificationStruct$0$label_74#1:
- call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4, 541869122);
- goto inline$BDLCleanupNotificationStruct$0$anon56_Then#1, inline$BDLCleanupNotificationStruct$0$anon56_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon56_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon21#1;
-
- inline$BDLCleanupNotificationStruct$0$anon21#1:
- goto inline$BDLCleanupNotificationStruct$0$label_77#1;
-
- inline$BDLCleanupNotificationStruct$0$label_77#1:
- goto inline$BDLCleanupNotificationStruct$0$label_80#1;
-
- inline$BDLCleanupNotificationStruct$0$label_80#1:
- inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$callresult.$3172.84$15$;
- goto inline$BDLCleanupNotificationStruct$0$label_81#1;
-
- inline$BDLCleanupNotificationStruct$0$label_81#1:
- goto inline$BDLCleanupNotificationStruct$0$label_81_true#1, inline$BDLCleanupNotificationStruct$0$label_81_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_81_false#1:
- assume inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$0$label_81_true#1:
- assume inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_82#1;
-
- inline$BDLCleanupNotificationStruct$0$label_82#1:
- goto inline$BDLGetDebugLevel$214$Entry#1;
-
- inline$BDLGetDebugLevel$214$Entry#1:
- goto inline$BDLGetDebugLevel$214$start#1;
-
- inline$BDLGetDebugLevel$214$start#1:
- goto inline$BDLGetDebugLevel$214$label_3#1;
-
- inline$BDLGetDebugLevel$214$label_3#1:
- havoc inline$BDLGetDebugLevel$214$myNondetVar_0;
- inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$214$myNondetVar_0;
- goto inline$BDLGetDebugLevel$214$label_1#1;
-
- inline$BDLGetDebugLevel$214$label_1#1:
- goto inline$BDLGetDebugLevel$214$Return#1;
-
- inline$BDLGetDebugLevel$214$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$ := inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_82$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_82$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon57_Then#1, inline$BDLCleanupNotificationStruct$0$anon57_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon57_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon23#1;
-
- inline$BDLCleanupNotificationStruct$0$anon23#1:
- goto inline$BDLCleanupNotificationStruct$0$label_85#1;
-
- inline$BDLCleanupNotificationStruct$0$label_85#1:
- goto inline$BDLCleanupNotificationStruct$0$label_85_true#1, inline$BDLCleanupNotificationStruct$0$label_85_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_85_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_86#1;
-
- inline$BDLCleanupNotificationStruct$0$label_85_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_89#1;
-
- inline$BDLCleanupNotificationStruct$0$label_89#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_90#1;
-
- inline$BDLCleanupNotificationStruct$0$label_90#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_91#1;
-
- inline$BDLCleanupNotificationStruct$0$label_91#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_92#1;
-
- inline$BDLCleanupNotificationStruct$0$label_92#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$17$;
- goto inline$BDLCleanupNotificationStruct$0$label_86#1;
-
- inline$BDLCleanupNotificationStruct$0$label_86#1:
- goto inline$BDLGetDebugLevel$215$Entry#1;
-
- inline$BDLGetDebugLevel$215$Entry#1:
- goto inline$BDLGetDebugLevel$215$start#1;
-
- inline$BDLGetDebugLevel$215$start#1:
- goto inline$BDLGetDebugLevel$215$label_3#1;
-
- inline$BDLGetDebugLevel$215$label_3#1:
- havoc inline$BDLGetDebugLevel$215$myNondetVar_0;
- inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$215$myNondetVar_0;
- goto inline$BDLGetDebugLevel$215$label_1#1;
-
- inline$BDLGetDebugLevel$215$label_1#1:
- goto inline$BDLGetDebugLevel$215$Return#1;
-
- inline$BDLGetDebugLevel$215$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$ := inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_86$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_86$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon58_Then#1, inline$BDLCleanupNotificationStruct$0$anon58_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon58_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon25#1;
-
- inline$BDLCleanupNotificationStruct$0$anon25#1:
- goto inline$BDLCleanupNotificationStruct$0$label_95#1;
-
- inline$BDLCleanupNotificationStruct$0$label_95#1:
- goto inline$BDLCleanupNotificationStruct$0$label_95_true#1, inline$BDLCleanupNotificationStruct$0$label_95_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_95_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_96#1;
-
- inline$BDLCleanupNotificationStruct$0$label_95_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_99#1;
-
- inline$BDLCleanupNotificationStruct$0$label_99#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_100#1;
-
- inline$BDLCleanupNotificationStruct$0$label_100#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_101#1;
-
- inline$BDLCleanupNotificationStruct$0$label_101#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_102#1;
-
- inline$BDLCleanupNotificationStruct$0$label_102#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$22$;
- goto inline$BDLCleanupNotificationStruct$0$label_96#1;
-
- inline$BDLCleanupNotificationStruct$0$label_96#1:
- goto inline$BDLGetDebugLevel$216$Entry#1;
-
- inline$BDLGetDebugLevel$216$Entry#1:
- goto inline$BDLGetDebugLevel$216$start#1;
-
- inline$BDLGetDebugLevel$216$start#1:
- goto inline$BDLGetDebugLevel$216$label_3#1;
-
- inline$BDLGetDebugLevel$216$label_3#1:
- havoc inline$BDLGetDebugLevel$216$myNondetVar_0;
- inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$216$myNondetVar_0;
- goto inline$BDLGetDebugLevel$216$label_1#1;
-
- inline$BDLGetDebugLevel$216$label_1#1:
- goto inline$BDLGetDebugLevel$216$Return#1;
-
- inline$BDLGetDebugLevel$216$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$ := inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_96$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_96$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon59_Then#1, inline$BDLCleanupNotificationStruct$0$anon59_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon59_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon27#1;
-
- inline$BDLCleanupNotificationStruct$0$anon27#1:
- goto inline$BDLCleanupNotificationStruct$0$label_105#1;
-
- inline$BDLCleanupNotificationStruct$0$label_105#1:
- goto inline$BDLCleanupNotificationStruct$0$label_105_true#1, inline$BDLCleanupNotificationStruct$0$label_105_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_105_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$0$label_105_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_106#1;
-
- inline$BDLCleanupNotificationStruct$0$label_106#1:
- goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
-
- inline$BDLCleanupNotificationStruct$0$label_45_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$0$anon59_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon58_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon57_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon56_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon55_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon54_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon53_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$label_59_true#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_46#1;
-
- inline$BDLCleanupNotificationStruct$0$label_46#1:
- goto inline$BDLCleanupNotificationStruct$0$label_46_head#1;
-
- inline$BDLCleanupNotificationStruct$0$label_46_head#1:
- goto inline$IsListEmpty$0$Entry#1;
-
- inline$IsListEmpty$0$Entry#1:
- inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1 := ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$0$start#1;
-
- inline$IsListEmpty$0$start#1:
- inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$0$label_3#1;
-
- inline$IsListEmpty$0$label_3#1:
- havoc inline$IsListEmpty$0$myNondetVar_0;
- inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$0$myNondetVar_0, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$0$label_1#1;
-
- inline$IsListEmpty$0$label_1#1:
- goto inline$IsListEmpty$0$Return#1;
-
- inline$IsListEmpty$0$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ := inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_46_head$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_46_head$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon49_Then#1, inline$BDLCleanupNotificationStruct$0$anon49_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon7#1;
-
- inline$BDLCleanupNotificationStruct$0$anon7#1:
- goto inline$BDLCleanupNotificationStruct$0$label_107#1;
-
- inline$BDLCleanupNotificationStruct$0$label_107#1:
- goto inline$BDLCleanupNotificationStruct$0$label_107_true#1, inline$BDLCleanupNotificationStruct$0$label_107_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_107_false#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_108#1;
-
- inline$BDLCleanupNotificationStruct$0$label_108#1:
- goto inline$RemoveHeadList$1$Entry#1;
-
- inline$RemoveHeadList$1$Entry#1:
- goto inline$RemoveHeadList$1$start#1;
-
- inline$RemoveHeadList$1$start#1:
- goto inline$RemoveHeadList$1$label_3#1;
-
- inline$RemoveHeadList$1$label_3#1:
- goto inline$RemoveHeadList$1$label_4#1;
-
- inline$RemoveHeadList$1$label_4#1:
- goto inline$RemoveHeadList$1$label_5#1;
-
- inline$RemoveHeadList$1$label_5#1:
- havoc inline$RemoveHeadList$1$myNondetVar_0;
- goto inline$RemoveHeadList$1$label_6#1;
-
- inline$RemoveHeadList$1$label_6#1:
- havoc inline$RemoveHeadList$1$myNondetVar_0;
- goto inline$RemoveHeadList$1$label_7#1;
-
- inline$RemoveHeadList$1$label_7#1:
- goto inline$RemoveHeadList$1$label_8#1;
-
- inline$RemoveHeadList$1$label_8#1:
- goto inline$RemoveHeadList$1$label_9#1;
-
- inline$RemoveHeadList$1$label_9#1:
- goto inline$RemoveHeadList$1$label_1#1;
-
- inline$RemoveHeadList$1$label_1#1:
- goto inline$RemoveHeadList$1$Return#1;
-
- inline$RemoveHeadList$1$Return#1:
- goto inline$BDLCleanupNotificationStruct$0$label_108$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_108$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon60_Then#1, inline$BDLCleanupNotificationStruct$0$anon60_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon60_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon29#1;
-
- inline$BDLCleanupNotificationStruct$0$anon29#1:
- goto inline$BDLCleanupNotificationStruct$0$label_154#1;
-
- inline$BDLCleanupNotificationStruct$0$label_154#1:
- goto inline$BDLCleanupNotificationStruct$0$label_155#1;
-
- inline$BDLCleanupNotificationStruct$0$label_155#1:
- goto inline$BDLCleanupNotificationStruct$0$label_156#1;
-
- inline$BDLCleanupNotificationStruct$0$label_156#1:
- goto inline$BDLCleanupNotificationStruct$0$label_46_head#0;
-
- inline$BDLCleanupNotificationStruct$0$label_46_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$0$anon60_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$label_107_true#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_111#1;
-
- inline$BDLCleanupNotificationStruct$0$label_111#1:
- goto inline$BDLCleanupNotificationStruct$0$label_111_head#1;
-
- inline$BDLCleanupNotificationStruct$0$label_111_head#1:
- goto inline$IsListEmpty$2$Entry#1;
-
- inline$IsListEmpty$2$Entry#1:
- inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1 := IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$IsListEmpty$2$start#1;
-
- inline$IsListEmpty$2$start#1:
- inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1;
- goto inline$IsListEmpty$2$label_3#1;
-
- inline$IsListEmpty$2$label_3#1:
- havoc inline$IsListEmpty$2$myNondetVar_0;
- inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$2$myNondetVar_0, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4));
- goto inline$IsListEmpty$2$label_1#1;
-
- inline$IsListEmpty$2$label_1#1:
- goto inline$IsListEmpty$2$Return#1;
-
- inline$IsListEmpty$2$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ := inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_111_head$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_111_head$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon61_Then#1, inline$BDLCleanupNotificationStruct$0$anon61_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon61_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon31#1;
-
- inline$BDLCleanupNotificationStruct$0$anon31#1:
- goto inline$BDLCleanupNotificationStruct$0$label_114#1;
-
- inline$BDLCleanupNotificationStruct$0$label_114#1:
- goto inline$BDLCleanupNotificationStruct$0$label_114_true#1, inline$BDLCleanupNotificationStruct$0$label_114_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_114_false#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_115#1;
-
- inline$BDLCleanupNotificationStruct$0$label_115#1:
- goto inline$RemoveHeadList$2$Entry#1;
-
- inline$RemoveHeadList$2$Entry#1:
- goto inline$RemoveHeadList$2$start#1;
-
- inline$RemoveHeadList$2$start#1:
- goto inline$RemoveHeadList$2$label_3#1;
-
- inline$RemoveHeadList$2$label_3#1:
- goto inline$RemoveHeadList$2$label_4#1;
-
- inline$RemoveHeadList$2$label_4#1:
- goto inline$RemoveHeadList$2$label_5#1;
-
- inline$RemoveHeadList$2$label_5#1:
- havoc inline$RemoveHeadList$2$myNondetVar_0;
- inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$2$myNondetVar_0;
- goto inline$RemoveHeadList$2$label_6#1;
-
- inline$RemoveHeadList$2$label_6#1:
- havoc inline$RemoveHeadList$2$myNondetVar_0;
- goto inline$RemoveHeadList$2$label_7#1;
-
- inline$RemoveHeadList$2$label_7#1:
- goto inline$RemoveHeadList$2$label_8#1;
-
- inline$RemoveHeadList$2$label_8#1:
- goto inline$RemoveHeadList$2$label_9#1;
-
- inline$RemoveHeadList$2$label_9#1:
- inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4;
- goto inline$RemoveHeadList$2$label_1#1;
-
- inline$RemoveHeadList$2$label_1#1:
- goto inline$RemoveHeadList$2$Return#1;
-
- inline$RemoveHeadList$2$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$ := inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_115$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_115$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon62_Then#1, inline$BDLCleanupNotificationStruct$0$anon62_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon62_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon33#1;
-
- inline$BDLCleanupNotificationStruct$0$anon33#1:
- goto inline$BDLCleanupNotificationStruct$0$label_149#1;
-
- inline$BDLCleanupNotificationStruct$0$label_149#1:
- inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$;
- goto inline$BDLCleanupNotificationStruct$0$label_150#1;
-
- inline$BDLCleanupNotificationStruct$0$label_150#1:
- inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4, 1, 16);
- goto inline$BDLCleanupNotificationStruct$0$label_151#1;
-
- inline$BDLCleanupNotificationStruct$0$label_151#1:
- call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4, 541869122);
- goto inline$BDLCleanupNotificationStruct$0$anon68_Then#1, inline$BDLCleanupNotificationStruct$0$anon68_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon68_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon45#1;
-
- inline$BDLCleanupNotificationStruct$0$anon45#1:
- goto inline$BDLCleanupNotificationStruct$0$label_111_head#0;
-
- inline$BDLCleanupNotificationStruct$0$label_111_head#0:
- assume false;
- return;
-
- inline$BDLCleanupNotificationStruct$0$anon68_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon62_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$label_114_true#1:
- assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_118#1;
-
- inline$BDLCleanupNotificationStruct$0$label_118#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$storm_KeReleaseSpinLock$1$Entry#1;
-
- inline$storm_KeReleaseSpinLock$1$Entry#1:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
- goto inline$storm_KeReleaseSpinLock$1$start#1;
-
- inline$storm_KeReleaseSpinLock$1$start#1:
- inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$1$label_3#1;
-
- inline$storm_KeReleaseSpinLock$1$label_3#1:
- goto inline$storm_KeReleaseSpinLock$1$label_4#1;
-
- inline$storm_KeReleaseSpinLock$1$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$1$label_7#1;
-
- inline$storm_KeReleaseSpinLock$1$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon5_Then#1, inline$storm_KeReleaseSpinLock$1$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$1$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$1$anon1#1;
-
- inline$storm_KeReleaseSpinLock$1$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_8#1;
-
- inline$storm_KeReleaseSpinLock$1$label_8#1:
- goto inline$storm_getThreadID$2$Entry#1;
-
- inline$storm_getThreadID$2$Entry#1:
- goto inline$storm_getThreadID$2$anon0#1;
-
- inline$storm_getThreadID$2$anon0#1:
- inline$storm_getThreadID$2$tid := __storm_thread_id;
- goto inline$storm_getThreadID$2$Return#1;
-
- inline$storm_getThreadID$2$Return#1:
- inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$2$tid;
- goto inline$storm_KeReleaseSpinLock$1$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$1$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$1$label_11#1;
-
- inline$storm_KeReleaseSpinLock$1$label_11#1:
- goto inline$storm_KeReleaseSpinLock$1$label_11_true#1, inline$storm_KeReleaseSpinLock$1$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$1$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_12#1;
-
- inline$storm_KeReleaseSpinLock$1$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$1$label_1#1;
-
- inline$storm_KeReleaseSpinLock$1$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$1$label_15#1;
-
- inline$storm_KeReleaseSpinLock$1$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_16#1;
-
- inline$storm_KeReleaseSpinLock$1$label_16#1:
- goto inline$storm_KeReleaseSpinLock$1$anon6_Then#1, inline$storm_KeReleaseSpinLock$1$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$1$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$1$anon4#1;
-
- inline$storm_KeReleaseSpinLock$1$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$1$anon4#1;
-
- inline$storm_KeReleaseSpinLock$1$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$1$label_1#1;
-
- inline$storm_KeReleaseSpinLock$1$label_1#1:
- goto inline$storm_KeReleaseSpinLock$1$Return#1;
-
- inline$storm_KeReleaseSpinLock$1$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$1$Return#1;
-
- inline$storm_KeReleaseSpinLock$1$Return#1:
- goto inline$BDLCleanupNotificationStruct$0$label_118$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_118$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon63_Then#1, inline$BDLCleanupNotificationStruct$0$anon63_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon63_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon35#1;
-
- inline$BDLCleanupNotificationStruct$0$anon35#1:
- havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
- goto inline$BDLCleanupNotificationStruct$0$label_121#1;
-
- inline$BDLCleanupNotificationStruct$0$label_121#1:
- call KfLowerIrql(inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$0$anon64_Then#1, inline$BDLCleanupNotificationStruct$0$anon64_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon64_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon37#1;
-
- inline$BDLCleanupNotificationStruct$0$anon37#1:
- goto inline$BDLCleanupNotificationStruct$0$label_124#1;
-
- inline$BDLCleanupNotificationStruct$0$label_124#1:
- goto inline$BDLGetDebugLevel$217$Entry#1;
-
- inline$BDLGetDebugLevel$217$Entry#1:
- goto inline$BDLGetDebugLevel$217$start#1;
-
- inline$BDLGetDebugLevel$217$start#1:
- goto inline$BDLGetDebugLevel$217$label_3#1;
-
- inline$BDLGetDebugLevel$217$label_3#1:
- havoc inline$BDLGetDebugLevel$217$myNondetVar_0;
- inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$217$myNondetVar_0;
- goto inline$BDLGetDebugLevel$217$label_1#1;
-
- inline$BDLGetDebugLevel$217$label_1#1:
- goto inline$BDLGetDebugLevel$217$Return#1;
-
- inline$BDLGetDebugLevel$217$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$ := inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_124$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_124$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon65_Then#1, inline$BDLCleanupNotificationStruct$0$anon65_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon65_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon39#1;
-
- inline$BDLCleanupNotificationStruct$0$anon39#1:
- goto inline$BDLCleanupNotificationStruct$0$label_127#1;
-
- inline$BDLCleanupNotificationStruct$0$label_127#1:
- goto inline$BDLCleanupNotificationStruct$0$label_127_true#1, inline$BDLCleanupNotificationStruct$0$label_127_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_127_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_128#1;
-
- inline$BDLCleanupNotificationStruct$0$label_127_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_131#1;
-
- inline$BDLCleanupNotificationStruct$0$label_131#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_132#1;
-
- inline$BDLCleanupNotificationStruct$0$label_132#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_133#1;
-
- inline$BDLCleanupNotificationStruct$0$label_133#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_134#1;
-
- inline$BDLCleanupNotificationStruct$0$label_134#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$32$;
- goto inline$BDLCleanupNotificationStruct$0$label_128#1;
-
- inline$BDLCleanupNotificationStruct$0$label_128#1:
- goto inline$BDLGetDebugLevel$218$Entry#1;
-
- inline$BDLGetDebugLevel$218$Entry#1:
- goto inline$BDLGetDebugLevel$218$start#1;
-
- inline$BDLGetDebugLevel$218$start#1:
- goto inline$BDLGetDebugLevel$218$label_3#1;
-
- inline$BDLGetDebugLevel$218$label_3#1:
- havoc inline$BDLGetDebugLevel$218$myNondetVar_0;
- inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$218$myNondetVar_0;
- goto inline$BDLGetDebugLevel$218$label_1#1;
-
- inline$BDLGetDebugLevel$218$label_1#1:
- goto inline$BDLGetDebugLevel$218$Return#1;
-
- inline$BDLGetDebugLevel$218$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$ := inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_128$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_128$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon66_Then#1, inline$BDLCleanupNotificationStruct$0$anon66_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon66_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon41#1;
-
- inline$BDLCleanupNotificationStruct$0$anon41#1:
- goto inline$BDLCleanupNotificationStruct$0$label_137#1;
-
- inline$BDLCleanupNotificationStruct$0$label_137#1:
- goto inline$BDLCleanupNotificationStruct$0$label_137_true#1, inline$BDLCleanupNotificationStruct$0$label_137_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_137_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_138#1;
-
- inline$BDLCleanupNotificationStruct$0$label_137_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_141#1;
-
- inline$BDLCleanupNotificationStruct$0$label_141#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_142#1;
-
- inline$BDLCleanupNotificationStruct$0$label_142#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_143#1;
-
- inline$BDLCleanupNotificationStruct$0$label_143#1:
- call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupNotificationStruct$0$label_144#1;
-
- inline$BDLCleanupNotificationStruct$0$label_144#1:
- havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$37$;
- goto inline$BDLCleanupNotificationStruct$0$label_138#1;
-
- inline$BDLCleanupNotificationStruct$0$label_138#1:
- goto inline$BDLGetDebugLevel$219$Entry#1;
-
- inline$BDLGetDebugLevel$219$Entry#1:
- goto inline$BDLGetDebugLevel$219$start#1;
-
- inline$BDLGetDebugLevel$219$start#1:
- goto inline$BDLGetDebugLevel$219$label_3#1;
-
- inline$BDLGetDebugLevel$219$label_3#1:
- havoc inline$BDLGetDebugLevel$219$myNondetVar_0;
- inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$219$myNondetVar_0;
- goto inline$BDLGetDebugLevel$219$label_1#1;
-
- inline$BDLGetDebugLevel$219$label_1#1:
- goto inline$BDLGetDebugLevel$219$Return#1;
-
- inline$BDLGetDebugLevel$219$Return#1:
- inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$ := inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupNotificationStruct$0$label_138$1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_138$1#1:
- goto inline$BDLCleanupNotificationStruct$0$anon67_Then#1, inline$BDLCleanupNotificationStruct$0$anon67_Else#1;
-
- inline$BDLCleanupNotificationStruct$0$anon67_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupNotificationStruct$0$anon43#1;
-
- inline$BDLCleanupNotificationStruct$0$anon43#1:
- goto inline$BDLCleanupNotificationStruct$0$label_147#1;
-
- inline$BDLCleanupNotificationStruct$0$label_147#1:
- goto inline$BDLCleanupNotificationStruct$0$label_147_true#1, inline$BDLCleanupNotificationStruct$0$label_147_false#1;
-
- inline$BDLCleanupNotificationStruct$0$label_147_false#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$, 4) == 0;
- goto inline$BDLCleanupNotificationStruct$0$label_1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_147_true#1:
- assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$, 4) != 0;
- goto inline$BDLCleanupNotificationStruct$0$label_148#1;
-
- inline$BDLCleanupNotificationStruct$0$label_148#1:
- goto inline$BDLCleanupNotificationStruct$0$label_1#1;
-
- inline$BDLCleanupNotificationStruct$0$label_1#1:
- call __HAVOC_free(inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4);
- call __HAVOC_free(inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4);
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon67_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon66_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon65_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon64_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon63_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon61_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon49_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon52_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon51_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon50_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon48_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon47_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$anon46_Then#1:
- assume raiseException;
- goto inline$BDLCleanupNotificationStruct$0$Return#1;
-
- inline$BDLCleanupNotificationStruct$0$Return#1:
- goto inline$BDLHandleRemove$0$label_38$1#1;
-
- inline$BDLHandleRemove$0$label_38$1#1:
- goto inline$BDLHandleRemove$0$anon47_Then#1, inline$BDLHandleRemove$0$anon47_Else#1;
-
- inline$BDLHandleRemove$0$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon11#1;
-
- inline$BDLHandleRemove$0$anon11#1:
- goto inline$BDLHandleRemove$0$label_41#1;
-
- inline$BDLHandleRemove$0$label_41#1:
- goto inline$BDLCleanupDataHandles$0$Entry#1;
-
- inline$BDLCleanupDataHandles$0$Entry#1:
- inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
- goto inline$BDLCleanupDataHandles$0$start#1;
-
- inline$BDLCleanupDataHandles$0$start#1:
- call inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(4);
- call inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(8);
- call inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(1);
- inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1;
- goto inline$BDLCleanupDataHandles$0$label_3#1;
-
- inline$BDLCleanupDataHandles$0$label_3#1:
- goto inline$BDLCleanupDataHandles$0$label_4#1;
-
- inline$BDLCleanupDataHandles$0$label_4#1:
- goto inline$BDLCleanupDataHandles$0$label_5#1;
-
- inline$BDLCleanupDataHandles$0$label_5#1:
- inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := 0;
- goto inline$BDLCleanupDataHandles$0$label_6#1;
-
- inline$BDLCleanupDataHandles$0$label_6#1:
- goto inline$BDLCleanupDataHandles$0$label_7#1;
-
- inline$BDLCleanupDataHandles$0$label_7#1:
- goto inline$BDLCleanupDataHandles$0$label_8#1;
-
- inline$BDLCleanupDataHandles$0$label_8#1:
- goto inline$BDLCleanupDataHandles$0$label_9#1;
-
- inline$BDLCleanupDataHandles$0$label_9#1:
- goto inline$BDLGetDebugLevel$220$Entry#1;
-
- inline$BDLGetDebugLevel$220$Entry#1:
- goto inline$BDLGetDebugLevel$220$start#1;
-
- inline$BDLGetDebugLevel$220$start#1:
- goto inline$BDLGetDebugLevel$220$label_3#1;
-
- inline$BDLGetDebugLevel$220$label_3#1:
- havoc inline$BDLGetDebugLevel$220$myNondetVar_0;
- inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$220$myNondetVar_0;
- goto inline$BDLGetDebugLevel$220$label_1#1;
-
- inline$BDLGetDebugLevel$220$label_1#1:
- goto inline$BDLGetDebugLevel$220$Return#1;
-
- inline$BDLGetDebugLevel$220$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$ := inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_9$1#1;
-
- inline$BDLCleanupDataHandles$0$label_9$1#1:
- goto inline$BDLCleanupDataHandles$0$anon30_Then#1, inline$BDLCleanupDataHandles$0$anon30_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon1#1;
-
- inline$BDLCleanupDataHandles$0$anon1#1:
- goto inline$BDLCleanupDataHandles$0$label_12#1;
-
- inline$BDLCleanupDataHandles$0$label_12#1:
- goto inline$BDLCleanupDataHandles$0$label_12_true#1, inline$BDLCleanupDataHandles$0$label_12_false#1;
-
- inline$BDLCleanupDataHandles$0$label_12_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_13#1;
-
- inline$BDLCleanupDataHandles$0$label_12_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_16#1;
-
- inline$BDLCleanupDataHandles$0$label_16#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_17#1;
-
- inline$BDLCleanupDataHandles$0$label_17#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_18#1;
-
- inline$BDLCleanupDataHandles$0$label_18#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_19#1;
-
- inline$BDLCleanupDataHandles$0$label_19#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$2$;
- goto inline$BDLCleanupDataHandles$0$label_13#1;
-
- inline$BDLCleanupDataHandles$0$label_13#1:
- goto inline$BDLGetDebugLevel$221$Entry#1;
-
- inline$BDLGetDebugLevel$221$Entry#1:
- goto inline$BDLGetDebugLevel$221$start#1;
-
- inline$BDLGetDebugLevel$221$start#1:
- goto inline$BDLGetDebugLevel$221$label_3#1;
-
- inline$BDLGetDebugLevel$221$label_3#1:
- havoc inline$BDLGetDebugLevel$221$myNondetVar_0;
- inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$221$myNondetVar_0;
- goto inline$BDLGetDebugLevel$221$label_1#1;
-
- inline$BDLGetDebugLevel$221$label_1#1:
- goto inline$BDLGetDebugLevel$221$Return#1;
-
- inline$BDLGetDebugLevel$221$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$ := inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_13$1#1;
-
- inline$BDLCleanupDataHandles$0$label_13$1#1:
- goto inline$BDLCleanupDataHandles$0$anon31_Then#1, inline$BDLCleanupDataHandles$0$anon31_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon3#1;
-
- inline$BDLCleanupDataHandles$0$anon3#1:
- goto inline$BDLCleanupDataHandles$0$label_22#1;
-
- inline$BDLCleanupDataHandles$0$label_22#1:
- goto inline$BDLCleanupDataHandles$0$label_22_true#1, inline$BDLCleanupDataHandles$0$label_22_false#1;
-
- inline$BDLCleanupDataHandles$0$label_22_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_23#1;
-
- inline$BDLCleanupDataHandles$0$label_22_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_26#1;
-
- inline$BDLCleanupDataHandles$0$label_26#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_27#1;
-
- inline$BDLCleanupDataHandles$0$label_27#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_28#1;
-
- inline$BDLCleanupDataHandles$0$label_28#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_29#1;
-
- inline$BDLCleanupDataHandles$0$label_29#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$7$;
- goto inline$BDLCleanupDataHandles$0$label_23#1;
-
- inline$BDLCleanupDataHandles$0$label_23#1:
- goto inline$BDLGetDebugLevel$222$Entry#1;
-
- inline$BDLGetDebugLevel$222$Entry#1:
- goto inline$BDLGetDebugLevel$222$start#1;
-
- inline$BDLGetDebugLevel$222$start#1:
- goto inline$BDLGetDebugLevel$222$label_3#1;
-
- inline$BDLGetDebugLevel$222$label_3#1:
- havoc inline$BDLGetDebugLevel$222$myNondetVar_0;
- inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$222$myNondetVar_0;
- goto inline$BDLGetDebugLevel$222$label_1#1;
-
- inline$BDLGetDebugLevel$222$label_1#1:
- goto inline$BDLGetDebugLevel$222$Return#1;
-
- inline$BDLGetDebugLevel$222$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$ := inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_23$1#1;
-
- inline$BDLCleanupDataHandles$0$label_23$1#1:
- goto inline$BDLCleanupDataHandles$0$anon32_Then#1, inline$BDLCleanupDataHandles$0$anon32_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon5#1;
-
- inline$BDLCleanupDataHandles$0$anon5#1:
- goto inline$BDLCleanupDataHandles$0$label_32#1;
-
- inline$BDLCleanupDataHandles$0$label_32#1:
- goto inline$BDLCleanupDataHandles$0$label_32_true#1, inline$BDLCleanupDataHandles$0$label_32_false#1;
-
- inline$BDLCleanupDataHandles$0$label_32_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$, 4) == 0;
- goto inline$BDLCleanupDataHandles$0$label_33#1;
-
- inline$BDLCleanupDataHandles$0$label_32_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$, 4) != 0;
- goto inline$BDLCleanupDataHandles$0$label_34#1;
-
- inline$BDLCleanupDataHandles$0$label_34#1:
- goto inline$BDLCleanupDataHandles$0$label_33#1;
-
- inline$BDLCleanupDataHandles$0$label_33#1:
- goto inline$BDLCleanupDataHandles$0$label_35#1;
-
- inline$BDLCleanupDataHandles$0$label_35#1:
- goto inline$BDLLockHandleList$0$Entry#1;
-
- inline$BDLLockHandleList$0$Entry#1:
- inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
- goto inline$BDLLockHandleList$0$start#1;
-
- inline$BDLLockHandleList$0$start#1:
- inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8 := inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1;
- goto inline$BDLLockHandleList$0$label_3#1;
-
- inline$BDLLockHandleList$0$label_3#1:
- havoc inline$BDLLockHandleList$0$myNondetVar_0;
- havoc inline$BDLLockHandleList$0$myNondetVar_1;
- assume inline$BDLLockHandleList$0$myNondetVar_0 == inline$BDLLockHandleList$0$myNondetVar_1;
- goto inline$storm_KeAcquireSpinLock$1$Entry#1;
-
- inline$storm_KeAcquireSpinLock$1$Entry#1:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8);
- goto inline$storm_KeAcquireSpinLock$1$start#1;
-
- inline$storm_KeAcquireSpinLock$1$start#1:
- inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
- goto inline$storm_KeAcquireSpinLock$1$label_3#1;
-
- inline$storm_KeAcquireSpinLock$1$label_3#1:
- goto inline$storm_KeAcquireSpinLock$1$label_4#1;
-
- inline$storm_KeAcquireSpinLock$1$label_4#1:
- goto inline$storm_getThreadID$3$Entry#1;
-
- inline$storm_getThreadID$3$Entry#1:
- goto inline$storm_getThreadID$3$anon0#1;
-
- inline$storm_getThreadID$3$anon0#1:
- inline$storm_getThreadID$3$tid := __storm_thread_id;
- goto inline$storm_getThreadID$3$Return#1;
-
- inline$storm_getThreadID$3$Return#1:
- inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tid;
- goto inline$storm_KeAcquireSpinLock$1$label_4$1#1;
-
- inline$storm_KeAcquireSpinLock$1$label_4$1#1:
- goto inline$storm_KeAcquireSpinLock$1$label_7#1;
-
- inline$storm_KeAcquireSpinLock$1$label_7#1:
- inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
- goto inline$storm_KeAcquireSpinLock$1$label_8#1;
-
- inline$storm_KeAcquireSpinLock$1$label_8#1:
- goto inline$storm_KeAcquireSpinLock$1$label_9#1;
-
- inline$storm_KeAcquireSpinLock$1$label_9#1:
- __storm_atomic := true;
- goto inline$storm_KeAcquireSpinLock$1$label_12#1;
-
- inline$storm_KeAcquireSpinLock$1$label_12#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon7_Then#1, inline$storm_KeAcquireSpinLock$1$anon7_Else#1;
-
- inline$storm_KeAcquireSpinLock$1$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon1#1;
-
- inline$storm_KeAcquireSpinLock$1$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_13#1;
-
- inline$storm_KeAcquireSpinLock$1$label_13#1:
- goto inline$storm_KeAcquireSpinLock$1$label_13_true#1, inline$storm_KeAcquireSpinLock$1$label_13_false#1;
-
- inline$storm_KeAcquireSpinLock$1$label_13_false#1:
- assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$1$label_14#1;
-
- inline$storm_KeAcquireSpinLock$1$label_14#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeAcquireSpinLock$1$label_1#1;
-
- inline$storm_KeAcquireSpinLock$1$label_13_true#1:
- assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
- goto inline$storm_KeAcquireSpinLock$1$label_17#1;
-
- inline$storm_KeAcquireSpinLock$1$label_17#1:
- havoc raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon8_Then#1, inline$storm_KeAcquireSpinLock$1$anon8_Else#1;
-
- inline$storm_KeAcquireSpinLock$1$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeAcquireSpinLock$1$anon4#1;
-
- inline$storm_KeAcquireSpinLock$1$anon4#1:
- assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
- goto inline$storm_KeAcquireSpinLock$1$label_18#1;
-
- inline$storm_KeAcquireSpinLock$1$label_18#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8];
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_19#1;
-
- inline$storm_KeAcquireSpinLock$1$label_19#1:
- goto inline$storm_KeAcquireSpinLock$1$anon9_Then#1, inline$storm_KeAcquireSpinLock$1$anon9_Else#1;
-
- inline$storm_KeAcquireSpinLock$1$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_KeAcquireSpinLock$1$anon6#1;
-
- inline$storm_KeAcquireSpinLock$1$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeAcquireSpinLock$1$anon6#1;
-
- inline$storm_KeAcquireSpinLock$1$anon6#1:
- call contextSwitch();
- goto inline$storm_KeAcquireSpinLock$1$label_1#1;
-
- inline$storm_KeAcquireSpinLock$1$label_1#1:
- goto inline$storm_KeAcquireSpinLock$1$Return#1;
-
- inline$storm_KeAcquireSpinLock$1$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#1;
-
- inline$storm_KeAcquireSpinLock$1$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeAcquireSpinLock$1$Return#1;
-
- inline$storm_KeAcquireSpinLock$1$Return#1:
- goto inline$BDLLockHandleList$0$label_3$1#1;
-
- inline$BDLLockHandleList$0$label_3$1#1:
- goto inline$BDLLockHandleList$0$anon2_Then#1, inline$BDLLockHandleList$0$anon2_Else#1;
-
- inline$BDLLockHandleList$0$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLLockHandleList$0$anon1#1;
-
- inline$BDLLockHandleList$0$anon1#1:
- havoc inline$BDLLockHandleList$0$myNondetVar_0;
- goto inline$BDLLockHandleList$0$label_1#1;
-
- inline$BDLLockHandleList$0$label_1#1:
- goto inline$BDLLockHandleList$0$Return#1;
-
- inline$BDLLockHandleList$0$anon2_Then#1:
- assume raiseException;
- goto inline$BDLLockHandleList$0$Return#1;
-
- inline$BDLLockHandleList$0$Return#1:
- goto inline$BDLCleanupDataHandles$0$label_35$1#1;
-
- inline$BDLCleanupDataHandles$0$label_35$1#1:
- goto inline$BDLCleanupDataHandles$0$anon33_Then#1, inline$BDLCleanupDataHandles$0$anon33_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon7#1;
-
- inline$BDLCleanupDataHandles$0$anon7#1:
- goto inline$BDLCleanupDataHandles$0$label_38#1;
-
- inline$BDLCleanupDataHandles$0$label_38#1:
- goto inline$BDLCleanupDataHandles$0$label_38_head#1;
-
- inline$BDLCleanupDataHandles$0$label_38_head#1:
- goto inline$BDLGetFirstHandle$0$Entry#1;
-
- inline$BDLGetFirstHandle$0$Entry#1:
- goto inline$BDLGetFirstHandle$0$start#1;
-
- inline$BDLGetFirstHandle$0$start#1:
- goto inline$BDLGetFirstHandle$0$label_3#1;
-
- inline$BDLGetFirstHandle$0$label_3#1:
- goto inline$BDLGetFirstHandle$0$label_3_true#1, inline$BDLGetFirstHandle$0$label_3_false#1;
-
- inline$BDLGetFirstHandle$0$label_3_false#1:
- havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
- assume inline$BDLGetFirstHandle$0$myNondetVar_0 == 0;
- goto inline$BDLGetFirstHandle$0$label_4#1;
-
- inline$BDLGetFirstHandle$0$label_4#1:
- inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$ := 0;
- goto inline$BDLGetFirstHandle$0$label_1#1;
-
- inline$BDLGetFirstHandle$0$label_3_true#1:
- havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
- assume inline$BDLGetFirstHandle$0$myNondetVar_0 != 0;
- goto inline$BDLGetFirstHandle$0$label_5#1;
-
- inline$BDLGetFirstHandle$0$label_5#1:
- havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
- havoc inline$BDLGetFirstHandle$0$myNondetVar_1;
- goto inline$BDLGetFirstHandle$0$label_6#1;
-
- inline$BDLGetFirstHandle$0$label_6#1:
- inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$ := 1;
- goto inline$BDLGetFirstHandle$0$label_1#1;
-
- inline$BDLGetFirstHandle$0$label_1#1:
- goto inline$BDLGetFirstHandle$0$Return#1;
-
- inline$BDLGetFirstHandle$0$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$ := inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_38_head$1#1;
-
- inline$BDLCleanupDataHandles$0$label_38_head$1#1:
- goto inline$BDLCleanupDataHandles$0$anon34_Then#1, inline$BDLCleanupDataHandles$0$anon34_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon9#1;
-
- inline$BDLCleanupDataHandles$0$anon9#1:
- goto inline$BDLCleanupDataHandles$0$label_41#1;
-
- inline$BDLCleanupDataHandles$0$label_41#1:
- goto inline$BDLCleanupDataHandles$0$label_41_true#1, inline$BDLCleanupDataHandles$0$label_41_false#1;
-
- inline$BDLCleanupDataHandles$0$label_41_false#1:
- assume !INT_EQ(inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$, 1);
- goto inline$BDLCleanupDataHandles$0$label_42#1;
-
- inline$BDLCleanupDataHandles$0$label_42#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$BDLReleaseHandleList$0$Entry#1;
-
- inline$BDLReleaseHandleList$0$Entry#1:
- inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
- goto inline$BDLReleaseHandleList$0$start#1;
-
- inline$BDLReleaseHandleList$0$start#1:
- inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8 := inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1;
- goto inline$BDLReleaseHandleList$0$label_3#1;
-
- inline$BDLReleaseHandleList$0$label_3#1:
- havoc inline$BDLReleaseHandleList$0$myNondetVar_0;
- havoc inline$BDLReleaseHandleList$0$myNondetVar_1;
- assume inline$BDLReleaseHandleList$0$myNondetVar_0 == inline$BDLReleaseHandleList$0$myNondetVar_1;
- goto inline$storm_KeReleaseSpinLock$2$Entry#1;
-
- inline$storm_KeReleaseSpinLock$2$Entry#1:
- inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8);
- goto inline$storm_KeReleaseSpinLock$2$start#1;
-
- inline$storm_KeReleaseSpinLock$2$start#1:
- inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
- goto inline$storm_KeReleaseSpinLock$2$label_3#1;
-
- inline$storm_KeReleaseSpinLock$2$label_3#1:
- goto inline$storm_KeReleaseSpinLock$2$label_4#1;
-
- inline$storm_KeReleaseSpinLock$2$label_4#1:
- __storm_atomic := true;
- goto inline$storm_KeReleaseSpinLock$2$label_7#1;
-
- inline$storm_KeReleaseSpinLock$2$label_7#1:
- havoc raiseException;
- goto inline$storm_KeReleaseSpinLock$2$anon5_Then#1, inline$storm_KeReleaseSpinLock$2$anon5_Else#1;
-
- inline$storm_KeReleaseSpinLock$2$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_KeReleaseSpinLock$2$anon1#1;
-
- inline$storm_KeReleaseSpinLock$2$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$2$label_8#1;
-
- inline$storm_KeReleaseSpinLock$2$label_8#1:
- goto inline$storm_getThreadID$4$Entry#1;
-
- inline$storm_getThreadID$4$Entry#1:
- goto inline$storm_getThreadID$4$anon0#1;
-
- inline$storm_getThreadID$4$anon0#1:
- inline$storm_getThreadID$4$tid := __storm_thread_id;
- goto inline$storm_getThreadID$4$Return#1;
-
- inline$storm_getThreadID$4$Return#1:
- inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tid;
- goto inline$storm_KeReleaseSpinLock$2$label_8$1#1;
-
- inline$storm_KeReleaseSpinLock$2$label_8$1#1:
- goto inline$storm_KeReleaseSpinLock$2$label_11#1;
-
- inline$storm_KeReleaseSpinLock$2$label_11#1:
- goto inline$storm_KeReleaseSpinLock$2$label_11_true#1, inline$storm_KeReleaseSpinLock$2$label_11_false#1;
-
- inline$storm_KeReleaseSpinLock$2$label_11_false#1:
- assume !INT_EQ(inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$2$label_12#1;
-
- inline$storm_KeReleaseSpinLock$2$label_12#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_KeReleaseSpinLock$2$label_1#1;
-
- inline$storm_KeReleaseSpinLock$2$label_11_true#1:
- assume INT_EQ(inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$);
- goto inline$storm_KeReleaseSpinLock$2$label_15#1;
-
- inline$storm_KeReleaseSpinLock$2$label_15#1:
- Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$2$label_16#1;
-
- inline$storm_KeReleaseSpinLock$2$label_16#1:
- goto inline$storm_KeReleaseSpinLock$2$anon6_Then#1, inline$storm_KeReleaseSpinLock$2$anon6_Else#1;
-
- inline$storm_KeReleaseSpinLock$2$anon6_Else#1:
- assume __storm_init;
- goto inline$storm_KeReleaseSpinLock$2$anon4#1;
-
- inline$storm_KeReleaseSpinLock$2$anon6_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_KeReleaseSpinLock$2$anon4#1;
-
- inline$storm_KeReleaseSpinLock$2$anon4#1:
- call contextSwitch();
- goto inline$storm_KeReleaseSpinLock$2$label_1#1;
-
- inline$storm_KeReleaseSpinLock$2$label_1#1:
- goto inline$storm_KeReleaseSpinLock$2$Return#1;
-
- inline$storm_KeReleaseSpinLock$2$anon5_Then#1:
- assume raiseException;
- goto inline$storm_KeReleaseSpinLock$2$Return#1;
-
- inline$storm_KeReleaseSpinLock$2$Return#1:
- goto inline$BDLReleaseHandleList$0$label_3$1#1;
-
- inline$BDLReleaseHandleList$0$label_3$1#1:
- goto inline$BDLReleaseHandleList$0$anon2_Then#1, inline$BDLReleaseHandleList$0$anon2_Else#1;
-
- inline$BDLReleaseHandleList$0$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLReleaseHandleList$0$anon1#1;
-
- inline$BDLReleaseHandleList$0$anon1#1:
- havoc inline$BDLReleaseHandleList$0$myNondetVar_0;
- goto inline$BDLReleaseHandleList$0$label_1#1;
-
- inline$BDLReleaseHandleList$0$label_1#1:
- goto inline$BDLReleaseHandleList$0$Return#1;
-
- inline$BDLReleaseHandleList$0$anon2_Then#1:
- assume raiseException;
- goto inline$BDLReleaseHandleList$0$Return#1;
-
- inline$BDLReleaseHandleList$0$Return#1:
- goto inline$BDLCleanupDataHandles$0$label_42$1#1;
-
- inline$BDLCleanupDataHandles$0$label_42$1#1:
- goto inline$BDLCleanupDataHandles$0$anon35_Then#1, inline$BDLCleanupDataHandles$0$anon35_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon11#1;
-
- inline$BDLCleanupDataHandles$0$anon11#1:
- goto inline$BDLCleanupDataHandles$0$label_87#1;
-
- inline$BDLCleanupDataHandles$0$label_87#1:
- goto inline$BDLGetDebugLevel$226$Entry#1;
-
- inline$BDLGetDebugLevel$226$Entry#1:
- goto inline$BDLGetDebugLevel$226$start#1;
-
- inline$BDLGetDebugLevel$226$start#1:
- goto inline$BDLGetDebugLevel$226$label_3#1;
-
- inline$BDLGetDebugLevel$226$label_3#1:
- havoc inline$BDLGetDebugLevel$226$myNondetVar_0;
- inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$226$myNondetVar_0;
- goto inline$BDLGetDebugLevel$226$label_1#1;
-
- inline$BDLGetDebugLevel$226$label_1#1:
- goto inline$BDLGetDebugLevel$226$Return#1;
-
- inline$BDLGetDebugLevel$226$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$ := inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_87$1#1;
-
- inline$BDLCleanupDataHandles$0$label_87$1#1:
- goto inline$BDLCleanupDataHandles$0$anon42_Then#1, inline$BDLCleanupDataHandles$0$anon42_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon25#1;
-
- inline$BDLCleanupDataHandles$0$anon25#1:
- goto inline$BDLCleanupDataHandles$0$label_90#1;
-
- inline$BDLCleanupDataHandles$0$label_90#1:
- goto inline$BDLCleanupDataHandles$0$label_90_true#1, inline$BDLCleanupDataHandles$0$label_90_false#1;
-
- inline$BDLCleanupDataHandles$0$label_90_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_91#1;
-
- inline$BDLCleanupDataHandles$0$label_90_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_94#1;
-
- inline$BDLCleanupDataHandles$0$label_94#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_95#1;
-
- inline$BDLCleanupDataHandles$0$label_95#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_96#1;
-
- inline$BDLCleanupDataHandles$0$label_96#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_97#1;
-
- inline$BDLCleanupDataHandles$0$label_97#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$27$;
- goto inline$BDLCleanupDataHandles$0$label_91#1;
-
- inline$BDLCleanupDataHandles$0$label_91#1:
- goto inline$BDLGetDebugLevel$227$Entry#1;
-
- inline$BDLGetDebugLevel$227$Entry#1:
- goto inline$BDLGetDebugLevel$227$start#1;
-
- inline$BDLGetDebugLevel$227$start#1:
- goto inline$BDLGetDebugLevel$227$label_3#1;
-
- inline$BDLGetDebugLevel$227$label_3#1:
- havoc inline$BDLGetDebugLevel$227$myNondetVar_0;
- inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$227$myNondetVar_0;
- goto inline$BDLGetDebugLevel$227$label_1#1;
-
- inline$BDLGetDebugLevel$227$label_1#1:
- goto inline$BDLGetDebugLevel$227$Return#1;
-
- inline$BDLGetDebugLevel$227$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$ := inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_91$1#1;
-
- inline$BDLCleanupDataHandles$0$label_91$1#1:
- goto inline$BDLCleanupDataHandles$0$anon43_Then#1, inline$BDLCleanupDataHandles$0$anon43_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon27#1;
-
- inline$BDLCleanupDataHandles$0$anon27#1:
- goto inline$BDLCleanupDataHandles$0$label_100#1;
-
- inline$BDLCleanupDataHandles$0$label_100#1:
- goto inline$BDLCleanupDataHandles$0$label_100_true#1, inline$BDLCleanupDataHandles$0$label_100_false#1;
-
- inline$BDLCleanupDataHandles$0$label_100_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_101#1;
-
- inline$BDLCleanupDataHandles$0$label_100_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_104#1;
-
- inline$BDLCleanupDataHandles$0$label_104#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_105#1;
-
- inline$BDLCleanupDataHandles$0$label_105#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_106#1;
-
- inline$BDLCleanupDataHandles$0$label_106#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_107#1;
-
- inline$BDLCleanupDataHandles$0$label_107#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$32$;
- goto inline$BDLCleanupDataHandles$0$label_101#1;
-
- inline$BDLCleanupDataHandles$0$label_101#1:
- goto inline$BDLGetDebugLevel$228$Entry#1;
-
- inline$BDLGetDebugLevel$228$Entry#1:
- goto inline$BDLGetDebugLevel$228$start#1;
-
- inline$BDLGetDebugLevel$228$start#1:
- goto inline$BDLGetDebugLevel$228$label_3#1;
-
- inline$BDLGetDebugLevel$228$label_3#1:
- havoc inline$BDLGetDebugLevel$228$myNondetVar_0;
- inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$228$myNondetVar_0;
- goto inline$BDLGetDebugLevel$228$label_1#1;
-
- inline$BDLGetDebugLevel$228$label_1#1:
- goto inline$BDLGetDebugLevel$228$Return#1;
-
- inline$BDLGetDebugLevel$228$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$ := inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_101$1#1;
-
- inline$BDLCleanupDataHandles$0$label_101$1#1:
- goto inline$BDLCleanupDataHandles$0$anon44_Then#1, inline$BDLCleanupDataHandles$0$anon44_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon29#1;
-
- inline$BDLCleanupDataHandles$0$anon29#1:
- goto inline$BDLCleanupDataHandles$0$label_110#1;
-
- inline$BDLCleanupDataHandles$0$label_110#1:
- goto inline$BDLCleanupDataHandles$0$label_110_true#1, inline$BDLCleanupDataHandles$0$label_110_false#1;
-
- inline$BDLCleanupDataHandles$0$label_110_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$, 4) == 0;
- goto inline$BDLCleanupDataHandles$0$label_1#1;
-
- inline$BDLCleanupDataHandles$0$label_110_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$, 4) != 0;
- goto inline$BDLCleanupDataHandles$0$label_111#1;
-
- inline$BDLCleanupDataHandles$0$label_111#1:
- goto inline$BDLCleanupDataHandles$0$label_1#1;
-
- inline$BDLCleanupDataHandles$0$label_1#1:
- call __HAVOC_free(inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4);
- call __HAVOC_free(inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4);
- call __HAVOC_free(inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4);
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon44_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon43_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon42_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon35_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$label_41_true#1:
- assume INT_EQ(inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$, 1);
- goto inline$BDLCleanupDataHandles$0$label_45#1;
-
- inline$BDLCleanupDataHandles$0$label_45#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$Entry#1;
-
- inline$BDLRemoveHandleFromList$0$Entry#1:
- inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$start#1;
-
- inline$BDLRemoveHandleFromList$0$start#1:
- inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1;
- goto inline$BDLRemoveHandleFromList$0$label_3#1;
-
- inline$BDLRemoveHandleFromList$0$label_3#1:
- goto inline$BDLRemoveHandleFromList$0$label_4#1;
-
- inline$BDLRemoveHandleFromList$0$label_4#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_5#1;
-
- inline$BDLRemoveHandleFromList$0$label_5#1:
- goto inline$BDLRemoveHandleFromList$0$label_6#1;
-
- inline$BDLRemoveHandleFromList$0$label_6#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_7#1;
-
- inline$BDLRemoveHandleFromList$0$label_7#1:
- goto inline$BDLRemoveHandleFromList$0$label_7_true#1, inline$BDLRemoveHandleFromList$0$label_7_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_7_false#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$0$label_8#1;
-
- inline$BDLRemoveHandleFromList$0$label_8#1:
- goto inline$BDLRemoveHandleFromList$0$label_1#1;
-
- inline$BDLRemoveHandleFromList$0$label_7_true#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$0$label_9#1;
-
- inline$BDLRemoveHandleFromList$0$label_9#1:
- goto inline$BDLRemoveHandleFromList$0$label_9_true#1, inline$BDLRemoveHandleFromList$0$label_9_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_9_false#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_10#1;
-
- inline$BDLRemoveHandleFromList$0$label_10#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_20#1;
-
- inline$BDLRemoveHandleFromList$0$label_20#1:
- goto inline$BDLRemoveHandleFromList$0$label_20_head#1;
-
- inline$BDLRemoveHandleFromList$0$label_20_head#1:
- goto inline$BDLRemoveHandleFromList$0$label_20_true#1, inline$BDLRemoveHandleFromList$0$label_20_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_20_false#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$0$label_21#1;
-
- inline$BDLRemoveHandleFromList$0$label_20_true#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$0$label_22#1;
-
- inline$BDLRemoveHandleFromList$0$label_22#1:
- goto inline$BDLRemoveHandleFromList$0$label_22_true#1, inline$BDLRemoveHandleFromList$0$label_22_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_22_false#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume !INT_NEQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_21#1;
-
- inline$BDLRemoveHandleFromList$0$label_21#1:
- goto inline$BDLRemoveHandleFromList$0$label_21_true#1, inline$BDLRemoveHandleFromList$0$label_21_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_21_false#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
- goto inline$BDLRemoveHandleFromList$0$label_25#1;
-
- inline$BDLRemoveHandleFromList$0$label_25#1:
- goto inline$BDLRemoveHandleFromList$0$label_1#1;
-
- inline$BDLRemoveHandleFromList$0$label_21_true#1:
- assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
- goto inline$BDLRemoveHandleFromList$0$label_26#1;
-
- inline$BDLRemoveHandleFromList$0$label_26#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_27#1;
-
- inline$BDLRemoveHandleFromList$0$label_27#1:
- goto inline$BDLRemoveHandleFromList$0$label_27_true#1, inline$BDLRemoveHandleFromList$0$label_27_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_27_false#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_15#1;
-
- inline$BDLRemoveHandleFromList$0$label_27_true#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_28#1;
-
- inline$BDLRemoveHandleFromList$0$label_28#1:
- goto inline$BDLRemoveHandleFromList$0$label_15#1;
-
- inline$BDLRemoveHandleFromList$0$label_22_true#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume INT_NEQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_23#1;
-
- inline$BDLRemoveHandleFromList$0$label_23#1:
- goto inline$BDLRemoveHandleFromList$0$label_24#1;
-
- inline$BDLRemoveHandleFromList$0$label_24#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_20_head#0;
-
- inline$BDLRemoveHandleFromList$0$label_20_head#0:
- assume false;
- return;
-
- inline$BDLRemoveHandleFromList$0$label_9_true#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
- goto inline$BDLRemoveHandleFromList$0$label_11#1;
-
- inline$BDLRemoveHandleFromList$0$label_11#1:
- goto inline$BDLRemoveHandleFromList$0$label_11_true#1, inline$BDLRemoveHandleFromList$0$label_11_false#1;
-
- inline$BDLRemoveHandleFromList$0$label_11_false#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_1;
- assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$myNondetVar_1);
- goto inline$BDLRemoveHandleFromList$0$label_12#1;
-
- inline$BDLRemoveHandleFromList$0$label_12#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_15#1;
-
- inline$BDLRemoveHandleFromList$0$label_11_true#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_1;
- assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$myNondetVar_1);
- goto inline$BDLRemoveHandleFromList$0$label_13#1;
-
- inline$BDLRemoveHandleFromList$0$label_13#1:
- goto inline$BDLRemoveHandleFromList$0$label_14#1;
-
- inline$BDLRemoveHandleFromList$0$label_14#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_15#1;
-
- inline$BDLRemoveHandleFromList$0$label_15#1:
- havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
- goto inline$BDLRemoveHandleFromList$0$label_16#1;
-
- inline$BDLRemoveHandleFromList$0$label_16#1:
- call ExFreePoolWithTag(inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8, 1280066626);
- goto inline$BDLRemoveHandleFromList$0$anon2_Then#1, inline$BDLRemoveHandleFromList$0$anon2_Else#1;
-
- inline$BDLRemoveHandleFromList$0$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLRemoveHandleFromList$0$anon1#1;
-
- inline$BDLRemoveHandleFromList$0$anon1#1:
- goto inline$BDLRemoveHandleFromList$0$label_19#1;
-
- inline$BDLRemoveHandleFromList$0$label_19#1:
- goto inline$BDLRemoveHandleFromList$0$label_1#1;
-
- inline$BDLRemoveHandleFromList$0$label_1#1:
- goto inline$BDLRemoveHandleFromList$0$Return#1;
-
- inline$BDLRemoveHandleFromList$0$anon2_Then#1:
- assume raiseException;
- goto inline$BDLRemoveHandleFromList$0$Return#1;
-
- inline$BDLRemoveHandleFromList$0$Return#1:
- goto inline$BDLCleanupDataHandles$0$label_45$1#1;
-
- inline$BDLCleanupDataHandles$0$label_45$1#1:
- goto inline$BDLCleanupDataHandles$0$anon36_Then#1, inline$BDLCleanupDataHandles$0$anon36_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon13#1;
-
- inline$BDLCleanupDataHandles$0$anon13#1:
- goto inline$BDLCleanupDataHandles$0$label_48#1;
-
- inline$BDLCleanupDataHandles$0$label_48#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$BDLCleanupDataHandles$0$label_49#1;
-
- inline$BDLCleanupDataHandles$0$label_49#1:
- goto inline$BDLCleanupDataHandles$0$label_49_true#1, inline$BDLCleanupDataHandles$0$label_49_false#1;
-
- inline$BDLCleanupDataHandles$0$label_49_false#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLCleanupDataHandles$0$myNondetVar_0, 2);
- goto inline$BDLCleanupDataHandles$0$label_50#1;
-
- inline$BDLCleanupDataHandles$0$label_50#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$BDLCleanupDataHandles$0$label_57#1;
-
- inline$BDLCleanupDataHandles$0$label_57#1:
- goto inline$BDLCleanupDataHandles$0$label_60#1;
-
- inline$BDLCleanupDataHandles$0$label_60#1:
- inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$$callresult.$3284.85$14$;
- goto inline$BDLCleanupDataHandles$0$label_61#1;
-
- inline$BDLCleanupDataHandles$0$label_61#1:
- goto inline$BDLCleanupDataHandles$0$label_61_true#1, inline$BDLCleanupDataHandles$0$label_61_false#1;
-
- inline$BDLCleanupDataHandles$0$label_61_false#1:
- assume inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 == 0;
- goto inline$BDLCleanupDataHandles$0$label_54#1;
-
- inline$BDLCleanupDataHandles$0$label_61_true#1:
- assume inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 != 0;
- goto inline$BDLCleanupDataHandles$0$label_62#1;
-
- inline$BDLCleanupDataHandles$0$label_62#1:
- goto inline$BDLGetDebugLevel$223$Entry#1;
-
- inline$BDLGetDebugLevel$223$Entry#1:
- goto inline$BDLGetDebugLevel$223$start#1;
-
- inline$BDLGetDebugLevel$223$start#1:
- goto inline$BDLGetDebugLevel$223$label_3#1;
-
- inline$BDLGetDebugLevel$223$label_3#1:
- havoc inline$BDLGetDebugLevel$223$myNondetVar_0;
- inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$223$myNondetVar_0;
- goto inline$BDLGetDebugLevel$223$label_1#1;
-
- inline$BDLGetDebugLevel$223$label_1#1:
- goto inline$BDLGetDebugLevel$223$Return#1;
-
- inline$BDLGetDebugLevel$223$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$ := inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_62$1#1;
-
- inline$BDLCleanupDataHandles$0$label_62$1#1:
- goto inline$BDLCleanupDataHandles$0$anon39_Then#1, inline$BDLCleanupDataHandles$0$anon39_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon19#1;
-
- inline$BDLCleanupDataHandles$0$anon19#1:
- goto inline$BDLCleanupDataHandles$0$label_65#1;
-
- inline$BDLCleanupDataHandles$0$label_65#1:
- goto inline$BDLCleanupDataHandles$0$label_65_true#1, inline$BDLCleanupDataHandles$0$label_65_false#1;
-
- inline$BDLCleanupDataHandles$0$label_65_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_66#1;
-
- inline$BDLCleanupDataHandles$0$label_65_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_69#1;
-
- inline$BDLCleanupDataHandles$0$label_69#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_70#1;
-
- inline$BDLCleanupDataHandles$0$label_70#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_71#1;
-
- inline$BDLCleanupDataHandles$0$label_71#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_72#1;
-
- inline$BDLCleanupDataHandles$0$label_72#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$16$;
- goto inline$BDLCleanupDataHandles$0$label_66#1;
-
- inline$BDLCleanupDataHandles$0$label_66#1:
- goto inline$BDLGetDebugLevel$224$Entry#1;
-
- inline$BDLGetDebugLevel$224$Entry#1:
- goto inline$BDLGetDebugLevel$224$start#1;
-
- inline$BDLGetDebugLevel$224$start#1:
- goto inline$BDLGetDebugLevel$224$label_3#1;
-
- inline$BDLGetDebugLevel$224$label_3#1:
- havoc inline$BDLGetDebugLevel$224$myNondetVar_0;
- inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$224$myNondetVar_0;
- goto inline$BDLGetDebugLevel$224$label_1#1;
-
- inline$BDLGetDebugLevel$224$label_1#1:
- goto inline$BDLGetDebugLevel$224$Return#1;
-
- inline$BDLGetDebugLevel$224$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$ := inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_66$1#1;
-
- inline$BDLCleanupDataHandles$0$label_66$1#1:
- goto inline$BDLCleanupDataHandles$0$anon40_Then#1, inline$BDLCleanupDataHandles$0$anon40_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon21#1;
-
- inline$BDLCleanupDataHandles$0$anon21#1:
- goto inline$BDLCleanupDataHandles$0$label_75#1;
-
- inline$BDLCleanupDataHandles$0$label_75#1:
- goto inline$BDLCleanupDataHandles$0$label_75_true#1, inline$BDLCleanupDataHandles$0$label_75_false#1;
-
- inline$BDLCleanupDataHandles$0$label_75_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$) == 0;
- goto inline$BDLCleanupDataHandles$0$label_76#1;
-
- inline$BDLCleanupDataHandles$0$label_75_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$) != 0;
- goto inline$BDLCleanupDataHandles$0$label_79#1;
-
- inline$BDLCleanupDataHandles$0$label_79#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_80#1;
-
- inline$BDLCleanupDataHandles$0$label_80#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_81#1;
-
- inline$BDLCleanupDataHandles$0$label_81#1:
- call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCleanupDataHandles$0$label_82#1;
-
- inline$BDLCleanupDataHandles$0$label_82#1:
- havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$21$;
- goto inline$BDLCleanupDataHandles$0$label_76#1;
-
- inline$BDLCleanupDataHandles$0$label_76#1:
- goto inline$BDLGetDebugLevel$225$Entry#1;
-
- inline$BDLGetDebugLevel$225$Entry#1:
- goto inline$BDLGetDebugLevel$225$start#1;
-
- inline$BDLGetDebugLevel$225$start#1:
- goto inline$BDLGetDebugLevel$225$label_3#1;
-
- inline$BDLGetDebugLevel$225$label_3#1:
- havoc inline$BDLGetDebugLevel$225$myNondetVar_0;
- inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$225$myNondetVar_0;
- goto inline$BDLGetDebugLevel$225$label_1#1;
-
- inline$BDLGetDebugLevel$225$label_1#1:
- goto inline$BDLGetDebugLevel$225$Return#1;
-
- inline$BDLGetDebugLevel$225$Return#1:
- inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$ := inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLCleanupDataHandles$0$label_76$1#1;
-
- inline$BDLCleanupDataHandles$0$label_76$1#1:
- goto inline$BDLCleanupDataHandles$0$anon41_Then#1, inline$BDLCleanupDataHandles$0$anon41_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon23#1;
-
- inline$BDLCleanupDataHandles$0$anon23#1:
- goto inline$BDLCleanupDataHandles$0$label_85#1;
-
- inline$BDLCleanupDataHandles$0$label_85#1:
- goto inline$BDLCleanupDataHandles$0$label_85_true#1, inline$BDLCleanupDataHandles$0$label_85_false#1;
-
- inline$BDLCleanupDataHandles$0$label_85_false#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$, 4) == 0;
- goto inline$BDLCleanupDataHandles$0$label_54#1;
-
- inline$BDLCleanupDataHandles$0$label_85_true#1:
- assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$, 4) != 0;
- goto inline$BDLCleanupDataHandles$0$label_86#1;
-
- inline$BDLCleanupDataHandles$0$label_86#1:
- goto inline$BDLCleanupDataHandles$0$label_54#1;
-
- inline$BDLCleanupDataHandles$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$label_49_true#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- assume INT_EQ(inline$BDLCleanupDataHandles$0$myNondetVar_0, 2);
- goto inline$BDLCleanupDataHandles$0$label_51#1;
-
- inline$BDLCleanupDataHandles$0$label_51#1:
- havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$bdliFree$0$Entry#1;
-
- inline$bdliFree$0$Entry#1:
- inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
- goto inline$bdliFree$0$start#1;
-
- inline$bdliFree$0$start#1:
- inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4 := inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1;
- goto inline$bdliFree$0$label_3#1;
-
- inline$bdliFree$0$label_3#1:
- call ExFreePoolWithTag(inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4, 1229734978);
- goto inline$bdliFree$0$anon2_Then#1, inline$bdliFree$0$anon2_Else#1;
-
- inline$bdliFree$0$anon2_Else#1:
- assume !raiseException;
- goto inline$bdliFree$0$anon1#1;
-
- inline$bdliFree$0$anon1#1:
- goto inline$bdliFree$0$label_1#1;
-
- inline$bdliFree$0$label_1#1:
- goto inline$bdliFree$0$Return#1;
-
- inline$bdliFree$0$anon2_Then#1:
- assume raiseException;
- goto inline$bdliFree$0$Return#1;
-
- inline$bdliFree$0$Return#1:
- goto inline$BDLCleanupDataHandles$0$label_51$1#1;
-
- inline$BDLCleanupDataHandles$0$label_51$1#1:
- goto inline$BDLCleanupDataHandles$0$anon37_Then#1, inline$BDLCleanupDataHandles$0$anon37_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon15#1;
-
- inline$BDLCleanupDataHandles$0$anon15#1:
- goto inline$BDLCleanupDataHandles$0$label_54#1;
-
- inline$BDLCleanupDataHandles$0$label_54#1:
- call ExFreePoolWithTag(inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4, 541869122);
- goto inline$BDLCleanupDataHandles$0$anon38_Then#1, inline$BDLCleanupDataHandles$0$anon38_Else#1;
-
- inline$BDLCleanupDataHandles$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDataHandles$0$anon17#1;
-
- inline$BDLCleanupDataHandles$0$anon17#1:
- goto inline$BDLCleanupDataHandles$0$label_38_head#0;
-
- inline$BDLCleanupDataHandles$0$label_38_head#0:
- assume false;
- return;
-
- inline$BDLCleanupDataHandles$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon34_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon33_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDataHandles$0$Return#1;
-
- inline$BDLCleanupDataHandles$0$Return#1:
- goto inline$BDLHandleRemove$0$label_41$1#1;
-
- inline$BDLHandleRemove$0$label_41$1#1:
- goto inline$BDLHandleRemove$0$anon48_Then#1, inline$BDLHandleRemove$0$anon48_Else#1;
-
- inline$BDLHandleRemove$0$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon13#1;
-
- inline$BDLHandleRemove$0$anon13#1:
- goto inline$BDLHandleRemove$0$label_44#1;
-
- inline$BDLHandleRemove$0$label_44#1:
- goto inline$BDLHandleRemove$0$label_44_true#1, inline$BDLHandleRemove$0$label_44_false#1;
-
- inline$BDLHandleRemove$0$label_44_false#1:
- havoc inline$BDLHandleRemove$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLHandleRemove$0$myNondetVar_0, 1);
- goto inline$BDLHandleRemove$0$label_45#1;
-
- inline$BDLHandleRemove$0$label_44_true#1:
- havoc inline$BDLHandleRemove$0$myNondetVar_0;
- assume INT_EQ(inline$BDLHandleRemove$0$myNondetVar_0, 1);
- goto inline$BDLHandleRemove$0$label_48#1;
-
- inline$BDLHandleRemove$0$label_48#1:
- goto inline$BDLHandleRemove$0$label_51#1;
-
- inline$BDLHandleRemove$0$label_51#1:
- inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$callresult.$2419.71$15$;
- goto inline$BDLHandleRemove$0$label_52#1;
-
- inline$BDLHandleRemove$0$label_52#1:
- goto inline$BDLHandleRemove$0$label_52_true#1, inline$BDLHandleRemove$0$label_52_false#1;
-
- inline$BDLHandleRemove$0$label_52_false#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$0$label_53#1;
-
- inline$BDLHandleRemove$0$label_52_true#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$0$label_54#1;
-
- inline$BDLHandleRemove$0$label_54#1:
- goto inline$BDLGetDebugLevel$229$Entry#1;
-
- inline$BDLGetDebugLevel$229$Entry#1:
- goto inline$BDLGetDebugLevel$229$start#1;
-
- inline$BDLGetDebugLevel$229$start#1:
- goto inline$BDLGetDebugLevel$229$label_3#1;
-
- inline$BDLGetDebugLevel$229$label_3#1:
- havoc inline$BDLGetDebugLevel$229$myNondetVar_0;
- inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$229$myNondetVar_0;
- goto inline$BDLGetDebugLevel$229$label_1#1;
-
- inline$BDLGetDebugLevel$229$label_1#1:
- goto inline$BDLGetDebugLevel$229$Return#1;
-
- inline$BDLGetDebugLevel$229$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$ := inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_54$1#1;
-
- inline$BDLHandleRemove$0$label_54$1#1:
- goto inline$BDLHandleRemove$0$anon49_Then#1, inline$BDLHandleRemove$0$anon49_Else#1;
-
- inline$BDLHandleRemove$0$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon15#1;
-
- inline$BDLHandleRemove$0$anon15#1:
- goto inline$BDLHandleRemove$0$label_57#1;
-
- inline$BDLHandleRemove$0$label_57#1:
- goto inline$BDLHandleRemove$0$label_57_true#1, inline$BDLHandleRemove$0$label_57_false#1;
-
- inline$BDLHandleRemove$0$label_57_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$) == 0;
- goto inline$BDLHandleRemove$0$label_58#1;
-
- inline$BDLHandleRemove$0$label_57_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$) != 0;
- goto inline$BDLHandleRemove$0$label_61#1;
-
- inline$BDLHandleRemove$0$label_61#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_62#1;
-
- inline$BDLHandleRemove$0$label_62#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_63#1;
-
- inline$BDLHandleRemove$0$label_63#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_64#1;
-
- inline$BDLHandleRemove$0$label_64#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$17$;
- goto inline$BDLHandleRemove$0$label_58#1;
-
- inline$BDLHandleRemove$0$label_58#1:
- goto inline$BDLGetDebugLevel$230$Entry#1;
-
- inline$BDLGetDebugLevel$230$Entry#1:
- goto inline$BDLGetDebugLevel$230$start#1;
-
- inline$BDLGetDebugLevel$230$start#1:
- goto inline$BDLGetDebugLevel$230$label_3#1;
-
- inline$BDLGetDebugLevel$230$label_3#1:
- havoc inline$BDLGetDebugLevel$230$myNondetVar_0;
- inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$230$myNondetVar_0;
- goto inline$BDLGetDebugLevel$230$label_1#1;
-
- inline$BDLGetDebugLevel$230$label_1#1:
- goto inline$BDLGetDebugLevel$230$Return#1;
-
- inline$BDLGetDebugLevel$230$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$ := inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_58$1#1;
-
- inline$BDLHandleRemove$0$label_58$1#1:
- goto inline$BDLHandleRemove$0$anon50_Then#1, inline$BDLHandleRemove$0$anon50_Else#1;
-
- inline$BDLHandleRemove$0$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon17#1;
-
- inline$BDLHandleRemove$0$anon17#1:
- goto inline$BDLHandleRemove$0$label_67#1;
-
- inline$BDLHandleRemove$0$label_67#1:
- goto inline$BDLHandleRemove$0$label_67_true#1, inline$BDLHandleRemove$0$label_67_false#1;
-
- inline$BDLHandleRemove$0$label_67_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$) == 0;
- goto inline$BDLHandleRemove$0$label_68#1;
-
- inline$BDLHandleRemove$0$label_67_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$) != 0;
- goto inline$BDLHandleRemove$0$label_71#1;
-
- inline$BDLHandleRemove$0$label_71#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_72#1;
-
- inline$BDLHandleRemove$0$label_72#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_73#1;
-
- inline$BDLHandleRemove$0$label_73#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_74#1;
-
- inline$BDLHandleRemove$0$label_74#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$22$;
- goto inline$BDLHandleRemove$0$label_68#1;
-
- inline$BDLHandleRemove$0$label_68#1:
- goto inline$BDLGetDebugLevel$231$Entry#1;
-
- inline$BDLGetDebugLevel$231$Entry#1:
- goto inline$BDLGetDebugLevel$231$start#1;
-
- inline$BDLGetDebugLevel$231$start#1:
- goto inline$BDLGetDebugLevel$231$label_3#1;
-
- inline$BDLGetDebugLevel$231$label_3#1:
- havoc inline$BDLGetDebugLevel$231$myNondetVar_0;
- inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$231$myNondetVar_0;
- goto inline$BDLGetDebugLevel$231$label_1#1;
-
- inline$BDLGetDebugLevel$231$label_1#1:
- goto inline$BDLGetDebugLevel$231$Return#1;
-
- inline$BDLGetDebugLevel$231$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$ := inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_68$1#1;
-
- inline$BDLHandleRemove$0$label_68$1#1:
- goto inline$BDLHandleRemove$0$anon51_Then#1, inline$BDLHandleRemove$0$anon51_Else#1;
-
- inline$BDLHandleRemove$0$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon19#1;
-
- inline$BDLHandleRemove$0$anon19#1:
- goto inline$BDLHandleRemove$0$label_77#1;
-
- inline$BDLHandleRemove$0$label_77#1:
- goto inline$BDLHandleRemove$0$label_77_true#1, inline$BDLHandleRemove$0$label_77_false#1;
-
- inline$BDLHandleRemove$0$label_77_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$, 4) == 0;
- goto inline$BDLHandleRemove$0$label_53#1;
-
- inline$BDLHandleRemove$0$label_77_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$, 4) != 0;
- goto inline$BDLHandleRemove$0$label_78#1;
-
- inline$BDLHandleRemove$0$label_78#1:
- goto inline$BDLHandleRemove$0$label_53#1;
-
- inline$BDLHandleRemove$0$label_53#1:
- goto inline$BDLHandleRemove$0$label_45#1;
-
- inline$BDLHandleRemove$0$label_45#1:
- goto inline$BDLHandleRemove$0$label_79#1;
-
- inline$BDLHandleRemove$0$label_79#1:
- inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$callresult.$2437.63$27$;
- goto inline$BDLHandleRemove$0$label_80#1;
-
- inline$BDLHandleRemove$0$label_80#1:
- goto inline$BDLHandleRemove$0$label_80_true#1, inline$BDLHandleRemove$0$label_80_false#1;
-
- inline$BDLHandleRemove$0$label_80_false#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$0$label_81#1;
-
- inline$BDLHandleRemove$0$label_80_true#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$0$label_84#1;
-
- inline$BDLHandleRemove$0$label_84#1:
- goto inline$BDLGetDebugLevel$232$Entry#1;
-
- inline$BDLGetDebugLevel$232$Entry#1:
- goto inline$BDLGetDebugLevel$232$start#1;
-
- inline$BDLGetDebugLevel$232$start#1:
- goto inline$BDLGetDebugLevel$232$label_3#1;
-
- inline$BDLGetDebugLevel$232$label_3#1:
- havoc inline$BDLGetDebugLevel$232$myNondetVar_0;
- inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$232$myNondetVar_0;
- goto inline$BDLGetDebugLevel$232$label_1#1;
-
- inline$BDLGetDebugLevel$232$label_1#1:
- goto inline$BDLGetDebugLevel$232$Return#1;
-
- inline$BDLGetDebugLevel$232$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$ := inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_84$1#1;
-
- inline$BDLHandleRemove$0$label_84$1#1:
- goto inline$BDLHandleRemove$0$anon53_Then#1, inline$BDLHandleRemove$0$anon53_Else#1;
-
- inline$BDLHandleRemove$0$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon23#1;
-
- inline$BDLHandleRemove$0$anon23#1:
- goto inline$BDLHandleRemove$0$label_87#1;
-
- inline$BDLHandleRemove$0$label_87#1:
- goto inline$BDLHandleRemove$0$label_87_true#1, inline$BDLHandleRemove$0$label_87_false#1;
-
- inline$BDLHandleRemove$0$label_87_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$) == 0;
- goto inline$BDLHandleRemove$0$label_88#1;
-
- inline$BDLHandleRemove$0$label_87_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$) != 0;
- goto inline$BDLHandleRemove$0$label_91#1;
-
- inline$BDLHandleRemove$0$label_91#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_92#1;
-
- inline$BDLHandleRemove$0$label_92#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_93#1;
-
- inline$BDLHandleRemove$0$label_93#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_94#1;
-
- inline$BDLHandleRemove$0$label_94#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$29$;
- goto inline$BDLHandleRemove$0$label_88#1;
-
- inline$BDLHandleRemove$0$label_88#1:
- goto inline$BDLGetDebugLevel$233$Entry#1;
-
- inline$BDLGetDebugLevel$233$Entry#1:
- goto inline$BDLGetDebugLevel$233$start#1;
-
- inline$BDLGetDebugLevel$233$start#1:
- goto inline$BDLGetDebugLevel$233$label_3#1;
-
- inline$BDLGetDebugLevel$233$label_3#1:
- havoc inline$BDLGetDebugLevel$233$myNondetVar_0;
- inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$233$myNondetVar_0;
- goto inline$BDLGetDebugLevel$233$label_1#1;
-
- inline$BDLGetDebugLevel$233$label_1#1:
- goto inline$BDLGetDebugLevel$233$Return#1;
-
- inline$BDLGetDebugLevel$233$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$ := inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_88$1#1;
-
- inline$BDLHandleRemove$0$label_88$1#1:
- goto inline$BDLHandleRemove$0$anon54_Then#1, inline$BDLHandleRemove$0$anon54_Else#1;
-
- inline$BDLHandleRemove$0$anon54_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon25#1;
-
- inline$BDLHandleRemove$0$anon25#1:
- goto inline$BDLHandleRemove$0$label_97#1;
-
- inline$BDLHandleRemove$0$label_97#1:
- goto inline$BDLHandleRemove$0$label_97_true#1, inline$BDLHandleRemove$0$label_97_false#1;
-
- inline$BDLHandleRemove$0$label_97_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$) == 0;
- goto inline$BDLHandleRemove$0$label_98#1;
-
- inline$BDLHandleRemove$0$label_97_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$) != 0;
- goto inline$BDLHandleRemove$0$label_101#1;
-
- inline$BDLHandleRemove$0$label_101#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_102#1;
-
- inline$BDLHandleRemove$0$label_102#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_103#1;
-
- inline$BDLHandleRemove$0$label_103#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_104#1;
-
- inline$BDLHandleRemove$0$label_104#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$34$;
- goto inline$BDLHandleRemove$0$label_98#1;
-
- inline$BDLHandleRemove$0$label_98#1:
- goto inline$BDLGetDebugLevel$234$Entry#1;
-
- inline$BDLGetDebugLevel$234$Entry#1:
- goto inline$BDLGetDebugLevel$234$start#1;
-
- inline$BDLGetDebugLevel$234$start#1:
- goto inline$BDLGetDebugLevel$234$label_3#1;
-
- inline$BDLGetDebugLevel$234$label_3#1:
- havoc inline$BDLGetDebugLevel$234$myNondetVar_0;
- inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$234$myNondetVar_0;
- goto inline$BDLGetDebugLevel$234$label_1#1;
-
- inline$BDLGetDebugLevel$234$label_1#1:
- goto inline$BDLGetDebugLevel$234$Return#1;
-
- inline$BDLGetDebugLevel$234$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$ := inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_98$1#1;
-
- inline$BDLHandleRemove$0$label_98$1#1:
- goto inline$BDLHandleRemove$0$anon55_Then#1, inline$BDLHandleRemove$0$anon55_Else#1;
-
- inline$BDLHandleRemove$0$anon55_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon27#1;
-
- inline$BDLHandleRemove$0$anon27#1:
- goto inline$BDLHandleRemove$0$label_107#1;
-
- inline$BDLHandleRemove$0$label_107#1:
- goto inline$BDLHandleRemove$0$label_107_true#1, inline$BDLHandleRemove$0$label_107_false#1;
-
- inline$BDLHandleRemove$0$label_107_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$, 4) == 0;
- goto inline$BDLHandleRemove$0$label_81#1;
-
- inline$BDLHandleRemove$0$label_107_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$, 4) != 0;
- goto inline$BDLHandleRemove$0$label_108#1;
-
- inline$BDLHandleRemove$0$label_108#1:
- goto inline$BDLHandleRemove$0$label_81#1;
-
- inline$BDLHandleRemove$0$label_81#1:
- goto inline$IoSkipCurrentIrpStackLocation$0$Entry#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$Entry#1:
- inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12;
- goto inline$IoSkipCurrentIrpStackLocation$0$start#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$start#1:
- inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
- goto inline$IoSkipCurrentIrpStackLocation$0$label_3#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_3#1:
- goto inline$IoSkipCurrentIrpStackLocation$0$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$0$label_3_false#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_3_false#1:
- inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, inline$IoSkipCurrentIrpStackLocation$0$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$0$label_4#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_4#1:
- call inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$0$label_7#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_7#1:
- call inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$0$label_8#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_8#1:
- goto inline$IoSkipCurrentIrpStackLocation$0$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_3_true#1:
- inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, inline$IoSkipCurrentIrpStackLocation$0$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$0$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_5#1:
- inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0];
- call contextSwitch();
- goto inline$IoSkipCurrentIrpStackLocation$0$label_6#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_6#1:
- havoc inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0;
- inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0, 36, 1);
- goto inline$IoSkipCurrentIrpStackLocation$0$label_1#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$label_1#1:
- goto inline$IoSkipCurrentIrpStackLocation$0$Return#1;
-
- inline$IoSkipCurrentIrpStackLocation$0$Return#1:
- goto inline$BDLHandleRemove$0$label_81$1#1;
-
- inline$BDLHandleRemove$0$label_81$1#1:
- goto inline$BDLHandleRemove$0$anon52_Then#1, inline$BDLHandleRemove$0$anon52_Else#1;
-
- inline$BDLHandleRemove$0$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon21#1;
-
- inline$BDLHandleRemove$0$anon21#1:
- goto inline$BDLHandleRemove$0$label_109#1;
-
- inline$BDLHandleRemove$0$label_109#1:
- goto inline$storm_IoCallDriver$4$Entry#1;
-
- inline$storm_IoCallDriver$4$Entry#1:
- inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12;
- goto inline$storm_IoCallDriver$4$start#1;
-
- inline$storm_IoCallDriver$4$start#1:
- inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$4$label_3#1;
-
- inline$storm_IoCallDriver$4$label_3#1:
- goto inline$storm_IoCallDriver$4$label_4#1;
-
- inline$storm_IoCallDriver$4$label_4#1:
- goto inline$storm_IoCallDriver$4$label_5#1;
-
- inline$storm_IoCallDriver$4$label_5#1:
- goto inline$storm_IoCallDriver$4$label_6#1;
-
- inline$storm_IoCallDriver$4$label_6#1:
- call inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$4$label_9#1;
-
- inline$storm_IoCallDriver$4$label_9#1:
- goto inline$storm_IoCallDriver$4$label_9_true#1, inline$storm_IoCallDriver$4$label_9_false#1;
-
- inline$storm_IoCallDriver$4$label_9_false#1:
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$4$label_10#1;
-
- inline$storm_IoCallDriver$4$label_9_true#1:
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$4$label_13#1;
-
- inline$storm_IoCallDriver$4$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$4$anon12_Then#1, inline$storm_IoCallDriver$4$anon12_Else#1;
-
- inline$storm_IoCallDriver$4$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$4$anon3#1;
-
- inline$storm_IoCallDriver$4$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$4$label_14#1;
-
- inline$storm_IoCallDriver$4$label_14#1:
- goto inline$storm_IoCallDriver$4$label_14_true#1, inline$storm_IoCallDriver$4$label_14_false#1;
-
- inline$storm_IoCallDriver$4$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$4$label_15#1;
-
- inline$storm_IoCallDriver$4$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$4$label_1#1;
-
- inline$storm_IoCallDriver$4$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$4$label_10#1;
-
- inline$storm_IoCallDriver$4$label_10#1:
- goto inline$IoSetNextIrpStackLocation$5$Entry#1;
-
- inline$IoSetNextIrpStackLocation$5$Entry#1:
- inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$5$start#1;
-
- inline$IoSetNextIrpStackLocation$5$start#1:
- inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$5$label_3#1;
-
- inline$IoSetNextIrpStackLocation$5$label_3#1:
- goto inline$IoSetNextIrpStackLocation$5$label_3_true#1, inline$IoSetNextIrpStackLocation$5$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$5$label_3_false#1:
- inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$5$myVar_0);
- goto inline$IoSetNextIrpStackLocation$5$label_4#1;
-
- inline$IoSetNextIrpStackLocation$5$label_4#1:
- call inline$IoSetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$5$label_7#1;
-
- inline$IoSetNextIrpStackLocation$5$label_7#1:
- call inline$IoSetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$5$label_8#1;
-
- inline$IoSetNextIrpStackLocation$5$label_8#1:
- goto inline$IoSetNextIrpStackLocation$5$label_5#1;
-
- inline$IoSetNextIrpStackLocation$5$label_3_true#1:
- inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$5$myVar_0);
- goto inline$IoSetNextIrpStackLocation$5$label_5#1;
-
- inline$IoSetNextIrpStackLocation$5$label_5#1:
- inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$5$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$5$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$5$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$5$label_6#1;
-
- inline$IoSetNextIrpStackLocation$5$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$5$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$5$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$5$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$5$label_1#1;
-
- inline$IoSetNextIrpStackLocation$5$label_1#1:
- goto inline$IoSetNextIrpStackLocation$5$Return#1;
-
- inline$IoSetNextIrpStackLocation$5$Return#1:
- goto inline$storm_IoCallDriver$4$label_10$1#1;
-
- inline$storm_IoCallDriver$4$label_10$1#1:
- goto inline$storm_IoCallDriver$4$anon11_Then#1, inline$storm_IoCallDriver$4$anon11_Else#1;
-
- inline$storm_IoCallDriver$4$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$4$anon1#1;
-
- inline$storm_IoCallDriver$4$anon1#1:
- goto inline$storm_IoCallDriver$4$label_18#1;
-
- inline$storm_IoCallDriver$4$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$43$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$43$Entry#1:
- inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$43$start#1;
-
- inline$IoGetCurrentIrpStackLocation$43$start#1:
- inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$43$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$43$label_3_true#1, inline$IoGetCurrentIrpStackLocation$43$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$43$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$43$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$43$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$43$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$43$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$43$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$43$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$43$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$43$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$43$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$43$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$43$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$43$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$43$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$43$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$43$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$43$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$43$Return#1:
- goto inline$storm_IoCallDriver$4$label_18$1#1;
-
- inline$storm_IoCallDriver$4$label_18$1#1:
- goto inline$storm_IoCallDriver$4$anon13_Then#1, inline$storm_IoCallDriver$4$anon13_Else#1;
-
- inline$storm_IoCallDriver$4$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$4$anon6#1;
-
- inline$storm_IoCallDriver$4$anon6#1:
- goto inline$storm_IoCallDriver$4$label_21#1;
-
- inline$storm_IoCallDriver$4$label_21#1:
- goto inline$storm_IoCallDriver$4$label_22#1;
-
- inline$storm_IoCallDriver$4$label_22#1:
- goto inline$storm_IoCallDriver$4$label_23#1;
-
- inline$storm_IoCallDriver$4$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$4$label_24#1;
-
- inline$storm_IoCallDriver$4$label_24#1:
- call inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$4$label_27#1;
-
- inline$storm_IoCallDriver$4$label_27#1:
- goto inline$storm_IoCallDriver$4$label_27_case_0#1, inline$storm_IoCallDriver$4$label_27_case_1#1, inline$storm_IoCallDriver$4$label_27_case_2#1;
-
- inline$storm_IoCallDriver$4$label_27_case_2#1:
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$4$label_30#1;
-
- inline$storm_IoCallDriver$4$label_30#1:
- inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$4$label_31#1;
-
- inline$storm_IoCallDriver$4$label_31#1:
- goto inline$storm_IoCallDriver$4$label_32#1;
-
- inline$storm_IoCallDriver$4$label_32#1:
- goto inline$storm_IoCallDriver$4$label_33#1;
-
- inline$storm_IoCallDriver$4$label_33#1:
- goto inline$CallCompletionRoutine$8$Entry#1;
-
- inline$CallCompletionRoutine$8$Entry#1:
- inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$8$start#1;
-
- inline$CallCompletionRoutine$8$start#1:
- inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$8$label_3#1;
-
- inline$CallCompletionRoutine$8$label_3#1:
- goto inline$CallCompletionRoutine$8$label_4#1;
-
- inline$CallCompletionRoutine$8$label_4#1:
- goto inline$CallCompletionRoutine$8$label_5#1;
-
- inline$CallCompletionRoutine$8$label_5#1:
- goto inline$CallCompletionRoutine$8$label_6#1;
-
- inline$CallCompletionRoutine$8$label_6#1:
- goto inline$CallCompletionRoutine$8$label_7#1;
-
- inline$CallCompletionRoutine$8$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$44$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$44$Entry#1:
- inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$44$start#1;
-
- inline$IoGetCurrentIrpStackLocation$44$start#1:
- inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$44$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$44$label_3_true#1, inline$IoGetCurrentIrpStackLocation$44$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$44$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$44$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$44$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$44$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$44$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$44$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$44$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$44$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$44$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$44$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$44$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$44$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$44$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$44$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$44$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$44$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$44$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$44$Return#1:
- goto inline$CallCompletionRoutine$8$label_7$1#1;
-
- inline$CallCompletionRoutine$8$label_7$1#1:
- goto inline$CallCompletionRoutine$8$anon10_Then#1, inline$CallCompletionRoutine$8$anon10_Else#1;
-
- inline$CallCompletionRoutine$8$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$8$anon1#1;
-
- inline$CallCompletionRoutine$8$anon1#1:
- goto inline$CallCompletionRoutine$8$label_10#1;
-
- inline$CallCompletionRoutine$8$label_10#1:
- goto inline$CallCompletionRoutine$8$label_11#1;
-
- inline$CallCompletionRoutine$8$label_11#1:
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$CallCompletionRoutine$8$label_12#1;
-
- inline$CallCompletionRoutine$8$label_12#1:
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$CallCompletionRoutine$8$label_13#1;
-
- inline$CallCompletionRoutine$8$label_13#1:
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$CallCompletionRoutine$8$label_14#1;
-
- inline$CallCompletionRoutine$8$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$45$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$45$Entry#1:
- inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$45$start#1;
-
- inline$IoGetCurrentIrpStackLocation$45$start#1:
- inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$45$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$45$label_3_true#1, inline$IoGetCurrentIrpStackLocation$45$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$45$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$45$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$45$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$45$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$45$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$45$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$45$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$45$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$45$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$45$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$45$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$45$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$45$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$45$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$45$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$45$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$45$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$45$Return#1:
- goto inline$CallCompletionRoutine$8$label_14$1#1;
-
- inline$CallCompletionRoutine$8$label_14$1#1:
- goto inline$CallCompletionRoutine$8$anon11_Then#1, inline$CallCompletionRoutine$8$anon11_Else#1;
-
- inline$CallCompletionRoutine$8$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$8$anon3#1;
-
- inline$CallCompletionRoutine$8$anon3#1:
- goto inline$CallCompletionRoutine$8$label_17#1;
-
- inline$CallCompletionRoutine$8$label_17#1:
- goto inline$CallCompletionRoutine$8$label_18#1;
-
- inline$CallCompletionRoutine$8$label_18#1:
- goto inline$CallCompletionRoutine$8$label_18_true#1, inline$CallCompletionRoutine$8$label_18_false#1;
-
- inline$CallCompletionRoutine$8$label_18_false#1:
- assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$8$label_1#1;
-
- inline$CallCompletionRoutine$8$label_18_true#1:
- assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$8$label_19#1;
-
- inline$CallCompletionRoutine$8$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$8$label_20#1;
-
- inline$CallCompletionRoutine$8$label_20#1:
- goto inline$CallCompletionRoutine$8$label_20_icall_1#1, inline$CallCompletionRoutine$8$label_20_icall_2#1, inline$CallCompletionRoutine$8$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$8$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$8$Entry#1:
- inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$8$start#1;
-
- inline$BDLSystemPowerIoCompletion$8$start#1:
- call inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$8$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_4#1:
- inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_6#1:
- inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$8$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$8$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$47$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$47$Entry#1:
- inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$47$start#1;
-
- inline$IoGetCurrentIrpStackLocation$47$start#1:
- inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$47$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$47$label_3_true#1, inline$IoGetCurrentIrpStackLocation$47$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$47$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$47$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$47$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$47$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$47$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$47$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$47$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$47$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$47$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$47$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$47$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$47$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$47$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$47$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$47$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$47$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$47$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$47$Return#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon36_Then#1, inline$BDLSystemPowerIoCompletion$8$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_15#1:
- goto inline$BDLGetDebugLevel$244$Entry#1;
-
- inline$BDLGetDebugLevel$244$Entry#1:
- goto inline$BDLGetDebugLevel$244$start#1;
-
- inline$BDLGetDebugLevel$244$start#1:
- goto inline$BDLGetDebugLevel$244$label_3#1;
-
- inline$BDLGetDebugLevel$244$label_3#1:
- havoc inline$BDLGetDebugLevel$244$myNondetVar_0;
- inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$244$myNondetVar_0;
- goto inline$BDLGetDebugLevel$244$label_1#1;
-
- inline$BDLGetDebugLevel$244$label_1#1:
- goto inline$BDLGetDebugLevel$244$Return#1;
-
- inline$BDLGetDebugLevel$244$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon37_Then#1, inline$BDLSystemPowerIoCompletion$8$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_18_true#1, inline$BDLSystemPowerIoCompletion$8$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_22#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_23#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_24#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$8$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_19#1:
- goto inline$BDLGetDebugLevel$245$Entry#1;
-
- inline$BDLGetDebugLevel$245$Entry#1:
- goto inline$BDLGetDebugLevel$245$start#1;
-
- inline$BDLGetDebugLevel$245$start#1:
- goto inline$BDLGetDebugLevel$245$label_3#1;
-
- inline$BDLGetDebugLevel$245$label_3#1:
- havoc inline$BDLGetDebugLevel$245$myNondetVar_0;
- inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$245$myNondetVar_0;
- goto inline$BDLGetDebugLevel$245$label_1#1;
-
- inline$BDLGetDebugLevel$245$label_1#1:
- goto inline$BDLGetDebugLevel$245$Return#1;
-
- inline$BDLGetDebugLevel$245$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon38_Then#1, inline$BDLSystemPowerIoCompletion$8$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_28_true#1, inline$BDLSystemPowerIoCompletion$8$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_32#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_33#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_34#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$8$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_29#1:
- goto inline$BDLGetDebugLevel$246$Entry#1;
-
- inline$BDLGetDebugLevel$246$Entry#1:
- goto inline$BDLGetDebugLevel$246$start#1;
-
- inline$BDLGetDebugLevel$246$start#1:
- goto inline$BDLGetDebugLevel$246$label_3#1;
-
- inline$BDLGetDebugLevel$246$label_3#1:
- havoc inline$BDLGetDebugLevel$246$myNondetVar_0;
- inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$246$myNondetVar_0;
- goto inline$BDLGetDebugLevel$246$label_1#1;
-
- inline$BDLGetDebugLevel$246$label_1#1:
- goto inline$BDLGetDebugLevel$246$Return#1;
-
- inline$BDLGetDebugLevel$246$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon39_Then#1, inline$BDLSystemPowerIoCompletion$8$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_38_true#1, inline$BDLSystemPowerIoCompletion$8$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_39_true#1, inline$BDLSystemPowerIoCompletion$8$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$8$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$8$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$8$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_106_true#1, inline$BDLSystemPowerIoCompletion$8$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_142#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_143#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$8$myNondetVar_0, inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$8$anon50_Then#1, inline$BDLSystemPowerIoCompletion$8$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_112#1:
- inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$8$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_113_true#1, inline$BDLSystemPowerIoCompletion$8$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$8$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_117#1:
- goto inline$BDLGetDebugLevel$253$Entry#1;
-
- inline$BDLGetDebugLevel$253$Entry#1:
- goto inline$BDLGetDebugLevel$253$start#1;
-
- inline$BDLGetDebugLevel$253$start#1:
- goto inline$BDLGetDebugLevel$253$label_3#1;
-
- inline$BDLGetDebugLevel$253$label_3#1:
- havoc inline$BDLGetDebugLevel$253$myNondetVar_0;
- inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$253$myNondetVar_0;
- goto inline$BDLGetDebugLevel$253$label_1#1;
-
- inline$BDLGetDebugLevel$253$label_1#1:
- goto inline$BDLGetDebugLevel$253$Return#1;
-
- inline$BDLGetDebugLevel$253$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon51_Then#1, inline$BDLSystemPowerIoCompletion$8$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_120_true#1, inline$BDLSystemPowerIoCompletion$8$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_124#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_125#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_126#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$8$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_121#1:
- goto inline$BDLGetDebugLevel$254$Entry#1;
-
- inline$BDLGetDebugLevel$254$Entry#1:
- goto inline$BDLGetDebugLevel$254$start#1;
-
- inline$BDLGetDebugLevel$254$start#1:
- goto inline$BDLGetDebugLevel$254$label_3#1;
-
- inline$BDLGetDebugLevel$254$label_3#1:
- havoc inline$BDLGetDebugLevel$254$myNondetVar_0;
- inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$254$myNondetVar_0;
- goto inline$BDLGetDebugLevel$254$label_1#1;
-
- inline$BDLGetDebugLevel$254$label_1#1:
- goto inline$BDLGetDebugLevel$254$Return#1;
-
- inline$BDLGetDebugLevel$254$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon52_Then#1, inline$BDLSystemPowerIoCompletion$8$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_130_true#1, inline$BDLSystemPowerIoCompletion$8$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_134#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_135#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_136#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$8$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_131#1:
- goto inline$BDLGetDebugLevel$255$Entry#1;
-
- inline$BDLGetDebugLevel$255$Entry#1:
- goto inline$BDLGetDebugLevel$255$start#1;
-
- inline$BDLGetDebugLevel$255$start#1:
- goto inline$BDLGetDebugLevel$255$label_3#1;
-
- inline$BDLGetDebugLevel$255$label_3#1:
- havoc inline$BDLGetDebugLevel$255$myNondetVar_0;
- inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$255$myNondetVar_0;
- goto inline$BDLGetDebugLevel$255$label_1#1;
-
- inline$BDLGetDebugLevel$255$label_1#1:
- goto inline$BDLGetDebugLevel$255$Return#1;
-
- inline$BDLGetDebugLevel$255$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon53_Then#1, inline$BDLSystemPowerIoCompletion$8$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_140_true#1, inline$BDLSystemPowerIoCompletion$8$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$8$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_115#1:
- inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$8$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$8$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_43#1:
- goto inline$BDLGetDebugLevel$247$Entry#1;
-
- inline$BDLGetDebugLevel$247$Entry#1:
- goto inline$BDLGetDebugLevel$247$start#1;
-
- inline$BDLGetDebugLevel$247$start#1:
- goto inline$BDLGetDebugLevel$247$label_3#1;
-
- inline$BDLGetDebugLevel$247$label_3#1:
- havoc inline$BDLGetDebugLevel$247$myNondetVar_0;
- inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$247$myNondetVar_0;
- goto inline$BDLGetDebugLevel$247$label_1#1;
-
- inline$BDLGetDebugLevel$247$label_1#1:
- goto inline$BDLGetDebugLevel$247$Return#1;
-
- inline$BDLGetDebugLevel$247$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon40_Then#1, inline$BDLSystemPowerIoCompletion$8$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_46_true#1, inline$BDLSystemPowerIoCompletion$8$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_50#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_51#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_52#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$8$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_47#1:
- goto inline$BDLGetDebugLevel$248$Entry#1;
-
- inline$BDLGetDebugLevel$248$Entry#1:
- goto inline$BDLGetDebugLevel$248$start#1;
-
- inline$BDLGetDebugLevel$248$start#1:
- goto inline$BDLGetDebugLevel$248$label_3#1;
-
- inline$BDLGetDebugLevel$248$label_3#1:
- havoc inline$BDLGetDebugLevel$248$myNondetVar_0;
- inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$248$myNondetVar_0;
- goto inline$BDLGetDebugLevel$248$label_1#1;
-
- inline$BDLGetDebugLevel$248$label_1#1:
- goto inline$BDLGetDebugLevel$248$Return#1;
-
- inline$BDLGetDebugLevel$248$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon41_Then#1, inline$BDLSystemPowerIoCompletion$8$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_56_true#1, inline$BDLSystemPowerIoCompletion$8$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_60#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_61#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_62#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$8$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_57#1:
- goto inline$BDLGetDebugLevel$249$Entry#1;
-
- inline$BDLGetDebugLevel$249$Entry#1:
- goto inline$BDLGetDebugLevel$249$start#1;
-
- inline$BDLGetDebugLevel$249$start#1:
- goto inline$BDLGetDebugLevel$249$label_3#1;
-
- inline$BDLGetDebugLevel$249$label_3#1:
- havoc inline$BDLGetDebugLevel$249$myNondetVar_0;
- inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$249$myNondetVar_0;
- goto inline$BDLGetDebugLevel$249$label_1#1;
-
- inline$BDLGetDebugLevel$249$label_1#1:
- goto inline$BDLGetDebugLevel$249$Return#1;
-
- inline$BDLGetDebugLevel$249$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon42_Then#1, inline$BDLSystemPowerIoCompletion$8$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_66_true#1, inline$BDLSystemPowerIoCompletion$8$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$8$anon43_Then#1, inline$BDLSystemPowerIoCompletion$8$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$8$anon44_Then#1, inline$BDLSystemPowerIoCompletion$8$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_74#1:
- goto inline$storm_IoCompleteRequest$18$Entry#1;
-
- inline$storm_IoCompleteRequest$18$Entry#1:
- inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$18$start#1;
-
- inline$storm_IoCompleteRequest$18$start#1:
- inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$18$label_3#1;
-
- inline$storm_IoCompleteRequest$18$label_3#1:
- call inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$18$label_6#1;
-
- inline$storm_IoCompleteRequest$18$label_6#1:
- goto inline$storm_IoCompleteRequest$18$label_6_true#1, inline$storm_IoCompleteRequest$18$label_6_false#1;
-
- inline$storm_IoCompleteRequest$18$label_6_false#1:
- assume inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$18$label_7#1;
-
- inline$storm_IoCompleteRequest$18$label_6_true#1:
- assume inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$18$label_8#1;
-
- inline$storm_IoCompleteRequest$18$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$18$anon3_Then#1, inline$storm_IoCompleteRequest$18$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$18$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$18$anon1#1;
-
- inline$storm_IoCompleteRequest$18$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$18$label_9#1;
-
- inline$storm_IoCompleteRequest$18$label_9#1:
- goto inline$storm_IoCompleteRequest$18$label_9_true#1, inline$storm_IoCompleteRequest$18$label_9_false#1;
-
- inline$storm_IoCompleteRequest$18$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$18$label_10#1;
-
- inline$storm_IoCompleteRequest$18$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$18$label_1#1;
-
- inline$storm_IoCompleteRequest$18$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$18$label_7#1;
-
- inline$storm_IoCompleteRequest$18$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$18$label_1#1;
-
- inline$storm_IoCompleteRequest$18$label_1#1:
- goto inline$storm_IoCompleteRequest$18$Return#1;
-
- inline$storm_IoCompleteRequest$18$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$18$Return#1;
-
- inline$storm_IoCompleteRequest$18$Return#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon45_Then#1, inline$BDLSystemPowerIoCompletion$8$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$8$anon46_Then#1, inline$BDLSystemPowerIoCompletion$8$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_80#1:
- goto inline$BDLGetDebugLevel$250$Entry#1;
-
- inline$BDLGetDebugLevel$250$Entry#1:
- goto inline$BDLGetDebugLevel$250$start#1;
-
- inline$BDLGetDebugLevel$250$start#1:
- goto inline$BDLGetDebugLevel$250$label_3#1;
-
- inline$BDLGetDebugLevel$250$label_3#1:
- havoc inline$BDLGetDebugLevel$250$myNondetVar_0;
- inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$250$myNondetVar_0;
- goto inline$BDLGetDebugLevel$250$label_1#1;
-
- inline$BDLGetDebugLevel$250$label_1#1:
- goto inline$BDLGetDebugLevel$250$Return#1;
-
- inline$BDLGetDebugLevel$250$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon47_Then#1, inline$BDLSystemPowerIoCompletion$8$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_83_true#1, inline$BDLSystemPowerIoCompletion$8$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_87#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_88#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_89#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$8$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_84#1:
- goto inline$BDLGetDebugLevel$251$Entry#1;
-
- inline$BDLGetDebugLevel$251$Entry#1:
- goto inline$BDLGetDebugLevel$251$start#1;
-
- inline$BDLGetDebugLevel$251$start#1:
- goto inline$BDLGetDebugLevel$251$label_3#1;
-
- inline$BDLGetDebugLevel$251$label_3#1:
- havoc inline$BDLGetDebugLevel$251$myNondetVar_0;
- inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$251$myNondetVar_0;
- goto inline$BDLGetDebugLevel$251$label_1#1;
-
- inline$BDLGetDebugLevel$251$label_1#1:
- goto inline$BDLGetDebugLevel$251$Return#1;
-
- inline$BDLGetDebugLevel$251$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon48_Then#1, inline$BDLSystemPowerIoCompletion$8$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_93_true#1, inline$BDLSystemPowerIoCompletion$8$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_97#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_98#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_99#1:
- call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$8$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$8$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_94#1:
- goto inline$BDLGetDebugLevel$252$Entry#1;
-
- inline$BDLGetDebugLevel$252$Entry#1:
- goto inline$BDLGetDebugLevel$252$start#1;
-
- inline$BDLGetDebugLevel$252$start#1:
- goto inline$BDLGetDebugLevel$252$label_3#1;
-
- inline$BDLGetDebugLevel$252$label_3#1:
- havoc inline$BDLGetDebugLevel$252$myNondetVar_0;
- inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$252$myNondetVar_0;
- goto inline$BDLGetDebugLevel$252$label_1#1;
-
- inline$BDLGetDebugLevel$252$label_1#1:
- goto inline$BDLGetDebugLevel$252$Return#1;
-
- inline$BDLGetDebugLevel$252$Return#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$8$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$8$anon49_Then#1, inline$BDLSystemPowerIoCompletion$8$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_103_true#1, inline$BDLSystemPowerIoCompletion$8$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$8$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$8$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_104#1:
- inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$8$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$8$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$8$Return#1;
-
- inline$BDLSystemPowerIoCompletion$8$Return#1:
- inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$8$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$8$anon14_Then#1, inline$CallCompletionRoutine$8$anon14_Else#1;
-
- inline$CallCompletionRoutine$8$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$8$anon9#1;
-
- inline$CallCompletionRoutine$8$anon9#1:
- goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$8$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$8$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$8$Entry#1:
- inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$8$start#1;
-
- inline$BDLDevicePowerIoCompletion$8$start#1:
- call inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$8$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_4#1:
- inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_6#1:
- inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$8$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$8$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$46$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$46$Entry#1:
- inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$46$start#1;
-
- inline$IoGetCurrentIrpStackLocation$46$start#1:
- inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$46$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$46$label_3_true#1, inline$IoGetCurrentIrpStackLocation$46$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$46$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$46$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$46$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$46$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$46$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$46$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$46$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$46$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$46$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$46$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$46$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$46$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$46$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$46$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$46$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$46$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$46$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon30_Then#1, inline$BDLDevicePowerIoCompletion$8$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_13#1:
- inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$8$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$8$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_17#1:
- goto inline$BDLGetDebugLevel$235$Entry#1;
-
- inline$BDLGetDebugLevel$235$Entry#1:
- goto inline$BDLGetDebugLevel$235$start#1;
-
- inline$BDLGetDebugLevel$235$start#1:
- goto inline$BDLGetDebugLevel$235$label_3#1;
-
- inline$BDLGetDebugLevel$235$label_3#1:
- havoc inline$BDLGetDebugLevel$235$myNondetVar_0;
- inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$235$myNondetVar_0;
- goto inline$BDLGetDebugLevel$235$label_1#1;
-
- inline$BDLGetDebugLevel$235$label_1#1:
- goto inline$BDLGetDebugLevel$235$Return#1;
-
- inline$BDLGetDebugLevel$235$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon31_Then#1, inline$BDLDevicePowerIoCompletion$8$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_20_true#1, inline$BDLDevicePowerIoCompletion$8$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_24#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_25#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_26#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$8$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_21#1:
- goto inline$BDLGetDebugLevel$236$Entry#1;
-
- inline$BDLGetDebugLevel$236$Entry#1:
- goto inline$BDLGetDebugLevel$236$start#1;
-
- inline$BDLGetDebugLevel$236$start#1:
- goto inline$BDLGetDebugLevel$236$label_3#1;
-
- inline$BDLGetDebugLevel$236$label_3#1:
- havoc inline$BDLGetDebugLevel$236$myNondetVar_0;
- inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$236$myNondetVar_0;
- goto inline$BDLGetDebugLevel$236$label_1#1;
-
- inline$BDLGetDebugLevel$236$label_1#1:
- goto inline$BDLGetDebugLevel$236$Return#1;
-
- inline$BDLGetDebugLevel$236$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon32_Then#1, inline$BDLDevicePowerIoCompletion$8$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_30_true#1, inline$BDLDevicePowerIoCompletion$8$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_34#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_35#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_36#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$8$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_31#1:
- goto inline$BDLGetDebugLevel$237$Entry#1;
-
- inline$BDLGetDebugLevel$237$Entry#1:
- goto inline$BDLGetDebugLevel$237$start#1;
-
- inline$BDLGetDebugLevel$237$start#1:
- goto inline$BDLGetDebugLevel$237$label_3#1;
-
- inline$BDLGetDebugLevel$237$label_3#1:
- havoc inline$BDLGetDebugLevel$237$myNondetVar_0;
- inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$237$myNondetVar_0;
- goto inline$BDLGetDebugLevel$237$label_1#1;
-
- inline$BDLGetDebugLevel$237$label_1#1:
- goto inline$BDLGetDebugLevel$237$Return#1;
-
- inline$BDLGetDebugLevel$237$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon33_Then#1, inline$BDLDevicePowerIoCompletion$8$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_40_true#1, inline$BDLDevicePowerIoCompletion$8$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_41_true#1, inline$BDLDevicePowerIoCompletion$8$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$8$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$8$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_44_true#1, inline$BDLDevicePowerIoCompletion$8$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$8$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_45_true#1, inline$BDLDevicePowerIoCompletion$8$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$8$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$8$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$8$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$8$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$8$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_54#1:
- inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$8$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_55_true#1, inline$BDLDevicePowerIoCompletion$8$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_56#1:
- call inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$8$anon34_Then#1, inline$BDLDevicePowerIoCompletion$8$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_59#1:
- goto inline$BDLGetDebugLevel$238$Entry#1;
-
- inline$BDLGetDebugLevel$238$Entry#1:
- goto inline$BDLGetDebugLevel$238$start#1;
-
- inline$BDLGetDebugLevel$238$start#1:
- goto inline$BDLGetDebugLevel$238$label_3#1;
-
- inline$BDLGetDebugLevel$238$label_3#1:
- havoc inline$BDLGetDebugLevel$238$myNondetVar_0;
- inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$238$myNondetVar_0;
- goto inline$BDLGetDebugLevel$238$label_1#1;
-
- inline$BDLGetDebugLevel$238$label_1#1:
- goto inline$BDLGetDebugLevel$238$Return#1;
-
- inline$BDLGetDebugLevel$238$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon35_Then#1, inline$BDLDevicePowerIoCompletion$8$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_62_true#1, inline$BDLDevicePowerIoCompletion$8$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_66#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_67#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_68#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$8$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_63#1:
- goto inline$BDLGetDebugLevel$239$Entry#1;
-
- inline$BDLGetDebugLevel$239$Entry#1:
- goto inline$BDLGetDebugLevel$239$start#1;
-
- inline$BDLGetDebugLevel$239$start#1:
- goto inline$BDLGetDebugLevel$239$label_3#1;
-
- inline$BDLGetDebugLevel$239$label_3#1:
- havoc inline$BDLGetDebugLevel$239$myNondetVar_0;
- inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$239$myNondetVar_0;
- goto inline$BDLGetDebugLevel$239$label_1#1;
-
- inline$BDLGetDebugLevel$239$label_1#1:
- goto inline$BDLGetDebugLevel$239$Return#1;
-
- inline$BDLGetDebugLevel$239$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon36_Then#1, inline$BDLDevicePowerIoCompletion$8$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_72_true#1, inline$BDLDevicePowerIoCompletion$8$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_76#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_77#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_78#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$8$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_73#1:
- goto inline$BDLGetDebugLevel$240$Entry#1;
-
- inline$BDLGetDebugLevel$240$Entry#1:
- goto inline$BDLGetDebugLevel$240$start#1;
-
- inline$BDLGetDebugLevel$240$start#1:
- goto inline$BDLGetDebugLevel$240$label_3#1;
-
- inline$BDLGetDebugLevel$240$label_3#1:
- havoc inline$BDLGetDebugLevel$240$myNondetVar_0;
- inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$240$myNondetVar_0;
- goto inline$BDLGetDebugLevel$240$label_1#1;
-
- inline$BDLGetDebugLevel$240$label_1#1:
- goto inline$BDLGetDebugLevel$240$Return#1;
-
- inline$BDLGetDebugLevel$240$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon37_Then#1, inline$BDLDevicePowerIoCompletion$8$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_82_true#1, inline$BDLDevicePowerIoCompletion$8$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$8$anon38_Then#1, inline$BDLDevicePowerIoCompletion$8$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$8$anon39_Then#1, inline$BDLDevicePowerIoCompletion$8$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_92#1:
- goto inline$storm_IoCompleteRequest$17$Entry#1;
-
- inline$storm_IoCompleteRequest$17$Entry#1:
- inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$17$start#1;
-
- inline$storm_IoCompleteRequest$17$start#1:
- inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$17$label_3#1;
-
- inline$storm_IoCompleteRequest$17$label_3#1:
- call inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$17$label_6#1;
-
- inline$storm_IoCompleteRequest$17$label_6#1:
- goto inline$storm_IoCompleteRequest$17$label_6_true#1, inline$storm_IoCompleteRequest$17$label_6_false#1;
-
- inline$storm_IoCompleteRequest$17$label_6_false#1:
- assume inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$17$label_7#1;
-
- inline$storm_IoCompleteRequest$17$label_6_true#1:
- assume inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$17$label_8#1;
-
- inline$storm_IoCompleteRequest$17$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$17$anon3_Then#1, inline$storm_IoCompleteRequest$17$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$17$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$17$anon1#1;
-
- inline$storm_IoCompleteRequest$17$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$17$label_9#1;
-
- inline$storm_IoCompleteRequest$17$label_9#1:
- goto inline$storm_IoCompleteRequest$17$label_9_true#1, inline$storm_IoCompleteRequest$17$label_9_false#1;
-
- inline$storm_IoCompleteRequest$17$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$17$label_10#1;
-
- inline$storm_IoCompleteRequest$17$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$17$label_1#1;
-
- inline$storm_IoCompleteRequest$17$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$17$label_7#1;
-
- inline$storm_IoCompleteRequest$17$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$17$label_1#1;
-
- inline$storm_IoCompleteRequest$17$label_1#1:
- goto inline$storm_IoCompleteRequest$17$Return#1;
-
- inline$storm_IoCompleteRequest$17$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$17$Return#1;
-
- inline$storm_IoCompleteRequest$17$Return#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon40_Then#1, inline$BDLDevicePowerIoCompletion$8$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$8$anon41_Then#1, inline$BDLDevicePowerIoCompletion$8$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_98#1:
- goto inline$BDLGetDebugLevel$241$Entry#1;
-
- inline$BDLGetDebugLevel$241$Entry#1:
- goto inline$BDLGetDebugLevel$241$start#1;
-
- inline$BDLGetDebugLevel$241$start#1:
- goto inline$BDLGetDebugLevel$241$label_3#1;
-
- inline$BDLGetDebugLevel$241$label_3#1:
- havoc inline$BDLGetDebugLevel$241$myNondetVar_0;
- inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$241$myNondetVar_0;
- goto inline$BDLGetDebugLevel$241$label_1#1;
-
- inline$BDLGetDebugLevel$241$label_1#1:
- goto inline$BDLGetDebugLevel$241$Return#1;
-
- inline$BDLGetDebugLevel$241$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon42_Then#1, inline$BDLDevicePowerIoCompletion$8$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_101_true#1, inline$BDLDevicePowerIoCompletion$8$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_105#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_106#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_107#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$8$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_102#1:
- goto inline$BDLGetDebugLevel$242$Entry#1;
-
- inline$BDLGetDebugLevel$242$Entry#1:
- goto inline$BDLGetDebugLevel$242$start#1;
-
- inline$BDLGetDebugLevel$242$start#1:
- goto inline$BDLGetDebugLevel$242$label_3#1;
-
- inline$BDLGetDebugLevel$242$label_3#1:
- havoc inline$BDLGetDebugLevel$242$myNondetVar_0;
- inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$242$myNondetVar_0;
- goto inline$BDLGetDebugLevel$242$label_1#1;
-
- inline$BDLGetDebugLevel$242$label_1#1:
- goto inline$BDLGetDebugLevel$242$Return#1;
-
- inline$BDLGetDebugLevel$242$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon43_Then#1, inline$BDLDevicePowerIoCompletion$8$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_111_true#1, inline$BDLDevicePowerIoCompletion$8$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_115#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_116#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_117#1:
- call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$8$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$8$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_112#1:
- goto inline$BDLGetDebugLevel$243$Entry#1;
-
- inline$BDLGetDebugLevel$243$Entry#1:
- goto inline$BDLGetDebugLevel$243$start#1;
-
- inline$BDLGetDebugLevel$243$start#1:
- goto inline$BDLGetDebugLevel$243$label_3#1;
-
- inline$BDLGetDebugLevel$243$label_3#1:
- havoc inline$BDLGetDebugLevel$243$myNondetVar_0;
- inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$243$myNondetVar_0;
- goto inline$BDLGetDebugLevel$243$label_1#1;
-
- inline$BDLGetDebugLevel$243$label_1#1:
- goto inline$BDLGetDebugLevel$243$Return#1;
-
- inline$BDLGetDebugLevel$243$Return#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$8$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$8$anon44_Then#1, inline$BDLDevicePowerIoCompletion$8$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_121_true#1, inline$BDLDevicePowerIoCompletion$8$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$8$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$8$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_122#1:
- inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$8$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$8$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$8$Return#1;
-
- inline$BDLDevicePowerIoCompletion$8$Return#1:
- inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$8$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$8$anon13_Then#1, inline$CallCompletionRoutine$8$anon13_Else#1;
-
- inline$CallCompletionRoutine$8$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$8$anon7#1;
-
- inline$CallCompletionRoutine$8$anon7#1:
- goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$8$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$8$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$8$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$8$Entry#1:
- inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$8$start#1;
-
- inline$BDLCallDriverCompletionRoutine$8$start#1:
- inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$8$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$8$label_3_true#1, inline$BDLCallDriverCompletionRoutine$8$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$8$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$8$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$8$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$8$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_6#1:
- goto inline$storm_KeSetEvent$10$Entry#1;
-
- inline$storm_KeSetEvent$10$Entry#1:
- inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$10$start#1;
-
- inline$storm_KeSetEvent$10$start#1:
- inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$10$label_3#1;
-
- inline$storm_KeSetEvent$10$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$10$label_1#1;
-
- inline$storm_KeSetEvent$10$label_1#1:
- goto inline$storm_KeSetEvent$10$Return#1;
-
- inline$storm_KeSetEvent$10$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$8$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$8$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$8$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$8$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$8$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$8$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$8$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_9#1:
- inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$8$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$8$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$8$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$8$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$8$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$8$Return#1:
- inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$8$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$8$anon12_Then#1, inline$CallCompletionRoutine$8$anon12_Else#1;
-
- inline$CallCompletionRoutine$8$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$8$anon5#1;
-
- inline$CallCompletionRoutine$8$anon5#1:
- goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$8$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$8$label_23#1;
-
- inline$CallCompletionRoutine$8$label_23#1:
- inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$8$label_24#1;
-
- inline$CallCompletionRoutine$8$label_24#1:
- goto inline$CallCompletionRoutine$8$label_24_true#1, inline$CallCompletionRoutine$8$label_24_false#1;
-
- inline$CallCompletionRoutine$8$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$8$label_1#1;
-
- inline$CallCompletionRoutine$8$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$8$label_25#1;
-
- inline$CallCompletionRoutine$8$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$8$label_1#1;
-
- inline$CallCompletionRoutine$8$label_1#1:
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$8$Return#1;
-
- inline$CallCompletionRoutine$8$Return#1:
- goto inline$storm_IoCallDriver$4$label_33$1#1;
-
- inline$storm_IoCallDriver$4$label_33$1#1:
- goto inline$storm_IoCallDriver$4$anon14_Then#1, inline$storm_IoCallDriver$4$anon14_Else#1;
-
- inline$storm_IoCallDriver$4$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$4$anon8#1;
-
- inline$storm_IoCallDriver$4$anon8#1:
- goto inline$storm_IoCallDriver$4$label_36#1;
-
- inline$storm_IoCallDriver$4$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$label_27_case_1#1:
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$4$label_29#1;
-
- inline$storm_IoCallDriver$4$label_29#1:
- inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$4$label_37#1;
-
- inline$storm_IoCallDriver$4$label_37#1:
- goto inline$storm_IoCallDriver$4$label_38#1;
-
- inline$storm_IoCallDriver$4$label_38#1:
- goto inline$storm_IoCallDriver$4$label_39#1;
-
- inline$storm_IoCallDriver$4$label_39#1:
- goto inline$CallCompletionRoutine$9$Entry#1;
-
- inline$CallCompletionRoutine$9$Entry#1:
- inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$9$start#1;
-
- inline$CallCompletionRoutine$9$start#1:
- inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$9$label_3#1;
-
- inline$CallCompletionRoutine$9$label_3#1:
- goto inline$CallCompletionRoutine$9$label_4#1;
-
- inline$CallCompletionRoutine$9$label_4#1:
- goto inline$CallCompletionRoutine$9$label_5#1;
-
- inline$CallCompletionRoutine$9$label_5#1:
- goto inline$CallCompletionRoutine$9$label_6#1;
-
- inline$CallCompletionRoutine$9$label_6#1:
- goto inline$CallCompletionRoutine$9$label_7#1;
-
- inline$CallCompletionRoutine$9$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$48$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$48$Entry#1:
- inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$48$start#1;
-
- inline$IoGetCurrentIrpStackLocation$48$start#1:
- inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$48$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$48$label_3_true#1, inline$IoGetCurrentIrpStackLocation$48$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$48$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$48$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$48$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$48$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$48$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$48$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$48$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$48$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$48$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$48$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$48$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$48$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$48$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$48$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$48$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$48$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$48$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$48$Return#1:
- goto inline$CallCompletionRoutine$9$label_7$1#1;
-
- inline$CallCompletionRoutine$9$label_7$1#1:
- goto inline$CallCompletionRoutine$9$anon10_Then#1, inline$CallCompletionRoutine$9$anon10_Else#1;
-
- inline$CallCompletionRoutine$9$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$9$anon1#1;
-
- inline$CallCompletionRoutine$9$anon1#1:
- goto inline$CallCompletionRoutine$9$label_10#1;
-
- inline$CallCompletionRoutine$9$label_10#1:
- goto inline$CallCompletionRoutine$9$label_11#1;
-
- inline$CallCompletionRoutine$9$label_11#1:
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$CallCompletionRoutine$9$label_12#1;
-
- inline$CallCompletionRoutine$9$label_12#1:
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$CallCompletionRoutine$9$label_13#1;
-
- inline$CallCompletionRoutine$9$label_13#1:
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$CallCompletionRoutine$9$label_14#1;
-
- inline$CallCompletionRoutine$9$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$49$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$49$Entry#1:
- inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$49$start#1;
-
- inline$IoGetCurrentIrpStackLocation$49$start#1:
- inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$49$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$49$label_3_true#1, inline$IoGetCurrentIrpStackLocation$49$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$49$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$49$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$49$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$49$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$49$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$49$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$49$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$49$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$49$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$49$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$49$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$49$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$49$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$49$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$49$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$49$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$49$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$49$Return#1:
- goto inline$CallCompletionRoutine$9$label_14$1#1;
-
- inline$CallCompletionRoutine$9$label_14$1#1:
- goto inline$CallCompletionRoutine$9$anon11_Then#1, inline$CallCompletionRoutine$9$anon11_Else#1;
-
- inline$CallCompletionRoutine$9$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$9$anon3#1;
-
- inline$CallCompletionRoutine$9$anon3#1:
- goto inline$CallCompletionRoutine$9$label_17#1;
-
- inline$CallCompletionRoutine$9$label_17#1:
- goto inline$CallCompletionRoutine$9$label_18#1;
-
- inline$CallCompletionRoutine$9$label_18#1:
- goto inline$CallCompletionRoutine$9$label_18_true#1, inline$CallCompletionRoutine$9$label_18_false#1;
-
- inline$CallCompletionRoutine$9$label_18_false#1:
- assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$9$label_1#1;
-
- inline$CallCompletionRoutine$9$label_18_true#1:
- assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$9$label_19#1;
-
- inline$CallCompletionRoutine$9$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$9$label_20#1;
-
- inline$CallCompletionRoutine$9$label_20#1:
- goto inline$CallCompletionRoutine$9$label_20_icall_1#1, inline$CallCompletionRoutine$9$label_20_icall_2#1, inline$CallCompletionRoutine$9$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$9$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$9$Entry#1:
- inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$9$start#1;
-
- inline$BDLSystemPowerIoCompletion$9$start#1:
- call inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$9$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_4#1:
- inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_6#1:
- inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$9$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$9$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$51$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$51$Entry#1:
- inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$51$start#1;
-
- inline$IoGetCurrentIrpStackLocation$51$start#1:
- inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$51$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$51$label_3_true#1, inline$IoGetCurrentIrpStackLocation$51$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$51$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$51$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$51$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$51$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$51$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$51$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$51$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$51$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$51$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$51$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$51$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$51$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$51$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$51$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$51$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$51$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$51$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$51$Return#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon36_Then#1, inline$BDLSystemPowerIoCompletion$9$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_15#1:
- goto inline$BDLGetDebugLevel$265$Entry#1;
-
- inline$BDLGetDebugLevel$265$Entry#1:
- goto inline$BDLGetDebugLevel$265$start#1;
-
- inline$BDLGetDebugLevel$265$start#1:
- goto inline$BDLGetDebugLevel$265$label_3#1;
-
- inline$BDLGetDebugLevel$265$label_3#1:
- havoc inline$BDLGetDebugLevel$265$myNondetVar_0;
- inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$265$myNondetVar_0;
- goto inline$BDLGetDebugLevel$265$label_1#1;
-
- inline$BDLGetDebugLevel$265$label_1#1:
- goto inline$BDLGetDebugLevel$265$Return#1;
-
- inline$BDLGetDebugLevel$265$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon37_Then#1, inline$BDLSystemPowerIoCompletion$9$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_18_true#1, inline$BDLSystemPowerIoCompletion$9$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_22#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_23#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_24#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$9$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_19#1:
- goto inline$BDLGetDebugLevel$266$Entry#1;
-
- inline$BDLGetDebugLevel$266$Entry#1:
- goto inline$BDLGetDebugLevel$266$start#1;
-
- inline$BDLGetDebugLevel$266$start#1:
- goto inline$BDLGetDebugLevel$266$label_3#1;
-
- inline$BDLGetDebugLevel$266$label_3#1:
- havoc inline$BDLGetDebugLevel$266$myNondetVar_0;
- inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$266$myNondetVar_0;
- goto inline$BDLGetDebugLevel$266$label_1#1;
-
- inline$BDLGetDebugLevel$266$label_1#1:
- goto inline$BDLGetDebugLevel$266$Return#1;
-
- inline$BDLGetDebugLevel$266$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon38_Then#1, inline$BDLSystemPowerIoCompletion$9$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_28_true#1, inline$BDLSystemPowerIoCompletion$9$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_32#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_33#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_34#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$9$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_29#1:
- goto inline$BDLGetDebugLevel$267$Entry#1;
-
- inline$BDLGetDebugLevel$267$Entry#1:
- goto inline$BDLGetDebugLevel$267$start#1;
-
- inline$BDLGetDebugLevel$267$start#1:
- goto inline$BDLGetDebugLevel$267$label_3#1;
-
- inline$BDLGetDebugLevel$267$label_3#1:
- havoc inline$BDLGetDebugLevel$267$myNondetVar_0;
- inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$267$myNondetVar_0;
- goto inline$BDLGetDebugLevel$267$label_1#1;
-
- inline$BDLGetDebugLevel$267$label_1#1:
- goto inline$BDLGetDebugLevel$267$Return#1;
-
- inline$BDLGetDebugLevel$267$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon39_Then#1, inline$BDLSystemPowerIoCompletion$9$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_38_true#1, inline$BDLSystemPowerIoCompletion$9$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_39_true#1, inline$BDLSystemPowerIoCompletion$9$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$9$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$9$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$9$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_106_true#1, inline$BDLSystemPowerIoCompletion$9$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_142#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_143#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$9$myNondetVar_0, inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$9$anon50_Then#1, inline$BDLSystemPowerIoCompletion$9$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_112#1:
- inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$9$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_113_true#1, inline$BDLSystemPowerIoCompletion$9$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$9$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_117#1:
- goto inline$BDLGetDebugLevel$274$Entry#1;
-
- inline$BDLGetDebugLevel$274$Entry#1:
- goto inline$BDLGetDebugLevel$274$start#1;
-
- inline$BDLGetDebugLevel$274$start#1:
- goto inline$BDLGetDebugLevel$274$label_3#1;
-
- inline$BDLGetDebugLevel$274$label_3#1:
- havoc inline$BDLGetDebugLevel$274$myNondetVar_0;
- inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$274$myNondetVar_0;
- goto inline$BDLGetDebugLevel$274$label_1#1;
-
- inline$BDLGetDebugLevel$274$label_1#1:
- goto inline$BDLGetDebugLevel$274$Return#1;
-
- inline$BDLGetDebugLevel$274$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon51_Then#1, inline$BDLSystemPowerIoCompletion$9$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_120_true#1, inline$BDLSystemPowerIoCompletion$9$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_124#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_125#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_126#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$9$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_121#1:
- goto inline$BDLGetDebugLevel$275$Entry#1;
-
- inline$BDLGetDebugLevel$275$Entry#1:
- goto inline$BDLGetDebugLevel$275$start#1;
-
- inline$BDLGetDebugLevel$275$start#1:
- goto inline$BDLGetDebugLevel$275$label_3#1;
-
- inline$BDLGetDebugLevel$275$label_3#1:
- havoc inline$BDLGetDebugLevel$275$myNondetVar_0;
- inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$275$myNondetVar_0;
- goto inline$BDLGetDebugLevel$275$label_1#1;
-
- inline$BDLGetDebugLevel$275$label_1#1:
- goto inline$BDLGetDebugLevel$275$Return#1;
-
- inline$BDLGetDebugLevel$275$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon52_Then#1, inline$BDLSystemPowerIoCompletion$9$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_130_true#1, inline$BDLSystemPowerIoCompletion$9$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_134#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_135#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_136#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$9$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_131#1:
- goto inline$BDLGetDebugLevel$276$Entry#1;
-
- inline$BDLGetDebugLevel$276$Entry#1:
- goto inline$BDLGetDebugLevel$276$start#1;
-
- inline$BDLGetDebugLevel$276$start#1:
- goto inline$BDLGetDebugLevel$276$label_3#1;
-
- inline$BDLGetDebugLevel$276$label_3#1:
- havoc inline$BDLGetDebugLevel$276$myNondetVar_0;
- inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$276$myNondetVar_0;
- goto inline$BDLGetDebugLevel$276$label_1#1;
-
- inline$BDLGetDebugLevel$276$label_1#1:
- goto inline$BDLGetDebugLevel$276$Return#1;
-
- inline$BDLGetDebugLevel$276$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon53_Then#1, inline$BDLSystemPowerIoCompletion$9$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_140_true#1, inline$BDLSystemPowerIoCompletion$9$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$9$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_115#1:
- inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$9$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$9$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_43#1:
- goto inline$BDLGetDebugLevel$268$Entry#1;
-
- inline$BDLGetDebugLevel$268$Entry#1:
- goto inline$BDLGetDebugLevel$268$start#1;
-
- inline$BDLGetDebugLevel$268$start#1:
- goto inline$BDLGetDebugLevel$268$label_3#1;
-
- inline$BDLGetDebugLevel$268$label_3#1:
- havoc inline$BDLGetDebugLevel$268$myNondetVar_0;
- inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$268$myNondetVar_0;
- goto inline$BDLGetDebugLevel$268$label_1#1;
-
- inline$BDLGetDebugLevel$268$label_1#1:
- goto inline$BDLGetDebugLevel$268$Return#1;
-
- inline$BDLGetDebugLevel$268$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon40_Then#1, inline$BDLSystemPowerIoCompletion$9$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_46_true#1, inline$BDLSystemPowerIoCompletion$9$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_50#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_51#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_52#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$9$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_47#1:
- goto inline$BDLGetDebugLevel$269$Entry#1;
-
- inline$BDLGetDebugLevel$269$Entry#1:
- goto inline$BDLGetDebugLevel$269$start#1;
-
- inline$BDLGetDebugLevel$269$start#1:
- goto inline$BDLGetDebugLevel$269$label_3#1;
-
- inline$BDLGetDebugLevel$269$label_3#1:
- havoc inline$BDLGetDebugLevel$269$myNondetVar_0;
- inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$269$myNondetVar_0;
- goto inline$BDLGetDebugLevel$269$label_1#1;
-
- inline$BDLGetDebugLevel$269$label_1#1:
- goto inline$BDLGetDebugLevel$269$Return#1;
-
- inline$BDLGetDebugLevel$269$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon41_Then#1, inline$BDLSystemPowerIoCompletion$9$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_56_true#1, inline$BDLSystemPowerIoCompletion$9$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_60#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_61#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_62#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$9$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_57#1:
- goto inline$BDLGetDebugLevel$270$Entry#1;
-
- inline$BDLGetDebugLevel$270$Entry#1:
- goto inline$BDLGetDebugLevel$270$start#1;
-
- inline$BDLGetDebugLevel$270$start#1:
- goto inline$BDLGetDebugLevel$270$label_3#1;
-
- inline$BDLGetDebugLevel$270$label_3#1:
- havoc inline$BDLGetDebugLevel$270$myNondetVar_0;
- inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$270$myNondetVar_0;
- goto inline$BDLGetDebugLevel$270$label_1#1;
-
- inline$BDLGetDebugLevel$270$label_1#1:
- goto inline$BDLGetDebugLevel$270$Return#1;
-
- inline$BDLGetDebugLevel$270$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon42_Then#1, inline$BDLSystemPowerIoCompletion$9$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_66_true#1, inline$BDLSystemPowerIoCompletion$9$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$9$anon43_Then#1, inline$BDLSystemPowerIoCompletion$9$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$9$anon44_Then#1, inline$BDLSystemPowerIoCompletion$9$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_74#1:
- goto inline$storm_IoCompleteRequest$20$Entry#1;
-
- inline$storm_IoCompleteRequest$20$Entry#1:
- inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$20$start#1;
-
- inline$storm_IoCompleteRequest$20$start#1:
- inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$20$label_3#1;
-
- inline$storm_IoCompleteRequest$20$label_3#1:
- call inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$20$label_6#1;
-
- inline$storm_IoCompleteRequest$20$label_6#1:
- goto inline$storm_IoCompleteRequest$20$label_6_true#1, inline$storm_IoCompleteRequest$20$label_6_false#1;
-
- inline$storm_IoCompleteRequest$20$label_6_false#1:
- assume inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$20$label_7#1;
-
- inline$storm_IoCompleteRequest$20$label_6_true#1:
- assume inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$20$label_8#1;
-
- inline$storm_IoCompleteRequest$20$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$20$anon3_Then#1, inline$storm_IoCompleteRequest$20$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$20$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$20$anon1#1;
-
- inline$storm_IoCompleteRequest$20$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$20$label_9#1;
-
- inline$storm_IoCompleteRequest$20$label_9#1:
- goto inline$storm_IoCompleteRequest$20$label_9_true#1, inline$storm_IoCompleteRequest$20$label_9_false#1;
-
- inline$storm_IoCompleteRequest$20$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$20$label_10#1;
-
- inline$storm_IoCompleteRequest$20$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$20$label_1#1;
-
- inline$storm_IoCompleteRequest$20$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$20$label_7#1;
-
- inline$storm_IoCompleteRequest$20$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$20$label_1#1;
-
- inline$storm_IoCompleteRequest$20$label_1#1:
- goto inline$storm_IoCompleteRequest$20$Return#1;
-
- inline$storm_IoCompleteRequest$20$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$20$Return#1;
-
- inline$storm_IoCompleteRequest$20$Return#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon45_Then#1, inline$BDLSystemPowerIoCompletion$9$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$9$anon46_Then#1, inline$BDLSystemPowerIoCompletion$9$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_80#1:
- goto inline$BDLGetDebugLevel$271$Entry#1;
-
- inline$BDLGetDebugLevel$271$Entry#1:
- goto inline$BDLGetDebugLevel$271$start#1;
-
- inline$BDLGetDebugLevel$271$start#1:
- goto inline$BDLGetDebugLevel$271$label_3#1;
-
- inline$BDLGetDebugLevel$271$label_3#1:
- havoc inline$BDLGetDebugLevel$271$myNondetVar_0;
- inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$271$myNondetVar_0;
- goto inline$BDLGetDebugLevel$271$label_1#1;
-
- inline$BDLGetDebugLevel$271$label_1#1:
- goto inline$BDLGetDebugLevel$271$Return#1;
-
- inline$BDLGetDebugLevel$271$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon47_Then#1, inline$BDLSystemPowerIoCompletion$9$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_83_true#1, inline$BDLSystemPowerIoCompletion$9$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_87#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_88#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_89#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$9$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_84#1:
- goto inline$BDLGetDebugLevel$272$Entry#1;
-
- inline$BDLGetDebugLevel$272$Entry#1:
- goto inline$BDLGetDebugLevel$272$start#1;
-
- inline$BDLGetDebugLevel$272$start#1:
- goto inline$BDLGetDebugLevel$272$label_3#1;
-
- inline$BDLGetDebugLevel$272$label_3#1:
- havoc inline$BDLGetDebugLevel$272$myNondetVar_0;
- inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$272$myNondetVar_0;
- goto inline$BDLGetDebugLevel$272$label_1#1;
-
- inline$BDLGetDebugLevel$272$label_1#1:
- goto inline$BDLGetDebugLevel$272$Return#1;
-
- inline$BDLGetDebugLevel$272$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon48_Then#1, inline$BDLSystemPowerIoCompletion$9$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_93_true#1, inline$BDLSystemPowerIoCompletion$9$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_97#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_98#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_99#1:
- call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$9$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$9$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_94#1:
- goto inline$BDLGetDebugLevel$273$Entry#1;
-
- inline$BDLGetDebugLevel$273$Entry#1:
- goto inline$BDLGetDebugLevel$273$start#1;
-
- inline$BDLGetDebugLevel$273$start#1:
- goto inline$BDLGetDebugLevel$273$label_3#1;
-
- inline$BDLGetDebugLevel$273$label_3#1:
- havoc inline$BDLGetDebugLevel$273$myNondetVar_0;
- inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$273$myNondetVar_0;
- goto inline$BDLGetDebugLevel$273$label_1#1;
-
- inline$BDLGetDebugLevel$273$label_1#1:
- goto inline$BDLGetDebugLevel$273$Return#1;
-
- inline$BDLGetDebugLevel$273$Return#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$9$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$9$anon49_Then#1, inline$BDLSystemPowerIoCompletion$9$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_103_true#1, inline$BDLSystemPowerIoCompletion$9$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$9$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$9$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_104#1:
- inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$9$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$9$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$9$Return#1;
-
- inline$BDLSystemPowerIoCompletion$9$Return#1:
- inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$9$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$9$anon14_Then#1, inline$CallCompletionRoutine$9$anon14_Else#1;
-
- inline$CallCompletionRoutine$9$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$9$anon9#1;
-
- inline$CallCompletionRoutine$9$anon9#1:
- goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$9$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$9$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$9$Entry#1:
- inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$9$start#1;
-
- inline$BDLDevicePowerIoCompletion$9$start#1:
- call inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$9$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_4#1:
- inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_6#1:
- inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$9$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$9$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$50$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$50$Entry#1:
- inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$50$start#1;
-
- inline$IoGetCurrentIrpStackLocation$50$start#1:
- inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$50$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$50$label_3_true#1, inline$IoGetCurrentIrpStackLocation$50$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$50$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$50$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$50$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$50$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$50$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$50$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$50$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$50$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$50$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$50$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$50$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$50$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$50$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$50$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$50$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$50$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$50$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon30_Then#1, inline$BDLDevicePowerIoCompletion$9$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_13#1:
- inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$9$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$9$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_17#1:
- goto inline$BDLGetDebugLevel$256$Entry#1;
-
- inline$BDLGetDebugLevel$256$Entry#1:
- goto inline$BDLGetDebugLevel$256$start#1;
-
- inline$BDLGetDebugLevel$256$start#1:
- goto inline$BDLGetDebugLevel$256$label_3#1;
-
- inline$BDLGetDebugLevel$256$label_3#1:
- havoc inline$BDLGetDebugLevel$256$myNondetVar_0;
- inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$256$myNondetVar_0;
- goto inline$BDLGetDebugLevel$256$label_1#1;
-
- inline$BDLGetDebugLevel$256$label_1#1:
- goto inline$BDLGetDebugLevel$256$Return#1;
-
- inline$BDLGetDebugLevel$256$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon31_Then#1, inline$BDLDevicePowerIoCompletion$9$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_20_true#1, inline$BDLDevicePowerIoCompletion$9$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_24#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_25#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_26#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$9$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_21#1:
- goto inline$BDLGetDebugLevel$257$Entry#1;
-
- inline$BDLGetDebugLevel$257$Entry#1:
- goto inline$BDLGetDebugLevel$257$start#1;
-
- inline$BDLGetDebugLevel$257$start#1:
- goto inline$BDLGetDebugLevel$257$label_3#1;
-
- inline$BDLGetDebugLevel$257$label_3#1:
- havoc inline$BDLGetDebugLevel$257$myNondetVar_0;
- inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$257$myNondetVar_0;
- goto inline$BDLGetDebugLevel$257$label_1#1;
-
- inline$BDLGetDebugLevel$257$label_1#1:
- goto inline$BDLGetDebugLevel$257$Return#1;
-
- inline$BDLGetDebugLevel$257$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon32_Then#1, inline$BDLDevicePowerIoCompletion$9$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_30_true#1, inline$BDLDevicePowerIoCompletion$9$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_34#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_35#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_36#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$9$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_31#1:
- goto inline$BDLGetDebugLevel$258$Entry#1;
-
- inline$BDLGetDebugLevel$258$Entry#1:
- goto inline$BDLGetDebugLevel$258$start#1;
-
- inline$BDLGetDebugLevel$258$start#1:
- goto inline$BDLGetDebugLevel$258$label_3#1;
-
- inline$BDLGetDebugLevel$258$label_3#1:
- havoc inline$BDLGetDebugLevel$258$myNondetVar_0;
- inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$258$myNondetVar_0;
- goto inline$BDLGetDebugLevel$258$label_1#1;
-
- inline$BDLGetDebugLevel$258$label_1#1:
- goto inline$BDLGetDebugLevel$258$Return#1;
-
- inline$BDLGetDebugLevel$258$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon33_Then#1, inline$BDLDevicePowerIoCompletion$9$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_40_true#1, inline$BDLDevicePowerIoCompletion$9$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_41_true#1, inline$BDLDevicePowerIoCompletion$9$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$9$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$9$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_44_true#1, inline$BDLDevicePowerIoCompletion$9$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$9$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_45_true#1, inline$BDLDevicePowerIoCompletion$9$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$9$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$9$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$9$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$9$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$9$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_54#1:
- inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$9$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_55_true#1, inline$BDLDevicePowerIoCompletion$9$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_56#1:
- call inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$9$anon34_Then#1, inline$BDLDevicePowerIoCompletion$9$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_59#1:
- goto inline$BDLGetDebugLevel$259$Entry#1;
-
- inline$BDLGetDebugLevel$259$Entry#1:
- goto inline$BDLGetDebugLevel$259$start#1;
-
- inline$BDLGetDebugLevel$259$start#1:
- goto inline$BDLGetDebugLevel$259$label_3#1;
-
- inline$BDLGetDebugLevel$259$label_3#1:
- havoc inline$BDLGetDebugLevel$259$myNondetVar_0;
- inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$259$myNondetVar_0;
- goto inline$BDLGetDebugLevel$259$label_1#1;
-
- inline$BDLGetDebugLevel$259$label_1#1:
- goto inline$BDLGetDebugLevel$259$Return#1;
-
- inline$BDLGetDebugLevel$259$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon35_Then#1, inline$BDLDevicePowerIoCompletion$9$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_62_true#1, inline$BDLDevicePowerIoCompletion$9$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_66#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_67#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_68#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$9$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_63#1:
- goto inline$BDLGetDebugLevel$260$Entry#1;
-
- inline$BDLGetDebugLevel$260$Entry#1:
- goto inline$BDLGetDebugLevel$260$start#1;
-
- inline$BDLGetDebugLevel$260$start#1:
- goto inline$BDLGetDebugLevel$260$label_3#1;
-
- inline$BDLGetDebugLevel$260$label_3#1:
- havoc inline$BDLGetDebugLevel$260$myNondetVar_0;
- inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$260$myNondetVar_0;
- goto inline$BDLGetDebugLevel$260$label_1#1;
-
- inline$BDLGetDebugLevel$260$label_1#1:
- goto inline$BDLGetDebugLevel$260$Return#1;
-
- inline$BDLGetDebugLevel$260$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon36_Then#1, inline$BDLDevicePowerIoCompletion$9$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_72_true#1, inline$BDLDevicePowerIoCompletion$9$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_76#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_77#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_78#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$9$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_73#1:
- goto inline$BDLGetDebugLevel$261$Entry#1;
-
- inline$BDLGetDebugLevel$261$Entry#1:
- goto inline$BDLGetDebugLevel$261$start#1;
-
- inline$BDLGetDebugLevel$261$start#1:
- goto inline$BDLGetDebugLevel$261$label_3#1;
-
- inline$BDLGetDebugLevel$261$label_3#1:
- havoc inline$BDLGetDebugLevel$261$myNondetVar_0;
- inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$261$myNondetVar_0;
- goto inline$BDLGetDebugLevel$261$label_1#1;
-
- inline$BDLGetDebugLevel$261$label_1#1:
- goto inline$BDLGetDebugLevel$261$Return#1;
-
- inline$BDLGetDebugLevel$261$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon37_Then#1, inline$BDLDevicePowerIoCompletion$9$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_82_true#1, inline$BDLDevicePowerIoCompletion$9$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$9$anon38_Then#1, inline$BDLDevicePowerIoCompletion$9$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$9$anon39_Then#1, inline$BDLDevicePowerIoCompletion$9$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_92#1:
- goto inline$storm_IoCompleteRequest$19$Entry#1;
-
- inline$storm_IoCompleteRequest$19$Entry#1:
- inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$19$start#1;
-
- inline$storm_IoCompleteRequest$19$start#1:
- inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$19$label_3#1;
-
- inline$storm_IoCompleteRequest$19$label_3#1:
- call inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$19$label_6#1;
-
- inline$storm_IoCompleteRequest$19$label_6#1:
- goto inline$storm_IoCompleteRequest$19$label_6_true#1, inline$storm_IoCompleteRequest$19$label_6_false#1;
-
- inline$storm_IoCompleteRequest$19$label_6_false#1:
- assume inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$19$label_7#1;
-
- inline$storm_IoCompleteRequest$19$label_6_true#1:
- assume inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$19$label_8#1;
-
- inline$storm_IoCompleteRequest$19$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$19$anon3_Then#1, inline$storm_IoCompleteRequest$19$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$19$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$19$anon1#1;
-
- inline$storm_IoCompleteRequest$19$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$19$label_9#1;
-
- inline$storm_IoCompleteRequest$19$label_9#1:
- goto inline$storm_IoCompleteRequest$19$label_9_true#1, inline$storm_IoCompleteRequest$19$label_9_false#1;
-
- inline$storm_IoCompleteRequest$19$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$19$label_10#1;
-
- inline$storm_IoCompleteRequest$19$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$19$label_1#1;
-
- inline$storm_IoCompleteRequest$19$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$19$label_7#1;
-
- inline$storm_IoCompleteRequest$19$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$19$label_1#1;
-
- inline$storm_IoCompleteRequest$19$label_1#1:
- goto inline$storm_IoCompleteRequest$19$Return#1;
-
- inline$storm_IoCompleteRequest$19$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$19$Return#1;
-
- inline$storm_IoCompleteRequest$19$Return#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon40_Then#1, inline$BDLDevicePowerIoCompletion$9$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$9$anon41_Then#1, inline$BDLDevicePowerIoCompletion$9$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_98#1:
- goto inline$BDLGetDebugLevel$262$Entry#1;
-
- inline$BDLGetDebugLevel$262$Entry#1:
- goto inline$BDLGetDebugLevel$262$start#1;
-
- inline$BDLGetDebugLevel$262$start#1:
- goto inline$BDLGetDebugLevel$262$label_3#1;
-
- inline$BDLGetDebugLevel$262$label_3#1:
- havoc inline$BDLGetDebugLevel$262$myNondetVar_0;
- inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$262$myNondetVar_0;
- goto inline$BDLGetDebugLevel$262$label_1#1;
-
- inline$BDLGetDebugLevel$262$label_1#1:
- goto inline$BDLGetDebugLevel$262$Return#1;
-
- inline$BDLGetDebugLevel$262$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon42_Then#1, inline$BDLDevicePowerIoCompletion$9$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_101_true#1, inline$BDLDevicePowerIoCompletion$9$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_105#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_106#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_107#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$9$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_102#1:
- goto inline$BDLGetDebugLevel$263$Entry#1;
-
- inline$BDLGetDebugLevel$263$Entry#1:
- goto inline$BDLGetDebugLevel$263$start#1;
-
- inline$BDLGetDebugLevel$263$start#1:
- goto inline$BDLGetDebugLevel$263$label_3#1;
-
- inline$BDLGetDebugLevel$263$label_3#1:
- havoc inline$BDLGetDebugLevel$263$myNondetVar_0;
- inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$263$myNondetVar_0;
- goto inline$BDLGetDebugLevel$263$label_1#1;
-
- inline$BDLGetDebugLevel$263$label_1#1:
- goto inline$BDLGetDebugLevel$263$Return#1;
-
- inline$BDLGetDebugLevel$263$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon43_Then#1, inline$BDLDevicePowerIoCompletion$9$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_111_true#1, inline$BDLDevicePowerIoCompletion$9$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_115#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_116#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_117#1:
- call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$9$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$9$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_112#1:
- goto inline$BDLGetDebugLevel$264$Entry#1;
-
- inline$BDLGetDebugLevel$264$Entry#1:
- goto inline$BDLGetDebugLevel$264$start#1;
-
- inline$BDLGetDebugLevel$264$start#1:
- goto inline$BDLGetDebugLevel$264$label_3#1;
-
- inline$BDLGetDebugLevel$264$label_3#1:
- havoc inline$BDLGetDebugLevel$264$myNondetVar_0;
- inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$264$myNondetVar_0;
- goto inline$BDLGetDebugLevel$264$label_1#1;
-
- inline$BDLGetDebugLevel$264$label_1#1:
- goto inline$BDLGetDebugLevel$264$Return#1;
-
- inline$BDLGetDebugLevel$264$Return#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$9$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$9$anon44_Then#1, inline$BDLDevicePowerIoCompletion$9$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_121_true#1, inline$BDLDevicePowerIoCompletion$9$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$9$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$9$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_122#1:
- inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$9$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$9$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$9$Return#1;
-
- inline$BDLDevicePowerIoCompletion$9$Return#1:
- inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$9$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$9$anon13_Then#1, inline$CallCompletionRoutine$9$anon13_Else#1;
-
- inline$CallCompletionRoutine$9$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$9$anon7#1;
-
- inline$CallCompletionRoutine$9$anon7#1:
- goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$9$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$9$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$9$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$9$Entry#1:
- inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$9$start#1;
-
- inline$BDLCallDriverCompletionRoutine$9$start#1:
- inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$9$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$9$label_3_true#1, inline$BDLCallDriverCompletionRoutine$9$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$9$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$9$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$9$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$9$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_6#1:
- goto inline$storm_KeSetEvent$11$Entry#1;
-
- inline$storm_KeSetEvent$11$Entry#1:
- inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$11$start#1;
-
- inline$storm_KeSetEvent$11$start#1:
- inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$11$label_3#1;
-
- inline$storm_KeSetEvent$11$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$11$label_1#1;
-
- inline$storm_KeSetEvent$11$label_1#1:
- goto inline$storm_KeSetEvent$11$Return#1;
-
- inline$storm_KeSetEvent$11$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$9$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$9$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$9$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$9$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$9$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$9$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$9$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_9#1:
- inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$9$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$9$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$9$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$9$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$9$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$9$Return#1:
- inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$9$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$9$anon12_Then#1, inline$CallCompletionRoutine$9$anon12_Else#1;
-
- inline$CallCompletionRoutine$9$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$9$anon5#1;
-
- inline$CallCompletionRoutine$9$anon5#1:
- goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$9$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$9$label_23#1;
-
- inline$CallCompletionRoutine$9$label_23#1:
- inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$9$label_24#1;
-
- inline$CallCompletionRoutine$9$label_24#1:
- goto inline$CallCompletionRoutine$9$label_24_true#1, inline$CallCompletionRoutine$9$label_24_false#1;
-
- inline$CallCompletionRoutine$9$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$9$label_1#1;
-
- inline$CallCompletionRoutine$9$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$9$label_25#1;
-
- inline$CallCompletionRoutine$9$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$9$label_1#1;
-
- inline$CallCompletionRoutine$9$label_1#1:
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$9$Return#1;
-
- inline$CallCompletionRoutine$9$Return#1:
- goto inline$storm_IoCallDriver$4$label_39$1#1;
-
- inline$storm_IoCallDriver$4$label_39$1#1:
- goto inline$storm_IoCallDriver$4$anon15_Then#1, inline$storm_IoCallDriver$4$anon15_Else#1;
-
- inline$storm_IoCallDriver$4$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$4$anon10#1;
-
- inline$storm_IoCallDriver$4$anon10#1:
- goto inline$storm_IoCallDriver$4$label_36#1;
-
- inline$storm_IoCallDriver$4$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$label_27_case_0#1:
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$4$label_28#1;
-
- inline$storm_IoCallDriver$4$label_28#1:
- inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$4$label_42#1;
-
- inline$storm_IoCallDriver$4$label_42#1:
- goto inline$storm_IoCallDriver$4$label_43#1;
-
- inline$storm_IoCallDriver$4$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$4$label_36#1;
-
- inline$storm_IoCallDriver$4$label_36#1:
- inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$4$label_1#1;
-
- inline$storm_IoCallDriver$4$label_1#1:
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$4$Return#1;
-
- inline$storm_IoCallDriver$4$Return#1:
- inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$ := inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLHandleRemove$0$label_109$1#1;
-
- inline$BDLHandleRemove$0$label_109$1#1:
- goto inline$BDLHandleRemove$0$anon56_Then#1, inline$BDLHandleRemove$0$anon56_Else#1;
-
- inline$BDLHandleRemove$0$anon56_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon29#1;
-
- inline$BDLHandleRemove$0$anon29#1:
- goto inline$BDLHandleRemove$0$label_112#1;
-
- inline$BDLHandleRemove$0$label_112#1:
- inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$;
- goto inline$BDLHandleRemove$0$label_113#1;
-
- inline$BDLHandleRemove$0$label_113#1:
- goto inline$BDLHandleRemove$0$label_113_true#1, inline$BDLHandleRemove$0$label_113_false#1;
-
- inline$BDLHandleRemove$0$label_113_false#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
- goto inline$BDLHandleRemove$0$label_114#1;
-
- inline$BDLHandleRemove$0$label_113_true#1:
- assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
- goto inline$BDLHandleRemove$0$label_117#1;
-
- inline$BDLHandleRemove$0$label_117#1:
- goto inline$BDLGetDebugLevel$278$Entry#1;
-
- inline$BDLGetDebugLevel$278$Entry#1:
- goto inline$BDLGetDebugLevel$278$start#1;
-
- inline$BDLGetDebugLevel$278$start#1:
- goto inline$BDLGetDebugLevel$278$label_3#1;
-
- inline$BDLGetDebugLevel$278$label_3#1:
- havoc inline$BDLGetDebugLevel$278$myNondetVar_0;
- inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$278$myNondetVar_0;
- goto inline$BDLGetDebugLevel$278$label_1#1;
-
- inline$BDLGetDebugLevel$278$label_1#1:
- goto inline$BDLGetDebugLevel$278$Return#1;
-
- inline$BDLGetDebugLevel$278$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$ := inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_117$1#1;
-
- inline$BDLHandleRemove$0$label_117$1#1:
- goto inline$BDLHandleRemove$0$anon58_Then#1, inline$BDLHandleRemove$0$anon58_Else#1;
-
- inline$BDLHandleRemove$0$anon58_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon33#1;
-
- inline$BDLHandleRemove$0$anon33#1:
- goto inline$BDLHandleRemove$0$label_120#1;
-
- inline$BDLHandleRemove$0$label_120#1:
- goto inline$BDLHandleRemove$0$label_120_true#1, inline$BDLHandleRemove$0$label_120_false#1;
-
- inline$BDLHandleRemove$0$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$) == 0;
- goto inline$BDLHandleRemove$0$label_121#1;
-
- inline$BDLHandleRemove$0$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$) != 0;
- goto inline$BDLHandleRemove$0$label_124#1;
-
- inline$BDLHandleRemove$0$label_124#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_125#1;
-
- inline$BDLHandleRemove$0$label_125#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_126#1;
-
- inline$BDLHandleRemove$0$label_126#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_127#1;
-
- inline$BDLHandleRemove$0$label_127#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$41$;
- goto inline$BDLHandleRemove$0$label_121#1;
-
- inline$BDLHandleRemove$0$label_121#1:
- goto inline$BDLGetDebugLevel$279$Entry#1;
-
- inline$BDLGetDebugLevel$279$Entry#1:
- goto inline$BDLGetDebugLevel$279$start#1;
-
- inline$BDLGetDebugLevel$279$start#1:
- goto inline$BDLGetDebugLevel$279$label_3#1;
-
- inline$BDLGetDebugLevel$279$label_3#1:
- havoc inline$BDLGetDebugLevel$279$myNondetVar_0;
- inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$279$myNondetVar_0;
- goto inline$BDLGetDebugLevel$279$label_1#1;
-
- inline$BDLGetDebugLevel$279$label_1#1:
- goto inline$BDLGetDebugLevel$279$Return#1;
-
- inline$BDLGetDebugLevel$279$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$ := inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_121$1#1;
-
- inline$BDLHandleRemove$0$label_121$1#1:
- goto inline$BDLHandleRemove$0$anon59_Then#1, inline$BDLHandleRemove$0$anon59_Else#1;
-
- inline$BDLHandleRemove$0$anon59_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon35#1;
-
- inline$BDLHandleRemove$0$anon35#1:
- goto inline$BDLHandleRemove$0$label_130#1;
-
- inline$BDLHandleRemove$0$label_130#1:
- goto inline$BDLHandleRemove$0$label_130_true#1, inline$BDLHandleRemove$0$label_130_false#1;
-
- inline$BDLHandleRemove$0$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$) == 0;
- goto inline$BDLHandleRemove$0$label_131#1;
-
- inline$BDLHandleRemove$0$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$) != 0;
- goto inline$BDLHandleRemove$0$label_134#1;
-
- inline$BDLHandleRemove$0$label_134#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_135#1;
-
- inline$BDLHandleRemove$0$label_135#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_136#1;
-
- inline$BDLHandleRemove$0$label_136#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_137#1;
-
- inline$BDLHandleRemove$0$label_137#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$46$;
- goto inline$BDLHandleRemove$0$label_131#1;
-
- inline$BDLHandleRemove$0$label_131#1:
- goto inline$BDLGetDebugLevel$280$Entry#1;
-
- inline$BDLGetDebugLevel$280$Entry#1:
- goto inline$BDLGetDebugLevel$280$start#1;
-
- inline$BDLGetDebugLevel$280$start#1:
- goto inline$BDLGetDebugLevel$280$label_3#1;
-
- inline$BDLGetDebugLevel$280$label_3#1:
- havoc inline$BDLGetDebugLevel$280$myNondetVar_0;
- inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$280$myNondetVar_0;
- goto inline$BDLGetDebugLevel$280$label_1#1;
-
- inline$BDLGetDebugLevel$280$label_1#1:
- goto inline$BDLGetDebugLevel$280$Return#1;
-
- inline$BDLGetDebugLevel$280$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$ := inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_131$1#1;
-
- inline$BDLHandleRemove$0$label_131$1#1:
- goto inline$BDLHandleRemove$0$anon60_Then#1, inline$BDLHandleRemove$0$anon60_Else#1;
-
- inline$BDLHandleRemove$0$anon60_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon37#1;
-
- inline$BDLHandleRemove$0$anon37#1:
- goto inline$BDLHandleRemove$0$label_140#1;
-
- inline$BDLHandleRemove$0$label_140#1:
- goto inline$BDLHandleRemove$0$label_140_true#1, inline$BDLHandleRemove$0$label_140_false#1;
-
- inline$BDLHandleRemove$0$label_140_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$, 4) == 0;
- goto inline$BDLHandleRemove$0$label_114#1;
-
- inline$BDLHandleRemove$0$label_140_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$, 4) != 0;
- goto inline$BDLHandleRemove$0$label_141#1;
-
- inline$BDLHandleRemove$0$label_141#1:
- goto inline$BDLHandleRemove$0$label_114#1;
-
- inline$BDLHandleRemove$0$label_114#1:
- goto inline$BDLGetDebugLevel$277$Entry#1;
-
- inline$BDLGetDebugLevel$277$Entry#1:
- goto inline$BDLGetDebugLevel$277$start#1;
-
- inline$BDLGetDebugLevel$277$start#1:
- goto inline$BDLGetDebugLevel$277$label_3#1;
-
- inline$BDLGetDebugLevel$277$label_3#1:
- havoc inline$BDLGetDebugLevel$277$myNondetVar_0;
- inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$277$myNondetVar_0;
- goto inline$BDLGetDebugLevel$277$label_1#1;
-
- inline$BDLGetDebugLevel$277$label_1#1:
- goto inline$BDLGetDebugLevel$277$Return#1;
-
- inline$BDLGetDebugLevel$277$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$ := inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_114$1#1;
-
- inline$BDLHandleRemove$0$label_114$1#1:
- goto inline$BDLHandleRemove$0$anon57_Then#1, inline$BDLHandleRemove$0$anon57_Else#1;
-
- inline$BDLHandleRemove$0$anon57_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon31#1;
-
- inline$BDLHandleRemove$0$anon31#1:
- goto inline$BDLHandleRemove$0$label_142#1;
-
- inline$BDLHandleRemove$0$label_142#1:
- goto inline$BDLHandleRemove$0$label_142_true#1, inline$BDLHandleRemove$0$label_142_false#1;
-
- inline$BDLHandleRemove$0$label_142_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$) == 0;
- goto inline$BDLHandleRemove$0$label_143#1;
-
- inline$BDLHandleRemove$0$label_142_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$) != 0;
- goto inline$BDLHandleRemove$0$label_146#1;
-
- inline$BDLHandleRemove$0$label_146#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_147#1;
-
- inline$BDLHandleRemove$0$label_147#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_148#1;
-
- inline$BDLHandleRemove$0$label_148#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_149#1;
-
- inline$BDLHandleRemove$0$label_149#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$52$;
- goto inline$BDLHandleRemove$0$label_143#1;
-
- inline$BDLHandleRemove$0$label_143#1:
- goto inline$BDLGetDebugLevel$281$Entry#1;
-
- inline$BDLGetDebugLevel$281$Entry#1:
- goto inline$BDLGetDebugLevel$281$start#1;
-
- inline$BDLGetDebugLevel$281$start#1:
- goto inline$BDLGetDebugLevel$281$label_3#1;
-
- inline$BDLGetDebugLevel$281$label_3#1:
- havoc inline$BDLGetDebugLevel$281$myNondetVar_0;
- inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$281$myNondetVar_0;
- goto inline$BDLGetDebugLevel$281$label_1#1;
-
- inline$BDLGetDebugLevel$281$label_1#1:
- goto inline$BDLGetDebugLevel$281$Return#1;
-
- inline$BDLGetDebugLevel$281$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$ := inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_143$1#1;
-
- inline$BDLHandleRemove$0$label_143$1#1:
- goto inline$BDLHandleRemove$0$anon61_Then#1, inline$BDLHandleRemove$0$anon61_Else#1;
-
- inline$BDLHandleRemove$0$anon61_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon39#1;
-
- inline$BDLHandleRemove$0$anon39#1:
- goto inline$BDLHandleRemove$0$label_152#1;
-
- inline$BDLHandleRemove$0$label_152#1:
- goto inline$BDLHandleRemove$0$label_152_true#1, inline$BDLHandleRemove$0$label_152_false#1;
-
- inline$BDLHandleRemove$0$label_152_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$) == 0;
- goto inline$BDLHandleRemove$0$label_153#1;
-
- inline$BDLHandleRemove$0$label_152_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$) != 0;
- goto inline$BDLHandleRemove$0$label_156#1;
-
- inline$BDLHandleRemove$0$label_156#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_157#1;
-
- inline$BDLHandleRemove$0$label_157#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_158#1;
-
- inline$BDLHandleRemove$0$label_158#1:
- call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLHandleRemove$0$label_159#1;
-
- inline$BDLHandleRemove$0$label_159#1:
- havoc inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$57$;
- goto inline$BDLHandleRemove$0$label_153#1;
-
- inline$BDLHandleRemove$0$label_153#1:
- goto inline$BDLGetDebugLevel$282$Entry#1;
-
- inline$BDLGetDebugLevel$282$Entry#1:
- goto inline$BDLGetDebugLevel$282$start#1;
-
- inline$BDLGetDebugLevel$282$start#1:
- goto inline$BDLGetDebugLevel$282$label_3#1;
-
- inline$BDLGetDebugLevel$282$label_3#1:
- havoc inline$BDLGetDebugLevel$282$myNondetVar_0;
- inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$282$myNondetVar_0;
- goto inline$BDLGetDebugLevel$282$label_1#1;
-
- inline$BDLGetDebugLevel$282$label_1#1:
- goto inline$BDLGetDebugLevel$282$Return#1;
-
- inline$BDLGetDebugLevel$282$Return#1:
- inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$ := inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLHandleRemove$0$label_153$1#1;
-
- inline$BDLHandleRemove$0$label_153$1#1:
- goto inline$BDLHandleRemove$0$anon62_Then#1, inline$BDLHandleRemove$0$anon62_Else#1;
-
- inline$BDLHandleRemove$0$anon62_Else#1:
- assume !raiseException;
- goto inline$BDLHandleRemove$0$anon41#1;
-
- inline$BDLHandleRemove$0$anon41#1:
- goto inline$BDLHandleRemove$0$label_162#1;
-
- inline$BDLHandleRemove$0$label_162#1:
- goto inline$BDLHandleRemove$0$label_162_true#1, inline$BDLHandleRemove$0$label_162_false#1;
-
- inline$BDLHandleRemove$0$label_162_false#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$, 4) == 0;
- goto inline$BDLHandleRemove$0$label_163#1;
-
- inline$BDLHandleRemove$0$label_162_true#1:
- assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$, 4) != 0;
- goto inline$BDLHandleRemove$0$label_164#1;
-
- inline$BDLHandleRemove$0$label_164#1:
- goto inline$BDLHandleRemove$0$label_163#1;
-
- inline$BDLHandleRemove$0$label_163#1:
- goto inline$BDLHandleRemove$0$label_1#1;
-
- inline$BDLHandleRemove$0$label_1#1:
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon62_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon61_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon57_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon60_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon59_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon58_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon56_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon52_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon55_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon54_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon53_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon51_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon50_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon49_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon48_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon47_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon46_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon45_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon44_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon43_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$anon42_Then#1:
- assume raiseException;
- goto inline$BDLHandleRemove$0$Return#1;
-
- inline$BDLHandleRemove$0$Return#1:
- goto inline$BDLPnPRemove$0$label_73$1#1;
-
- inline$BDLPnPRemove$0$label_73$1#1:
- goto inline$BDLPnPRemove$0$anon35_Then#1, inline$BDLPnPRemove$0$anon35_Else#1;
-
- inline$BDLPnPRemove$0$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon23#1;
-
- inline$BDLPnPRemove$0$anon23#1:
- goto inline$BDLPnPRemove$0$label_32#1;
-
- inline$BDLPnPRemove$0$anon35_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$label_29_true#1:
- havoc inline$BDLPnPRemove$0$myNondetVar_0;
- assume inline$BDLPnPRemove$0$myNondetVar_0 != 0;
- goto inline$BDLPnPRemove$0$label_32#1;
-
- inline$BDLPnPRemove$0$label_32#1:
- call IoReleaseRemoveLockAndWaitEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16), 544632146, 88);
- goto inline$BDLPnPRemove$0$anon27_Then#1, inline$BDLPnPRemove$0$anon27_Else#1;
-
- inline$BDLPnPRemove$0$anon27_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon7#1;
-
- inline$BDLPnPRemove$0$anon7#1:
- goto inline$BDLPnPRemove$0$label_35#1;
-
- inline$BDLPnPRemove$0$label_35#1:
- goto inline$BDLCleanupDeviceCapabilities$0$Entry#1;
-
- inline$BDLCleanupDeviceCapabilities$0$Entry#1:
- goto inline$BDLCleanupDeviceCapabilities$0$start#1;
-
- inline$BDLCleanupDeviceCapabilities$0$start#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_3#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_3#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_4#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_4#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_5#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_5#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_6#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_6#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_7#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_7#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_7_true#1, inline$BDLCleanupDeviceCapabilities$0$label_7_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_7_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_8#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_7_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_9#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_9#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon14_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon14_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon14_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon1#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon1#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_12#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_12#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_8#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_8#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_8_true#1, inline$BDLCleanupDeviceCapabilities$0$label_8_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_8_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_1#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_8_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_13#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_13#1:
- inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4 := 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_14#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_14#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_14_head#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_14_head#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_14_true#1, inline$BDLCleanupDeviceCapabilities$0$label_14_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_14_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume !INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0);
- goto inline$BDLCleanupDeviceCapabilities$0$label_15#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_15#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon15_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon15_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon15_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon3#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon3#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_47#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_47#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_1#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_1#1:
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon15_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_14_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- assume INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0);
- goto inline$BDLCleanupDeviceCapabilities$0$label_18#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_18#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_18_true#1, inline$BDLCleanupDeviceCapabilities$0$label_18_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_18_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_19#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_18_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_20#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_20#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- goto inline$BDLCleanupDeviceCapabilities$0$label_21#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_21#1:
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon16_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon16_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon5#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon5#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_19#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_19#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_19_true#1, inline$BDLCleanupDeviceCapabilities$0$label_19_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_19_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_24#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_19_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_25#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_25#1:
- inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4 := 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_26#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_26#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_26_head#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_26_head#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_26_true#1, inline$BDLCleanupDeviceCapabilities$0$label_26_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_26_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume !INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1);
- goto inline$BDLCleanupDeviceCapabilities$0$label_27#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_27#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- goto inline$BDLCleanupDeviceCapabilities$0$label_44#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_44#1:
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon20_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon20_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon13#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon13#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_24#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_24#1:
- inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4 := PLUS(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, 1, 1);
- goto inline$BDLCleanupDeviceCapabilities$0$label_14_head#0;
-
- inline$BDLCleanupDeviceCapabilities$0$label_14_head#0:
- assume false;
- return;
-
- inline$BDLCleanupDeviceCapabilities$0$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_26_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- assume INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1);
- goto inline$BDLCleanupDeviceCapabilities$0$label_28#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_28#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_28_true#1, inline$BDLCleanupDeviceCapabilities$0$label_28_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_28_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_29#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_28_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_30#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_30#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- goto inline$BDLCleanupDeviceCapabilities$0$label_31#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_31#1:
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon17_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon17_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon7#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon7#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_29#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_29#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_29_true#1, inline$BDLCleanupDeviceCapabilities$0$label_29_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_29_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_34#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_29_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_35#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_35#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- goto inline$BDLCleanupDeviceCapabilities$0$label_36#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_36#1:
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon18_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon18_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon9#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon9#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_34#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_34#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_34_true#1, inline$BDLCleanupDeviceCapabilities$0$label_34_false#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_34_false#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_39#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_34_true#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
- goto inline$BDLCleanupDeviceCapabilities$0$label_40#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_40#1:
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
- havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
- goto inline$BDLCleanupDeviceCapabilities$0$label_41#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_41#1:
- call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$, 541869122);
- goto inline$BDLCleanupDeviceCapabilities$0$anon19_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon19_Else#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$anon11#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon11#1:
- goto inline$BDLCleanupDeviceCapabilities$0$label_39#1;
-
- inline$BDLCleanupDeviceCapabilities$0$label_39#1:
- inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4 := PLUS(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, 1, 1);
- goto inline$BDLCleanupDeviceCapabilities$0$label_26_head#0;
-
- inline$BDLCleanupDeviceCapabilities$0$label_26_head#0:
- assume false;
- return;
-
- inline$BDLCleanupDeviceCapabilities$0$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$anon14_Then#1:
- assume raiseException;
- goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
-
- inline$BDLCleanupDeviceCapabilities$0$Return#1:
- goto inline$BDLPnPRemove$0$label_35$1#1;
-
- inline$BDLPnPRemove$0$label_35$1#1:
- goto inline$BDLPnPRemove$0$anon28_Then#1, inline$BDLPnPRemove$0$anon28_Else#1;
-
- inline$BDLPnPRemove$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon9#1;
-
- inline$BDLPnPRemove$0$anon9#1:
- goto inline$BDLPnPRemove$0$label_38#1;
-
- inline$BDLPnPRemove$0$label_38#1:
- call IoDetachDevice(inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16);
- goto inline$BDLPnPRemove$0$anon29_Then#1, inline$BDLPnPRemove$0$anon29_Else#1;
-
- inline$BDLPnPRemove$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon11#1;
-
- inline$BDLPnPRemove$0$anon11#1:
- goto inline$BDLPnPRemove$0$label_41#1;
-
- inline$BDLPnPRemove$0$label_41#1:
- call RtlFreeUnicodeString(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16));
- goto inline$BDLPnPRemove$0$anon30_Then#1, inline$BDLPnPRemove$0$anon30_Else#1;
-
- inline$BDLPnPRemove$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon13#1;
-
- inline$BDLPnPRemove$0$anon13#1:
- goto inline$BDLPnPRemove$0$label_44#1;
-
- inline$BDLPnPRemove$0$label_44#1:
- call IoDeleteDevice(inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16);
- goto inline$BDLPnPRemove$0$anon31_Then#1, inline$BDLPnPRemove$0$anon31_Else#1;
-
- inline$BDLPnPRemove$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon15#1;
-
- inline$BDLPnPRemove$0$anon15#1:
- goto inline$BDLPnPRemove$0$label_47#1;
-
- inline$BDLPnPRemove$0$label_47#1:
- goto inline$BDLGetDebugLevel$205$Entry#1;
-
- inline$BDLGetDebugLevel$205$Entry#1:
- goto inline$BDLGetDebugLevel$205$start#1;
-
- inline$BDLGetDebugLevel$205$start#1:
- goto inline$BDLGetDebugLevel$205$label_3#1;
-
- inline$BDLGetDebugLevel$205$label_3#1:
- havoc inline$BDLGetDebugLevel$205$myNondetVar_0;
- inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$205$myNondetVar_0;
- goto inline$BDLGetDebugLevel$205$label_1#1;
-
- inline$BDLGetDebugLevel$205$label_1#1:
- goto inline$BDLGetDebugLevel$205$Return#1;
-
- inline$BDLGetDebugLevel$205$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$ := inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_47$1#1;
-
- inline$BDLPnPRemove$0$label_47$1#1:
- goto inline$BDLPnPRemove$0$anon32_Then#1, inline$BDLPnPRemove$0$anon32_Else#1;
-
- inline$BDLPnPRemove$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon17#1;
-
- inline$BDLPnPRemove$0$anon17#1:
- goto inline$BDLPnPRemove$0$label_50#1;
-
- inline$BDLPnPRemove$0$label_50#1:
- goto inline$BDLPnPRemove$0$label_50_true#1, inline$BDLPnPRemove$0$label_50_false#1;
-
- inline$BDLPnPRemove$0$label_50_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$) == 0;
- goto inline$BDLPnPRemove$0$label_51#1;
-
- inline$BDLPnPRemove$0$label_50_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$) != 0;
- goto inline$BDLPnPRemove$0$label_54#1;
-
- inline$BDLPnPRemove$0$label_54#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_55#1;
-
- inline$BDLPnPRemove$0$label_55#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_56#1;
-
- inline$BDLPnPRemove$0$label_56#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_57#1;
-
- inline$BDLPnPRemove$0$label_57#1:
- havoc inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$15$;
- goto inline$BDLPnPRemove$0$label_51#1;
-
- inline$BDLPnPRemove$0$label_51#1:
- goto inline$BDLGetDebugLevel$206$Entry#1;
-
- inline$BDLGetDebugLevel$206$Entry#1:
- goto inline$BDLGetDebugLevel$206$start#1;
-
- inline$BDLGetDebugLevel$206$start#1:
- goto inline$BDLGetDebugLevel$206$label_3#1;
-
- inline$BDLGetDebugLevel$206$label_3#1:
- havoc inline$BDLGetDebugLevel$206$myNondetVar_0;
- inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$206$myNondetVar_0;
- goto inline$BDLGetDebugLevel$206$label_1#1;
-
- inline$BDLGetDebugLevel$206$label_1#1:
- goto inline$BDLGetDebugLevel$206$Return#1;
-
- inline$BDLGetDebugLevel$206$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$ := inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_51$1#1;
-
- inline$BDLPnPRemove$0$label_51$1#1:
- goto inline$BDLPnPRemove$0$anon33_Then#1, inline$BDLPnPRemove$0$anon33_Else#1;
-
- inline$BDLPnPRemove$0$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon19#1;
-
- inline$BDLPnPRemove$0$anon19#1:
- goto inline$BDLPnPRemove$0$label_60#1;
-
- inline$BDLPnPRemove$0$label_60#1:
- goto inline$BDLPnPRemove$0$label_60_true#1, inline$BDLPnPRemove$0$label_60_false#1;
-
- inline$BDLPnPRemove$0$label_60_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$) == 0;
- goto inline$BDLPnPRemove$0$label_61#1;
-
- inline$BDLPnPRemove$0$label_60_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$) != 0;
- goto inline$BDLPnPRemove$0$label_64#1;
-
- inline$BDLPnPRemove$0$label_64#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_65#1;
-
- inline$BDLPnPRemove$0$label_65#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_66#1;
-
- inline$BDLPnPRemove$0$label_66#1:
- call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPRemove$0$label_67#1;
-
- inline$BDLPnPRemove$0$label_67#1:
- havoc inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$20$;
- goto inline$BDLPnPRemove$0$label_61#1;
-
- inline$BDLPnPRemove$0$label_61#1:
- goto inline$BDLGetDebugLevel$207$Entry#1;
-
- inline$BDLGetDebugLevel$207$Entry#1:
- goto inline$BDLGetDebugLevel$207$start#1;
-
- inline$BDLGetDebugLevel$207$start#1:
- goto inline$BDLGetDebugLevel$207$label_3#1;
-
- inline$BDLGetDebugLevel$207$label_3#1:
- havoc inline$BDLGetDebugLevel$207$myNondetVar_0;
- inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$207$myNondetVar_0;
- goto inline$BDLGetDebugLevel$207$label_1#1;
-
- inline$BDLGetDebugLevel$207$label_1#1:
- goto inline$BDLGetDebugLevel$207$Return#1;
-
- inline$BDLGetDebugLevel$207$Return#1:
- inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$ := inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPRemove$0$label_61$1#1;
-
- inline$BDLPnPRemove$0$label_61$1#1:
- goto inline$BDLPnPRemove$0$anon34_Then#1, inline$BDLPnPRemove$0$anon34_Else#1;
-
- inline$BDLPnPRemove$0$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLPnPRemove$0$anon21#1;
-
- inline$BDLPnPRemove$0$anon21#1:
- goto inline$BDLPnPRemove$0$label_70#1;
-
- inline$BDLPnPRemove$0$label_70#1:
- goto inline$BDLPnPRemove$0$label_70_true#1, inline$BDLPnPRemove$0$label_70_false#1;
-
- inline$BDLPnPRemove$0$label_70_false#1:
- assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$, 4) == 0;
- goto inline$BDLPnPRemove$0$label_71#1;
-
- inline$BDLPnPRemove$0$label_70_true#1:
- assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$, 4) != 0;
- goto inline$BDLPnPRemove$0$label_72#1;
-
- inline$BDLPnPRemove$0$label_72#1:
- goto inline$BDLPnPRemove$0$label_71#1;
-
- inline$BDLPnPRemove$0$label_71#1:
- inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$ := inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16;
- goto inline$BDLPnPRemove$0$label_1#1;
-
- inline$BDLPnPRemove$0$label_1#1:
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon34_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon33_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon27_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon26_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon25_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$anon24_Then#1:
- assume raiseException;
- goto inline$BDLPnPRemove$0$Return#1;
-
- inline$BDLPnPRemove$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$ := inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$;
- goto inline$BDLPnP$0$label_119$1#1;
-
- inline$BDLPnP$0$label_119$1#1:
- goto inline$BDLPnP$0$anon69_Then#1, inline$BDLPnP$0$anon69_Else#1;
-
- inline$BDLPnP$0$anon69_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon31#1;
-
- inline$BDLPnP$0$anon31#1:
- goto inline$BDLPnP$0$label_152#1;
-
- inline$BDLPnP$0$label_152#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$;
- goto inline$BDLPnP$0$label_153#1;
-
- inline$BDLPnP$0$label_153#1:
- inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon69_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_2#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 1;
- goto inline$BDLPnP$0$label_116#1;
-
- inline$BDLPnP$0$label_116#1:
- goto inline$BDLPnPQueryRemove$0$Entry#1;
-
- inline$BDLPnPQueryRemove$0$Entry#1:
- inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPQueryRemove$0$start#1;
-
- inline$BDLPnPQueryRemove$0$start#1:
- inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1;
- inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1;
- goto inline$BDLPnPQueryRemove$0$label_3#1;
-
- inline$BDLPnPQueryRemove$0$label_3#1:
- goto inline$BDLPnPQueryRemove$0$label_4#1;
-
- inline$BDLPnPQueryRemove$0$label_4#1:
- inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := 0;
- goto inline$BDLPnPQueryRemove$0$label_5#1;
-
- inline$BDLPnPQueryRemove$0$label_5#1:
- goto inline$BDLGetDebugLevel$109$Entry#1;
-
- inline$BDLGetDebugLevel$109$Entry#1:
- goto inline$BDLGetDebugLevel$109$start#1;
-
- inline$BDLGetDebugLevel$109$start#1:
- goto inline$BDLGetDebugLevel$109$label_3#1;
-
- inline$BDLGetDebugLevel$109$label_3#1:
- havoc inline$BDLGetDebugLevel$109$myNondetVar_0;
- inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$109$myNondetVar_0;
- goto inline$BDLGetDebugLevel$109$label_1#1;
-
- inline$BDLGetDebugLevel$109$label_1#1:
- goto inline$BDLGetDebugLevel$109$Return#1;
-
- inline$BDLGetDebugLevel$109$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$ := inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_5$1#1;
-
- inline$BDLPnPQueryRemove$0$label_5$1#1:
- goto inline$BDLPnPQueryRemove$0$anon22_Then#1, inline$BDLPnPQueryRemove$0$anon22_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon1#1;
-
- inline$BDLPnPQueryRemove$0$anon1#1:
- goto inline$BDLPnPQueryRemove$0$label_8#1;
-
- inline$BDLPnPQueryRemove$0$label_8#1:
- goto inline$BDLPnPQueryRemove$0$label_8_true#1, inline$BDLPnPQueryRemove$0$label_8_false#1;
-
- inline$BDLPnPQueryRemove$0$label_8_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_9#1;
-
- inline$BDLPnPQueryRemove$0$label_8_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_12#1;
-
- inline$BDLPnPQueryRemove$0$label_12#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_13#1;
-
- inline$BDLPnPQueryRemove$0$label_13#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_14#1;
-
- inline$BDLPnPQueryRemove$0$label_14#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_15#1;
-
- inline$BDLPnPQueryRemove$0$label_15#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$3$;
- goto inline$BDLPnPQueryRemove$0$label_9#1;
-
- inline$BDLPnPQueryRemove$0$label_9#1:
- goto inline$BDLGetDebugLevel$110$Entry#1;
-
- inline$BDLGetDebugLevel$110$Entry#1:
- goto inline$BDLGetDebugLevel$110$start#1;
-
- inline$BDLGetDebugLevel$110$start#1:
- goto inline$BDLGetDebugLevel$110$label_3#1;
-
- inline$BDLGetDebugLevel$110$label_3#1:
- havoc inline$BDLGetDebugLevel$110$myNondetVar_0;
- inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$110$myNondetVar_0;
- goto inline$BDLGetDebugLevel$110$label_1#1;
-
- inline$BDLGetDebugLevel$110$label_1#1:
- goto inline$BDLGetDebugLevel$110$Return#1;
-
- inline$BDLGetDebugLevel$110$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$ := inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_9$1#1;
-
- inline$BDLPnPQueryRemove$0$label_9$1#1:
- goto inline$BDLPnPQueryRemove$0$anon23_Then#1, inline$BDLPnPQueryRemove$0$anon23_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon3#1;
-
- inline$BDLPnPQueryRemove$0$anon3#1:
- goto inline$BDLPnPQueryRemove$0$label_18#1;
-
- inline$BDLPnPQueryRemove$0$label_18#1:
- goto inline$BDLPnPQueryRemove$0$label_18_true#1, inline$BDLPnPQueryRemove$0$label_18_false#1;
-
- inline$BDLPnPQueryRemove$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_19#1;
-
- inline$BDLPnPQueryRemove$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_22#1;
-
- inline$BDLPnPQueryRemove$0$label_22#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_23#1;
-
- inline$BDLPnPQueryRemove$0$label_23#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_24#1;
-
- inline$BDLPnPQueryRemove$0$label_24#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_25#1;
-
- inline$BDLPnPQueryRemove$0$label_25#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$8$;
- goto inline$BDLPnPQueryRemove$0$label_19#1;
-
- inline$BDLPnPQueryRemove$0$label_19#1:
- goto inline$BDLGetDebugLevel$111$Entry#1;
-
- inline$BDLGetDebugLevel$111$Entry#1:
- goto inline$BDLGetDebugLevel$111$start#1;
-
- inline$BDLGetDebugLevel$111$start#1:
- goto inline$BDLGetDebugLevel$111$label_3#1;
-
- inline$BDLGetDebugLevel$111$label_3#1:
- havoc inline$BDLGetDebugLevel$111$myNondetVar_0;
- inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$111$myNondetVar_0;
- goto inline$BDLGetDebugLevel$111$label_1#1;
-
- inline$BDLGetDebugLevel$111$label_1#1:
- goto inline$BDLGetDebugLevel$111$Return#1;
-
- inline$BDLGetDebugLevel$111$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$ := inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_19$1#1;
-
- inline$BDLPnPQueryRemove$0$label_19$1#1:
- goto inline$BDLPnPQueryRemove$0$anon24_Then#1, inline$BDLPnPQueryRemove$0$anon24_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon24_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon5#1;
-
- inline$BDLPnPQueryRemove$0$anon5#1:
- goto inline$BDLPnPQueryRemove$0$label_28#1;
-
- inline$BDLPnPQueryRemove$0$label_28#1:
- goto inline$BDLPnPQueryRemove$0$label_28_true#1, inline$BDLPnPQueryRemove$0$label_28_false#1;
-
- inline$BDLPnPQueryRemove$0$label_28_false#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$, 4) == 0;
- goto inline$BDLPnPQueryRemove$0$label_29#1;
-
- inline$BDLPnPQueryRemove$0$label_28_true#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$, 4) != 0;
- goto inline$BDLPnPQueryRemove$0$label_32#1;
-
- inline$BDLPnPQueryRemove$0$label_32#1:
- goto inline$BDLPnPQueryRemove$0$label_29#1;
-
- inline$BDLPnPQueryRemove$0$label_29#1:
- call inline$BDLPnPQueryRemove$0$$result.IoSetDeviceInterfaceState$2272.29$13$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12), 0);
- goto inline$BDLPnPQueryRemove$0$anon25_Then#1, inline$BDLPnPQueryRemove$0$anon25_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon25_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon7#1;
-
- inline$BDLPnPQueryRemove$0$anon7#1:
- goto inline$BDLPnPQueryRemove$0$label_33#1;
-
- inline$BDLPnPQueryRemove$0$label_33#1:
- goto inline$BDLPnPQueryRemove$0$label_33_true#1, inline$BDLPnPQueryRemove$0$label_33_false#1;
-
- inline$BDLPnPQueryRemove$0$label_33_false#1:
- havoc inline$BDLPnPQueryRemove$0$myNondetVar_0;
- assume inline$BDLPnPQueryRemove$0$myNondetVar_0 == 0;
- goto inline$BDLPnPQueryRemove$0$label_34#1;
-
- inline$BDLPnPQueryRemove$0$label_34#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12;
- goto inline$BDLCallLowerLevelDriverAndWait$1$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$23$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$23$Entry#1:
- inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$23$start#1;
-
- inline$IoGetCurrentIrpStackLocation$23$start#1:
- inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$23$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$23$label_3_true#1, inline$IoGetCurrentIrpStackLocation$23$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$23$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$23$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$23$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$23$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$23$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$23$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$23$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$23$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$23$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$23$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$23$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$23$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$23$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$23$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$23$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$23$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$23$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$23$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_9#1:
- goto inline$IoGetNextIrpStackLocation$3$Entry#1;
-
- inline$IoGetNextIrpStackLocation$3$Entry#1:
- inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$3$start#1;
-
- inline$IoGetNextIrpStackLocation$3$start#1:
- inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$3$label_3#1;
-
- inline$IoGetNextIrpStackLocation$3$label_3#1:
- goto inline$IoGetNextIrpStackLocation$3$label_3_true#1, inline$IoGetNextIrpStackLocation$3$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$3$label_3_false#1:
- inline$IoGetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$3$myVar_0);
- goto inline$IoGetNextIrpStackLocation$3$label_4#1;
-
- inline$IoGetNextIrpStackLocation$3$label_4#1:
- call inline$IoGetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$3$label_6#1;
-
- inline$IoGetNextIrpStackLocation$3$label_6#1:
- call inline$IoGetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$3$label_7#1;
-
- inline$IoGetNextIrpStackLocation$3$label_7#1:
- goto inline$IoGetNextIrpStackLocation$3$label_5#1;
-
- inline$IoGetNextIrpStackLocation$3$label_3_true#1:
- inline$IoGetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$3$myVar_0);
- goto inline$IoGetNextIrpStackLocation$3$label_5#1;
-
- inline$IoGetNextIrpStackLocation$3$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$3$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$3$label_1#1;
-
- inline$IoGetNextIrpStackLocation$3$label_1#1:
- goto inline$IoGetNextIrpStackLocation$3$Return#1;
-
- inline$IoGetNextIrpStackLocation$3$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$1$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_9#1:
- goto inline$storm_KeInitializeEvent$1$Entry#1;
-
- inline$storm_KeInitializeEvent$1$Entry#1:
- inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$1$start#1;
-
- inline$storm_KeInitializeEvent$1$start#1:
- inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$1$label_3#1;
-
- inline$storm_KeInitializeEvent$1$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$1$label_1#1;
-
- inline$storm_KeInitializeEvent$1$label_1#1:
- goto inline$storm_KeInitializeEvent$1$Return#1;
-
- inline$storm_KeInitializeEvent$1$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$1$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$1$Entry#1:
- inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$1$start#1;
-
- inline$storm_IoSetCompletionRoutine$1$start#1:
- inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$1$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_4#1:
- call inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$1$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_7_true#1, inline$storm_IoSetCompletionRoutine$1$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$1$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$1$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$1$anon6_Then#1, inline$storm_IoSetCompletionRoutine$1$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$1$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$1$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_12_true#1, inline$storm_IoSetCompletionRoutine$1$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$1$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$1$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$1$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_8#1:
- goto inline$IoGetNextIrpStackLocation$4$Entry#1;
-
- inline$IoGetNextIrpStackLocation$4$Entry#1:
- inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$4$start#1;
-
- inline$IoGetNextIrpStackLocation$4$start#1:
- inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$4$label_3#1;
-
- inline$IoGetNextIrpStackLocation$4$label_3#1:
- goto inline$IoGetNextIrpStackLocation$4$label_3_true#1, inline$IoGetNextIrpStackLocation$4$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$4$label_3_false#1:
- inline$IoGetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$4$myVar_0);
- goto inline$IoGetNextIrpStackLocation$4$label_4#1;
-
- inline$IoGetNextIrpStackLocation$4$label_4#1:
- call inline$IoGetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$4$label_6#1;
-
- inline$IoGetNextIrpStackLocation$4$label_6#1:
- call inline$IoGetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$4$label_7#1;
-
- inline$IoGetNextIrpStackLocation$4$label_7#1:
- goto inline$IoGetNextIrpStackLocation$4$label_5#1;
-
- inline$IoGetNextIrpStackLocation$4$label_3_true#1:
- inline$IoGetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$4$myVar_0);
- goto inline$IoGetNextIrpStackLocation$4$label_5#1;
-
- inline$IoGetNextIrpStackLocation$4$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$4$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$4$label_1#1;
-
- inline$IoGetNextIrpStackLocation$4$label_1#1:
- goto inline$IoGetNextIrpStackLocation$4$Return#1;
-
- inline$IoGetNextIrpStackLocation$4$Return#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$1$anon5_Then#1, inline$storm_IoSetCompletionRoutine$1$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$1$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$1$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$1$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$1$Return#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$1$Return#1;
-
- inline$storm_IoSetCompletionRoutine$1$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$1$Return#1;
-
- inline$storm_IoSetCompletionRoutine$1$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$24$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$24$Entry#1:
- inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$24$start#1;
-
- inline$IoGetCurrentIrpStackLocation$24$start#1:
- inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$24$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$24$label_3_true#1, inline$IoGetCurrentIrpStackLocation$24$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$24$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$24$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$24$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$24$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$24$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$24$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$24$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$24$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$24$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$24$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$24$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$24$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$24$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$24$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$24$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$24$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$24$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$24$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_19#1:
- goto inline$storm_IoCallDriver$2$Entry#1;
-
- inline$storm_IoCallDriver$2$Entry#1:
- inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$2$start#1;
-
- inline$storm_IoCallDriver$2$start#1:
- inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$2$label_3#1;
-
- inline$storm_IoCallDriver$2$label_3#1:
- goto inline$storm_IoCallDriver$2$label_4#1;
-
- inline$storm_IoCallDriver$2$label_4#1:
- goto inline$storm_IoCallDriver$2$label_5#1;
-
- inline$storm_IoCallDriver$2$label_5#1:
- goto inline$storm_IoCallDriver$2$label_6#1;
-
- inline$storm_IoCallDriver$2$label_6#1:
- call inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$2$label_9#1;
-
- inline$storm_IoCallDriver$2$label_9#1:
- goto inline$storm_IoCallDriver$2$label_9_true#1, inline$storm_IoCallDriver$2$label_9_false#1;
-
- inline$storm_IoCallDriver$2$label_9_false#1:
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$2$label_10#1;
-
- inline$storm_IoCallDriver$2$label_9_true#1:
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$2$label_13#1;
-
- inline$storm_IoCallDriver$2$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$2$anon12_Then#1, inline$storm_IoCallDriver$2$anon12_Else#1;
-
- inline$storm_IoCallDriver$2$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$2$anon3#1;
-
- inline$storm_IoCallDriver$2$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$2$label_14#1;
-
- inline$storm_IoCallDriver$2$label_14#1:
- goto inline$storm_IoCallDriver$2$label_14_true#1, inline$storm_IoCallDriver$2$label_14_false#1;
-
- inline$storm_IoCallDriver$2$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$2$label_15#1;
-
- inline$storm_IoCallDriver$2$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$2$label_1#1;
-
- inline$storm_IoCallDriver$2$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$2$label_10#1;
-
- inline$storm_IoCallDriver$2$label_10#1:
- goto inline$IoSetNextIrpStackLocation$3$Entry#1;
-
- inline$IoSetNextIrpStackLocation$3$Entry#1:
- inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$3$start#1;
-
- inline$IoSetNextIrpStackLocation$3$start#1:
- inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$3$label_3#1;
-
- inline$IoSetNextIrpStackLocation$3$label_3#1:
- goto inline$IoSetNextIrpStackLocation$3$label_3_true#1, inline$IoSetNextIrpStackLocation$3$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$3$label_3_false#1:
- inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$3$myVar_0);
- goto inline$IoSetNextIrpStackLocation$3$label_4#1;
-
- inline$IoSetNextIrpStackLocation$3$label_4#1:
- call inline$IoSetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$3$label_7#1;
-
- inline$IoSetNextIrpStackLocation$3$label_7#1:
- call inline$IoSetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$3$label_8#1;
-
- inline$IoSetNextIrpStackLocation$3$label_8#1:
- goto inline$IoSetNextIrpStackLocation$3$label_5#1;
-
- inline$IoSetNextIrpStackLocation$3$label_3_true#1:
- inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$3$myVar_0);
- goto inline$IoSetNextIrpStackLocation$3$label_5#1;
-
- inline$IoSetNextIrpStackLocation$3$label_5#1:
- inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$3$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$3$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$3$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$3$label_6#1;
-
- inline$IoSetNextIrpStackLocation$3$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$3$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$3$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$3$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$3$label_1#1;
-
- inline$IoSetNextIrpStackLocation$3$label_1#1:
- goto inline$IoSetNextIrpStackLocation$3$Return#1;
-
- inline$IoSetNextIrpStackLocation$3$Return#1:
- goto inline$storm_IoCallDriver$2$label_10$1#1;
-
- inline$storm_IoCallDriver$2$label_10$1#1:
- goto inline$storm_IoCallDriver$2$anon11_Then#1, inline$storm_IoCallDriver$2$anon11_Else#1;
-
- inline$storm_IoCallDriver$2$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$2$anon1#1;
-
- inline$storm_IoCallDriver$2$anon1#1:
- goto inline$storm_IoCallDriver$2$label_18#1;
-
- inline$storm_IoCallDriver$2$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$25$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$25$Entry#1:
- inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$25$start#1;
-
- inline$IoGetCurrentIrpStackLocation$25$start#1:
- inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$25$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$25$label_3_true#1, inline$IoGetCurrentIrpStackLocation$25$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$25$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$25$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$25$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$25$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$25$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$25$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$25$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$25$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$25$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$25$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$25$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$25$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$25$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$25$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$25$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$25$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$25$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$25$Return#1:
- goto inline$storm_IoCallDriver$2$label_18$1#1;
-
- inline$storm_IoCallDriver$2$label_18$1#1:
- goto inline$storm_IoCallDriver$2$anon13_Then#1, inline$storm_IoCallDriver$2$anon13_Else#1;
-
- inline$storm_IoCallDriver$2$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$2$anon6#1;
-
- inline$storm_IoCallDriver$2$anon6#1:
- goto inline$storm_IoCallDriver$2$label_21#1;
-
- inline$storm_IoCallDriver$2$label_21#1:
- goto inline$storm_IoCallDriver$2$label_22#1;
-
- inline$storm_IoCallDriver$2$label_22#1:
- goto inline$storm_IoCallDriver$2$label_23#1;
-
- inline$storm_IoCallDriver$2$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$2$label_24#1;
-
- inline$storm_IoCallDriver$2$label_24#1:
- call inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$2$label_27#1;
-
- inline$storm_IoCallDriver$2$label_27#1:
- goto inline$storm_IoCallDriver$2$label_27_case_0#1, inline$storm_IoCallDriver$2$label_27_case_1#1, inline$storm_IoCallDriver$2$label_27_case_2#1;
-
- inline$storm_IoCallDriver$2$label_27_case_2#1:
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$2$label_30#1;
-
- inline$storm_IoCallDriver$2$label_30#1:
- inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$2$label_31#1;
-
- inline$storm_IoCallDriver$2$label_31#1:
- goto inline$storm_IoCallDriver$2$label_32#1;
-
- inline$storm_IoCallDriver$2$label_32#1:
- goto inline$storm_IoCallDriver$2$label_33#1;
-
- inline$storm_IoCallDriver$2$label_33#1:
- goto inline$CallCompletionRoutine$4$Entry#1;
-
- inline$CallCompletionRoutine$4$Entry#1:
- inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$4$start#1;
-
- inline$CallCompletionRoutine$4$start#1:
- inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$4$label_3#1;
-
- inline$CallCompletionRoutine$4$label_3#1:
- goto inline$CallCompletionRoutine$4$label_4#1;
-
- inline$CallCompletionRoutine$4$label_4#1:
- goto inline$CallCompletionRoutine$4$label_5#1;
-
- inline$CallCompletionRoutine$4$label_5#1:
- goto inline$CallCompletionRoutine$4$label_6#1;
-
- inline$CallCompletionRoutine$4$label_6#1:
- goto inline$CallCompletionRoutine$4$label_7#1;
-
- inline$CallCompletionRoutine$4$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$26$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$26$Entry#1:
- inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$26$start#1;
-
- inline$IoGetCurrentIrpStackLocation$26$start#1:
- inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$26$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$26$label_3_true#1, inline$IoGetCurrentIrpStackLocation$26$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$26$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$26$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$26$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$26$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$26$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$26$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$26$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$26$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$26$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$26$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$26$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$26$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$26$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$26$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$26$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$26$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$26$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$26$Return#1:
- goto inline$CallCompletionRoutine$4$label_7$1#1;
-
- inline$CallCompletionRoutine$4$label_7$1#1:
- goto inline$CallCompletionRoutine$4$anon10_Then#1, inline$CallCompletionRoutine$4$anon10_Else#1;
-
- inline$CallCompletionRoutine$4$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$4$anon1#1;
-
- inline$CallCompletionRoutine$4$anon1#1:
- goto inline$CallCompletionRoutine$4$label_10#1;
-
- inline$CallCompletionRoutine$4$label_10#1:
- goto inline$CallCompletionRoutine$4$label_11#1;
-
- inline$CallCompletionRoutine$4$label_11#1:
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$CallCompletionRoutine$4$label_12#1;
-
- inline$CallCompletionRoutine$4$label_12#1:
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$CallCompletionRoutine$4$label_13#1;
-
- inline$CallCompletionRoutine$4$label_13#1:
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$CallCompletionRoutine$4$label_14#1;
-
- inline$CallCompletionRoutine$4$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$27$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$27$Entry#1:
- inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$27$start#1;
-
- inline$IoGetCurrentIrpStackLocation$27$start#1:
- inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$27$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$27$label_3_true#1, inline$IoGetCurrentIrpStackLocation$27$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$27$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$27$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$27$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$27$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$27$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$27$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$27$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$27$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$27$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$27$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$27$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$27$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$27$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$27$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$27$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$27$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$27$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$27$Return#1:
- goto inline$CallCompletionRoutine$4$label_14$1#1;
-
- inline$CallCompletionRoutine$4$label_14$1#1:
- goto inline$CallCompletionRoutine$4$anon11_Then#1, inline$CallCompletionRoutine$4$anon11_Else#1;
-
- inline$CallCompletionRoutine$4$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$4$anon3#1;
-
- inline$CallCompletionRoutine$4$anon3#1:
- goto inline$CallCompletionRoutine$4$label_17#1;
-
- inline$CallCompletionRoutine$4$label_17#1:
- goto inline$CallCompletionRoutine$4$label_18#1;
-
- inline$CallCompletionRoutine$4$label_18#1:
- goto inline$CallCompletionRoutine$4$label_18_true#1, inline$CallCompletionRoutine$4$label_18_false#1;
-
- inline$CallCompletionRoutine$4$label_18_false#1:
- assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$4$label_1#1;
-
- inline$CallCompletionRoutine$4$label_18_true#1:
- assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$4$label_19#1;
-
- inline$CallCompletionRoutine$4$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$4$label_20#1;
-
- inline$CallCompletionRoutine$4$label_20#1:
- goto inline$CallCompletionRoutine$4$label_20_icall_1#1, inline$CallCompletionRoutine$4$label_20_icall_2#1, inline$CallCompletionRoutine$4$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$4$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$4$Entry#1:
- inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$4$start#1;
-
- inline$BDLSystemPowerIoCompletion$4$start#1:
- call inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$4$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_4#1:
- inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_6#1:
- inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$4$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$4$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$29$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$29$Entry#1:
- inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$29$start#1;
-
- inline$IoGetCurrentIrpStackLocation$29$start#1:
- inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$29$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$29$label_3_true#1, inline$IoGetCurrentIrpStackLocation$29$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$29$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$29$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$29$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$29$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$29$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$29$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$29$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$29$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$29$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$29$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$29$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$29$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$29$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$29$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$29$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$29$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$29$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$29$Return#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon36_Then#1, inline$BDLSystemPowerIoCompletion$4$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_15#1:
- goto inline$BDLGetDebugLevel$121$Entry#1;
-
- inline$BDLGetDebugLevel$121$Entry#1:
- goto inline$BDLGetDebugLevel$121$start#1;
-
- inline$BDLGetDebugLevel$121$start#1:
- goto inline$BDLGetDebugLevel$121$label_3#1;
-
- inline$BDLGetDebugLevel$121$label_3#1:
- havoc inline$BDLGetDebugLevel$121$myNondetVar_0;
- inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$121$myNondetVar_0;
- goto inline$BDLGetDebugLevel$121$label_1#1;
-
- inline$BDLGetDebugLevel$121$label_1#1:
- goto inline$BDLGetDebugLevel$121$Return#1;
-
- inline$BDLGetDebugLevel$121$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon37_Then#1, inline$BDLSystemPowerIoCompletion$4$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_18_true#1, inline$BDLSystemPowerIoCompletion$4$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_22#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_23#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_24#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$4$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_19#1:
- goto inline$BDLGetDebugLevel$122$Entry#1;
-
- inline$BDLGetDebugLevel$122$Entry#1:
- goto inline$BDLGetDebugLevel$122$start#1;
-
- inline$BDLGetDebugLevel$122$start#1:
- goto inline$BDLGetDebugLevel$122$label_3#1;
-
- inline$BDLGetDebugLevel$122$label_3#1:
- havoc inline$BDLGetDebugLevel$122$myNondetVar_0;
- inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$122$myNondetVar_0;
- goto inline$BDLGetDebugLevel$122$label_1#1;
-
- inline$BDLGetDebugLevel$122$label_1#1:
- goto inline$BDLGetDebugLevel$122$Return#1;
-
- inline$BDLGetDebugLevel$122$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon38_Then#1, inline$BDLSystemPowerIoCompletion$4$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_28_true#1, inline$BDLSystemPowerIoCompletion$4$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_32#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_33#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_34#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$4$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_29#1:
- goto inline$BDLGetDebugLevel$123$Entry#1;
-
- inline$BDLGetDebugLevel$123$Entry#1:
- goto inline$BDLGetDebugLevel$123$start#1;
-
- inline$BDLGetDebugLevel$123$start#1:
- goto inline$BDLGetDebugLevel$123$label_3#1;
-
- inline$BDLGetDebugLevel$123$label_3#1:
- havoc inline$BDLGetDebugLevel$123$myNondetVar_0;
- inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$123$myNondetVar_0;
- goto inline$BDLGetDebugLevel$123$label_1#1;
-
- inline$BDLGetDebugLevel$123$label_1#1:
- goto inline$BDLGetDebugLevel$123$Return#1;
-
- inline$BDLGetDebugLevel$123$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon39_Then#1, inline$BDLSystemPowerIoCompletion$4$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_38_true#1, inline$BDLSystemPowerIoCompletion$4$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_39_true#1, inline$BDLSystemPowerIoCompletion$4$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$4$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$4$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$4$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_106_true#1, inline$BDLSystemPowerIoCompletion$4$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_142#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_143#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$4$myNondetVar_0, inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$4$anon50_Then#1, inline$BDLSystemPowerIoCompletion$4$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_112#1:
- inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$4$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_113_true#1, inline$BDLSystemPowerIoCompletion$4$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$4$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_117#1:
- goto inline$BDLGetDebugLevel$130$Entry#1;
-
- inline$BDLGetDebugLevel$130$Entry#1:
- goto inline$BDLGetDebugLevel$130$start#1;
-
- inline$BDLGetDebugLevel$130$start#1:
- goto inline$BDLGetDebugLevel$130$label_3#1;
-
- inline$BDLGetDebugLevel$130$label_3#1:
- havoc inline$BDLGetDebugLevel$130$myNondetVar_0;
- inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$130$myNondetVar_0;
- goto inline$BDLGetDebugLevel$130$label_1#1;
-
- inline$BDLGetDebugLevel$130$label_1#1:
- goto inline$BDLGetDebugLevel$130$Return#1;
-
- inline$BDLGetDebugLevel$130$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon51_Then#1, inline$BDLSystemPowerIoCompletion$4$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_120_true#1, inline$BDLSystemPowerIoCompletion$4$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_124#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_125#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_126#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$4$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_121#1:
- goto inline$BDLGetDebugLevel$131$Entry#1;
-
- inline$BDLGetDebugLevel$131$Entry#1:
- goto inline$BDLGetDebugLevel$131$start#1;
-
- inline$BDLGetDebugLevel$131$start#1:
- goto inline$BDLGetDebugLevel$131$label_3#1;
-
- inline$BDLGetDebugLevel$131$label_3#1:
- havoc inline$BDLGetDebugLevel$131$myNondetVar_0;
- inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$131$myNondetVar_0;
- goto inline$BDLGetDebugLevel$131$label_1#1;
-
- inline$BDLGetDebugLevel$131$label_1#1:
- goto inline$BDLGetDebugLevel$131$Return#1;
-
- inline$BDLGetDebugLevel$131$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon52_Then#1, inline$BDLSystemPowerIoCompletion$4$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_130_true#1, inline$BDLSystemPowerIoCompletion$4$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_134#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_135#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_136#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$4$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_131#1:
- goto inline$BDLGetDebugLevel$132$Entry#1;
-
- inline$BDLGetDebugLevel$132$Entry#1:
- goto inline$BDLGetDebugLevel$132$start#1;
-
- inline$BDLGetDebugLevel$132$start#1:
- goto inline$BDLGetDebugLevel$132$label_3#1;
-
- inline$BDLGetDebugLevel$132$label_3#1:
- havoc inline$BDLGetDebugLevel$132$myNondetVar_0;
- inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$132$myNondetVar_0;
- goto inline$BDLGetDebugLevel$132$label_1#1;
-
- inline$BDLGetDebugLevel$132$label_1#1:
- goto inline$BDLGetDebugLevel$132$Return#1;
-
- inline$BDLGetDebugLevel$132$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon53_Then#1, inline$BDLSystemPowerIoCompletion$4$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_140_true#1, inline$BDLSystemPowerIoCompletion$4$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$4$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_115#1:
- inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$4$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$4$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_43#1:
- goto inline$BDLGetDebugLevel$124$Entry#1;
-
- inline$BDLGetDebugLevel$124$Entry#1:
- goto inline$BDLGetDebugLevel$124$start#1;
-
- inline$BDLGetDebugLevel$124$start#1:
- goto inline$BDLGetDebugLevel$124$label_3#1;
-
- inline$BDLGetDebugLevel$124$label_3#1:
- havoc inline$BDLGetDebugLevel$124$myNondetVar_0;
- inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$124$myNondetVar_0;
- goto inline$BDLGetDebugLevel$124$label_1#1;
-
- inline$BDLGetDebugLevel$124$label_1#1:
- goto inline$BDLGetDebugLevel$124$Return#1;
-
- inline$BDLGetDebugLevel$124$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon40_Then#1, inline$BDLSystemPowerIoCompletion$4$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_46_true#1, inline$BDLSystemPowerIoCompletion$4$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_50#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_51#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_52#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$4$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_47#1:
- goto inline$BDLGetDebugLevel$125$Entry#1;
-
- inline$BDLGetDebugLevel$125$Entry#1:
- goto inline$BDLGetDebugLevel$125$start#1;
-
- inline$BDLGetDebugLevel$125$start#1:
- goto inline$BDLGetDebugLevel$125$label_3#1;
-
- inline$BDLGetDebugLevel$125$label_3#1:
- havoc inline$BDLGetDebugLevel$125$myNondetVar_0;
- inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$125$myNondetVar_0;
- goto inline$BDLGetDebugLevel$125$label_1#1;
-
- inline$BDLGetDebugLevel$125$label_1#1:
- goto inline$BDLGetDebugLevel$125$Return#1;
-
- inline$BDLGetDebugLevel$125$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon41_Then#1, inline$BDLSystemPowerIoCompletion$4$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_56_true#1, inline$BDLSystemPowerIoCompletion$4$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_60#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_61#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_62#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$4$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_57#1:
- goto inline$BDLGetDebugLevel$126$Entry#1;
-
- inline$BDLGetDebugLevel$126$Entry#1:
- goto inline$BDLGetDebugLevel$126$start#1;
-
- inline$BDLGetDebugLevel$126$start#1:
- goto inline$BDLGetDebugLevel$126$label_3#1;
-
- inline$BDLGetDebugLevel$126$label_3#1:
- havoc inline$BDLGetDebugLevel$126$myNondetVar_0;
- inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$126$myNondetVar_0;
- goto inline$BDLGetDebugLevel$126$label_1#1;
-
- inline$BDLGetDebugLevel$126$label_1#1:
- goto inline$BDLGetDebugLevel$126$Return#1;
-
- inline$BDLGetDebugLevel$126$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon42_Then#1, inline$BDLSystemPowerIoCompletion$4$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_66_true#1, inline$BDLSystemPowerIoCompletion$4$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$4$anon43_Then#1, inline$BDLSystemPowerIoCompletion$4$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$4$anon44_Then#1, inline$BDLSystemPowerIoCompletion$4$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_74#1:
- goto inline$storm_IoCompleteRequest$10$Entry#1;
-
- inline$storm_IoCompleteRequest$10$Entry#1:
- inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$10$start#1;
-
- inline$storm_IoCompleteRequest$10$start#1:
- inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$10$label_3#1;
-
- inline$storm_IoCompleteRequest$10$label_3#1:
- call inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$10$label_6#1;
-
- inline$storm_IoCompleteRequest$10$label_6#1:
- goto inline$storm_IoCompleteRequest$10$label_6_true#1, inline$storm_IoCompleteRequest$10$label_6_false#1;
-
- inline$storm_IoCompleteRequest$10$label_6_false#1:
- assume inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$10$label_7#1;
-
- inline$storm_IoCompleteRequest$10$label_6_true#1:
- assume inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$10$label_8#1;
-
- inline$storm_IoCompleteRequest$10$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$10$anon3_Then#1, inline$storm_IoCompleteRequest$10$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$10$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$10$anon1#1;
-
- inline$storm_IoCompleteRequest$10$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$10$label_9#1;
-
- inline$storm_IoCompleteRequest$10$label_9#1:
- goto inline$storm_IoCompleteRequest$10$label_9_true#1, inline$storm_IoCompleteRequest$10$label_9_false#1;
-
- inline$storm_IoCompleteRequest$10$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$10$label_10#1;
-
- inline$storm_IoCompleteRequest$10$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$10$label_1#1;
-
- inline$storm_IoCompleteRequest$10$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$10$label_7#1;
-
- inline$storm_IoCompleteRequest$10$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$10$label_1#1;
-
- inline$storm_IoCompleteRequest$10$label_1#1:
- goto inline$storm_IoCompleteRequest$10$Return#1;
-
- inline$storm_IoCompleteRequest$10$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$10$Return#1;
-
- inline$storm_IoCompleteRequest$10$Return#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon45_Then#1, inline$BDLSystemPowerIoCompletion$4$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$4$anon46_Then#1, inline$BDLSystemPowerIoCompletion$4$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_80#1:
- goto inline$BDLGetDebugLevel$127$Entry#1;
-
- inline$BDLGetDebugLevel$127$Entry#1:
- goto inline$BDLGetDebugLevel$127$start#1;
-
- inline$BDLGetDebugLevel$127$start#1:
- goto inline$BDLGetDebugLevel$127$label_3#1;
-
- inline$BDLGetDebugLevel$127$label_3#1:
- havoc inline$BDLGetDebugLevel$127$myNondetVar_0;
- inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$127$myNondetVar_0;
- goto inline$BDLGetDebugLevel$127$label_1#1;
-
- inline$BDLGetDebugLevel$127$label_1#1:
- goto inline$BDLGetDebugLevel$127$Return#1;
-
- inline$BDLGetDebugLevel$127$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon47_Then#1, inline$BDLSystemPowerIoCompletion$4$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_83_true#1, inline$BDLSystemPowerIoCompletion$4$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_87#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_88#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_89#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$4$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_84#1:
- goto inline$BDLGetDebugLevel$128$Entry#1;
-
- inline$BDLGetDebugLevel$128$Entry#1:
- goto inline$BDLGetDebugLevel$128$start#1;
-
- inline$BDLGetDebugLevel$128$start#1:
- goto inline$BDLGetDebugLevel$128$label_3#1;
-
- inline$BDLGetDebugLevel$128$label_3#1:
- havoc inline$BDLGetDebugLevel$128$myNondetVar_0;
- inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$128$myNondetVar_0;
- goto inline$BDLGetDebugLevel$128$label_1#1;
-
- inline$BDLGetDebugLevel$128$label_1#1:
- goto inline$BDLGetDebugLevel$128$Return#1;
-
- inline$BDLGetDebugLevel$128$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon48_Then#1, inline$BDLSystemPowerIoCompletion$4$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_93_true#1, inline$BDLSystemPowerIoCompletion$4$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_97#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_98#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_99#1:
- call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$4$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$4$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_94#1:
- goto inline$BDLGetDebugLevel$129$Entry#1;
-
- inline$BDLGetDebugLevel$129$Entry#1:
- goto inline$BDLGetDebugLevel$129$start#1;
-
- inline$BDLGetDebugLevel$129$start#1:
- goto inline$BDLGetDebugLevel$129$label_3#1;
-
- inline$BDLGetDebugLevel$129$label_3#1:
- havoc inline$BDLGetDebugLevel$129$myNondetVar_0;
- inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$129$myNondetVar_0;
- goto inline$BDLGetDebugLevel$129$label_1#1;
-
- inline$BDLGetDebugLevel$129$label_1#1:
- goto inline$BDLGetDebugLevel$129$Return#1;
-
- inline$BDLGetDebugLevel$129$Return#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$4$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$4$anon49_Then#1, inline$BDLSystemPowerIoCompletion$4$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_103_true#1, inline$BDLSystemPowerIoCompletion$4$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$4$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$4$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_104#1:
- inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$4$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$4$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$4$Return#1;
-
- inline$BDLSystemPowerIoCompletion$4$Return#1:
- inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$4$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$4$anon14_Then#1, inline$CallCompletionRoutine$4$anon14_Else#1;
-
- inline$CallCompletionRoutine$4$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$4$anon9#1;
-
- inline$CallCompletionRoutine$4$anon9#1:
- goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$4$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$4$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$4$Entry#1:
- inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$4$start#1;
-
- inline$BDLDevicePowerIoCompletion$4$start#1:
- call inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$4$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_4#1:
- inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_6#1:
- inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$4$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$4$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$28$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$28$Entry#1:
- inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$28$start#1;
-
- inline$IoGetCurrentIrpStackLocation$28$start#1:
- inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$28$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$28$label_3_true#1, inline$IoGetCurrentIrpStackLocation$28$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$28$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$28$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$28$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$28$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$28$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$28$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$28$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$28$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$28$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$28$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$28$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$28$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$28$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$28$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$28$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$28$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$28$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon30_Then#1, inline$BDLDevicePowerIoCompletion$4$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_13#1:
- inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$4$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$4$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_17#1:
- goto inline$BDLGetDebugLevel$112$Entry#1;
-
- inline$BDLGetDebugLevel$112$Entry#1:
- goto inline$BDLGetDebugLevel$112$start#1;
-
- inline$BDLGetDebugLevel$112$start#1:
- goto inline$BDLGetDebugLevel$112$label_3#1;
-
- inline$BDLGetDebugLevel$112$label_3#1:
- havoc inline$BDLGetDebugLevel$112$myNondetVar_0;
- inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$112$myNondetVar_0;
- goto inline$BDLGetDebugLevel$112$label_1#1;
-
- inline$BDLGetDebugLevel$112$label_1#1:
- goto inline$BDLGetDebugLevel$112$Return#1;
-
- inline$BDLGetDebugLevel$112$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon31_Then#1, inline$BDLDevicePowerIoCompletion$4$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_20_true#1, inline$BDLDevicePowerIoCompletion$4$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_24#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_25#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_26#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$4$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_21#1:
- goto inline$BDLGetDebugLevel$113$Entry#1;
-
- inline$BDLGetDebugLevel$113$Entry#1:
- goto inline$BDLGetDebugLevel$113$start#1;
-
- inline$BDLGetDebugLevel$113$start#1:
- goto inline$BDLGetDebugLevel$113$label_3#1;
-
- inline$BDLGetDebugLevel$113$label_3#1:
- havoc inline$BDLGetDebugLevel$113$myNondetVar_0;
- inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$113$myNondetVar_0;
- goto inline$BDLGetDebugLevel$113$label_1#1;
-
- inline$BDLGetDebugLevel$113$label_1#1:
- goto inline$BDLGetDebugLevel$113$Return#1;
-
- inline$BDLGetDebugLevel$113$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon32_Then#1, inline$BDLDevicePowerIoCompletion$4$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_30_true#1, inline$BDLDevicePowerIoCompletion$4$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_34#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_35#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_36#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$4$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_31#1:
- goto inline$BDLGetDebugLevel$114$Entry#1;
-
- inline$BDLGetDebugLevel$114$Entry#1:
- goto inline$BDLGetDebugLevel$114$start#1;
-
- inline$BDLGetDebugLevel$114$start#1:
- goto inline$BDLGetDebugLevel$114$label_3#1;
-
- inline$BDLGetDebugLevel$114$label_3#1:
- havoc inline$BDLGetDebugLevel$114$myNondetVar_0;
- inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$114$myNondetVar_0;
- goto inline$BDLGetDebugLevel$114$label_1#1;
-
- inline$BDLGetDebugLevel$114$label_1#1:
- goto inline$BDLGetDebugLevel$114$Return#1;
-
- inline$BDLGetDebugLevel$114$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon33_Then#1, inline$BDLDevicePowerIoCompletion$4$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_40_true#1, inline$BDLDevicePowerIoCompletion$4$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_41_true#1, inline$BDLDevicePowerIoCompletion$4$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$4$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$4$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_44_true#1, inline$BDLDevicePowerIoCompletion$4$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$4$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_45_true#1, inline$BDLDevicePowerIoCompletion$4$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$4$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$4$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$4$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$4$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$4$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_54#1:
- inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$4$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_55_true#1, inline$BDLDevicePowerIoCompletion$4$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_56#1:
- call inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$4$anon34_Then#1, inline$BDLDevicePowerIoCompletion$4$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_59#1:
- goto inline$BDLGetDebugLevel$115$Entry#1;
-
- inline$BDLGetDebugLevel$115$Entry#1:
- goto inline$BDLGetDebugLevel$115$start#1;
-
- inline$BDLGetDebugLevel$115$start#1:
- goto inline$BDLGetDebugLevel$115$label_3#1;
-
- inline$BDLGetDebugLevel$115$label_3#1:
- havoc inline$BDLGetDebugLevel$115$myNondetVar_0;
- inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$115$myNondetVar_0;
- goto inline$BDLGetDebugLevel$115$label_1#1;
-
- inline$BDLGetDebugLevel$115$label_1#1:
- goto inline$BDLGetDebugLevel$115$Return#1;
-
- inline$BDLGetDebugLevel$115$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon35_Then#1, inline$BDLDevicePowerIoCompletion$4$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_62_true#1, inline$BDLDevicePowerIoCompletion$4$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_66#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_67#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_68#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$4$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_63#1:
- goto inline$BDLGetDebugLevel$116$Entry#1;
-
- inline$BDLGetDebugLevel$116$Entry#1:
- goto inline$BDLGetDebugLevel$116$start#1;
-
- inline$BDLGetDebugLevel$116$start#1:
- goto inline$BDLGetDebugLevel$116$label_3#1;
-
- inline$BDLGetDebugLevel$116$label_3#1:
- havoc inline$BDLGetDebugLevel$116$myNondetVar_0;
- inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$116$myNondetVar_0;
- goto inline$BDLGetDebugLevel$116$label_1#1;
-
- inline$BDLGetDebugLevel$116$label_1#1:
- goto inline$BDLGetDebugLevel$116$Return#1;
-
- inline$BDLGetDebugLevel$116$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon36_Then#1, inline$BDLDevicePowerIoCompletion$4$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_72_true#1, inline$BDLDevicePowerIoCompletion$4$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_76#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_77#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_78#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$4$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_73#1:
- goto inline$BDLGetDebugLevel$117$Entry#1;
-
- inline$BDLGetDebugLevel$117$Entry#1:
- goto inline$BDLGetDebugLevel$117$start#1;
-
- inline$BDLGetDebugLevel$117$start#1:
- goto inline$BDLGetDebugLevel$117$label_3#1;
-
- inline$BDLGetDebugLevel$117$label_3#1:
- havoc inline$BDLGetDebugLevel$117$myNondetVar_0;
- inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$117$myNondetVar_0;
- goto inline$BDLGetDebugLevel$117$label_1#1;
-
- inline$BDLGetDebugLevel$117$label_1#1:
- goto inline$BDLGetDebugLevel$117$Return#1;
-
- inline$BDLGetDebugLevel$117$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon37_Then#1, inline$BDLDevicePowerIoCompletion$4$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_82_true#1, inline$BDLDevicePowerIoCompletion$4$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$4$anon38_Then#1, inline$BDLDevicePowerIoCompletion$4$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$4$anon39_Then#1, inline$BDLDevicePowerIoCompletion$4$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_92#1:
- goto inline$storm_IoCompleteRequest$9$Entry#1;
-
- inline$storm_IoCompleteRequest$9$Entry#1:
- inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$9$start#1;
-
- inline$storm_IoCompleteRequest$9$start#1:
- inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$9$label_3#1;
-
- inline$storm_IoCompleteRequest$9$label_3#1:
- call inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$9$label_6#1;
-
- inline$storm_IoCompleteRequest$9$label_6#1:
- goto inline$storm_IoCompleteRequest$9$label_6_true#1, inline$storm_IoCompleteRequest$9$label_6_false#1;
-
- inline$storm_IoCompleteRequest$9$label_6_false#1:
- assume inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$9$label_7#1;
-
- inline$storm_IoCompleteRequest$9$label_6_true#1:
- assume inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$9$label_8#1;
-
- inline$storm_IoCompleteRequest$9$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$9$anon3_Then#1, inline$storm_IoCompleteRequest$9$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$9$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$9$anon1#1;
-
- inline$storm_IoCompleteRequest$9$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$9$label_9#1;
-
- inline$storm_IoCompleteRequest$9$label_9#1:
- goto inline$storm_IoCompleteRequest$9$label_9_true#1, inline$storm_IoCompleteRequest$9$label_9_false#1;
-
- inline$storm_IoCompleteRequest$9$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$9$label_10#1;
-
- inline$storm_IoCompleteRequest$9$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$9$label_1#1;
-
- inline$storm_IoCompleteRequest$9$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$9$label_7#1;
-
- inline$storm_IoCompleteRequest$9$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$9$label_1#1;
-
- inline$storm_IoCompleteRequest$9$label_1#1:
- goto inline$storm_IoCompleteRequest$9$Return#1;
-
- inline$storm_IoCompleteRequest$9$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$9$Return#1;
-
- inline$storm_IoCompleteRequest$9$Return#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon40_Then#1, inline$BDLDevicePowerIoCompletion$4$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$4$anon41_Then#1, inline$BDLDevicePowerIoCompletion$4$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_98#1:
- goto inline$BDLGetDebugLevel$118$Entry#1;
-
- inline$BDLGetDebugLevel$118$Entry#1:
- goto inline$BDLGetDebugLevel$118$start#1;
-
- inline$BDLGetDebugLevel$118$start#1:
- goto inline$BDLGetDebugLevel$118$label_3#1;
-
- inline$BDLGetDebugLevel$118$label_3#1:
- havoc inline$BDLGetDebugLevel$118$myNondetVar_0;
- inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$118$myNondetVar_0;
- goto inline$BDLGetDebugLevel$118$label_1#1;
-
- inline$BDLGetDebugLevel$118$label_1#1:
- goto inline$BDLGetDebugLevel$118$Return#1;
-
- inline$BDLGetDebugLevel$118$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon42_Then#1, inline$BDLDevicePowerIoCompletion$4$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_101_true#1, inline$BDLDevicePowerIoCompletion$4$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_105#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_106#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_107#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$4$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_102#1:
- goto inline$BDLGetDebugLevel$119$Entry#1;
-
- inline$BDLGetDebugLevel$119$Entry#1:
- goto inline$BDLGetDebugLevel$119$start#1;
-
- inline$BDLGetDebugLevel$119$start#1:
- goto inline$BDLGetDebugLevel$119$label_3#1;
-
- inline$BDLGetDebugLevel$119$label_3#1:
- havoc inline$BDLGetDebugLevel$119$myNondetVar_0;
- inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$119$myNondetVar_0;
- goto inline$BDLGetDebugLevel$119$label_1#1;
-
- inline$BDLGetDebugLevel$119$label_1#1:
- goto inline$BDLGetDebugLevel$119$Return#1;
-
- inline$BDLGetDebugLevel$119$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon43_Then#1, inline$BDLDevicePowerIoCompletion$4$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_111_true#1, inline$BDLDevicePowerIoCompletion$4$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_115#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_116#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_117#1:
- call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$4$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$4$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_112#1:
- goto inline$BDLGetDebugLevel$120$Entry#1;
-
- inline$BDLGetDebugLevel$120$Entry#1:
- goto inline$BDLGetDebugLevel$120$start#1;
-
- inline$BDLGetDebugLevel$120$start#1:
- goto inline$BDLGetDebugLevel$120$label_3#1;
-
- inline$BDLGetDebugLevel$120$label_3#1:
- havoc inline$BDLGetDebugLevel$120$myNondetVar_0;
- inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$120$myNondetVar_0;
- goto inline$BDLGetDebugLevel$120$label_1#1;
-
- inline$BDLGetDebugLevel$120$label_1#1:
- goto inline$BDLGetDebugLevel$120$Return#1;
-
- inline$BDLGetDebugLevel$120$Return#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$4$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$4$anon44_Then#1, inline$BDLDevicePowerIoCompletion$4$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_121_true#1, inline$BDLDevicePowerIoCompletion$4$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$4$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$4$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_122#1:
- inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$4$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$4$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$4$Return#1;
-
- inline$BDLDevicePowerIoCompletion$4$Return#1:
- inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$4$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$4$anon13_Then#1, inline$CallCompletionRoutine$4$anon13_Else#1;
-
- inline$CallCompletionRoutine$4$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$4$anon7#1;
-
- inline$CallCompletionRoutine$4$anon7#1:
- goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$4$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$4$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$4$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$4$Entry#1:
- inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$4$start#1;
-
- inline$BDLCallDriverCompletionRoutine$4$start#1:
- inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$4$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$4$label_3_true#1, inline$BDLCallDriverCompletionRoutine$4$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$4$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$4$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$4$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$4$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_6#1:
- goto inline$storm_KeSetEvent$5$Entry#1;
-
- inline$storm_KeSetEvent$5$Entry#1:
- inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$5$start#1;
-
- inline$storm_KeSetEvent$5$start#1:
- inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$5$label_3#1;
-
- inline$storm_KeSetEvent$5$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$5$label_1#1;
-
- inline$storm_KeSetEvent$5$label_1#1:
- goto inline$storm_KeSetEvent$5$Return#1;
-
- inline$storm_KeSetEvent$5$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$4$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$4$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$4$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$4$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$4$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$4$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$4$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_9#1:
- inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$4$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$4$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$4$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$4$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$4$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$4$Return#1:
- inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$4$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$4$anon12_Then#1, inline$CallCompletionRoutine$4$anon12_Else#1;
-
- inline$CallCompletionRoutine$4$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$4$anon5#1;
-
- inline$CallCompletionRoutine$4$anon5#1:
- goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$4$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$4$label_23#1;
-
- inline$CallCompletionRoutine$4$label_23#1:
- inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$4$label_24#1;
-
- inline$CallCompletionRoutine$4$label_24#1:
- goto inline$CallCompletionRoutine$4$label_24_true#1, inline$CallCompletionRoutine$4$label_24_false#1;
-
- inline$CallCompletionRoutine$4$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$4$label_1#1;
-
- inline$CallCompletionRoutine$4$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$4$label_25#1;
-
- inline$CallCompletionRoutine$4$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$4$label_1#1;
-
- inline$CallCompletionRoutine$4$label_1#1:
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$4$Return#1;
-
- inline$CallCompletionRoutine$4$Return#1:
- goto inline$storm_IoCallDriver$2$label_33$1#1;
-
- inline$storm_IoCallDriver$2$label_33$1#1:
- goto inline$storm_IoCallDriver$2$anon14_Then#1, inline$storm_IoCallDriver$2$anon14_Else#1;
-
- inline$storm_IoCallDriver$2$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$2$anon8#1;
-
- inline$storm_IoCallDriver$2$anon8#1:
- goto inline$storm_IoCallDriver$2$label_36#1;
-
- inline$storm_IoCallDriver$2$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$label_27_case_1#1:
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$2$label_29#1;
-
- inline$storm_IoCallDriver$2$label_29#1:
- inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$2$label_37#1;
-
- inline$storm_IoCallDriver$2$label_37#1:
- goto inline$storm_IoCallDriver$2$label_38#1;
-
- inline$storm_IoCallDriver$2$label_38#1:
- goto inline$storm_IoCallDriver$2$label_39#1;
-
- inline$storm_IoCallDriver$2$label_39#1:
- goto inline$CallCompletionRoutine$5$Entry#1;
-
- inline$CallCompletionRoutine$5$Entry#1:
- inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$5$start#1;
-
- inline$CallCompletionRoutine$5$start#1:
- inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$5$label_3#1;
-
- inline$CallCompletionRoutine$5$label_3#1:
- goto inline$CallCompletionRoutine$5$label_4#1;
-
- inline$CallCompletionRoutine$5$label_4#1:
- goto inline$CallCompletionRoutine$5$label_5#1;
-
- inline$CallCompletionRoutine$5$label_5#1:
- goto inline$CallCompletionRoutine$5$label_6#1;
-
- inline$CallCompletionRoutine$5$label_6#1:
- goto inline$CallCompletionRoutine$5$label_7#1;
-
- inline$CallCompletionRoutine$5$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$30$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$30$Entry#1:
- inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$30$start#1;
-
- inline$IoGetCurrentIrpStackLocation$30$start#1:
- inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$30$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$30$label_3_true#1, inline$IoGetCurrentIrpStackLocation$30$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$30$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$30$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$30$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$30$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$30$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$30$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$30$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$30$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$30$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$30$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$30$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$30$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$30$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$30$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$30$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$30$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$30$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$30$Return#1:
- goto inline$CallCompletionRoutine$5$label_7$1#1;
-
- inline$CallCompletionRoutine$5$label_7$1#1:
- goto inline$CallCompletionRoutine$5$anon10_Then#1, inline$CallCompletionRoutine$5$anon10_Else#1;
-
- inline$CallCompletionRoutine$5$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$5$anon1#1;
-
- inline$CallCompletionRoutine$5$anon1#1:
- goto inline$CallCompletionRoutine$5$label_10#1;
-
- inline$CallCompletionRoutine$5$label_10#1:
- goto inline$CallCompletionRoutine$5$label_11#1;
-
- inline$CallCompletionRoutine$5$label_11#1:
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$CallCompletionRoutine$5$label_12#1;
-
- inline$CallCompletionRoutine$5$label_12#1:
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$CallCompletionRoutine$5$label_13#1;
-
- inline$CallCompletionRoutine$5$label_13#1:
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$CallCompletionRoutine$5$label_14#1;
-
- inline$CallCompletionRoutine$5$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$31$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$31$Entry#1:
- inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$31$start#1;
-
- inline$IoGetCurrentIrpStackLocation$31$start#1:
- inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$31$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$31$label_3_true#1, inline$IoGetCurrentIrpStackLocation$31$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$31$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$31$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$31$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$31$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$31$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$31$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$31$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$31$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$31$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$31$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$31$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$31$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$31$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$31$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$31$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$31$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$31$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$31$Return#1:
- goto inline$CallCompletionRoutine$5$label_14$1#1;
-
- inline$CallCompletionRoutine$5$label_14$1#1:
- goto inline$CallCompletionRoutine$5$anon11_Then#1, inline$CallCompletionRoutine$5$anon11_Else#1;
-
- inline$CallCompletionRoutine$5$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$5$anon3#1;
-
- inline$CallCompletionRoutine$5$anon3#1:
- goto inline$CallCompletionRoutine$5$label_17#1;
-
- inline$CallCompletionRoutine$5$label_17#1:
- goto inline$CallCompletionRoutine$5$label_18#1;
-
- inline$CallCompletionRoutine$5$label_18#1:
- goto inline$CallCompletionRoutine$5$label_18_true#1, inline$CallCompletionRoutine$5$label_18_false#1;
-
- inline$CallCompletionRoutine$5$label_18_false#1:
- assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$5$label_1#1;
-
- inline$CallCompletionRoutine$5$label_18_true#1:
- assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$5$label_19#1;
-
- inline$CallCompletionRoutine$5$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$5$label_20#1;
-
- inline$CallCompletionRoutine$5$label_20#1:
- goto inline$CallCompletionRoutine$5$label_20_icall_1#1, inline$CallCompletionRoutine$5$label_20_icall_2#1, inline$CallCompletionRoutine$5$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$5$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$5$Entry#1:
- inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$5$start#1;
-
- inline$BDLSystemPowerIoCompletion$5$start#1:
- call inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$5$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_4#1:
- inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_6#1:
- inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$5$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$5$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$33$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$33$Entry#1:
- inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$33$start#1;
-
- inline$IoGetCurrentIrpStackLocation$33$start#1:
- inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$33$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$33$label_3_true#1, inline$IoGetCurrentIrpStackLocation$33$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$33$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$33$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$33$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$33$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$33$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$33$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$33$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$33$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$33$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$33$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$33$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$33$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$33$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$33$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$33$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$33$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$33$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$33$Return#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon36_Then#1, inline$BDLSystemPowerIoCompletion$5$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_15#1:
- goto inline$BDLGetDebugLevel$142$Entry#1;
-
- inline$BDLGetDebugLevel$142$Entry#1:
- goto inline$BDLGetDebugLevel$142$start#1;
-
- inline$BDLGetDebugLevel$142$start#1:
- goto inline$BDLGetDebugLevel$142$label_3#1;
-
- inline$BDLGetDebugLevel$142$label_3#1:
- havoc inline$BDLGetDebugLevel$142$myNondetVar_0;
- inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$142$myNondetVar_0;
- goto inline$BDLGetDebugLevel$142$label_1#1;
-
- inline$BDLGetDebugLevel$142$label_1#1:
- goto inline$BDLGetDebugLevel$142$Return#1;
-
- inline$BDLGetDebugLevel$142$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon37_Then#1, inline$BDLSystemPowerIoCompletion$5$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_18_true#1, inline$BDLSystemPowerIoCompletion$5$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_22#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_23#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_24#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$5$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_19#1:
- goto inline$BDLGetDebugLevel$143$Entry#1;
-
- inline$BDLGetDebugLevel$143$Entry#1:
- goto inline$BDLGetDebugLevel$143$start#1;
-
- inline$BDLGetDebugLevel$143$start#1:
- goto inline$BDLGetDebugLevel$143$label_3#1;
-
- inline$BDLGetDebugLevel$143$label_3#1:
- havoc inline$BDLGetDebugLevel$143$myNondetVar_0;
- inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$143$myNondetVar_0;
- goto inline$BDLGetDebugLevel$143$label_1#1;
-
- inline$BDLGetDebugLevel$143$label_1#1:
- goto inline$BDLGetDebugLevel$143$Return#1;
-
- inline$BDLGetDebugLevel$143$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon38_Then#1, inline$BDLSystemPowerIoCompletion$5$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_28_true#1, inline$BDLSystemPowerIoCompletion$5$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_32#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_33#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_34#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$5$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_29#1:
- goto inline$BDLGetDebugLevel$144$Entry#1;
-
- inline$BDLGetDebugLevel$144$Entry#1:
- goto inline$BDLGetDebugLevel$144$start#1;
-
- inline$BDLGetDebugLevel$144$start#1:
- goto inline$BDLGetDebugLevel$144$label_3#1;
-
- inline$BDLGetDebugLevel$144$label_3#1:
- havoc inline$BDLGetDebugLevel$144$myNondetVar_0;
- inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$144$myNondetVar_0;
- goto inline$BDLGetDebugLevel$144$label_1#1;
-
- inline$BDLGetDebugLevel$144$label_1#1:
- goto inline$BDLGetDebugLevel$144$Return#1;
-
- inline$BDLGetDebugLevel$144$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon39_Then#1, inline$BDLSystemPowerIoCompletion$5$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_38_true#1, inline$BDLSystemPowerIoCompletion$5$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_39_true#1, inline$BDLSystemPowerIoCompletion$5$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$5$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$5$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$5$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_106_true#1, inline$BDLSystemPowerIoCompletion$5$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_142#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_143#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$5$myNondetVar_0, inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$5$anon50_Then#1, inline$BDLSystemPowerIoCompletion$5$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_112#1:
- inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$5$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_113_true#1, inline$BDLSystemPowerIoCompletion$5$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$5$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_117#1:
- goto inline$BDLGetDebugLevel$151$Entry#1;
-
- inline$BDLGetDebugLevel$151$Entry#1:
- goto inline$BDLGetDebugLevel$151$start#1;
-
- inline$BDLGetDebugLevel$151$start#1:
- goto inline$BDLGetDebugLevel$151$label_3#1;
-
- inline$BDLGetDebugLevel$151$label_3#1:
- havoc inline$BDLGetDebugLevel$151$myNondetVar_0;
- inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$151$myNondetVar_0;
- goto inline$BDLGetDebugLevel$151$label_1#1;
-
- inline$BDLGetDebugLevel$151$label_1#1:
- goto inline$BDLGetDebugLevel$151$Return#1;
-
- inline$BDLGetDebugLevel$151$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon51_Then#1, inline$BDLSystemPowerIoCompletion$5$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_120_true#1, inline$BDLSystemPowerIoCompletion$5$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_124#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_125#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_126#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$5$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_121#1:
- goto inline$BDLGetDebugLevel$152$Entry#1;
-
- inline$BDLGetDebugLevel$152$Entry#1:
- goto inline$BDLGetDebugLevel$152$start#1;
-
- inline$BDLGetDebugLevel$152$start#1:
- goto inline$BDLGetDebugLevel$152$label_3#1;
-
- inline$BDLGetDebugLevel$152$label_3#1:
- havoc inline$BDLGetDebugLevel$152$myNondetVar_0;
- inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$152$myNondetVar_0;
- goto inline$BDLGetDebugLevel$152$label_1#1;
-
- inline$BDLGetDebugLevel$152$label_1#1:
- goto inline$BDLGetDebugLevel$152$Return#1;
-
- inline$BDLGetDebugLevel$152$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon52_Then#1, inline$BDLSystemPowerIoCompletion$5$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_130_true#1, inline$BDLSystemPowerIoCompletion$5$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_134#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_135#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_136#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$5$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_131#1:
- goto inline$BDLGetDebugLevel$153$Entry#1;
-
- inline$BDLGetDebugLevel$153$Entry#1:
- goto inline$BDLGetDebugLevel$153$start#1;
-
- inline$BDLGetDebugLevel$153$start#1:
- goto inline$BDLGetDebugLevel$153$label_3#1;
-
- inline$BDLGetDebugLevel$153$label_3#1:
- havoc inline$BDLGetDebugLevel$153$myNondetVar_0;
- inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$153$myNondetVar_0;
- goto inline$BDLGetDebugLevel$153$label_1#1;
-
- inline$BDLGetDebugLevel$153$label_1#1:
- goto inline$BDLGetDebugLevel$153$Return#1;
-
- inline$BDLGetDebugLevel$153$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon53_Then#1, inline$BDLSystemPowerIoCompletion$5$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_140_true#1, inline$BDLSystemPowerIoCompletion$5$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$5$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_115#1:
- inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$5$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$5$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_43#1:
- goto inline$BDLGetDebugLevel$145$Entry#1;
-
- inline$BDLGetDebugLevel$145$Entry#1:
- goto inline$BDLGetDebugLevel$145$start#1;
-
- inline$BDLGetDebugLevel$145$start#1:
- goto inline$BDLGetDebugLevel$145$label_3#1;
-
- inline$BDLGetDebugLevel$145$label_3#1:
- havoc inline$BDLGetDebugLevel$145$myNondetVar_0;
- inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$145$myNondetVar_0;
- goto inline$BDLGetDebugLevel$145$label_1#1;
-
- inline$BDLGetDebugLevel$145$label_1#1:
- goto inline$BDLGetDebugLevel$145$Return#1;
-
- inline$BDLGetDebugLevel$145$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon40_Then#1, inline$BDLSystemPowerIoCompletion$5$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_46_true#1, inline$BDLSystemPowerIoCompletion$5$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_50#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_51#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_52#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$5$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_47#1:
- goto inline$BDLGetDebugLevel$146$Entry#1;
-
- inline$BDLGetDebugLevel$146$Entry#1:
- goto inline$BDLGetDebugLevel$146$start#1;
-
- inline$BDLGetDebugLevel$146$start#1:
- goto inline$BDLGetDebugLevel$146$label_3#1;
-
- inline$BDLGetDebugLevel$146$label_3#1:
- havoc inline$BDLGetDebugLevel$146$myNondetVar_0;
- inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$146$myNondetVar_0;
- goto inline$BDLGetDebugLevel$146$label_1#1;
-
- inline$BDLGetDebugLevel$146$label_1#1:
- goto inline$BDLGetDebugLevel$146$Return#1;
-
- inline$BDLGetDebugLevel$146$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon41_Then#1, inline$BDLSystemPowerIoCompletion$5$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_56_true#1, inline$BDLSystemPowerIoCompletion$5$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_60#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_61#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_62#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$5$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_57#1:
- goto inline$BDLGetDebugLevel$147$Entry#1;
-
- inline$BDLGetDebugLevel$147$Entry#1:
- goto inline$BDLGetDebugLevel$147$start#1;
-
- inline$BDLGetDebugLevel$147$start#1:
- goto inline$BDLGetDebugLevel$147$label_3#1;
-
- inline$BDLGetDebugLevel$147$label_3#1:
- havoc inline$BDLGetDebugLevel$147$myNondetVar_0;
- inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$147$myNondetVar_0;
- goto inline$BDLGetDebugLevel$147$label_1#1;
-
- inline$BDLGetDebugLevel$147$label_1#1:
- goto inline$BDLGetDebugLevel$147$Return#1;
-
- inline$BDLGetDebugLevel$147$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon42_Then#1, inline$BDLSystemPowerIoCompletion$5$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_66_true#1, inline$BDLSystemPowerIoCompletion$5$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$5$anon43_Then#1, inline$BDLSystemPowerIoCompletion$5$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$5$anon44_Then#1, inline$BDLSystemPowerIoCompletion$5$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_74#1:
- goto inline$storm_IoCompleteRequest$12$Entry#1;
-
- inline$storm_IoCompleteRequest$12$Entry#1:
- inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$12$start#1;
-
- inline$storm_IoCompleteRequest$12$start#1:
- inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$12$label_3#1;
-
- inline$storm_IoCompleteRequest$12$label_3#1:
- call inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$12$label_6#1;
-
- inline$storm_IoCompleteRequest$12$label_6#1:
- goto inline$storm_IoCompleteRequest$12$label_6_true#1, inline$storm_IoCompleteRequest$12$label_6_false#1;
-
- inline$storm_IoCompleteRequest$12$label_6_false#1:
- assume inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$12$label_7#1;
-
- inline$storm_IoCompleteRequest$12$label_6_true#1:
- assume inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$12$label_8#1;
-
- inline$storm_IoCompleteRequest$12$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$12$anon3_Then#1, inline$storm_IoCompleteRequest$12$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$12$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$12$anon1#1;
-
- inline$storm_IoCompleteRequest$12$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$12$label_9#1;
-
- inline$storm_IoCompleteRequest$12$label_9#1:
- goto inline$storm_IoCompleteRequest$12$label_9_true#1, inline$storm_IoCompleteRequest$12$label_9_false#1;
-
- inline$storm_IoCompleteRequest$12$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$12$label_10#1;
-
- inline$storm_IoCompleteRequest$12$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$12$label_1#1;
-
- inline$storm_IoCompleteRequest$12$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$12$label_7#1;
-
- inline$storm_IoCompleteRequest$12$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$12$label_1#1;
-
- inline$storm_IoCompleteRequest$12$label_1#1:
- goto inline$storm_IoCompleteRequest$12$Return#1;
-
- inline$storm_IoCompleteRequest$12$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$12$Return#1;
-
- inline$storm_IoCompleteRequest$12$Return#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon45_Then#1, inline$BDLSystemPowerIoCompletion$5$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$5$anon46_Then#1, inline$BDLSystemPowerIoCompletion$5$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_80#1:
- goto inline$BDLGetDebugLevel$148$Entry#1;
-
- inline$BDLGetDebugLevel$148$Entry#1:
- goto inline$BDLGetDebugLevel$148$start#1;
-
- inline$BDLGetDebugLevel$148$start#1:
- goto inline$BDLGetDebugLevel$148$label_3#1;
-
- inline$BDLGetDebugLevel$148$label_3#1:
- havoc inline$BDLGetDebugLevel$148$myNondetVar_0;
- inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$148$myNondetVar_0;
- goto inline$BDLGetDebugLevel$148$label_1#1;
-
- inline$BDLGetDebugLevel$148$label_1#1:
- goto inline$BDLGetDebugLevel$148$Return#1;
-
- inline$BDLGetDebugLevel$148$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon47_Then#1, inline$BDLSystemPowerIoCompletion$5$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_83_true#1, inline$BDLSystemPowerIoCompletion$5$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_87#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_88#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_89#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$5$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_84#1:
- goto inline$BDLGetDebugLevel$149$Entry#1;
-
- inline$BDLGetDebugLevel$149$Entry#1:
- goto inline$BDLGetDebugLevel$149$start#1;
-
- inline$BDLGetDebugLevel$149$start#1:
- goto inline$BDLGetDebugLevel$149$label_3#1;
-
- inline$BDLGetDebugLevel$149$label_3#1:
- havoc inline$BDLGetDebugLevel$149$myNondetVar_0;
- inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$149$myNondetVar_0;
- goto inline$BDLGetDebugLevel$149$label_1#1;
-
- inline$BDLGetDebugLevel$149$label_1#1:
- goto inline$BDLGetDebugLevel$149$Return#1;
-
- inline$BDLGetDebugLevel$149$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon48_Then#1, inline$BDLSystemPowerIoCompletion$5$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_93_true#1, inline$BDLSystemPowerIoCompletion$5$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_97#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_98#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_99#1:
- call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$5$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$5$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_94#1:
- goto inline$BDLGetDebugLevel$150$Entry#1;
-
- inline$BDLGetDebugLevel$150$Entry#1:
- goto inline$BDLGetDebugLevel$150$start#1;
-
- inline$BDLGetDebugLevel$150$start#1:
- goto inline$BDLGetDebugLevel$150$label_3#1;
-
- inline$BDLGetDebugLevel$150$label_3#1:
- havoc inline$BDLGetDebugLevel$150$myNondetVar_0;
- inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$150$myNondetVar_0;
- goto inline$BDLGetDebugLevel$150$label_1#1;
-
- inline$BDLGetDebugLevel$150$label_1#1:
- goto inline$BDLGetDebugLevel$150$Return#1;
-
- inline$BDLGetDebugLevel$150$Return#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$5$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$5$anon49_Then#1, inline$BDLSystemPowerIoCompletion$5$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_103_true#1, inline$BDLSystemPowerIoCompletion$5$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$5$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$5$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_104#1:
- inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$5$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$5$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$5$Return#1;
-
- inline$BDLSystemPowerIoCompletion$5$Return#1:
- inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$5$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$5$anon14_Then#1, inline$CallCompletionRoutine$5$anon14_Else#1;
-
- inline$CallCompletionRoutine$5$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$5$anon9#1;
-
- inline$CallCompletionRoutine$5$anon9#1:
- goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$5$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$5$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$5$Entry#1:
- inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$5$start#1;
-
- inline$BDLDevicePowerIoCompletion$5$start#1:
- call inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$5$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_4#1:
- inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_6#1:
- inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$5$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$5$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$32$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$32$Entry#1:
- inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$32$start#1;
-
- inline$IoGetCurrentIrpStackLocation$32$start#1:
- inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$32$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$32$label_3_true#1, inline$IoGetCurrentIrpStackLocation$32$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$32$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$32$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$32$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$32$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$32$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$32$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$32$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$32$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$32$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$32$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$32$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$32$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$32$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$32$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$32$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$32$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$32$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon30_Then#1, inline$BDLDevicePowerIoCompletion$5$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_13#1:
- inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$5$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$5$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_17#1:
- goto inline$BDLGetDebugLevel$133$Entry#1;
-
- inline$BDLGetDebugLevel$133$Entry#1:
- goto inline$BDLGetDebugLevel$133$start#1;
-
- inline$BDLGetDebugLevel$133$start#1:
- goto inline$BDLGetDebugLevel$133$label_3#1;
-
- inline$BDLGetDebugLevel$133$label_3#1:
- havoc inline$BDLGetDebugLevel$133$myNondetVar_0;
- inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$133$myNondetVar_0;
- goto inline$BDLGetDebugLevel$133$label_1#1;
-
- inline$BDLGetDebugLevel$133$label_1#1:
- goto inline$BDLGetDebugLevel$133$Return#1;
-
- inline$BDLGetDebugLevel$133$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon31_Then#1, inline$BDLDevicePowerIoCompletion$5$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_20_true#1, inline$BDLDevicePowerIoCompletion$5$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_24#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_25#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_26#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$5$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_21#1:
- goto inline$BDLGetDebugLevel$134$Entry#1;
-
- inline$BDLGetDebugLevel$134$Entry#1:
- goto inline$BDLGetDebugLevel$134$start#1;
-
- inline$BDLGetDebugLevel$134$start#1:
- goto inline$BDLGetDebugLevel$134$label_3#1;
-
- inline$BDLGetDebugLevel$134$label_3#1:
- havoc inline$BDLGetDebugLevel$134$myNondetVar_0;
- inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$134$myNondetVar_0;
- goto inline$BDLGetDebugLevel$134$label_1#1;
-
- inline$BDLGetDebugLevel$134$label_1#1:
- goto inline$BDLGetDebugLevel$134$Return#1;
-
- inline$BDLGetDebugLevel$134$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon32_Then#1, inline$BDLDevicePowerIoCompletion$5$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_30_true#1, inline$BDLDevicePowerIoCompletion$5$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_34#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_35#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_36#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$5$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_31#1:
- goto inline$BDLGetDebugLevel$135$Entry#1;
-
- inline$BDLGetDebugLevel$135$Entry#1:
- goto inline$BDLGetDebugLevel$135$start#1;
-
- inline$BDLGetDebugLevel$135$start#1:
- goto inline$BDLGetDebugLevel$135$label_3#1;
-
- inline$BDLGetDebugLevel$135$label_3#1:
- havoc inline$BDLGetDebugLevel$135$myNondetVar_0;
- inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$135$myNondetVar_0;
- goto inline$BDLGetDebugLevel$135$label_1#1;
-
- inline$BDLGetDebugLevel$135$label_1#1:
- goto inline$BDLGetDebugLevel$135$Return#1;
-
- inline$BDLGetDebugLevel$135$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon33_Then#1, inline$BDLDevicePowerIoCompletion$5$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_40_true#1, inline$BDLDevicePowerIoCompletion$5$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_41_true#1, inline$BDLDevicePowerIoCompletion$5$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$5$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$5$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_44_true#1, inline$BDLDevicePowerIoCompletion$5$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$5$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_45_true#1, inline$BDLDevicePowerIoCompletion$5$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$5$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$5$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$5$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$5$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$5$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_54#1:
- inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$5$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_55_true#1, inline$BDLDevicePowerIoCompletion$5$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_56#1:
- call inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$5$anon34_Then#1, inline$BDLDevicePowerIoCompletion$5$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_59#1:
- goto inline$BDLGetDebugLevel$136$Entry#1;
-
- inline$BDLGetDebugLevel$136$Entry#1:
- goto inline$BDLGetDebugLevel$136$start#1;
-
- inline$BDLGetDebugLevel$136$start#1:
- goto inline$BDLGetDebugLevel$136$label_3#1;
-
- inline$BDLGetDebugLevel$136$label_3#1:
- havoc inline$BDLGetDebugLevel$136$myNondetVar_0;
- inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$136$myNondetVar_0;
- goto inline$BDLGetDebugLevel$136$label_1#1;
-
- inline$BDLGetDebugLevel$136$label_1#1:
- goto inline$BDLGetDebugLevel$136$Return#1;
-
- inline$BDLGetDebugLevel$136$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon35_Then#1, inline$BDLDevicePowerIoCompletion$5$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_62_true#1, inline$BDLDevicePowerIoCompletion$5$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_66#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_67#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_68#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$5$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_63#1:
- goto inline$BDLGetDebugLevel$137$Entry#1;
-
- inline$BDLGetDebugLevel$137$Entry#1:
- goto inline$BDLGetDebugLevel$137$start#1;
-
- inline$BDLGetDebugLevel$137$start#1:
- goto inline$BDLGetDebugLevel$137$label_3#1;
-
- inline$BDLGetDebugLevel$137$label_3#1:
- havoc inline$BDLGetDebugLevel$137$myNondetVar_0;
- inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$137$myNondetVar_0;
- goto inline$BDLGetDebugLevel$137$label_1#1;
-
- inline$BDLGetDebugLevel$137$label_1#1:
- goto inline$BDLGetDebugLevel$137$Return#1;
-
- inline$BDLGetDebugLevel$137$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon36_Then#1, inline$BDLDevicePowerIoCompletion$5$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_72_true#1, inline$BDLDevicePowerIoCompletion$5$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_76#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_77#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_78#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$5$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_73#1:
- goto inline$BDLGetDebugLevel$138$Entry#1;
-
- inline$BDLGetDebugLevel$138$Entry#1:
- goto inline$BDLGetDebugLevel$138$start#1;
-
- inline$BDLGetDebugLevel$138$start#1:
- goto inline$BDLGetDebugLevel$138$label_3#1;
-
- inline$BDLGetDebugLevel$138$label_3#1:
- havoc inline$BDLGetDebugLevel$138$myNondetVar_0;
- inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$138$myNondetVar_0;
- goto inline$BDLGetDebugLevel$138$label_1#1;
-
- inline$BDLGetDebugLevel$138$label_1#1:
- goto inline$BDLGetDebugLevel$138$Return#1;
-
- inline$BDLGetDebugLevel$138$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon37_Then#1, inline$BDLDevicePowerIoCompletion$5$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_82_true#1, inline$BDLDevicePowerIoCompletion$5$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$5$anon38_Then#1, inline$BDLDevicePowerIoCompletion$5$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$5$anon39_Then#1, inline$BDLDevicePowerIoCompletion$5$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_92#1:
- goto inline$storm_IoCompleteRequest$11$Entry#1;
-
- inline$storm_IoCompleteRequest$11$Entry#1:
- inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$11$start#1;
-
- inline$storm_IoCompleteRequest$11$start#1:
- inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$11$label_3#1;
-
- inline$storm_IoCompleteRequest$11$label_3#1:
- call inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$11$label_6#1;
-
- inline$storm_IoCompleteRequest$11$label_6#1:
- goto inline$storm_IoCompleteRequest$11$label_6_true#1, inline$storm_IoCompleteRequest$11$label_6_false#1;
-
- inline$storm_IoCompleteRequest$11$label_6_false#1:
- assume inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$11$label_7#1;
-
- inline$storm_IoCompleteRequest$11$label_6_true#1:
- assume inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$11$label_8#1;
-
- inline$storm_IoCompleteRequest$11$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$11$anon3_Then#1, inline$storm_IoCompleteRequest$11$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$11$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$11$anon1#1;
-
- inline$storm_IoCompleteRequest$11$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$11$label_9#1;
-
- inline$storm_IoCompleteRequest$11$label_9#1:
- goto inline$storm_IoCompleteRequest$11$label_9_true#1, inline$storm_IoCompleteRequest$11$label_9_false#1;
-
- inline$storm_IoCompleteRequest$11$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$11$label_10#1;
-
- inline$storm_IoCompleteRequest$11$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$11$label_1#1;
-
- inline$storm_IoCompleteRequest$11$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$11$label_7#1;
-
- inline$storm_IoCompleteRequest$11$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$11$label_1#1;
-
- inline$storm_IoCompleteRequest$11$label_1#1:
- goto inline$storm_IoCompleteRequest$11$Return#1;
-
- inline$storm_IoCompleteRequest$11$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$11$Return#1;
-
- inline$storm_IoCompleteRequest$11$Return#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon40_Then#1, inline$BDLDevicePowerIoCompletion$5$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$5$anon41_Then#1, inline$BDLDevicePowerIoCompletion$5$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_98#1:
- goto inline$BDLGetDebugLevel$139$Entry#1;
-
- inline$BDLGetDebugLevel$139$Entry#1:
- goto inline$BDLGetDebugLevel$139$start#1;
-
- inline$BDLGetDebugLevel$139$start#1:
- goto inline$BDLGetDebugLevel$139$label_3#1;
-
- inline$BDLGetDebugLevel$139$label_3#1:
- havoc inline$BDLGetDebugLevel$139$myNondetVar_0;
- inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$139$myNondetVar_0;
- goto inline$BDLGetDebugLevel$139$label_1#1;
-
- inline$BDLGetDebugLevel$139$label_1#1:
- goto inline$BDLGetDebugLevel$139$Return#1;
-
- inline$BDLGetDebugLevel$139$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon42_Then#1, inline$BDLDevicePowerIoCompletion$5$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_101_true#1, inline$BDLDevicePowerIoCompletion$5$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_105#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_106#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_107#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$5$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_102#1:
- goto inline$BDLGetDebugLevel$140$Entry#1;
-
- inline$BDLGetDebugLevel$140$Entry#1:
- goto inline$BDLGetDebugLevel$140$start#1;
-
- inline$BDLGetDebugLevel$140$start#1:
- goto inline$BDLGetDebugLevel$140$label_3#1;
-
- inline$BDLGetDebugLevel$140$label_3#1:
- havoc inline$BDLGetDebugLevel$140$myNondetVar_0;
- inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$140$myNondetVar_0;
- goto inline$BDLGetDebugLevel$140$label_1#1;
-
- inline$BDLGetDebugLevel$140$label_1#1:
- goto inline$BDLGetDebugLevel$140$Return#1;
-
- inline$BDLGetDebugLevel$140$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon43_Then#1, inline$BDLDevicePowerIoCompletion$5$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_111_true#1, inline$BDLDevicePowerIoCompletion$5$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_115#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_116#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_117#1:
- call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$5$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$5$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_112#1:
- goto inline$BDLGetDebugLevel$141$Entry#1;
-
- inline$BDLGetDebugLevel$141$Entry#1:
- goto inline$BDLGetDebugLevel$141$start#1;
-
- inline$BDLGetDebugLevel$141$start#1:
- goto inline$BDLGetDebugLevel$141$label_3#1;
-
- inline$BDLGetDebugLevel$141$label_3#1:
- havoc inline$BDLGetDebugLevel$141$myNondetVar_0;
- inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$141$myNondetVar_0;
- goto inline$BDLGetDebugLevel$141$label_1#1;
-
- inline$BDLGetDebugLevel$141$label_1#1:
- goto inline$BDLGetDebugLevel$141$Return#1;
-
- inline$BDLGetDebugLevel$141$Return#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$5$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$5$anon44_Then#1, inline$BDLDevicePowerIoCompletion$5$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_121_true#1, inline$BDLDevicePowerIoCompletion$5$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$5$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$5$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_122#1:
- inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$5$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$5$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$5$Return#1;
-
- inline$BDLDevicePowerIoCompletion$5$Return#1:
- inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$5$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$5$anon13_Then#1, inline$CallCompletionRoutine$5$anon13_Else#1;
-
- inline$CallCompletionRoutine$5$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$5$anon7#1;
-
- inline$CallCompletionRoutine$5$anon7#1:
- goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$5$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$5$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$5$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$5$Entry#1:
- inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$5$start#1;
-
- inline$BDLCallDriverCompletionRoutine$5$start#1:
- inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$5$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$5$label_3_true#1, inline$BDLCallDriverCompletionRoutine$5$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$5$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$5$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$5$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$5$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_6#1:
- goto inline$storm_KeSetEvent$6$Entry#1;
-
- inline$storm_KeSetEvent$6$Entry#1:
- inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$6$start#1;
-
- inline$storm_KeSetEvent$6$start#1:
- inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$6$label_3#1;
-
- inline$storm_KeSetEvent$6$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$6$label_1#1;
-
- inline$storm_KeSetEvent$6$label_1#1:
- goto inline$storm_KeSetEvent$6$Return#1;
-
- inline$storm_KeSetEvent$6$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$5$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$5$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$5$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$5$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$5$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$5$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$5$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_9#1:
- inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$5$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$5$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$5$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$5$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$5$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$5$Return#1:
- inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$5$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$5$anon12_Then#1, inline$CallCompletionRoutine$5$anon12_Else#1;
-
- inline$CallCompletionRoutine$5$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$5$anon5#1;
-
- inline$CallCompletionRoutine$5$anon5#1:
- goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$5$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$5$label_23#1;
-
- inline$CallCompletionRoutine$5$label_23#1:
- inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$5$label_24#1;
-
- inline$CallCompletionRoutine$5$label_24#1:
- goto inline$CallCompletionRoutine$5$label_24_true#1, inline$CallCompletionRoutine$5$label_24_false#1;
-
- inline$CallCompletionRoutine$5$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$5$label_1#1;
-
- inline$CallCompletionRoutine$5$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$5$label_25#1;
-
- inline$CallCompletionRoutine$5$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$5$label_1#1;
-
- inline$CallCompletionRoutine$5$label_1#1:
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$5$Return#1;
-
- inline$CallCompletionRoutine$5$Return#1:
- goto inline$storm_IoCallDriver$2$label_39$1#1;
-
- inline$storm_IoCallDriver$2$label_39$1#1:
- goto inline$storm_IoCallDriver$2$anon15_Then#1, inline$storm_IoCallDriver$2$anon15_Else#1;
-
- inline$storm_IoCallDriver$2$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$2$anon10#1;
-
- inline$storm_IoCallDriver$2$anon10#1:
- goto inline$storm_IoCallDriver$2$label_36#1;
-
- inline$storm_IoCallDriver$2$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$label_27_case_0#1:
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$2$label_28#1;
-
- inline$storm_IoCallDriver$2$label_28#1:
- inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$2$label_42#1;
-
- inline$storm_IoCallDriver$2$label_42#1:
- goto inline$storm_IoCallDriver$2$label_43#1;
-
- inline$storm_IoCallDriver$2$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$2$label_36#1;
-
- inline$storm_IoCallDriver$2$label_36#1:
- inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$2$label_1#1;
-
- inline$storm_IoCallDriver$2$label_1#1:
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$2$Return#1;
-
- inline$storm_IoCallDriver$2$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_25#1:
- goto inline$storm_PoCallDriver$1$Entry#1;
-
- inline$storm_PoCallDriver$1$Entry#1:
- inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$1$start#1;
-
- inline$storm_PoCallDriver$1$start#1:
- inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$1$label_3#1;
-
- inline$storm_PoCallDriver$1$label_3#1:
- goto inline$storm_IoCallDriver$3$Entry#1;
-
- inline$storm_IoCallDriver$3$Entry#1:
- inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$3$start#1;
-
- inline$storm_IoCallDriver$3$start#1:
- inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$3$label_3#1;
-
- inline$storm_IoCallDriver$3$label_3#1:
- goto inline$storm_IoCallDriver$3$label_4#1;
-
- inline$storm_IoCallDriver$3$label_4#1:
- goto inline$storm_IoCallDriver$3$label_5#1;
-
- inline$storm_IoCallDriver$3$label_5#1:
- goto inline$storm_IoCallDriver$3$label_6#1;
-
- inline$storm_IoCallDriver$3$label_6#1:
- call inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$3$label_9#1;
-
- inline$storm_IoCallDriver$3$label_9#1:
- goto inline$storm_IoCallDriver$3$label_9_true#1, inline$storm_IoCallDriver$3$label_9_false#1;
-
- inline$storm_IoCallDriver$3$label_9_false#1:
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$3$label_10#1;
-
- inline$storm_IoCallDriver$3$label_9_true#1:
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$3$label_13#1;
-
- inline$storm_IoCallDriver$3$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$3$anon12_Then#1, inline$storm_IoCallDriver$3$anon12_Else#1;
-
- inline$storm_IoCallDriver$3$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$3$anon3#1;
-
- inline$storm_IoCallDriver$3$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$3$label_14#1;
-
- inline$storm_IoCallDriver$3$label_14#1:
- goto inline$storm_IoCallDriver$3$label_14_true#1, inline$storm_IoCallDriver$3$label_14_false#1;
-
- inline$storm_IoCallDriver$3$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$3$label_15#1;
-
- inline$storm_IoCallDriver$3$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$3$label_1#1;
-
- inline$storm_IoCallDriver$3$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$3$label_10#1;
-
- inline$storm_IoCallDriver$3$label_10#1:
- goto inline$IoSetNextIrpStackLocation$4$Entry#1;
-
- inline$IoSetNextIrpStackLocation$4$Entry#1:
- inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$4$start#1;
-
- inline$IoSetNextIrpStackLocation$4$start#1:
- inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$4$label_3#1;
-
- inline$IoSetNextIrpStackLocation$4$label_3#1:
- goto inline$IoSetNextIrpStackLocation$4$label_3_true#1, inline$IoSetNextIrpStackLocation$4$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$4$label_3_false#1:
- inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$4$myVar_0);
- goto inline$IoSetNextIrpStackLocation$4$label_4#1;
-
- inline$IoSetNextIrpStackLocation$4$label_4#1:
- call inline$IoSetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$4$label_7#1;
-
- inline$IoSetNextIrpStackLocation$4$label_7#1:
- call inline$IoSetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$4$label_8#1;
-
- inline$IoSetNextIrpStackLocation$4$label_8#1:
- goto inline$IoSetNextIrpStackLocation$4$label_5#1;
-
- inline$IoSetNextIrpStackLocation$4$label_3_true#1:
- inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$4$myVar_0);
- goto inline$IoSetNextIrpStackLocation$4$label_5#1;
-
- inline$IoSetNextIrpStackLocation$4$label_5#1:
- inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$4$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$4$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$4$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$4$label_6#1;
-
- inline$IoSetNextIrpStackLocation$4$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$4$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$4$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$4$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$4$label_1#1;
-
- inline$IoSetNextIrpStackLocation$4$label_1#1:
- goto inline$IoSetNextIrpStackLocation$4$Return#1;
-
- inline$IoSetNextIrpStackLocation$4$Return#1:
- goto inline$storm_IoCallDriver$3$label_10$1#1;
-
- inline$storm_IoCallDriver$3$label_10$1#1:
- goto inline$storm_IoCallDriver$3$anon11_Then#1, inline$storm_IoCallDriver$3$anon11_Else#1;
-
- inline$storm_IoCallDriver$3$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$3$anon1#1;
-
- inline$storm_IoCallDriver$3$anon1#1:
- goto inline$storm_IoCallDriver$3$label_18#1;
-
- inline$storm_IoCallDriver$3$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$34$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$34$Entry#1:
- inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$34$start#1;
-
- inline$IoGetCurrentIrpStackLocation$34$start#1:
- inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$34$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$34$label_3_true#1, inline$IoGetCurrentIrpStackLocation$34$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$34$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$34$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$34$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$34$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$34$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$34$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$34$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$34$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$34$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$34$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$34$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$34$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$34$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$34$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$34$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$34$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$34$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$34$Return#1:
- goto inline$storm_IoCallDriver$3$label_18$1#1;
-
- inline$storm_IoCallDriver$3$label_18$1#1:
- goto inline$storm_IoCallDriver$3$anon13_Then#1, inline$storm_IoCallDriver$3$anon13_Else#1;
-
- inline$storm_IoCallDriver$3$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$3$anon6#1;
-
- inline$storm_IoCallDriver$3$anon6#1:
- goto inline$storm_IoCallDriver$3$label_21#1;
-
- inline$storm_IoCallDriver$3$label_21#1:
- goto inline$storm_IoCallDriver$3$label_22#1;
-
- inline$storm_IoCallDriver$3$label_22#1:
- goto inline$storm_IoCallDriver$3$label_23#1;
-
- inline$storm_IoCallDriver$3$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$3$label_24#1;
-
- inline$storm_IoCallDriver$3$label_24#1:
- call inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$3$label_27#1;
-
- inline$storm_IoCallDriver$3$label_27#1:
- goto inline$storm_IoCallDriver$3$label_27_case_0#1, inline$storm_IoCallDriver$3$label_27_case_1#1, inline$storm_IoCallDriver$3$label_27_case_2#1;
-
- inline$storm_IoCallDriver$3$label_27_case_2#1:
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$3$label_30#1;
-
- inline$storm_IoCallDriver$3$label_30#1:
- inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$3$label_31#1;
-
- inline$storm_IoCallDriver$3$label_31#1:
- goto inline$storm_IoCallDriver$3$label_32#1;
-
- inline$storm_IoCallDriver$3$label_32#1:
- goto inline$storm_IoCallDriver$3$label_33#1;
-
- inline$storm_IoCallDriver$3$label_33#1:
- goto inline$CallCompletionRoutine$6$Entry#1;
-
- inline$CallCompletionRoutine$6$Entry#1:
- inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$6$start#1;
-
- inline$CallCompletionRoutine$6$start#1:
- inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$6$label_3#1;
-
- inline$CallCompletionRoutine$6$label_3#1:
- goto inline$CallCompletionRoutine$6$label_4#1;
-
- inline$CallCompletionRoutine$6$label_4#1:
- goto inline$CallCompletionRoutine$6$label_5#1;
-
- inline$CallCompletionRoutine$6$label_5#1:
- goto inline$CallCompletionRoutine$6$label_6#1;
-
- inline$CallCompletionRoutine$6$label_6#1:
- goto inline$CallCompletionRoutine$6$label_7#1;
-
- inline$CallCompletionRoutine$6$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$35$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$35$Entry#1:
- inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$35$start#1;
-
- inline$IoGetCurrentIrpStackLocation$35$start#1:
- inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$35$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$35$label_3_true#1, inline$IoGetCurrentIrpStackLocation$35$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$35$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$35$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$35$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$35$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$35$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$35$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$35$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$35$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$35$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$35$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$35$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$35$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$35$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$35$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$35$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$35$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$35$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$35$Return#1:
- goto inline$CallCompletionRoutine$6$label_7$1#1;
-
- inline$CallCompletionRoutine$6$label_7$1#1:
- goto inline$CallCompletionRoutine$6$anon10_Then#1, inline$CallCompletionRoutine$6$anon10_Else#1;
-
- inline$CallCompletionRoutine$6$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$6$anon1#1;
-
- inline$CallCompletionRoutine$6$anon1#1:
- goto inline$CallCompletionRoutine$6$label_10#1;
-
- inline$CallCompletionRoutine$6$label_10#1:
- goto inline$CallCompletionRoutine$6$label_11#1;
-
- inline$CallCompletionRoutine$6$label_11#1:
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$CallCompletionRoutine$6$label_12#1;
-
- inline$CallCompletionRoutine$6$label_12#1:
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$CallCompletionRoutine$6$label_13#1;
-
- inline$CallCompletionRoutine$6$label_13#1:
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$CallCompletionRoutine$6$label_14#1;
-
- inline$CallCompletionRoutine$6$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$36$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$36$Entry#1:
- inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$36$start#1;
-
- inline$IoGetCurrentIrpStackLocation$36$start#1:
- inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$36$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$36$label_3_true#1, inline$IoGetCurrentIrpStackLocation$36$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$36$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$36$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$36$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$36$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$36$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$36$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$36$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$36$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$36$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$36$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$36$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$36$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$36$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$36$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$36$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$36$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$36$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$36$Return#1:
- goto inline$CallCompletionRoutine$6$label_14$1#1;
-
- inline$CallCompletionRoutine$6$label_14$1#1:
- goto inline$CallCompletionRoutine$6$anon11_Then#1, inline$CallCompletionRoutine$6$anon11_Else#1;
-
- inline$CallCompletionRoutine$6$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$6$anon3#1;
-
- inline$CallCompletionRoutine$6$anon3#1:
- goto inline$CallCompletionRoutine$6$label_17#1;
-
- inline$CallCompletionRoutine$6$label_17#1:
- goto inline$CallCompletionRoutine$6$label_18#1;
-
- inline$CallCompletionRoutine$6$label_18#1:
- goto inline$CallCompletionRoutine$6$label_18_true#1, inline$CallCompletionRoutine$6$label_18_false#1;
-
- inline$CallCompletionRoutine$6$label_18_false#1:
- assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$6$label_1#1;
-
- inline$CallCompletionRoutine$6$label_18_true#1:
- assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$6$label_19#1;
-
- inline$CallCompletionRoutine$6$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$6$label_20#1;
-
- inline$CallCompletionRoutine$6$label_20#1:
- goto inline$CallCompletionRoutine$6$label_20_icall_1#1, inline$CallCompletionRoutine$6$label_20_icall_2#1, inline$CallCompletionRoutine$6$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$6$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$6$Entry#1:
- inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$6$start#1;
-
- inline$BDLSystemPowerIoCompletion$6$start#1:
- call inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$6$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_4#1:
- inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_6#1:
- inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$6$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$6$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$38$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$38$Entry#1:
- inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$38$start#1;
-
- inline$IoGetCurrentIrpStackLocation$38$start#1:
- inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$38$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$38$label_3_true#1, inline$IoGetCurrentIrpStackLocation$38$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$38$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$38$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$38$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$38$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$38$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$38$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$38$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$38$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$38$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$38$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$38$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$38$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$38$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$38$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$38$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$38$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$38$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$38$Return#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon36_Then#1, inline$BDLSystemPowerIoCompletion$6$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_15#1:
- goto inline$BDLGetDebugLevel$163$Entry#1;
-
- inline$BDLGetDebugLevel$163$Entry#1:
- goto inline$BDLGetDebugLevel$163$start#1;
-
- inline$BDLGetDebugLevel$163$start#1:
- goto inline$BDLGetDebugLevel$163$label_3#1;
-
- inline$BDLGetDebugLevel$163$label_3#1:
- havoc inline$BDLGetDebugLevel$163$myNondetVar_0;
- inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$163$myNondetVar_0;
- goto inline$BDLGetDebugLevel$163$label_1#1;
-
- inline$BDLGetDebugLevel$163$label_1#1:
- goto inline$BDLGetDebugLevel$163$Return#1;
-
- inline$BDLGetDebugLevel$163$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon37_Then#1, inline$BDLSystemPowerIoCompletion$6$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_18_true#1, inline$BDLSystemPowerIoCompletion$6$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_22#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_23#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_24#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$6$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_19#1:
- goto inline$BDLGetDebugLevel$164$Entry#1;
-
- inline$BDLGetDebugLevel$164$Entry#1:
- goto inline$BDLGetDebugLevel$164$start#1;
-
- inline$BDLGetDebugLevel$164$start#1:
- goto inline$BDLGetDebugLevel$164$label_3#1;
-
- inline$BDLGetDebugLevel$164$label_3#1:
- havoc inline$BDLGetDebugLevel$164$myNondetVar_0;
- inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$164$myNondetVar_0;
- goto inline$BDLGetDebugLevel$164$label_1#1;
-
- inline$BDLGetDebugLevel$164$label_1#1:
- goto inline$BDLGetDebugLevel$164$Return#1;
-
- inline$BDLGetDebugLevel$164$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon38_Then#1, inline$BDLSystemPowerIoCompletion$6$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_28_true#1, inline$BDLSystemPowerIoCompletion$6$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_32#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_33#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_34#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$6$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_29#1:
- goto inline$BDLGetDebugLevel$165$Entry#1;
-
- inline$BDLGetDebugLevel$165$Entry#1:
- goto inline$BDLGetDebugLevel$165$start#1;
-
- inline$BDLGetDebugLevel$165$start#1:
- goto inline$BDLGetDebugLevel$165$label_3#1;
-
- inline$BDLGetDebugLevel$165$label_3#1:
- havoc inline$BDLGetDebugLevel$165$myNondetVar_0;
- inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$165$myNondetVar_0;
- goto inline$BDLGetDebugLevel$165$label_1#1;
-
- inline$BDLGetDebugLevel$165$label_1#1:
- goto inline$BDLGetDebugLevel$165$Return#1;
-
- inline$BDLGetDebugLevel$165$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon39_Then#1, inline$BDLSystemPowerIoCompletion$6$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_38_true#1, inline$BDLSystemPowerIoCompletion$6$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_39_true#1, inline$BDLSystemPowerIoCompletion$6$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$6$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$6$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$6$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_106_true#1, inline$BDLSystemPowerIoCompletion$6$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_142#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_143#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$6$myNondetVar_0, inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$6$anon50_Then#1, inline$BDLSystemPowerIoCompletion$6$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_112#1:
- inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$6$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_113_true#1, inline$BDLSystemPowerIoCompletion$6$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$6$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_117#1:
- goto inline$BDLGetDebugLevel$172$Entry#1;
-
- inline$BDLGetDebugLevel$172$Entry#1:
- goto inline$BDLGetDebugLevel$172$start#1;
-
- inline$BDLGetDebugLevel$172$start#1:
- goto inline$BDLGetDebugLevel$172$label_3#1;
-
- inline$BDLGetDebugLevel$172$label_3#1:
- havoc inline$BDLGetDebugLevel$172$myNondetVar_0;
- inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$172$myNondetVar_0;
- goto inline$BDLGetDebugLevel$172$label_1#1;
-
- inline$BDLGetDebugLevel$172$label_1#1:
- goto inline$BDLGetDebugLevel$172$Return#1;
-
- inline$BDLGetDebugLevel$172$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon51_Then#1, inline$BDLSystemPowerIoCompletion$6$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_120_true#1, inline$BDLSystemPowerIoCompletion$6$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_124#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_125#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_126#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$6$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_121#1:
- goto inline$BDLGetDebugLevel$173$Entry#1;
-
- inline$BDLGetDebugLevel$173$Entry#1:
- goto inline$BDLGetDebugLevel$173$start#1;
-
- inline$BDLGetDebugLevel$173$start#1:
- goto inline$BDLGetDebugLevel$173$label_3#1;
-
- inline$BDLGetDebugLevel$173$label_3#1:
- havoc inline$BDLGetDebugLevel$173$myNondetVar_0;
- inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$173$myNondetVar_0;
- goto inline$BDLGetDebugLevel$173$label_1#1;
-
- inline$BDLGetDebugLevel$173$label_1#1:
- goto inline$BDLGetDebugLevel$173$Return#1;
-
- inline$BDLGetDebugLevel$173$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon52_Then#1, inline$BDLSystemPowerIoCompletion$6$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_130_true#1, inline$BDLSystemPowerIoCompletion$6$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_134#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_135#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_136#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$6$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_131#1:
- goto inline$BDLGetDebugLevel$174$Entry#1;
-
- inline$BDLGetDebugLevel$174$Entry#1:
- goto inline$BDLGetDebugLevel$174$start#1;
-
- inline$BDLGetDebugLevel$174$start#1:
- goto inline$BDLGetDebugLevel$174$label_3#1;
-
- inline$BDLGetDebugLevel$174$label_3#1:
- havoc inline$BDLGetDebugLevel$174$myNondetVar_0;
- inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$174$myNondetVar_0;
- goto inline$BDLGetDebugLevel$174$label_1#1;
-
- inline$BDLGetDebugLevel$174$label_1#1:
- goto inline$BDLGetDebugLevel$174$Return#1;
-
- inline$BDLGetDebugLevel$174$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon53_Then#1, inline$BDLSystemPowerIoCompletion$6$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_140_true#1, inline$BDLSystemPowerIoCompletion$6$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$6$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_115#1:
- inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$6$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$6$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_43#1:
- goto inline$BDLGetDebugLevel$166$Entry#1;
-
- inline$BDLGetDebugLevel$166$Entry#1:
- goto inline$BDLGetDebugLevel$166$start#1;
-
- inline$BDLGetDebugLevel$166$start#1:
- goto inline$BDLGetDebugLevel$166$label_3#1;
-
- inline$BDLGetDebugLevel$166$label_3#1:
- havoc inline$BDLGetDebugLevel$166$myNondetVar_0;
- inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$166$myNondetVar_0;
- goto inline$BDLGetDebugLevel$166$label_1#1;
-
- inline$BDLGetDebugLevel$166$label_1#1:
- goto inline$BDLGetDebugLevel$166$Return#1;
-
- inline$BDLGetDebugLevel$166$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon40_Then#1, inline$BDLSystemPowerIoCompletion$6$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_46_true#1, inline$BDLSystemPowerIoCompletion$6$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_50#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_51#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_52#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$6$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_47#1:
- goto inline$BDLGetDebugLevel$167$Entry#1;
-
- inline$BDLGetDebugLevel$167$Entry#1:
- goto inline$BDLGetDebugLevel$167$start#1;
-
- inline$BDLGetDebugLevel$167$start#1:
- goto inline$BDLGetDebugLevel$167$label_3#1;
-
- inline$BDLGetDebugLevel$167$label_3#1:
- havoc inline$BDLGetDebugLevel$167$myNondetVar_0;
- inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$167$myNondetVar_0;
- goto inline$BDLGetDebugLevel$167$label_1#1;
-
- inline$BDLGetDebugLevel$167$label_1#1:
- goto inline$BDLGetDebugLevel$167$Return#1;
-
- inline$BDLGetDebugLevel$167$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon41_Then#1, inline$BDLSystemPowerIoCompletion$6$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_56_true#1, inline$BDLSystemPowerIoCompletion$6$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_60#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_61#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_62#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$6$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_57#1:
- goto inline$BDLGetDebugLevel$168$Entry#1;
-
- inline$BDLGetDebugLevel$168$Entry#1:
- goto inline$BDLGetDebugLevel$168$start#1;
-
- inline$BDLGetDebugLevel$168$start#1:
- goto inline$BDLGetDebugLevel$168$label_3#1;
-
- inline$BDLGetDebugLevel$168$label_3#1:
- havoc inline$BDLGetDebugLevel$168$myNondetVar_0;
- inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$168$myNondetVar_0;
- goto inline$BDLGetDebugLevel$168$label_1#1;
-
- inline$BDLGetDebugLevel$168$label_1#1:
- goto inline$BDLGetDebugLevel$168$Return#1;
-
- inline$BDLGetDebugLevel$168$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon42_Then#1, inline$BDLSystemPowerIoCompletion$6$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_66_true#1, inline$BDLSystemPowerIoCompletion$6$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$6$anon43_Then#1, inline$BDLSystemPowerIoCompletion$6$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$6$anon44_Then#1, inline$BDLSystemPowerIoCompletion$6$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_74#1:
- goto inline$storm_IoCompleteRequest$14$Entry#1;
-
- inline$storm_IoCompleteRequest$14$Entry#1:
- inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$14$start#1;
-
- inline$storm_IoCompleteRequest$14$start#1:
- inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$14$label_3#1;
-
- inline$storm_IoCompleteRequest$14$label_3#1:
- call inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$14$label_6#1;
-
- inline$storm_IoCompleteRequest$14$label_6#1:
- goto inline$storm_IoCompleteRequest$14$label_6_true#1, inline$storm_IoCompleteRequest$14$label_6_false#1;
-
- inline$storm_IoCompleteRequest$14$label_6_false#1:
- assume inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$14$label_7#1;
-
- inline$storm_IoCompleteRequest$14$label_6_true#1:
- assume inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$14$label_8#1;
-
- inline$storm_IoCompleteRequest$14$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$14$anon3_Then#1, inline$storm_IoCompleteRequest$14$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$14$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$14$anon1#1;
-
- inline$storm_IoCompleteRequest$14$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$14$label_9#1;
-
- inline$storm_IoCompleteRequest$14$label_9#1:
- goto inline$storm_IoCompleteRequest$14$label_9_true#1, inline$storm_IoCompleteRequest$14$label_9_false#1;
-
- inline$storm_IoCompleteRequest$14$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$14$label_10#1;
-
- inline$storm_IoCompleteRequest$14$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$14$label_1#1;
-
- inline$storm_IoCompleteRequest$14$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$14$label_7#1;
-
- inline$storm_IoCompleteRequest$14$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$14$label_1#1;
-
- inline$storm_IoCompleteRequest$14$label_1#1:
- goto inline$storm_IoCompleteRequest$14$Return#1;
-
- inline$storm_IoCompleteRequest$14$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$14$Return#1;
-
- inline$storm_IoCompleteRequest$14$Return#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon45_Then#1, inline$BDLSystemPowerIoCompletion$6$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$6$anon46_Then#1, inline$BDLSystemPowerIoCompletion$6$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_80#1:
- goto inline$BDLGetDebugLevel$169$Entry#1;
-
- inline$BDLGetDebugLevel$169$Entry#1:
- goto inline$BDLGetDebugLevel$169$start#1;
-
- inline$BDLGetDebugLevel$169$start#1:
- goto inline$BDLGetDebugLevel$169$label_3#1;
-
- inline$BDLGetDebugLevel$169$label_3#1:
- havoc inline$BDLGetDebugLevel$169$myNondetVar_0;
- inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$169$myNondetVar_0;
- goto inline$BDLGetDebugLevel$169$label_1#1;
-
- inline$BDLGetDebugLevel$169$label_1#1:
- goto inline$BDLGetDebugLevel$169$Return#1;
-
- inline$BDLGetDebugLevel$169$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon47_Then#1, inline$BDLSystemPowerIoCompletion$6$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_83_true#1, inline$BDLSystemPowerIoCompletion$6$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_87#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_88#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_89#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$6$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_84#1:
- goto inline$BDLGetDebugLevel$170$Entry#1;
-
- inline$BDLGetDebugLevel$170$Entry#1:
- goto inline$BDLGetDebugLevel$170$start#1;
-
- inline$BDLGetDebugLevel$170$start#1:
- goto inline$BDLGetDebugLevel$170$label_3#1;
-
- inline$BDLGetDebugLevel$170$label_3#1:
- havoc inline$BDLGetDebugLevel$170$myNondetVar_0;
- inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$170$myNondetVar_0;
- goto inline$BDLGetDebugLevel$170$label_1#1;
-
- inline$BDLGetDebugLevel$170$label_1#1:
- goto inline$BDLGetDebugLevel$170$Return#1;
-
- inline$BDLGetDebugLevel$170$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon48_Then#1, inline$BDLSystemPowerIoCompletion$6$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_93_true#1, inline$BDLSystemPowerIoCompletion$6$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_97#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_98#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_99#1:
- call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$6$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$6$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_94#1:
- goto inline$BDLGetDebugLevel$171$Entry#1;
-
- inline$BDLGetDebugLevel$171$Entry#1:
- goto inline$BDLGetDebugLevel$171$start#1;
-
- inline$BDLGetDebugLevel$171$start#1:
- goto inline$BDLGetDebugLevel$171$label_3#1;
-
- inline$BDLGetDebugLevel$171$label_3#1:
- havoc inline$BDLGetDebugLevel$171$myNondetVar_0;
- inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$171$myNondetVar_0;
- goto inline$BDLGetDebugLevel$171$label_1#1;
-
- inline$BDLGetDebugLevel$171$label_1#1:
- goto inline$BDLGetDebugLevel$171$Return#1;
-
- inline$BDLGetDebugLevel$171$Return#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$6$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$6$anon49_Then#1, inline$BDLSystemPowerIoCompletion$6$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_103_true#1, inline$BDLSystemPowerIoCompletion$6$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$6$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$6$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_104#1:
- inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$6$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$6$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$6$Return#1;
-
- inline$BDLSystemPowerIoCompletion$6$Return#1:
- inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$6$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$6$anon14_Then#1, inline$CallCompletionRoutine$6$anon14_Else#1;
-
- inline$CallCompletionRoutine$6$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$6$anon9#1;
-
- inline$CallCompletionRoutine$6$anon9#1:
- goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$6$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$6$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$6$Entry#1:
- inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$6$start#1;
-
- inline$BDLDevicePowerIoCompletion$6$start#1:
- call inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$6$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_4#1:
- inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_6#1:
- inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$6$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$6$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$37$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$37$Entry#1:
- inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$37$start#1;
-
- inline$IoGetCurrentIrpStackLocation$37$start#1:
- inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$37$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$37$label_3_true#1, inline$IoGetCurrentIrpStackLocation$37$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$37$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$37$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$37$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$37$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$37$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$37$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$37$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$37$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$37$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$37$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$37$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$37$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$37$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$37$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$37$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$37$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$37$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon30_Then#1, inline$BDLDevicePowerIoCompletion$6$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_13#1:
- inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$6$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$6$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_17#1:
- goto inline$BDLGetDebugLevel$154$Entry#1;
-
- inline$BDLGetDebugLevel$154$Entry#1:
- goto inline$BDLGetDebugLevel$154$start#1;
-
- inline$BDLGetDebugLevel$154$start#1:
- goto inline$BDLGetDebugLevel$154$label_3#1;
-
- inline$BDLGetDebugLevel$154$label_3#1:
- havoc inline$BDLGetDebugLevel$154$myNondetVar_0;
- inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$154$myNondetVar_0;
- goto inline$BDLGetDebugLevel$154$label_1#1;
-
- inline$BDLGetDebugLevel$154$label_1#1:
- goto inline$BDLGetDebugLevel$154$Return#1;
-
- inline$BDLGetDebugLevel$154$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon31_Then#1, inline$BDLDevicePowerIoCompletion$6$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_20_true#1, inline$BDLDevicePowerIoCompletion$6$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_24#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_25#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_26#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$6$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_21#1:
- goto inline$BDLGetDebugLevel$155$Entry#1;
-
- inline$BDLGetDebugLevel$155$Entry#1:
- goto inline$BDLGetDebugLevel$155$start#1;
-
- inline$BDLGetDebugLevel$155$start#1:
- goto inline$BDLGetDebugLevel$155$label_3#1;
-
- inline$BDLGetDebugLevel$155$label_3#1:
- havoc inline$BDLGetDebugLevel$155$myNondetVar_0;
- inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$155$myNondetVar_0;
- goto inline$BDLGetDebugLevel$155$label_1#1;
-
- inline$BDLGetDebugLevel$155$label_1#1:
- goto inline$BDLGetDebugLevel$155$Return#1;
-
- inline$BDLGetDebugLevel$155$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon32_Then#1, inline$BDLDevicePowerIoCompletion$6$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_30_true#1, inline$BDLDevicePowerIoCompletion$6$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_34#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_35#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_36#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$6$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_31#1:
- goto inline$BDLGetDebugLevel$156$Entry#1;
-
- inline$BDLGetDebugLevel$156$Entry#1:
- goto inline$BDLGetDebugLevel$156$start#1;
-
- inline$BDLGetDebugLevel$156$start#1:
- goto inline$BDLGetDebugLevel$156$label_3#1;
-
- inline$BDLGetDebugLevel$156$label_3#1:
- havoc inline$BDLGetDebugLevel$156$myNondetVar_0;
- inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$156$myNondetVar_0;
- goto inline$BDLGetDebugLevel$156$label_1#1;
-
- inline$BDLGetDebugLevel$156$label_1#1:
- goto inline$BDLGetDebugLevel$156$Return#1;
-
- inline$BDLGetDebugLevel$156$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon33_Then#1, inline$BDLDevicePowerIoCompletion$6$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_40_true#1, inline$BDLDevicePowerIoCompletion$6$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_41_true#1, inline$BDLDevicePowerIoCompletion$6$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$6$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$6$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_44_true#1, inline$BDLDevicePowerIoCompletion$6$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$6$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_45_true#1, inline$BDLDevicePowerIoCompletion$6$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$6$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$6$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$6$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$6$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$6$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_54#1:
- inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$6$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_55_true#1, inline$BDLDevicePowerIoCompletion$6$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_56#1:
- call inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$6$anon34_Then#1, inline$BDLDevicePowerIoCompletion$6$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_59#1:
- goto inline$BDLGetDebugLevel$157$Entry#1;
-
- inline$BDLGetDebugLevel$157$Entry#1:
- goto inline$BDLGetDebugLevel$157$start#1;
-
- inline$BDLGetDebugLevel$157$start#1:
- goto inline$BDLGetDebugLevel$157$label_3#1;
-
- inline$BDLGetDebugLevel$157$label_3#1:
- havoc inline$BDLGetDebugLevel$157$myNondetVar_0;
- inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$157$myNondetVar_0;
- goto inline$BDLGetDebugLevel$157$label_1#1;
-
- inline$BDLGetDebugLevel$157$label_1#1:
- goto inline$BDLGetDebugLevel$157$Return#1;
-
- inline$BDLGetDebugLevel$157$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon35_Then#1, inline$BDLDevicePowerIoCompletion$6$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_62_true#1, inline$BDLDevicePowerIoCompletion$6$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_66#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_67#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_68#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$6$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_63#1:
- goto inline$BDLGetDebugLevel$158$Entry#1;
-
- inline$BDLGetDebugLevel$158$Entry#1:
- goto inline$BDLGetDebugLevel$158$start#1;
-
- inline$BDLGetDebugLevel$158$start#1:
- goto inline$BDLGetDebugLevel$158$label_3#1;
-
- inline$BDLGetDebugLevel$158$label_3#1:
- havoc inline$BDLGetDebugLevel$158$myNondetVar_0;
- inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$158$myNondetVar_0;
- goto inline$BDLGetDebugLevel$158$label_1#1;
-
- inline$BDLGetDebugLevel$158$label_1#1:
- goto inline$BDLGetDebugLevel$158$Return#1;
-
- inline$BDLGetDebugLevel$158$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon36_Then#1, inline$BDLDevicePowerIoCompletion$6$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_72_true#1, inline$BDLDevicePowerIoCompletion$6$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_76#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_77#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_78#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$6$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_73#1:
- goto inline$BDLGetDebugLevel$159$Entry#1;
-
- inline$BDLGetDebugLevel$159$Entry#1:
- goto inline$BDLGetDebugLevel$159$start#1;
-
- inline$BDLGetDebugLevel$159$start#1:
- goto inline$BDLGetDebugLevel$159$label_3#1;
-
- inline$BDLGetDebugLevel$159$label_3#1:
- havoc inline$BDLGetDebugLevel$159$myNondetVar_0;
- inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$159$myNondetVar_0;
- goto inline$BDLGetDebugLevel$159$label_1#1;
-
- inline$BDLGetDebugLevel$159$label_1#1:
- goto inline$BDLGetDebugLevel$159$Return#1;
-
- inline$BDLGetDebugLevel$159$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon37_Then#1, inline$BDLDevicePowerIoCompletion$6$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_82_true#1, inline$BDLDevicePowerIoCompletion$6$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$6$anon38_Then#1, inline$BDLDevicePowerIoCompletion$6$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$6$anon39_Then#1, inline$BDLDevicePowerIoCompletion$6$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_92#1:
- goto inline$storm_IoCompleteRequest$13$Entry#1;
-
- inline$storm_IoCompleteRequest$13$Entry#1:
- inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$13$start#1;
-
- inline$storm_IoCompleteRequest$13$start#1:
- inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$13$label_3#1;
-
- inline$storm_IoCompleteRequest$13$label_3#1:
- call inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$13$label_6#1;
-
- inline$storm_IoCompleteRequest$13$label_6#1:
- goto inline$storm_IoCompleteRequest$13$label_6_true#1, inline$storm_IoCompleteRequest$13$label_6_false#1;
-
- inline$storm_IoCompleteRequest$13$label_6_false#1:
- assume inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$13$label_7#1;
-
- inline$storm_IoCompleteRequest$13$label_6_true#1:
- assume inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$13$label_8#1;
-
- inline$storm_IoCompleteRequest$13$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$13$anon3_Then#1, inline$storm_IoCompleteRequest$13$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$13$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$13$anon1#1;
-
- inline$storm_IoCompleteRequest$13$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$13$label_9#1;
-
- inline$storm_IoCompleteRequest$13$label_9#1:
- goto inline$storm_IoCompleteRequest$13$label_9_true#1, inline$storm_IoCompleteRequest$13$label_9_false#1;
-
- inline$storm_IoCompleteRequest$13$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$13$label_10#1;
-
- inline$storm_IoCompleteRequest$13$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$13$label_1#1;
-
- inline$storm_IoCompleteRequest$13$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$13$label_7#1;
-
- inline$storm_IoCompleteRequest$13$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$13$label_1#1;
-
- inline$storm_IoCompleteRequest$13$label_1#1:
- goto inline$storm_IoCompleteRequest$13$Return#1;
-
- inline$storm_IoCompleteRequest$13$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$13$Return#1;
-
- inline$storm_IoCompleteRequest$13$Return#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon40_Then#1, inline$BDLDevicePowerIoCompletion$6$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$6$anon41_Then#1, inline$BDLDevicePowerIoCompletion$6$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_98#1:
- goto inline$BDLGetDebugLevel$160$Entry#1;
-
- inline$BDLGetDebugLevel$160$Entry#1:
- goto inline$BDLGetDebugLevel$160$start#1;
-
- inline$BDLGetDebugLevel$160$start#1:
- goto inline$BDLGetDebugLevel$160$label_3#1;
-
- inline$BDLGetDebugLevel$160$label_3#1:
- havoc inline$BDLGetDebugLevel$160$myNondetVar_0;
- inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$160$myNondetVar_0;
- goto inline$BDLGetDebugLevel$160$label_1#1;
-
- inline$BDLGetDebugLevel$160$label_1#1:
- goto inline$BDLGetDebugLevel$160$Return#1;
-
- inline$BDLGetDebugLevel$160$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon42_Then#1, inline$BDLDevicePowerIoCompletion$6$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_101_true#1, inline$BDLDevicePowerIoCompletion$6$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_105#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_106#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_107#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$6$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_102#1:
- goto inline$BDLGetDebugLevel$161$Entry#1;
-
- inline$BDLGetDebugLevel$161$Entry#1:
- goto inline$BDLGetDebugLevel$161$start#1;
-
- inline$BDLGetDebugLevel$161$start#1:
- goto inline$BDLGetDebugLevel$161$label_3#1;
-
- inline$BDLGetDebugLevel$161$label_3#1:
- havoc inline$BDLGetDebugLevel$161$myNondetVar_0;
- inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$161$myNondetVar_0;
- goto inline$BDLGetDebugLevel$161$label_1#1;
-
- inline$BDLGetDebugLevel$161$label_1#1:
- goto inline$BDLGetDebugLevel$161$Return#1;
-
- inline$BDLGetDebugLevel$161$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon43_Then#1, inline$BDLDevicePowerIoCompletion$6$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_111_true#1, inline$BDLDevicePowerIoCompletion$6$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_115#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_116#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_117#1:
- call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$6$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$6$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_112#1:
- goto inline$BDLGetDebugLevel$162$Entry#1;
-
- inline$BDLGetDebugLevel$162$Entry#1:
- goto inline$BDLGetDebugLevel$162$start#1;
-
- inline$BDLGetDebugLevel$162$start#1:
- goto inline$BDLGetDebugLevel$162$label_3#1;
-
- inline$BDLGetDebugLevel$162$label_3#1:
- havoc inline$BDLGetDebugLevel$162$myNondetVar_0;
- inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$162$myNondetVar_0;
- goto inline$BDLGetDebugLevel$162$label_1#1;
-
- inline$BDLGetDebugLevel$162$label_1#1:
- goto inline$BDLGetDebugLevel$162$Return#1;
-
- inline$BDLGetDebugLevel$162$Return#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$6$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$6$anon44_Then#1, inline$BDLDevicePowerIoCompletion$6$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_121_true#1, inline$BDLDevicePowerIoCompletion$6$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$6$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$6$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_122#1:
- inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$6$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$6$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$6$Return#1;
-
- inline$BDLDevicePowerIoCompletion$6$Return#1:
- inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$6$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$6$anon13_Then#1, inline$CallCompletionRoutine$6$anon13_Else#1;
-
- inline$CallCompletionRoutine$6$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$6$anon7#1;
-
- inline$CallCompletionRoutine$6$anon7#1:
- goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$6$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$6$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$6$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$6$Entry#1:
- inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$6$start#1;
-
- inline$BDLCallDriverCompletionRoutine$6$start#1:
- inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$6$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$6$label_3_true#1, inline$BDLCallDriverCompletionRoutine$6$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$6$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$6$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$6$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$6$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_6#1:
- goto inline$storm_KeSetEvent$7$Entry#1;
-
- inline$storm_KeSetEvent$7$Entry#1:
- inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$7$start#1;
-
- inline$storm_KeSetEvent$7$start#1:
- inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$7$label_3#1;
-
- inline$storm_KeSetEvent$7$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$7$label_1#1;
-
- inline$storm_KeSetEvent$7$label_1#1:
- goto inline$storm_KeSetEvent$7$Return#1;
-
- inline$storm_KeSetEvent$7$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$6$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$6$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$6$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$6$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$6$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$6$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$6$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_9#1:
- inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$6$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$6$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$6$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$6$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$6$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$6$Return#1:
- inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$6$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$6$anon12_Then#1, inline$CallCompletionRoutine$6$anon12_Else#1;
-
- inline$CallCompletionRoutine$6$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$6$anon5#1;
-
- inline$CallCompletionRoutine$6$anon5#1:
- goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$6$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$6$label_23#1;
-
- inline$CallCompletionRoutine$6$label_23#1:
- inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$6$label_24#1;
-
- inline$CallCompletionRoutine$6$label_24#1:
- goto inline$CallCompletionRoutine$6$label_24_true#1, inline$CallCompletionRoutine$6$label_24_false#1;
-
- inline$CallCompletionRoutine$6$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$6$label_1#1;
-
- inline$CallCompletionRoutine$6$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$6$label_25#1;
-
- inline$CallCompletionRoutine$6$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$6$label_1#1;
-
- inline$CallCompletionRoutine$6$label_1#1:
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$6$Return#1;
-
- inline$CallCompletionRoutine$6$Return#1:
- goto inline$storm_IoCallDriver$3$label_33$1#1;
-
- inline$storm_IoCallDriver$3$label_33$1#1:
- goto inline$storm_IoCallDriver$3$anon14_Then#1, inline$storm_IoCallDriver$3$anon14_Else#1;
-
- inline$storm_IoCallDriver$3$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$3$anon8#1;
-
- inline$storm_IoCallDriver$3$anon8#1:
- goto inline$storm_IoCallDriver$3$label_36#1;
-
- inline$storm_IoCallDriver$3$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$label_27_case_1#1:
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$3$label_29#1;
-
- inline$storm_IoCallDriver$3$label_29#1:
- inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$3$label_37#1;
-
- inline$storm_IoCallDriver$3$label_37#1:
- goto inline$storm_IoCallDriver$3$label_38#1;
-
- inline$storm_IoCallDriver$3$label_38#1:
- goto inline$storm_IoCallDriver$3$label_39#1;
-
- inline$storm_IoCallDriver$3$label_39#1:
- goto inline$CallCompletionRoutine$7$Entry#1;
-
- inline$CallCompletionRoutine$7$Entry#1:
- inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$7$start#1;
-
- inline$CallCompletionRoutine$7$start#1:
- inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$7$label_3#1;
-
- inline$CallCompletionRoutine$7$label_3#1:
- goto inline$CallCompletionRoutine$7$label_4#1;
-
- inline$CallCompletionRoutine$7$label_4#1:
- goto inline$CallCompletionRoutine$7$label_5#1;
-
- inline$CallCompletionRoutine$7$label_5#1:
- goto inline$CallCompletionRoutine$7$label_6#1;
-
- inline$CallCompletionRoutine$7$label_6#1:
- goto inline$CallCompletionRoutine$7$label_7#1;
-
- inline$CallCompletionRoutine$7$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$39$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$39$Entry#1:
- inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$39$start#1;
-
- inline$IoGetCurrentIrpStackLocation$39$start#1:
- inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$39$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$39$label_3_true#1, inline$IoGetCurrentIrpStackLocation$39$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$39$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$39$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$39$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$39$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$39$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$39$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$39$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$39$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$39$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$39$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$39$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$39$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$39$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$39$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$39$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$39$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$39$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$39$Return#1:
- goto inline$CallCompletionRoutine$7$label_7$1#1;
-
- inline$CallCompletionRoutine$7$label_7$1#1:
- goto inline$CallCompletionRoutine$7$anon10_Then#1, inline$CallCompletionRoutine$7$anon10_Else#1;
-
- inline$CallCompletionRoutine$7$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$7$anon1#1;
-
- inline$CallCompletionRoutine$7$anon1#1:
- goto inline$CallCompletionRoutine$7$label_10#1;
-
- inline$CallCompletionRoutine$7$label_10#1:
- goto inline$CallCompletionRoutine$7$label_11#1;
-
- inline$CallCompletionRoutine$7$label_11#1:
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$CallCompletionRoutine$7$label_12#1;
-
- inline$CallCompletionRoutine$7$label_12#1:
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$CallCompletionRoutine$7$label_13#1;
-
- inline$CallCompletionRoutine$7$label_13#1:
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$CallCompletionRoutine$7$label_14#1;
-
- inline$CallCompletionRoutine$7$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$40$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$40$Entry#1:
- inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$40$start#1;
-
- inline$IoGetCurrentIrpStackLocation$40$start#1:
- inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$40$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$40$label_3_true#1, inline$IoGetCurrentIrpStackLocation$40$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$40$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$40$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$40$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$40$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$40$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$40$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$40$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$40$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$40$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$40$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$40$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$40$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$40$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$40$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$40$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$40$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$40$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$40$Return#1:
- goto inline$CallCompletionRoutine$7$label_14$1#1;
-
- inline$CallCompletionRoutine$7$label_14$1#1:
- goto inline$CallCompletionRoutine$7$anon11_Then#1, inline$CallCompletionRoutine$7$anon11_Else#1;
-
- inline$CallCompletionRoutine$7$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$7$anon3#1;
-
- inline$CallCompletionRoutine$7$anon3#1:
- goto inline$CallCompletionRoutine$7$label_17#1;
-
- inline$CallCompletionRoutine$7$label_17#1:
- goto inline$CallCompletionRoutine$7$label_18#1;
-
- inline$CallCompletionRoutine$7$label_18#1:
- goto inline$CallCompletionRoutine$7$label_18_true#1, inline$CallCompletionRoutine$7$label_18_false#1;
-
- inline$CallCompletionRoutine$7$label_18_false#1:
- assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$7$label_1#1;
-
- inline$CallCompletionRoutine$7$label_18_true#1:
- assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$7$label_19#1;
-
- inline$CallCompletionRoutine$7$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$7$label_20#1;
-
- inline$CallCompletionRoutine$7$label_20#1:
- goto inline$CallCompletionRoutine$7$label_20_icall_1#1, inline$CallCompletionRoutine$7$label_20_icall_2#1, inline$CallCompletionRoutine$7$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$7$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$7$Entry#1:
- inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$7$start#1;
-
- inline$BDLSystemPowerIoCompletion$7$start#1:
- call inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$7$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_4#1:
- inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_6#1:
- inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$7$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$7$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$42$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$42$Entry#1:
- inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$42$start#1;
-
- inline$IoGetCurrentIrpStackLocation$42$start#1:
- inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$42$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$42$label_3_true#1, inline$IoGetCurrentIrpStackLocation$42$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$42$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$42$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$42$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$42$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$42$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$42$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$42$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$42$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$42$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$42$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$42$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$42$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$42$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$42$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$42$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$42$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$42$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$42$Return#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon36_Then#1, inline$BDLSystemPowerIoCompletion$7$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_15#1:
- goto inline$BDLGetDebugLevel$184$Entry#1;
-
- inline$BDLGetDebugLevel$184$Entry#1:
- goto inline$BDLGetDebugLevel$184$start#1;
-
- inline$BDLGetDebugLevel$184$start#1:
- goto inline$BDLGetDebugLevel$184$label_3#1;
-
- inline$BDLGetDebugLevel$184$label_3#1:
- havoc inline$BDLGetDebugLevel$184$myNondetVar_0;
- inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$184$myNondetVar_0;
- goto inline$BDLGetDebugLevel$184$label_1#1;
-
- inline$BDLGetDebugLevel$184$label_1#1:
- goto inline$BDLGetDebugLevel$184$Return#1;
-
- inline$BDLGetDebugLevel$184$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon37_Then#1, inline$BDLSystemPowerIoCompletion$7$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_18_true#1, inline$BDLSystemPowerIoCompletion$7$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_22#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_23#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_24#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$7$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_19#1:
- goto inline$BDLGetDebugLevel$185$Entry#1;
-
- inline$BDLGetDebugLevel$185$Entry#1:
- goto inline$BDLGetDebugLevel$185$start#1;
-
- inline$BDLGetDebugLevel$185$start#1:
- goto inline$BDLGetDebugLevel$185$label_3#1;
-
- inline$BDLGetDebugLevel$185$label_3#1:
- havoc inline$BDLGetDebugLevel$185$myNondetVar_0;
- inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$185$myNondetVar_0;
- goto inline$BDLGetDebugLevel$185$label_1#1;
-
- inline$BDLGetDebugLevel$185$label_1#1:
- goto inline$BDLGetDebugLevel$185$Return#1;
-
- inline$BDLGetDebugLevel$185$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon38_Then#1, inline$BDLSystemPowerIoCompletion$7$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_28_true#1, inline$BDLSystemPowerIoCompletion$7$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_32#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_33#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_34#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$7$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_29#1:
- goto inline$BDLGetDebugLevel$186$Entry#1;
-
- inline$BDLGetDebugLevel$186$Entry#1:
- goto inline$BDLGetDebugLevel$186$start#1;
-
- inline$BDLGetDebugLevel$186$start#1:
- goto inline$BDLGetDebugLevel$186$label_3#1;
-
- inline$BDLGetDebugLevel$186$label_3#1:
- havoc inline$BDLGetDebugLevel$186$myNondetVar_0;
- inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$186$myNondetVar_0;
- goto inline$BDLGetDebugLevel$186$label_1#1;
-
- inline$BDLGetDebugLevel$186$label_1#1:
- goto inline$BDLGetDebugLevel$186$Return#1;
-
- inline$BDLGetDebugLevel$186$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon39_Then#1, inline$BDLSystemPowerIoCompletion$7$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_38_true#1, inline$BDLSystemPowerIoCompletion$7$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_39_true#1, inline$BDLSystemPowerIoCompletion$7$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$7$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$7$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$7$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_106_true#1, inline$BDLSystemPowerIoCompletion$7$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_142#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_143#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$7$myNondetVar_0, inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$7$anon50_Then#1, inline$BDLSystemPowerIoCompletion$7$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_112#1:
- inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$7$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_113_true#1, inline$BDLSystemPowerIoCompletion$7$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$7$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_117#1:
- goto inline$BDLGetDebugLevel$193$Entry#1;
-
- inline$BDLGetDebugLevel$193$Entry#1:
- goto inline$BDLGetDebugLevel$193$start#1;
-
- inline$BDLGetDebugLevel$193$start#1:
- goto inline$BDLGetDebugLevel$193$label_3#1;
-
- inline$BDLGetDebugLevel$193$label_3#1:
- havoc inline$BDLGetDebugLevel$193$myNondetVar_0;
- inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$193$myNondetVar_0;
- goto inline$BDLGetDebugLevel$193$label_1#1;
-
- inline$BDLGetDebugLevel$193$label_1#1:
- goto inline$BDLGetDebugLevel$193$Return#1;
-
- inline$BDLGetDebugLevel$193$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon51_Then#1, inline$BDLSystemPowerIoCompletion$7$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_120_true#1, inline$BDLSystemPowerIoCompletion$7$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_124#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_125#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_126#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$7$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_121#1:
- goto inline$BDLGetDebugLevel$194$Entry#1;
-
- inline$BDLGetDebugLevel$194$Entry#1:
- goto inline$BDLGetDebugLevel$194$start#1;
-
- inline$BDLGetDebugLevel$194$start#1:
- goto inline$BDLGetDebugLevel$194$label_3#1;
-
- inline$BDLGetDebugLevel$194$label_3#1:
- havoc inline$BDLGetDebugLevel$194$myNondetVar_0;
- inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$194$myNondetVar_0;
- goto inline$BDLGetDebugLevel$194$label_1#1;
-
- inline$BDLGetDebugLevel$194$label_1#1:
- goto inline$BDLGetDebugLevel$194$Return#1;
-
- inline$BDLGetDebugLevel$194$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon52_Then#1, inline$BDLSystemPowerIoCompletion$7$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_130_true#1, inline$BDLSystemPowerIoCompletion$7$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_134#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_135#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_136#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$7$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_131#1:
- goto inline$BDLGetDebugLevel$195$Entry#1;
-
- inline$BDLGetDebugLevel$195$Entry#1:
- goto inline$BDLGetDebugLevel$195$start#1;
-
- inline$BDLGetDebugLevel$195$start#1:
- goto inline$BDLGetDebugLevel$195$label_3#1;
-
- inline$BDLGetDebugLevel$195$label_3#1:
- havoc inline$BDLGetDebugLevel$195$myNondetVar_0;
- inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$195$myNondetVar_0;
- goto inline$BDLGetDebugLevel$195$label_1#1;
-
- inline$BDLGetDebugLevel$195$label_1#1:
- goto inline$BDLGetDebugLevel$195$Return#1;
-
- inline$BDLGetDebugLevel$195$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon53_Then#1, inline$BDLSystemPowerIoCompletion$7$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_140_true#1, inline$BDLSystemPowerIoCompletion$7$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$7$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_115#1:
- inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$7$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$7$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_43#1:
- goto inline$BDLGetDebugLevel$187$Entry#1;
-
- inline$BDLGetDebugLevel$187$Entry#1:
- goto inline$BDLGetDebugLevel$187$start#1;
-
- inline$BDLGetDebugLevel$187$start#1:
- goto inline$BDLGetDebugLevel$187$label_3#1;
-
- inline$BDLGetDebugLevel$187$label_3#1:
- havoc inline$BDLGetDebugLevel$187$myNondetVar_0;
- inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$187$myNondetVar_0;
- goto inline$BDLGetDebugLevel$187$label_1#1;
-
- inline$BDLGetDebugLevel$187$label_1#1:
- goto inline$BDLGetDebugLevel$187$Return#1;
-
- inline$BDLGetDebugLevel$187$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon40_Then#1, inline$BDLSystemPowerIoCompletion$7$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_46_true#1, inline$BDLSystemPowerIoCompletion$7$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_50#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_51#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_52#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$7$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_47#1:
- goto inline$BDLGetDebugLevel$188$Entry#1;
-
- inline$BDLGetDebugLevel$188$Entry#1:
- goto inline$BDLGetDebugLevel$188$start#1;
-
- inline$BDLGetDebugLevel$188$start#1:
- goto inline$BDLGetDebugLevel$188$label_3#1;
-
- inline$BDLGetDebugLevel$188$label_3#1:
- havoc inline$BDLGetDebugLevel$188$myNondetVar_0;
- inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$188$myNondetVar_0;
- goto inline$BDLGetDebugLevel$188$label_1#1;
-
- inline$BDLGetDebugLevel$188$label_1#1:
- goto inline$BDLGetDebugLevel$188$Return#1;
-
- inline$BDLGetDebugLevel$188$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon41_Then#1, inline$BDLSystemPowerIoCompletion$7$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_56_true#1, inline$BDLSystemPowerIoCompletion$7$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_60#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_61#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_62#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$7$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_57#1:
- goto inline$BDLGetDebugLevel$189$Entry#1;
-
- inline$BDLGetDebugLevel$189$Entry#1:
- goto inline$BDLGetDebugLevel$189$start#1;
-
- inline$BDLGetDebugLevel$189$start#1:
- goto inline$BDLGetDebugLevel$189$label_3#1;
-
- inline$BDLGetDebugLevel$189$label_3#1:
- havoc inline$BDLGetDebugLevel$189$myNondetVar_0;
- inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$189$myNondetVar_0;
- goto inline$BDLGetDebugLevel$189$label_1#1;
-
- inline$BDLGetDebugLevel$189$label_1#1:
- goto inline$BDLGetDebugLevel$189$Return#1;
-
- inline$BDLGetDebugLevel$189$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon42_Then#1, inline$BDLSystemPowerIoCompletion$7$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_66_true#1, inline$BDLSystemPowerIoCompletion$7$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$7$anon43_Then#1, inline$BDLSystemPowerIoCompletion$7$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$7$anon44_Then#1, inline$BDLSystemPowerIoCompletion$7$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_74#1:
- goto inline$storm_IoCompleteRequest$16$Entry#1;
-
- inline$storm_IoCompleteRequest$16$Entry#1:
- inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$16$start#1;
-
- inline$storm_IoCompleteRequest$16$start#1:
- inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$16$label_3#1;
-
- inline$storm_IoCompleteRequest$16$label_3#1:
- call inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$16$label_6#1;
-
- inline$storm_IoCompleteRequest$16$label_6#1:
- goto inline$storm_IoCompleteRequest$16$label_6_true#1, inline$storm_IoCompleteRequest$16$label_6_false#1;
-
- inline$storm_IoCompleteRequest$16$label_6_false#1:
- assume inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$16$label_7#1;
-
- inline$storm_IoCompleteRequest$16$label_6_true#1:
- assume inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$16$label_8#1;
-
- inline$storm_IoCompleteRequest$16$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$16$anon3_Then#1, inline$storm_IoCompleteRequest$16$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$16$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$16$anon1#1;
-
- inline$storm_IoCompleteRequest$16$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$16$label_9#1;
-
- inline$storm_IoCompleteRequest$16$label_9#1:
- goto inline$storm_IoCompleteRequest$16$label_9_true#1, inline$storm_IoCompleteRequest$16$label_9_false#1;
-
- inline$storm_IoCompleteRequest$16$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$16$label_10#1;
-
- inline$storm_IoCompleteRequest$16$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$16$label_1#1;
-
- inline$storm_IoCompleteRequest$16$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$16$label_7#1;
-
- inline$storm_IoCompleteRequest$16$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$16$label_1#1;
-
- inline$storm_IoCompleteRequest$16$label_1#1:
- goto inline$storm_IoCompleteRequest$16$Return#1;
-
- inline$storm_IoCompleteRequest$16$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$16$Return#1;
-
- inline$storm_IoCompleteRequest$16$Return#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon45_Then#1, inline$BDLSystemPowerIoCompletion$7$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$7$anon46_Then#1, inline$BDLSystemPowerIoCompletion$7$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_80#1:
- goto inline$BDLGetDebugLevel$190$Entry#1;
-
- inline$BDLGetDebugLevel$190$Entry#1:
- goto inline$BDLGetDebugLevel$190$start#1;
-
- inline$BDLGetDebugLevel$190$start#1:
- goto inline$BDLGetDebugLevel$190$label_3#1;
-
- inline$BDLGetDebugLevel$190$label_3#1:
- havoc inline$BDLGetDebugLevel$190$myNondetVar_0;
- inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$190$myNondetVar_0;
- goto inline$BDLGetDebugLevel$190$label_1#1;
-
- inline$BDLGetDebugLevel$190$label_1#1:
- goto inline$BDLGetDebugLevel$190$Return#1;
-
- inline$BDLGetDebugLevel$190$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon47_Then#1, inline$BDLSystemPowerIoCompletion$7$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_83_true#1, inline$BDLSystemPowerIoCompletion$7$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_87#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_88#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_89#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$7$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_84#1:
- goto inline$BDLGetDebugLevel$191$Entry#1;
-
- inline$BDLGetDebugLevel$191$Entry#1:
- goto inline$BDLGetDebugLevel$191$start#1;
-
- inline$BDLGetDebugLevel$191$start#1:
- goto inline$BDLGetDebugLevel$191$label_3#1;
-
- inline$BDLGetDebugLevel$191$label_3#1:
- havoc inline$BDLGetDebugLevel$191$myNondetVar_0;
- inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$191$myNondetVar_0;
- goto inline$BDLGetDebugLevel$191$label_1#1;
-
- inline$BDLGetDebugLevel$191$label_1#1:
- goto inline$BDLGetDebugLevel$191$Return#1;
-
- inline$BDLGetDebugLevel$191$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon48_Then#1, inline$BDLSystemPowerIoCompletion$7$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_93_true#1, inline$BDLSystemPowerIoCompletion$7$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_97#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_98#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_99#1:
- call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$7$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$7$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_94#1:
- goto inline$BDLGetDebugLevel$192$Entry#1;
-
- inline$BDLGetDebugLevel$192$Entry#1:
- goto inline$BDLGetDebugLevel$192$start#1;
-
- inline$BDLGetDebugLevel$192$start#1:
- goto inline$BDLGetDebugLevel$192$label_3#1;
-
- inline$BDLGetDebugLevel$192$label_3#1:
- havoc inline$BDLGetDebugLevel$192$myNondetVar_0;
- inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$192$myNondetVar_0;
- goto inline$BDLGetDebugLevel$192$label_1#1;
-
- inline$BDLGetDebugLevel$192$label_1#1:
- goto inline$BDLGetDebugLevel$192$Return#1;
-
- inline$BDLGetDebugLevel$192$Return#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$7$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$7$anon49_Then#1, inline$BDLSystemPowerIoCompletion$7$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_103_true#1, inline$BDLSystemPowerIoCompletion$7$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$7$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$7$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_104#1:
- inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$7$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$7$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$7$Return#1;
-
- inline$BDLSystemPowerIoCompletion$7$Return#1:
- inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$7$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$7$anon14_Then#1, inline$CallCompletionRoutine$7$anon14_Else#1;
-
- inline$CallCompletionRoutine$7$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$7$anon9#1;
-
- inline$CallCompletionRoutine$7$anon9#1:
- goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$7$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$7$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$7$Entry#1:
- inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$7$start#1;
-
- inline$BDLDevicePowerIoCompletion$7$start#1:
- call inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$7$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_4#1:
- inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_6#1:
- inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$7$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$7$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$41$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$41$Entry#1:
- inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$41$start#1;
-
- inline$IoGetCurrentIrpStackLocation$41$start#1:
- inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$41$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$41$label_3_true#1, inline$IoGetCurrentIrpStackLocation$41$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$41$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$41$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$41$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$41$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$41$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$41$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$41$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$41$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$41$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$41$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$41$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$41$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$41$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$41$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$41$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$41$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$41$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon30_Then#1, inline$BDLDevicePowerIoCompletion$7$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_13#1:
- inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$7$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$7$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_17#1:
- goto inline$BDLGetDebugLevel$175$Entry#1;
-
- inline$BDLGetDebugLevel$175$Entry#1:
- goto inline$BDLGetDebugLevel$175$start#1;
-
- inline$BDLGetDebugLevel$175$start#1:
- goto inline$BDLGetDebugLevel$175$label_3#1;
-
- inline$BDLGetDebugLevel$175$label_3#1:
- havoc inline$BDLGetDebugLevel$175$myNondetVar_0;
- inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$175$myNondetVar_0;
- goto inline$BDLGetDebugLevel$175$label_1#1;
-
- inline$BDLGetDebugLevel$175$label_1#1:
- goto inline$BDLGetDebugLevel$175$Return#1;
-
- inline$BDLGetDebugLevel$175$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon31_Then#1, inline$BDLDevicePowerIoCompletion$7$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_20_true#1, inline$BDLDevicePowerIoCompletion$7$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_24#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_25#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_26#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$7$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_21#1:
- goto inline$BDLGetDebugLevel$176$Entry#1;
-
- inline$BDLGetDebugLevel$176$Entry#1:
- goto inline$BDLGetDebugLevel$176$start#1;
-
- inline$BDLGetDebugLevel$176$start#1:
- goto inline$BDLGetDebugLevel$176$label_3#1;
-
- inline$BDLGetDebugLevel$176$label_3#1:
- havoc inline$BDLGetDebugLevel$176$myNondetVar_0;
- inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$176$myNondetVar_0;
- goto inline$BDLGetDebugLevel$176$label_1#1;
-
- inline$BDLGetDebugLevel$176$label_1#1:
- goto inline$BDLGetDebugLevel$176$Return#1;
-
- inline$BDLGetDebugLevel$176$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon32_Then#1, inline$BDLDevicePowerIoCompletion$7$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_30_true#1, inline$BDLDevicePowerIoCompletion$7$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_34#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_35#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_36#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$7$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_31#1:
- goto inline$BDLGetDebugLevel$177$Entry#1;
-
- inline$BDLGetDebugLevel$177$Entry#1:
- goto inline$BDLGetDebugLevel$177$start#1;
-
- inline$BDLGetDebugLevel$177$start#1:
- goto inline$BDLGetDebugLevel$177$label_3#1;
-
- inline$BDLGetDebugLevel$177$label_3#1:
- havoc inline$BDLGetDebugLevel$177$myNondetVar_0;
- inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$177$myNondetVar_0;
- goto inline$BDLGetDebugLevel$177$label_1#1;
-
- inline$BDLGetDebugLevel$177$label_1#1:
- goto inline$BDLGetDebugLevel$177$Return#1;
-
- inline$BDLGetDebugLevel$177$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon33_Then#1, inline$BDLDevicePowerIoCompletion$7$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_40_true#1, inline$BDLDevicePowerIoCompletion$7$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_41_true#1, inline$BDLDevicePowerIoCompletion$7$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$7$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$7$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_44_true#1, inline$BDLDevicePowerIoCompletion$7$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$7$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_45_true#1, inline$BDLDevicePowerIoCompletion$7$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$7$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$7$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$7$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$7$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$7$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_54#1:
- inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$7$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_55_true#1, inline$BDLDevicePowerIoCompletion$7$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_56#1:
- call inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$7$anon34_Then#1, inline$BDLDevicePowerIoCompletion$7$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_59#1:
- goto inline$BDLGetDebugLevel$178$Entry#1;
-
- inline$BDLGetDebugLevel$178$Entry#1:
- goto inline$BDLGetDebugLevel$178$start#1;
-
- inline$BDLGetDebugLevel$178$start#1:
- goto inline$BDLGetDebugLevel$178$label_3#1;
-
- inline$BDLGetDebugLevel$178$label_3#1:
- havoc inline$BDLGetDebugLevel$178$myNondetVar_0;
- inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$178$myNondetVar_0;
- goto inline$BDLGetDebugLevel$178$label_1#1;
-
- inline$BDLGetDebugLevel$178$label_1#1:
- goto inline$BDLGetDebugLevel$178$Return#1;
-
- inline$BDLGetDebugLevel$178$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon35_Then#1, inline$BDLDevicePowerIoCompletion$7$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_62_true#1, inline$BDLDevicePowerIoCompletion$7$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_66#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_67#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_68#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$7$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_63#1:
- goto inline$BDLGetDebugLevel$179$Entry#1;
-
- inline$BDLGetDebugLevel$179$Entry#1:
- goto inline$BDLGetDebugLevel$179$start#1;
-
- inline$BDLGetDebugLevel$179$start#1:
- goto inline$BDLGetDebugLevel$179$label_3#1;
-
- inline$BDLGetDebugLevel$179$label_3#1:
- havoc inline$BDLGetDebugLevel$179$myNondetVar_0;
- inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$179$myNondetVar_0;
- goto inline$BDLGetDebugLevel$179$label_1#1;
-
- inline$BDLGetDebugLevel$179$label_1#1:
- goto inline$BDLGetDebugLevel$179$Return#1;
-
- inline$BDLGetDebugLevel$179$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon36_Then#1, inline$BDLDevicePowerIoCompletion$7$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_72_true#1, inline$BDLDevicePowerIoCompletion$7$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_76#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_77#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_78#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$7$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_73#1:
- goto inline$BDLGetDebugLevel$180$Entry#1;
-
- inline$BDLGetDebugLevel$180$Entry#1:
- goto inline$BDLGetDebugLevel$180$start#1;
-
- inline$BDLGetDebugLevel$180$start#1:
- goto inline$BDLGetDebugLevel$180$label_3#1;
-
- inline$BDLGetDebugLevel$180$label_3#1:
- havoc inline$BDLGetDebugLevel$180$myNondetVar_0;
- inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$180$myNondetVar_0;
- goto inline$BDLGetDebugLevel$180$label_1#1;
-
- inline$BDLGetDebugLevel$180$label_1#1:
- goto inline$BDLGetDebugLevel$180$Return#1;
-
- inline$BDLGetDebugLevel$180$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon37_Then#1, inline$BDLDevicePowerIoCompletion$7$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_82_true#1, inline$BDLDevicePowerIoCompletion$7$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$7$anon38_Then#1, inline$BDLDevicePowerIoCompletion$7$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$7$anon39_Then#1, inline$BDLDevicePowerIoCompletion$7$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_92#1:
- goto inline$storm_IoCompleteRequest$15$Entry#1;
-
- inline$storm_IoCompleteRequest$15$Entry#1:
- inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$15$start#1;
-
- inline$storm_IoCompleteRequest$15$start#1:
- inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$15$label_3#1;
-
- inline$storm_IoCompleteRequest$15$label_3#1:
- call inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$15$label_6#1;
-
- inline$storm_IoCompleteRequest$15$label_6#1:
- goto inline$storm_IoCompleteRequest$15$label_6_true#1, inline$storm_IoCompleteRequest$15$label_6_false#1;
-
- inline$storm_IoCompleteRequest$15$label_6_false#1:
- assume inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$15$label_7#1;
-
- inline$storm_IoCompleteRequest$15$label_6_true#1:
- assume inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$15$label_8#1;
-
- inline$storm_IoCompleteRequest$15$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$15$anon3_Then#1, inline$storm_IoCompleteRequest$15$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$15$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$15$anon1#1;
-
- inline$storm_IoCompleteRequest$15$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$15$label_9#1;
-
- inline$storm_IoCompleteRequest$15$label_9#1:
- goto inline$storm_IoCompleteRequest$15$label_9_true#1, inline$storm_IoCompleteRequest$15$label_9_false#1;
-
- inline$storm_IoCompleteRequest$15$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$15$label_10#1;
-
- inline$storm_IoCompleteRequest$15$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$15$label_1#1;
-
- inline$storm_IoCompleteRequest$15$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$15$label_7#1;
-
- inline$storm_IoCompleteRequest$15$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$15$label_1#1;
-
- inline$storm_IoCompleteRequest$15$label_1#1:
- goto inline$storm_IoCompleteRequest$15$Return#1;
-
- inline$storm_IoCompleteRequest$15$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$15$Return#1;
-
- inline$storm_IoCompleteRequest$15$Return#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon40_Then#1, inline$BDLDevicePowerIoCompletion$7$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$7$anon41_Then#1, inline$BDLDevicePowerIoCompletion$7$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_98#1:
- goto inline$BDLGetDebugLevel$181$Entry#1;
-
- inline$BDLGetDebugLevel$181$Entry#1:
- goto inline$BDLGetDebugLevel$181$start#1;
-
- inline$BDLGetDebugLevel$181$start#1:
- goto inline$BDLGetDebugLevel$181$label_3#1;
-
- inline$BDLGetDebugLevel$181$label_3#1:
- havoc inline$BDLGetDebugLevel$181$myNondetVar_0;
- inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$181$myNondetVar_0;
- goto inline$BDLGetDebugLevel$181$label_1#1;
-
- inline$BDLGetDebugLevel$181$label_1#1:
- goto inline$BDLGetDebugLevel$181$Return#1;
-
- inline$BDLGetDebugLevel$181$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon42_Then#1, inline$BDLDevicePowerIoCompletion$7$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_101_true#1, inline$BDLDevicePowerIoCompletion$7$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_105#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_106#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_107#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$7$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_102#1:
- goto inline$BDLGetDebugLevel$182$Entry#1;
-
- inline$BDLGetDebugLevel$182$Entry#1:
- goto inline$BDLGetDebugLevel$182$start#1;
-
- inline$BDLGetDebugLevel$182$start#1:
- goto inline$BDLGetDebugLevel$182$label_3#1;
-
- inline$BDLGetDebugLevel$182$label_3#1:
- havoc inline$BDLGetDebugLevel$182$myNondetVar_0;
- inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$182$myNondetVar_0;
- goto inline$BDLGetDebugLevel$182$label_1#1;
-
- inline$BDLGetDebugLevel$182$label_1#1:
- goto inline$BDLGetDebugLevel$182$Return#1;
-
- inline$BDLGetDebugLevel$182$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon43_Then#1, inline$BDLDevicePowerIoCompletion$7$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_111_true#1, inline$BDLDevicePowerIoCompletion$7$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_115#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_116#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_117#1:
- call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$7$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$7$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_112#1:
- goto inline$BDLGetDebugLevel$183$Entry#1;
-
- inline$BDLGetDebugLevel$183$Entry#1:
- goto inline$BDLGetDebugLevel$183$start#1;
-
- inline$BDLGetDebugLevel$183$start#1:
- goto inline$BDLGetDebugLevel$183$label_3#1;
-
- inline$BDLGetDebugLevel$183$label_3#1:
- havoc inline$BDLGetDebugLevel$183$myNondetVar_0;
- inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$183$myNondetVar_0;
- goto inline$BDLGetDebugLevel$183$label_1#1;
-
- inline$BDLGetDebugLevel$183$label_1#1:
- goto inline$BDLGetDebugLevel$183$Return#1;
-
- inline$BDLGetDebugLevel$183$Return#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$7$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$7$anon44_Then#1, inline$BDLDevicePowerIoCompletion$7$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_121_true#1, inline$BDLDevicePowerIoCompletion$7$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$7$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$7$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_122#1:
- inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$7$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$7$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$7$Return#1;
-
- inline$BDLDevicePowerIoCompletion$7$Return#1:
- inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$7$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$7$anon13_Then#1, inline$CallCompletionRoutine$7$anon13_Else#1;
-
- inline$CallCompletionRoutine$7$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$7$anon7#1;
-
- inline$CallCompletionRoutine$7$anon7#1:
- goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$7$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$7$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$7$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$7$Entry#1:
- inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$7$start#1;
-
- inline$BDLCallDriverCompletionRoutine$7$start#1:
- inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$7$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$7$label_3_true#1, inline$BDLCallDriverCompletionRoutine$7$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$7$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$7$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$7$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$7$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_6#1:
- goto inline$storm_KeSetEvent$8$Entry#1;
-
- inline$storm_KeSetEvent$8$Entry#1:
- inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$8$start#1;
-
- inline$storm_KeSetEvent$8$start#1:
- inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$8$label_3#1;
-
- inline$storm_KeSetEvent$8$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$8$label_1#1;
-
- inline$storm_KeSetEvent$8$label_1#1:
- goto inline$storm_KeSetEvent$8$Return#1;
-
- inline$storm_KeSetEvent$8$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$7$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$7$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$7$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$7$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$7$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$7$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$7$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_9#1:
- inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$7$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$7$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$7$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$7$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$7$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$7$Return#1:
- inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$7$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$7$anon12_Then#1, inline$CallCompletionRoutine$7$anon12_Else#1;
-
- inline$CallCompletionRoutine$7$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$7$anon5#1;
-
- inline$CallCompletionRoutine$7$anon5#1:
- goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$7$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$7$label_23#1;
-
- inline$CallCompletionRoutine$7$label_23#1:
- inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$7$label_24#1;
-
- inline$CallCompletionRoutine$7$label_24#1:
- goto inline$CallCompletionRoutine$7$label_24_true#1, inline$CallCompletionRoutine$7$label_24_false#1;
-
- inline$CallCompletionRoutine$7$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$7$label_1#1;
-
- inline$CallCompletionRoutine$7$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$7$label_25#1;
-
- inline$CallCompletionRoutine$7$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$7$label_1#1;
-
- inline$CallCompletionRoutine$7$label_1#1:
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$7$Return#1;
-
- inline$CallCompletionRoutine$7$Return#1:
- goto inline$storm_IoCallDriver$3$label_39$1#1;
-
- inline$storm_IoCallDriver$3$label_39$1#1:
- goto inline$storm_IoCallDriver$3$anon15_Then#1, inline$storm_IoCallDriver$3$anon15_Else#1;
-
- inline$storm_IoCallDriver$3$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$3$anon10#1;
-
- inline$storm_IoCallDriver$3$anon10#1:
- goto inline$storm_IoCallDriver$3$label_36#1;
-
- inline$storm_IoCallDriver$3$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$label_27_case_0#1:
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$3$label_28#1;
-
- inline$storm_IoCallDriver$3$label_28#1:
- inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$3$label_42#1;
-
- inline$storm_IoCallDriver$3$label_42#1:
- goto inline$storm_IoCallDriver$3$label_43#1;
-
- inline$storm_IoCallDriver$3$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$3$label_36#1;
-
- inline$storm_IoCallDriver$3$label_36#1:
- inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$3$label_1#1;
-
- inline$storm_IoCallDriver$3$label_1#1:
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$3$Return#1;
-
- inline$storm_IoCallDriver$3$Return#1:
- inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$1$label_3$1#1;
-
- inline$storm_PoCallDriver$1$label_3$1#1:
- goto inline$storm_PoCallDriver$1$anon2_Then#1, inline$storm_PoCallDriver$1$anon2_Else#1;
-
- inline$storm_PoCallDriver$1$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$1$anon1#1;
-
- inline$storm_PoCallDriver$1$anon1#1:
- goto inline$storm_PoCallDriver$1$label_6#1;
-
- inline$storm_PoCallDriver$1$label_6#1:
- inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$1$label_1#1;
-
- inline$storm_PoCallDriver$1$label_1#1:
- goto inline$storm_PoCallDriver$1$Return#1;
-
- inline$storm_PoCallDriver$1$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$1$Return#1;
-
- inline$storm_PoCallDriver$1$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_31#1:
- goto inline$storm_KeWaitForSingleObject$1$Entry#1;
-
- inline$storm_KeWaitForSingleObject$1$Entry#1:
- inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$1$start#1;
-
- inline$storm_KeWaitForSingleObject$1$start#1:
- inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$1$label_3#1;
-
- inline$storm_KeWaitForSingleObject$1$label_3#1:
- goto inline$storm_KeWaitForSingleObject$1$label_3_true#1, inline$storm_KeWaitForSingleObject$1$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$1$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$1$label_4#1;
-
- inline$storm_KeWaitForSingleObject$1$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon6_Then#1, inline$storm_KeWaitForSingleObject$1$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$1$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon1#1;
-
- inline$storm_KeWaitForSingleObject$1$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$1$label_13#1;
-
- inline$storm_KeWaitForSingleObject$1$label_13#1:
- inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$1$label_1#1;
-
- inline$storm_KeWaitForSingleObject$1$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$1$Return#1;
-
- inline$storm_KeWaitForSingleObject$1$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$1$label_5#1;
-
- inline$storm_KeWaitForSingleObject$1$label_5#1:
- call inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$1$label_8#1;
-
- inline$storm_KeWaitForSingleObject$1$label_8#1:
- goto inline$storm_KeWaitForSingleObject$1$label_8_true#1, inline$storm_KeWaitForSingleObject$1$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$1$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$1$label_9#1;
-
- inline$storm_KeWaitForSingleObject$1$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon7_Then#1, inline$storm_KeWaitForSingleObject$1$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$1$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon3#1;
-
- inline$storm_KeWaitForSingleObject$1$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$1$label_12#1;
-
- inline$storm_KeWaitForSingleObject$1$label_12#1:
- inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$1$label_1#1;
-
- inline$storm_KeWaitForSingleObject$1$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$1$Return#1;
-
- inline$storm_KeWaitForSingleObject$1$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$1$label_10#1;
-
- inline$storm_KeWaitForSingleObject$1$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon8_Then#1, inline$storm_KeWaitForSingleObject$1$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$1$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$1$anon5#1;
-
- inline$storm_KeWaitForSingleObject$1$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$1$label_11#1;
-
- inline$storm_KeWaitForSingleObject$1$label_11#1:
- inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$1$label_1#1;
-
- inline$storm_KeWaitForSingleObject$1$label_1#1:
- goto inline$storm_KeWaitForSingleObject$1$Return#1;
-
- inline$storm_KeWaitForSingleObject$1$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$1$Return#1;
-
- inline$storm_KeWaitForSingleObject$1$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$1$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$1$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$ := inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_34$1#1;
-
- inline$BDLPnPQueryRemove$0$label_34$1#1:
- goto inline$BDLPnPQueryRemove$0$anon26_Then#1, inline$BDLPnPQueryRemove$0$anon26_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon26_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon9#1;
-
- inline$BDLPnPQueryRemove$0$anon9#1:
- goto inline$BDLPnPQueryRemove$0$label_64#1;
-
- inline$BDLPnPQueryRemove$0$label_64#1:
- inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$;
- goto inline$BDLPnPQueryRemove$0$label_65#1;
-
- inline$BDLPnPQueryRemove$0$label_65#1:
- goto inline$BDLPnPQueryRemove$0$label_65_true#1, inline$BDLPnPQueryRemove$0$label_65_false#1;
-
- inline$BDLPnPQueryRemove$0$label_65_false#1:
- assume inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 == 0;
- goto inline$BDLPnPQueryRemove$0$label_38#1;
-
- inline$BDLPnPQueryRemove$0$label_65_true#1:
- assume inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 != 0;
- goto inline$BDLPnPQueryRemove$0$label_66#1;
-
- inline$BDLPnPQueryRemove$0$label_66#1:
- goto inline$BDLGetDebugLevel$199$Entry#1;
-
- inline$BDLGetDebugLevel$199$Entry#1:
- goto inline$BDLGetDebugLevel$199$start#1;
-
- inline$BDLGetDebugLevel$199$start#1:
- goto inline$BDLGetDebugLevel$199$label_3#1;
-
- inline$BDLGetDebugLevel$199$label_3#1:
- havoc inline$BDLGetDebugLevel$199$myNondetVar_0;
- inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$199$myNondetVar_0;
- goto inline$BDLGetDebugLevel$199$label_1#1;
-
- inline$BDLGetDebugLevel$199$label_1#1:
- goto inline$BDLGetDebugLevel$199$Return#1;
-
- inline$BDLGetDebugLevel$199$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$ := inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_66$1#1;
-
- inline$BDLPnPQueryRemove$0$label_66$1#1:
- goto inline$BDLPnPQueryRemove$0$anon30_Then#1, inline$BDLPnPQueryRemove$0$anon30_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon17#1;
-
- inline$BDLPnPQueryRemove$0$anon17#1:
- goto inline$BDLPnPQueryRemove$0$label_69#1;
-
- inline$BDLPnPQueryRemove$0$label_69#1:
- goto inline$BDLPnPQueryRemove$0$label_69_true#1, inline$BDLPnPQueryRemove$0$label_69_false#1;
-
- inline$BDLPnPQueryRemove$0$label_69_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_70#1;
-
- inline$BDLPnPQueryRemove$0$label_69_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_73#1;
-
- inline$BDLPnPQueryRemove$0$label_73#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_74#1;
-
- inline$BDLPnPQueryRemove$0$label_74#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_75#1;
-
- inline$BDLPnPQueryRemove$0$label_75#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_76#1;
-
- inline$BDLPnPQueryRemove$0$label_76#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$16$;
- goto inline$BDLPnPQueryRemove$0$label_70#1;
-
- inline$BDLPnPQueryRemove$0$label_70#1:
- goto inline$BDLGetDebugLevel$200$Entry#1;
-
- inline$BDLGetDebugLevel$200$Entry#1:
- goto inline$BDLGetDebugLevel$200$start#1;
-
- inline$BDLGetDebugLevel$200$start#1:
- goto inline$BDLGetDebugLevel$200$label_3#1;
-
- inline$BDLGetDebugLevel$200$label_3#1:
- havoc inline$BDLGetDebugLevel$200$myNondetVar_0;
- inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$200$myNondetVar_0;
- goto inline$BDLGetDebugLevel$200$label_1#1;
-
- inline$BDLGetDebugLevel$200$label_1#1:
- goto inline$BDLGetDebugLevel$200$Return#1;
-
- inline$BDLGetDebugLevel$200$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$ := inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_70$1#1;
-
- inline$BDLPnPQueryRemove$0$label_70$1#1:
- goto inline$BDLPnPQueryRemove$0$anon31_Then#1, inline$BDLPnPQueryRemove$0$anon31_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon19#1;
-
- inline$BDLPnPQueryRemove$0$anon19#1:
- goto inline$BDLPnPQueryRemove$0$label_79#1;
-
- inline$BDLPnPQueryRemove$0$label_79#1:
- goto inline$BDLPnPQueryRemove$0$label_79_true#1, inline$BDLPnPQueryRemove$0$label_79_false#1;
-
- inline$BDLPnPQueryRemove$0$label_79_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_80#1;
-
- inline$BDLPnPQueryRemove$0$label_79_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_83#1;
-
- inline$BDLPnPQueryRemove$0$label_83#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_84#1;
-
- inline$BDLPnPQueryRemove$0$label_84#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_85#1;
-
- inline$BDLPnPQueryRemove$0$label_85#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_86#1;
-
- inline$BDLPnPQueryRemove$0$label_86#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$21$;
- goto inline$BDLPnPQueryRemove$0$label_80#1;
-
- inline$BDLPnPQueryRemove$0$label_80#1:
- goto inline$BDLGetDebugLevel$201$Entry#1;
-
- inline$BDLGetDebugLevel$201$Entry#1:
- goto inline$BDLGetDebugLevel$201$start#1;
-
- inline$BDLGetDebugLevel$201$start#1:
- goto inline$BDLGetDebugLevel$201$label_3#1;
-
- inline$BDLGetDebugLevel$201$label_3#1:
- havoc inline$BDLGetDebugLevel$201$myNondetVar_0;
- inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$201$myNondetVar_0;
- goto inline$BDLGetDebugLevel$201$label_1#1;
-
- inline$BDLGetDebugLevel$201$label_1#1:
- goto inline$BDLGetDebugLevel$201$Return#1;
-
- inline$BDLGetDebugLevel$201$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$ := inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_80$1#1;
-
- inline$BDLPnPQueryRemove$0$label_80$1#1:
- goto inline$BDLPnPQueryRemove$0$anon32_Then#1, inline$BDLPnPQueryRemove$0$anon32_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon21#1;
-
- inline$BDLPnPQueryRemove$0$anon21#1:
- goto inline$BDLPnPQueryRemove$0$label_89#1;
-
- inline$BDLPnPQueryRemove$0$label_89#1:
- goto inline$BDLPnPQueryRemove$0$label_89_true#1, inline$BDLPnPQueryRemove$0$label_89_false#1;
-
- inline$BDLPnPQueryRemove$0$label_89_false#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$, 4) == 0;
- goto inline$BDLPnPQueryRemove$0$label_38#1;
-
- inline$BDLPnPQueryRemove$0$label_89_true#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$, 4) != 0;
- goto inline$BDLPnPQueryRemove$0$label_90#1;
-
- inline$BDLPnPQueryRemove$0$label_90#1:
- goto inline$BDLPnPQueryRemove$0$label_38#1;
-
- inline$BDLPnPQueryRemove$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon26_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$label_33_true#1:
- havoc inline$BDLPnPQueryRemove$0$myNondetVar_0;
- assume inline$BDLPnPQueryRemove$0$myNondetVar_0 != 0;
- goto inline$BDLPnPQueryRemove$0$label_37#1;
-
- inline$BDLPnPQueryRemove$0$label_37#1:
- inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := 0 - 1073741823;
- goto inline$BDLPnPQueryRemove$0$label_38#1;
-
- inline$BDLPnPQueryRemove$0$label_38#1:
- goto inline$BDLGetDebugLevel$196$Entry#1;
-
- inline$BDLGetDebugLevel$196$Entry#1:
- goto inline$BDLGetDebugLevel$196$start#1;
-
- inline$BDLGetDebugLevel$196$start#1:
- goto inline$BDLGetDebugLevel$196$label_3#1;
-
- inline$BDLGetDebugLevel$196$label_3#1:
- havoc inline$BDLGetDebugLevel$196$myNondetVar_0;
- inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$196$myNondetVar_0;
- goto inline$BDLGetDebugLevel$196$label_1#1;
-
- inline$BDLGetDebugLevel$196$label_1#1:
- goto inline$BDLGetDebugLevel$196$Return#1;
-
- inline$BDLGetDebugLevel$196$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$ := inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_38$1#1;
-
- inline$BDLPnPQueryRemove$0$label_38$1#1:
- goto inline$BDLPnPQueryRemove$0$anon27_Then#1, inline$BDLPnPQueryRemove$0$anon27_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon27_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon11#1;
-
- inline$BDLPnPQueryRemove$0$anon11#1:
- goto inline$BDLPnPQueryRemove$0$label_41#1;
-
- inline$BDLPnPQueryRemove$0$label_41#1:
- goto inline$BDLPnPQueryRemove$0$label_41_true#1, inline$BDLPnPQueryRemove$0$label_41_false#1;
-
- inline$BDLPnPQueryRemove$0$label_41_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_42#1;
-
- inline$BDLPnPQueryRemove$0$label_41_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_45#1;
-
- inline$BDLPnPQueryRemove$0$label_45#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_46#1;
-
- inline$BDLPnPQueryRemove$0$label_46#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_47#1;
-
- inline$BDLPnPQueryRemove$0$label_47#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_48#1;
-
- inline$BDLPnPQueryRemove$0$label_48#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$27$;
- goto inline$BDLPnPQueryRemove$0$label_42#1;
-
- inline$BDLPnPQueryRemove$0$label_42#1:
- goto inline$BDLGetDebugLevel$197$Entry#1;
-
- inline$BDLGetDebugLevel$197$Entry#1:
- goto inline$BDLGetDebugLevel$197$start#1;
-
- inline$BDLGetDebugLevel$197$start#1:
- goto inline$BDLGetDebugLevel$197$label_3#1;
-
- inline$BDLGetDebugLevel$197$label_3#1:
- havoc inline$BDLGetDebugLevel$197$myNondetVar_0;
- inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$197$myNondetVar_0;
- goto inline$BDLGetDebugLevel$197$label_1#1;
-
- inline$BDLGetDebugLevel$197$label_1#1:
- goto inline$BDLGetDebugLevel$197$Return#1;
-
- inline$BDLGetDebugLevel$197$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$ := inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_42$1#1;
-
- inline$BDLPnPQueryRemove$0$label_42$1#1:
- goto inline$BDLPnPQueryRemove$0$anon28_Then#1, inline$BDLPnPQueryRemove$0$anon28_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon28_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon13#1;
-
- inline$BDLPnPQueryRemove$0$anon13#1:
- goto inline$BDLPnPQueryRemove$0$label_51#1;
-
- inline$BDLPnPQueryRemove$0$label_51#1:
- goto inline$BDLPnPQueryRemove$0$label_51_true#1, inline$BDLPnPQueryRemove$0$label_51_false#1;
-
- inline$BDLPnPQueryRemove$0$label_51_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$) == 0;
- goto inline$BDLPnPQueryRemove$0$label_52#1;
-
- inline$BDLPnPQueryRemove$0$label_51_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$) != 0;
- goto inline$BDLPnPQueryRemove$0$label_55#1;
-
- inline$BDLPnPQueryRemove$0$label_55#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_56#1;
-
- inline$BDLPnPQueryRemove$0$label_56#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_57#1;
-
- inline$BDLPnPQueryRemove$0$label_57#1:
- call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPQueryRemove$0$label_58#1;
-
- inline$BDLPnPQueryRemove$0$label_58#1:
- havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$32$;
- goto inline$BDLPnPQueryRemove$0$label_52#1;
-
- inline$BDLPnPQueryRemove$0$label_52#1:
- goto inline$BDLGetDebugLevel$198$Entry#1;
-
- inline$BDLGetDebugLevel$198$Entry#1:
- goto inline$BDLGetDebugLevel$198$start#1;
-
- inline$BDLGetDebugLevel$198$start#1:
- goto inline$BDLGetDebugLevel$198$label_3#1;
-
- inline$BDLGetDebugLevel$198$label_3#1:
- havoc inline$BDLGetDebugLevel$198$myNondetVar_0;
- inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$198$myNondetVar_0;
- goto inline$BDLGetDebugLevel$198$label_1#1;
-
- inline$BDLGetDebugLevel$198$label_1#1:
- goto inline$BDLGetDebugLevel$198$Return#1;
-
- inline$BDLGetDebugLevel$198$Return#1:
- inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$ := inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPQueryRemove$0$label_52$1#1;
-
- inline$BDLPnPQueryRemove$0$label_52$1#1:
- goto inline$BDLPnPQueryRemove$0$anon29_Then#1, inline$BDLPnPQueryRemove$0$anon29_Else#1;
-
- inline$BDLPnPQueryRemove$0$anon29_Else#1:
- assume !raiseException;
- goto inline$BDLPnPQueryRemove$0$anon15#1;
-
- inline$BDLPnPQueryRemove$0$anon15#1:
- goto inline$BDLPnPQueryRemove$0$label_61#1;
-
- inline$BDLPnPQueryRemove$0$label_61#1:
- goto inline$BDLPnPQueryRemove$0$label_61_true#1, inline$BDLPnPQueryRemove$0$label_61_false#1;
-
- inline$BDLPnPQueryRemove$0$label_61_false#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$, 4) == 0;
- goto inline$BDLPnPQueryRemove$0$label_62#1;
-
- inline$BDLPnPQueryRemove$0$label_61_true#1:
- assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$, 4) != 0;
- goto inline$BDLPnPQueryRemove$0$label_63#1;
-
- inline$BDLPnPQueryRemove$0$label_63#1:
- goto inline$BDLPnPQueryRemove$0$label_62#1;
-
- inline$BDLPnPQueryRemove$0$label_62#1:
- inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$ := inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12;
- goto inline$BDLPnPQueryRemove$0$label_1#1;
-
- inline$BDLPnPQueryRemove$0$label_1#1:
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon29_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon28_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon27_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon25_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon24_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon23_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$anon22_Then#1:
- assume raiseException;
- goto inline$BDLPnPQueryRemove$0$Return#1;
-
- inline$BDLPnPQueryRemove$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$ := inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$;
- goto inline$BDLPnP$0$label_116$1#1;
-
- inline$BDLPnP$0$label_116$1#1:
- goto inline$BDLPnP$0$anon68_Then#1, inline$BDLPnP$0$anon68_Else#1;
-
- inline$BDLPnP$0$anon68_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon29#1;
-
- inline$BDLPnP$0$anon29#1:
- goto inline$BDLPnP$0$label_154#1;
-
- inline$BDLPnP$0$label_154#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon68_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_1#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 == 0;
- goto inline$BDLPnP$0$label_113#1;
-
- inline$BDLPnP$0$label_113#1:
- goto inline$BDLPnPStart$0$Entry#1;
-
- inline$BDLPnPStart$0$Entry#1:
- inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
- inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$BDLPnPStart$0$start#1;
-
- inline$BDLPnPStart$0$start#1:
- call inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16 := __HAVOC_malloc(548);
- inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16 := inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1;
- inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16 := inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1;
- goto inline$BDLPnPStart$0$label_3#1;
-
- inline$BDLPnPStart$0$label_3#1:
- goto inline$BDLPnPStart$0$label_4#1;
-
- inline$BDLPnPStart$0$label_4#1:
- inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := 0;
- goto inline$BDLPnPStart$0$label_5#1;
-
- inline$BDLPnPStart$0$label_5#1:
- goto inline$BDLPnPStart$0$label_6#1;
-
- inline$BDLPnPStart$0$label_6#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_7#1;
-
- inline$BDLPnPStart$0$label_7#1:
- goto inline$BDLPnPStart$0$label_8#1;
-
- inline$BDLPnPStart$0$label_8#1:
- goto inline$BDLGetDebugLevel$10$Entry#1;
-
- inline$BDLGetDebugLevel$10$Entry#1:
- goto inline$BDLGetDebugLevel$10$start#1;
-
- inline$BDLGetDebugLevel$10$start#1:
- goto inline$BDLGetDebugLevel$10$label_3#1;
-
- inline$BDLGetDebugLevel$10$label_3#1:
- havoc inline$BDLGetDebugLevel$10$myNondetVar_0;
- inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$10$myNondetVar_0;
- goto inline$BDLGetDebugLevel$10$label_1#1;
-
- inline$BDLGetDebugLevel$10$label_1#1:
- goto inline$BDLGetDebugLevel$10$Return#1;
-
- inline$BDLGetDebugLevel$10$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$ := inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_8$1#1;
-
- inline$BDLPnPStart$0$label_8$1#1:
- goto inline$BDLPnPStart$0$anon36_Then#1, inline$BDLPnPStart$0$anon36_Else#1;
-
- inline$BDLPnPStart$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon1#1;
-
- inline$BDLPnPStart$0$anon1#1:
- goto inline$BDLPnPStart$0$label_11#1;
-
- inline$BDLPnPStart$0$label_11#1:
- goto inline$BDLPnPStart$0$label_11_true#1, inline$BDLPnPStart$0$label_11_false#1;
-
- inline$BDLPnPStart$0$label_11_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$) == 0;
- goto inline$BDLPnPStart$0$label_12#1;
-
- inline$BDLPnPStart$0$label_11_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$) != 0;
- goto inline$BDLPnPStart$0$label_15#1;
-
- inline$BDLPnPStart$0$label_15#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_16#1;
-
- inline$BDLPnPStart$0$label_16#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_17#1;
-
- inline$BDLPnPStart$0$label_17#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_18#1;
-
- inline$BDLPnPStart$0$label_18#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$1966.0$3$;
- goto inline$BDLPnPStart$0$label_12#1;
-
- inline$BDLPnPStart$0$label_12#1:
- goto inline$BDLGetDebugLevel$11$Entry#1;
-
- inline$BDLGetDebugLevel$11$Entry#1:
- goto inline$BDLGetDebugLevel$11$start#1;
-
- inline$BDLGetDebugLevel$11$start#1:
- goto inline$BDLGetDebugLevel$11$label_3#1;
-
- inline$BDLGetDebugLevel$11$label_3#1:
- havoc inline$BDLGetDebugLevel$11$myNondetVar_0;
- inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$11$myNondetVar_0;
- goto inline$BDLGetDebugLevel$11$label_1#1;
-
- inline$BDLGetDebugLevel$11$label_1#1:
- goto inline$BDLGetDebugLevel$11$Return#1;
-
- inline$BDLGetDebugLevel$11$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$ := inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_12$1#1;
-
- inline$BDLPnPStart$0$label_12$1#1:
- goto inline$BDLPnPStart$0$anon37_Then#1, inline$BDLPnPStart$0$anon37_Else#1;
-
- inline$BDLPnPStart$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon3#1;
-
- inline$BDLPnPStart$0$anon3#1:
- goto inline$BDLPnPStart$0$label_21#1;
-
- inline$BDLPnPStart$0$label_21#1:
- goto inline$BDLPnPStart$0$label_21_true#1, inline$BDLPnPStart$0$label_21_false#1;
-
- inline$BDLPnPStart$0$label_21_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$) == 0;
- goto inline$BDLPnPStart$0$label_22#1;
-
- inline$BDLPnPStart$0$label_21_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$) != 0;
- goto inline$BDLPnPStart$0$label_25#1;
-
- inline$BDLPnPStart$0$label_25#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_26#1;
-
- inline$BDLPnPStart$0$label_26#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_27#1;
-
- inline$BDLPnPStart$0$label_27#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_28#1;
-
- inline$BDLPnPStart$0$label_28#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$1966.0$8$;
- goto inline$BDLPnPStart$0$label_22#1;
-
- inline$BDLPnPStart$0$label_22#1:
- goto inline$BDLGetDebugLevel$12$Entry#1;
-
- inline$BDLGetDebugLevel$12$Entry#1:
- goto inline$BDLGetDebugLevel$12$start#1;
-
- inline$BDLGetDebugLevel$12$start#1:
- goto inline$BDLGetDebugLevel$12$label_3#1;
-
- inline$BDLGetDebugLevel$12$label_3#1:
- havoc inline$BDLGetDebugLevel$12$myNondetVar_0;
- inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$12$myNondetVar_0;
- goto inline$BDLGetDebugLevel$12$label_1#1;
-
- inline$BDLGetDebugLevel$12$label_1#1:
- goto inline$BDLGetDebugLevel$12$Return#1;
-
- inline$BDLGetDebugLevel$12$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$ := inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_22$1#1;
-
- inline$BDLPnPStart$0$label_22$1#1:
- goto inline$BDLPnPStart$0$anon38_Then#1, inline$BDLPnPStart$0$anon38_Else#1;
-
- inline$BDLPnPStart$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon5#1;
-
- inline$BDLPnPStart$0$anon5#1:
- goto inline$BDLPnPStart$0$label_31#1;
-
- inline$BDLPnPStart$0$label_31#1:
- goto inline$BDLPnPStart$0$label_31_true#1, inline$BDLPnPStart$0$label_31_false#1;
-
- inline$BDLPnPStart$0$label_31_false#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$, 4) == 0;
- goto inline$BDLPnPStart$0$label_32#1;
-
- inline$BDLPnPStart$0$label_31_true#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$, 4) != 0;
- goto inline$BDLPnPStart$0$label_35#1;
-
- inline$BDLPnPStart$0$label_35#1:
- goto inline$BDLPnPStart$0$label_32#1;
-
- inline$BDLPnPStart$0$label_32#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$Entry#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$Entry#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16;
- goto inline$BDLCallLowerLevelDriverAndWait$0$start#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$start#1:
- call inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
- inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_4#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_4#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_6#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_6#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$Entry#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$Entry#1:
- inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$start#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$start#1:
- inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_4#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_4#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_5#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_5#1:
- goto inline$IoGetCurrentIrpStackLocation$3$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$3$Entry#1:
- inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetCurrentIrpStackLocation$3$start#1;
-
- inline$IoGetCurrentIrpStackLocation$3$start#1:
- inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$3$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$3$label_3_true#1, inline$IoGetCurrentIrpStackLocation$3$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$3$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$3$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$3$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$3$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$3$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$3$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$3$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$3$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$3$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$3$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$3$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$3$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$3$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$3$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$3$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$3$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_5$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_5$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_8#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_8#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_9#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_9#1:
- goto inline$IoGetNextIrpStackLocation$1$Entry#1;
-
- inline$IoGetNextIrpStackLocation$1$Entry#1:
- inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
- goto inline$IoGetNextIrpStackLocation$1$start#1;
-
- inline$IoGetNextIrpStackLocation$1$start#1:
- inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$1$label_3#1;
-
- inline$IoGetNextIrpStackLocation$1$label_3#1:
- goto inline$IoGetNextIrpStackLocation$1$label_3_true#1, inline$IoGetNextIrpStackLocation$1$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$1$label_3_false#1:
- inline$IoGetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$1$myVar_0);
- goto inline$IoGetNextIrpStackLocation$1$label_4#1;
-
- inline$IoGetNextIrpStackLocation$1$label_4#1:
- call inline$IoGetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$1$label_6#1;
-
- inline$IoGetNextIrpStackLocation$1$label_6#1:
- call inline$IoGetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$1$label_7#1;
-
- inline$IoGetNextIrpStackLocation$1$label_7#1:
- goto inline$IoGetNextIrpStackLocation$1$label_5#1;
-
- inline$IoGetNextIrpStackLocation$1$label_3_true#1:
- inline$IoGetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$1$myVar_0);
- goto inline$IoGetNextIrpStackLocation$1$label_5#1;
-
- inline$IoGetNextIrpStackLocation$1$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$1$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$1$label_1#1;
-
- inline$IoGetNextIrpStackLocation$1$label_1#1:
- goto inline$IoGetNextIrpStackLocation$1$Return#1;
-
- inline$IoGetNextIrpStackLocation$1$Return#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_9$1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_9$1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Else#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Else#1:
- assume !raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon3#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon3#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_12#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_12#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_13#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_13#1:
- havoc inline$IoCopyCurrentIrpStackLocationToNext$0$$result.memcpy$25110.4$3$;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_16#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_16#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_1#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$label_1#1:
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Then#1:
- assume raiseException;
- goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
-
- inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_6$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_6$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon16_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon16_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_9#1:
- goto inline$storm_KeInitializeEvent$0$Entry#1;
-
- inline$storm_KeInitializeEvent$0$Entry#1:
- inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
- goto inline$storm_KeInitializeEvent$0$start#1;
-
- inline$storm_KeInitializeEvent$0$start#1:
- inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
- inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1;
- goto inline$storm_KeInitializeEvent$0$label_3#1;
-
- inline$storm_KeInitializeEvent$0$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12];
- call contextSwitch();
- goto inline$storm_KeInitializeEvent$0$label_1#1;
-
- inline$storm_KeInitializeEvent$0$label_1#1:
- goto inline$storm_KeInitializeEvent$0$Return#1;
-
- inline$storm_KeInitializeEvent$0$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_9$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_9$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon17_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon17_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon3#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon3#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_12#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$0$Entry#1;
-
- inline$storm_IoSetCompletionRoutine$0$Entry#1:
- inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoSetCompletionRoutine$0$start#1;
-
- inline$storm_IoSetCompletionRoutine$0$start#1:
- inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
- goto inline$storm_IoSetCompletionRoutine$0$label_3#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_3#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_4#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_4#1:
- call inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ := storm_nondet();
- goto inline$storm_IoSetCompletionRoutine$0$label_7#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_7#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_7_true#1, inline$storm_IoSetCompletionRoutine$0$label_7_false#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_7_false#1:
- assume inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ == 0;
- goto inline$storm_IoSetCompletionRoutine$0$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_7_true#1:
- assume inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ != 0;
- goto inline$storm_IoSetCompletionRoutine$0$label_11#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_11#1:
- havoc raiseException;
- goto inline$storm_IoSetCompletionRoutine$0$anon6_Then#1, inline$storm_IoSetCompletionRoutine$0$anon6_Else#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$0$anon3#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
- call contextSwitch();
- goto inline$storm_IoSetCompletionRoutine$0$label_12#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_12#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_12_true#1, inline$storm_IoSetCompletionRoutine$0$label_12_false#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_12_false#1:
- assume 0 == 0;
- goto inline$storm_IoSetCompletionRoutine$0$label_13#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_13#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoSetCompletionRoutine$0$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_12_true#1:
- assume 0 != 0;
- goto inline$storm_IoSetCompletionRoutine$0$label_8#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_8#1:
- goto inline$IoGetNextIrpStackLocation$2$Entry#1;
-
- inline$IoGetNextIrpStackLocation$2$Entry#1:
- inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
- goto inline$IoGetNextIrpStackLocation$2$start#1;
-
- inline$IoGetNextIrpStackLocation$2$start#1:
- inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
- goto inline$IoGetNextIrpStackLocation$2$label_3#1;
-
- inline$IoGetNextIrpStackLocation$2$label_3#1:
- goto inline$IoGetNextIrpStackLocation$2$label_3_true#1, inline$IoGetNextIrpStackLocation$2$label_3_false#1;
-
- inline$IoGetNextIrpStackLocation$2$label_3_false#1:
- inline$IoGetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoGetNextIrpStackLocation$2$myVar_0);
- goto inline$IoGetNextIrpStackLocation$2$label_4#1;
-
- inline$IoGetNextIrpStackLocation$2$label_4#1:
- call inline$IoGetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$2$label_6#1;
-
- inline$IoGetNextIrpStackLocation$2$label_6#1:
- call inline$IoGetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetNextIrpStackLocation$2$label_7#1;
-
- inline$IoGetNextIrpStackLocation$2$label_7#1:
- goto inline$IoGetNextIrpStackLocation$2$label_5#1;
-
- inline$IoGetNextIrpStackLocation$2$label_3_true#1:
- inline$IoGetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoGetNextIrpStackLocation$2$myVar_0);
- goto inline$IoGetNextIrpStackLocation$2$label_5#1;
-
- inline$IoGetNextIrpStackLocation$2$label_5#1:
- havoc inline$IoGetNextIrpStackLocation$2$myNondetVar_0;
- goto inline$IoGetNextIrpStackLocation$2$label_1#1;
-
- inline$IoGetNextIrpStackLocation$2$label_1#1:
- goto inline$IoGetNextIrpStackLocation$2$Return#1;
-
- inline$IoGetNextIrpStackLocation$2$Return#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_8$1#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_8$1#1:
- goto inline$storm_IoSetCompletionRoutine$0$anon5_Then#1, inline$storm_IoSetCompletionRoutine$0$anon5_Else#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_IoSetCompletionRoutine$0$anon1#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon1#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_16#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_16#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_17#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_17#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_18#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_18#1:
- goto inline$storm_IoSetCompletionRoutine$0$label_1#1;
-
- inline$storm_IoSetCompletionRoutine$0$label_1#1:
- goto inline$storm_IoSetCompletionRoutine$0$Return#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon5_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$0$Return#1;
-
- inline$storm_IoSetCompletionRoutine$0$anon6_Then#1:
- assume raiseException;
- goto inline$storm_IoSetCompletionRoutine$0$Return#1;
-
- inline$storm_IoSetCompletionRoutine$0$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_12$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_12$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon18_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon18_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon5#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon5#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_15#1:
- goto inline$IoGetCurrentIrpStackLocation$4$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$4$Entry#1:
- inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$IoGetCurrentIrpStackLocation$4$start#1;
-
- inline$IoGetCurrentIrpStackLocation$4$start#1:
- inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$4$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$4$label_3_true#1, inline$IoGetCurrentIrpStackLocation$4$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$4$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$4$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$4$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$4$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$4$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$4$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$4$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$4$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$4$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$4$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$4$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$4$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$4$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$4$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$4$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$4$Return#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_15$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_15$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon19_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon19_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon7#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon7#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_18#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_18#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_18_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_18_false#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_19#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_19#1:
- goto inline$storm_IoCallDriver$0$Entry#1;
-
- inline$storm_IoCallDriver$0$Entry#1:
- inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_IoCallDriver$0$start#1;
-
- inline$storm_IoCallDriver$0$start#1:
- inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$0$label_3#1;
-
- inline$storm_IoCallDriver$0$label_3#1:
- goto inline$storm_IoCallDriver$0$label_4#1;
-
- inline$storm_IoCallDriver$0$label_4#1:
- goto inline$storm_IoCallDriver$0$label_5#1;
-
- inline$storm_IoCallDriver$0$label_5#1:
- goto inline$storm_IoCallDriver$0$label_6#1;
-
- inline$storm_IoCallDriver$0$label_6#1:
- call inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$0$label_9#1;
-
- inline$storm_IoCallDriver$0$label_9#1:
- goto inline$storm_IoCallDriver$0$label_9_true#1, inline$storm_IoCallDriver$0$label_9_false#1;
-
- inline$storm_IoCallDriver$0$label_9_false#1:
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$0$label_10#1;
-
- inline$storm_IoCallDriver$0$label_9_true#1:
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$0$label_13#1;
-
- inline$storm_IoCallDriver$0$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$0$anon12_Then#1, inline$storm_IoCallDriver$0$anon12_Else#1;
-
- inline$storm_IoCallDriver$0$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$0$anon3#1;
-
- inline$storm_IoCallDriver$0$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$0$label_14#1;
-
- inline$storm_IoCallDriver$0$label_14#1:
- goto inline$storm_IoCallDriver$0$label_14_true#1, inline$storm_IoCallDriver$0$label_14_false#1;
-
- inline$storm_IoCallDriver$0$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$0$label_15#1;
-
- inline$storm_IoCallDriver$0$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$0$label_1#1;
-
- inline$storm_IoCallDriver$0$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$0$label_10#1;
-
- inline$storm_IoCallDriver$0$label_10#1:
- goto inline$IoSetNextIrpStackLocation$1$Entry#1;
-
- inline$IoSetNextIrpStackLocation$1$Entry#1:
- inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$1$start#1;
-
- inline$IoSetNextIrpStackLocation$1$start#1:
- inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$1$label_3#1;
-
- inline$IoSetNextIrpStackLocation$1$label_3#1:
- goto inline$IoSetNextIrpStackLocation$1$label_3_true#1, inline$IoSetNextIrpStackLocation$1$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$1$label_3_false#1:
- inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$1$myVar_0);
- goto inline$IoSetNextIrpStackLocation$1$label_4#1;
-
- inline$IoSetNextIrpStackLocation$1$label_4#1:
- call inline$IoSetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$1$label_7#1;
-
- inline$IoSetNextIrpStackLocation$1$label_7#1:
- call inline$IoSetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$1$label_8#1;
-
- inline$IoSetNextIrpStackLocation$1$label_8#1:
- goto inline$IoSetNextIrpStackLocation$1$label_5#1;
-
- inline$IoSetNextIrpStackLocation$1$label_3_true#1:
- inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$1$myVar_0);
- goto inline$IoSetNextIrpStackLocation$1$label_5#1;
-
- inline$IoSetNextIrpStackLocation$1$label_5#1:
- inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$1$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$1$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$1$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$1$label_6#1;
-
- inline$IoSetNextIrpStackLocation$1$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$1$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$1$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$1$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$1$label_1#1;
-
- inline$IoSetNextIrpStackLocation$1$label_1#1:
- goto inline$IoSetNextIrpStackLocation$1$Return#1;
-
- inline$IoSetNextIrpStackLocation$1$Return#1:
- goto inline$storm_IoCallDriver$0$label_10$1#1;
-
- inline$storm_IoCallDriver$0$label_10$1#1:
- goto inline$storm_IoCallDriver$0$anon11_Then#1, inline$storm_IoCallDriver$0$anon11_Else#1;
-
- inline$storm_IoCallDriver$0$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$0$anon1#1;
-
- inline$storm_IoCallDriver$0$anon1#1:
- goto inline$storm_IoCallDriver$0$label_18#1;
-
- inline$storm_IoCallDriver$0$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$5$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$5$Entry#1:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$5$start#1;
-
- inline$IoGetCurrentIrpStackLocation$5$start#1:
- inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$5$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$5$label_3_true#1, inline$IoGetCurrentIrpStackLocation$5$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$5$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$5$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$5$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$5$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$5$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$5$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$5$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$5$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$5$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$5$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$5$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$5$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$5$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$5$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$5$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$5$Return#1:
- goto inline$storm_IoCallDriver$0$label_18$1#1;
-
- inline$storm_IoCallDriver$0$label_18$1#1:
- goto inline$storm_IoCallDriver$0$anon13_Then#1, inline$storm_IoCallDriver$0$anon13_Else#1;
-
- inline$storm_IoCallDriver$0$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$0$anon6#1;
-
- inline$storm_IoCallDriver$0$anon6#1:
- goto inline$storm_IoCallDriver$0$label_21#1;
-
- inline$storm_IoCallDriver$0$label_21#1:
- goto inline$storm_IoCallDriver$0$label_22#1;
-
- inline$storm_IoCallDriver$0$label_22#1:
- goto inline$storm_IoCallDriver$0$label_23#1;
-
- inline$storm_IoCallDriver$0$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$0$label_24#1;
-
- inline$storm_IoCallDriver$0$label_24#1:
- call inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$0$label_27#1;
-
- inline$storm_IoCallDriver$0$label_27#1:
- goto inline$storm_IoCallDriver$0$label_27_case_0#1, inline$storm_IoCallDriver$0$label_27_case_1#1, inline$storm_IoCallDriver$0$label_27_case_2#1;
-
- inline$storm_IoCallDriver$0$label_27_case_2#1:
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$0$label_30#1;
-
- inline$storm_IoCallDriver$0$label_30#1:
- inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$0$label_31#1;
-
- inline$storm_IoCallDriver$0$label_31#1:
- goto inline$storm_IoCallDriver$0$label_32#1;
-
- inline$storm_IoCallDriver$0$label_32#1:
- goto inline$storm_IoCallDriver$0$label_33#1;
-
- inline$storm_IoCallDriver$0$label_33#1:
- goto inline$CallCompletionRoutine$0$Entry#1;
-
- inline$CallCompletionRoutine$0$Entry#1:
- inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$0$start#1;
-
- inline$CallCompletionRoutine$0$start#1:
- inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$0$label_3#1;
-
- inline$CallCompletionRoutine$0$label_3#1:
- goto inline$CallCompletionRoutine$0$label_4#1;
-
- inline$CallCompletionRoutine$0$label_4#1:
- goto inline$CallCompletionRoutine$0$label_5#1;
-
- inline$CallCompletionRoutine$0$label_5#1:
- goto inline$CallCompletionRoutine$0$label_6#1;
-
- inline$CallCompletionRoutine$0$label_6#1:
- goto inline$CallCompletionRoutine$0$label_7#1;
-
- inline$CallCompletionRoutine$0$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$6$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$6$Entry#1:
- inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$6$start#1;
-
- inline$IoGetCurrentIrpStackLocation$6$start#1:
- inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$6$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$6$label_3_true#1, inline$IoGetCurrentIrpStackLocation$6$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$6$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$6$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$6$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$6$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$6$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$6$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$6$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$6$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$6$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$6$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$6$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$6$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$6$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$6$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$6$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$6$Return#1:
- goto inline$CallCompletionRoutine$0$label_7$1#1;
-
- inline$CallCompletionRoutine$0$label_7$1#1:
- goto inline$CallCompletionRoutine$0$anon10_Then#1, inline$CallCompletionRoutine$0$anon10_Else#1;
-
- inline$CallCompletionRoutine$0$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$0$anon1#1;
-
- inline$CallCompletionRoutine$0$anon1#1:
- goto inline$CallCompletionRoutine$0$label_10#1;
-
- inline$CallCompletionRoutine$0$label_10#1:
- goto inline$CallCompletionRoutine$0$label_11#1;
-
- inline$CallCompletionRoutine$0$label_11#1:
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$CallCompletionRoutine$0$label_12#1;
-
- inline$CallCompletionRoutine$0$label_12#1:
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$CallCompletionRoutine$0$label_13#1;
-
- inline$CallCompletionRoutine$0$label_13#1:
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$CallCompletionRoutine$0$label_14#1;
-
- inline$CallCompletionRoutine$0$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$7$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$7$Entry#1:
- inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$7$start#1;
-
- inline$IoGetCurrentIrpStackLocation$7$start#1:
- inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$7$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$7$label_3_true#1, inline$IoGetCurrentIrpStackLocation$7$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$7$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$7$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$7$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$7$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$7$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$7$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$7$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$7$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$7$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$7$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$7$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$7$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$7$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$7$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$7$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$7$Return#1:
- goto inline$CallCompletionRoutine$0$label_14$1#1;
-
- inline$CallCompletionRoutine$0$label_14$1#1:
- goto inline$CallCompletionRoutine$0$anon11_Then#1, inline$CallCompletionRoutine$0$anon11_Else#1;
-
- inline$CallCompletionRoutine$0$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$0$anon3#1;
-
- inline$CallCompletionRoutine$0$anon3#1:
- goto inline$CallCompletionRoutine$0$label_17#1;
-
- inline$CallCompletionRoutine$0$label_17#1:
- goto inline$CallCompletionRoutine$0$label_18#1;
-
- inline$CallCompletionRoutine$0$label_18#1:
- goto inline$CallCompletionRoutine$0$label_18_true#1, inline$CallCompletionRoutine$0$label_18_false#1;
-
- inline$CallCompletionRoutine$0$label_18_false#1:
- assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$0$label_1#1;
-
- inline$CallCompletionRoutine$0$label_18_true#1:
- assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$0$label_19#1;
-
- inline$CallCompletionRoutine$0$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$0$label_20#1;
-
- inline$CallCompletionRoutine$0$label_20#1:
- goto inline$CallCompletionRoutine$0$label_20_icall_1#1, inline$CallCompletionRoutine$0$label_20_icall_2#1, inline$CallCompletionRoutine$0$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$0$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$0$Entry#1:
- inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$0$start#1;
-
- inline$BDLSystemPowerIoCompletion$0$start#1:
- call inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$0$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_4#1:
- inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_6#1:
- inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$0$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$0$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$9$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$9$Entry#1:
- inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$9$start#1;
-
- inline$IoGetCurrentIrpStackLocation$9$start#1:
- inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$9$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$9$label_3_true#1, inline$IoGetCurrentIrpStackLocation$9$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$9$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$9$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$9$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$9$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$9$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$9$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$9$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$9$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$9$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$9$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$9$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$9$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$9$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$9$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$9$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$9$Return#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon36_Then#1, inline$BDLSystemPowerIoCompletion$0$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_15#1:
- goto inline$BDLGetDebugLevel$22$Entry#1;
-
- inline$BDLGetDebugLevel$22$Entry#1:
- goto inline$BDLGetDebugLevel$22$start#1;
-
- inline$BDLGetDebugLevel$22$start#1:
- goto inline$BDLGetDebugLevel$22$label_3#1;
-
- inline$BDLGetDebugLevel$22$label_3#1:
- havoc inline$BDLGetDebugLevel$22$myNondetVar_0;
- inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$22$myNondetVar_0;
- goto inline$BDLGetDebugLevel$22$label_1#1;
-
- inline$BDLGetDebugLevel$22$label_1#1:
- goto inline$BDLGetDebugLevel$22$Return#1;
-
- inline$BDLGetDebugLevel$22$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon37_Then#1, inline$BDLSystemPowerIoCompletion$0$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_18_true#1, inline$BDLSystemPowerIoCompletion$0$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_22#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_23#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_24#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$0$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_19#1:
- goto inline$BDLGetDebugLevel$23$Entry#1;
-
- inline$BDLGetDebugLevel$23$Entry#1:
- goto inline$BDLGetDebugLevel$23$start#1;
-
- inline$BDLGetDebugLevel$23$start#1:
- goto inline$BDLGetDebugLevel$23$label_3#1;
-
- inline$BDLGetDebugLevel$23$label_3#1:
- havoc inline$BDLGetDebugLevel$23$myNondetVar_0;
- inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$23$myNondetVar_0;
- goto inline$BDLGetDebugLevel$23$label_1#1;
-
- inline$BDLGetDebugLevel$23$label_1#1:
- goto inline$BDLGetDebugLevel$23$Return#1;
-
- inline$BDLGetDebugLevel$23$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon38_Then#1, inline$BDLSystemPowerIoCompletion$0$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_28_true#1, inline$BDLSystemPowerIoCompletion$0$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_32#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_33#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_34#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$0$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_29#1:
- goto inline$BDLGetDebugLevel$24$Entry#1;
-
- inline$BDLGetDebugLevel$24$Entry#1:
- goto inline$BDLGetDebugLevel$24$start#1;
-
- inline$BDLGetDebugLevel$24$start#1:
- goto inline$BDLGetDebugLevel$24$label_3#1;
-
- inline$BDLGetDebugLevel$24$label_3#1:
- havoc inline$BDLGetDebugLevel$24$myNondetVar_0;
- inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$24$myNondetVar_0;
- goto inline$BDLGetDebugLevel$24$label_1#1;
-
- inline$BDLGetDebugLevel$24$label_1#1:
- goto inline$BDLGetDebugLevel$24$Return#1;
-
- inline$BDLGetDebugLevel$24$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon39_Then#1, inline$BDLSystemPowerIoCompletion$0$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_38_true#1, inline$BDLSystemPowerIoCompletion$0$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_39_true#1, inline$BDLSystemPowerIoCompletion$0$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$0$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$0$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$0$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_106_true#1, inline$BDLSystemPowerIoCompletion$0$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_142#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_143#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$0$myNondetVar_0, inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$0$anon50_Then#1, inline$BDLSystemPowerIoCompletion$0$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_112#1:
- inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$0$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_113_true#1, inline$BDLSystemPowerIoCompletion$0$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$0$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_117#1:
- goto inline$BDLGetDebugLevel$31$Entry#1;
-
- inline$BDLGetDebugLevel$31$Entry#1:
- goto inline$BDLGetDebugLevel$31$start#1;
-
- inline$BDLGetDebugLevel$31$start#1:
- goto inline$BDLGetDebugLevel$31$label_3#1;
-
- inline$BDLGetDebugLevel$31$label_3#1:
- havoc inline$BDLGetDebugLevel$31$myNondetVar_0;
- inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$31$myNondetVar_0;
- goto inline$BDLGetDebugLevel$31$label_1#1;
-
- inline$BDLGetDebugLevel$31$label_1#1:
- goto inline$BDLGetDebugLevel$31$Return#1;
-
- inline$BDLGetDebugLevel$31$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon51_Then#1, inline$BDLSystemPowerIoCompletion$0$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_120_true#1, inline$BDLSystemPowerIoCompletion$0$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_124#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_125#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_126#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$0$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_121#1:
- goto inline$BDLGetDebugLevel$32$Entry#1;
-
- inline$BDLGetDebugLevel$32$Entry#1:
- goto inline$BDLGetDebugLevel$32$start#1;
-
- inline$BDLGetDebugLevel$32$start#1:
- goto inline$BDLGetDebugLevel$32$label_3#1;
-
- inline$BDLGetDebugLevel$32$label_3#1:
- havoc inline$BDLGetDebugLevel$32$myNondetVar_0;
- inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$32$myNondetVar_0;
- goto inline$BDLGetDebugLevel$32$label_1#1;
-
- inline$BDLGetDebugLevel$32$label_1#1:
- goto inline$BDLGetDebugLevel$32$Return#1;
-
- inline$BDLGetDebugLevel$32$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon52_Then#1, inline$BDLSystemPowerIoCompletion$0$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_130_true#1, inline$BDLSystemPowerIoCompletion$0$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_134#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_135#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_136#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$0$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_131#1:
- goto inline$BDLGetDebugLevel$33$Entry#1;
-
- inline$BDLGetDebugLevel$33$Entry#1:
- goto inline$BDLGetDebugLevel$33$start#1;
-
- inline$BDLGetDebugLevel$33$start#1:
- goto inline$BDLGetDebugLevel$33$label_3#1;
-
- inline$BDLGetDebugLevel$33$label_3#1:
- havoc inline$BDLGetDebugLevel$33$myNondetVar_0;
- inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$33$myNondetVar_0;
- goto inline$BDLGetDebugLevel$33$label_1#1;
-
- inline$BDLGetDebugLevel$33$label_1#1:
- goto inline$BDLGetDebugLevel$33$Return#1;
-
- inline$BDLGetDebugLevel$33$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon53_Then#1, inline$BDLSystemPowerIoCompletion$0$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_140_true#1, inline$BDLSystemPowerIoCompletion$0$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$0$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_115#1:
- inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$0$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$0$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_43#1:
- goto inline$BDLGetDebugLevel$25$Entry#1;
-
- inline$BDLGetDebugLevel$25$Entry#1:
- goto inline$BDLGetDebugLevel$25$start#1;
-
- inline$BDLGetDebugLevel$25$start#1:
- goto inline$BDLGetDebugLevel$25$label_3#1;
-
- inline$BDLGetDebugLevel$25$label_3#1:
- havoc inline$BDLGetDebugLevel$25$myNondetVar_0;
- inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$25$myNondetVar_0;
- goto inline$BDLGetDebugLevel$25$label_1#1;
-
- inline$BDLGetDebugLevel$25$label_1#1:
- goto inline$BDLGetDebugLevel$25$Return#1;
-
- inline$BDLGetDebugLevel$25$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon40_Then#1, inline$BDLSystemPowerIoCompletion$0$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_46_true#1, inline$BDLSystemPowerIoCompletion$0$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_50#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_51#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_52#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$0$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_47#1:
- goto inline$BDLGetDebugLevel$26$Entry#1;
-
- inline$BDLGetDebugLevel$26$Entry#1:
- goto inline$BDLGetDebugLevel$26$start#1;
-
- inline$BDLGetDebugLevel$26$start#1:
- goto inline$BDLGetDebugLevel$26$label_3#1;
-
- inline$BDLGetDebugLevel$26$label_3#1:
- havoc inline$BDLGetDebugLevel$26$myNondetVar_0;
- inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$26$myNondetVar_0;
- goto inline$BDLGetDebugLevel$26$label_1#1;
-
- inline$BDLGetDebugLevel$26$label_1#1:
- goto inline$BDLGetDebugLevel$26$Return#1;
-
- inline$BDLGetDebugLevel$26$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon41_Then#1, inline$BDLSystemPowerIoCompletion$0$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_56_true#1, inline$BDLSystemPowerIoCompletion$0$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_60#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_61#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_62#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$0$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_57#1:
- goto inline$BDLGetDebugLevel$27$Entry#1;
-
- inline$BDLGetDebugLevel$27$Entry#1:
- goto inline$BDLGetDebugLevel$27$start#1;
-
- inline$BDLGetDebugLevel$27$start#1:
- goto inline$BDLGetDebugLevel$27$label_3#1;
-
- inline$BDLGetDebugLevel$27$label_3#1:
- havoc inline$BDLGetDebugLevel$27$myNondetVar_0;
- inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$27$myNondetVar_0;
- goto inline$BDLGetDebugLevel$27$label_1#1;
-
- inline$BDLGetDebugLevel$27$label_1#1:
- goto inline$BDLGetDebugLevel$27$Return#1;
-
- inline$BDLGetDebugLevel$27$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon42_Then#1, inline$BDLSystemPowerIoCompletion$0$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_66_true#1, inline$BDLSystemPowerIoCompletion$0$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$0$anon43_Then#1, inline$BDLSystemPowerIoCompletion$0$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$0$anon44_Then#1, inline$BDLSystemPowerIoCompletion$0$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_74#1:
- goto inline$storm_IoCompleteRequest$2$Entry#1;
-
- inline$storm_IoCompleteRequest$2$Entry#1:
- inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$2$start#1;
-
- inline$storm_IoCompleteRequest$2$start#1:
- inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$2$label_3#1;
-
- inline$storm_IoCompleteRequest$2$label_3#1:
- call inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$2$label_6#1;
-
- inline$storm_IoCompleteRequest$2$label_6#1:
- goto inline$storm_IoCompleteRequest$2$label_6_true#1, inline$storm_IoCompleteRequest$2$label_6_false#1;
-
- inline$storm_IoCompleteRequest$2$label_6_false#1:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$2$label_7#1;
-
- inline$storm_IoCompleteRequest$2$label_6_true#1:
- assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$2$label_8#1;
-
- inline$storm_IoCompleteRequest$2$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$2$anon3_Then#1, inline$storm_IoCompleteRequest$2$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$2$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$2$anon1#1;
-
- inline$storm_IoCompleteRequest$2$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_9#1;
-
- inline$storm_IoCompleteRequest$2$label_9#1:
- goto inline$storm_IoCompleteRequest$2$label_9_true#1, inline$storm_IoCompleteRequest$2$label_9_false#1;
-
- inline$storm_IoCompleteRequest$2$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$2$label_10#1;
-
- inline$storm_IoCompleteRequest$2$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$2$label_1#1;
-
- inline$storm_IoCompleteRequest$2$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$2$label_7#1;
-
- inline$storm_IoCompleteRequest$2$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$2$label_1#1;
-
- inline$storm_IoCompleteRequest$2$label_1#1:
- goto inline$storm_IoCompleteRequest$2$Return#1;
-
- inline$storm_IoCompleteRequest$2$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$2$Return#1;
-
- inline$storm_IoCompleteRequest$2$Return#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon45_Then#1, inline$BDLSystemPowerIoCompletion$0$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$0$anon46_Then#1, inline$BDLSystemPowerIoCompletion$0$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_80#1:
- goto inline$BDLGetDebugLevel$28$Entry#1;
-
- inline$BDLGetDebugLevel$28$Entry#1:
- goto inline$BDLGetDebugLevel$28$start#1;
-
- inline$BDLGetDebugLevel$28$start#1:
- goto inline$BDLGetDebugLevel$28$label_3#1;
-
- inline$BDLGetDebugLevel$28$label_3#1:
- havoc inline$BDLGetDebugLevel$28$myNondetVar_0;
- inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$28$myNondetVar_0;
- goto inline$BDLGetDebugLevel$28$label_1#1;
-
- inline$BDLGetDebugLevel$28$label_1#1:
- goto inline$BDLGetDebugLevel$28$Return#1;
-
- inline$BDLGetDebugLevel$28$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon47_Then#1, inline$BDLSystemPowerIoCompletion$0$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_83_true#1, inline$BDLSystemPowerIoCompletion$0$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_87#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_88#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_89#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$0$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_84#1:
- goto inline$BDLGetDebugLevel$29$Entry#1;
-
- inline$BDLGetDebugLevel$29$Entry#1:
- goto inline$BDLGetDebugLevel$29$start#1;
-
- inline$BDLGetDebugLevel$29$start#1:
- goto inline$BDLGetDebugLevel$29$label_3#1;
-
- inline$BDLGetDebugLevel$29$label_3#1:
- havoc inline$BDLGetDebugLevel$29$myNondetVar_0;
- inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$29$myNondetVar_0;
- goto inline$BDLGetDebugLevel$29$label_1#1;
-
- inline$BDLGetDebugLevel$29$label_1#1:
- goto inline$BDLGetDebugLevel$29$Return#1;
-
- inline$BDLGetDebugLevel$29$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon48_Then#1, inline$BDLSystemPowerIoCompletion$0$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_93_true#1, inline$BDLSystemPowerIoCompletion$0$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_97#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_98#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_99#1:
- call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$0$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$0$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_94#1:
- goto inline$BDLGetDebugLevel$30$Entry#1;
-
- inline$BDLGetDebugLevel$30$Entry#1:
- goto inline$BDLGetDebugLevel$30$start#1;
-
- inline$BDLGetDebugLevel$30$start#1:
- goto inline$BDLGetDebugLevel$30$label_3#1;
-
- inline$BDLGetDebugLevel$30$label_3#1:
- havoc inline$BDLGetDebugLevel$30$myNondetVar_0;
- inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$30$myNondetVar_0;
- goto inline$BDLGetDebugLevel$30$label_1#1;
-
- inline$BDLGetDebugLevel$30$label_1#1:
- goto inline$BDLGetDebugLevel$30$Return#1;
-
- inline$BDLGetDebugLevel$30$Return#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$0$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$0$anon49_Then#1, inline$BDLSystemPowerIoCompletion$0$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_103_true#1, inline$BDLSystemPowerIoCompletion$0$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$0$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$0$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_104#1:
- inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$0$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$0$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$0$Return#1;
-
- inline$BDLSystemPowerIoCompletion$0$Return#1:
- inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$0$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$0$anon14_Then#1, inline$CallCompletionRoutine$0$anon14_Else#1;
-
- inline$CallCompletionRoutine$0$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$0$anon9#1;
-
- inline$CallCompletionRoutine$0$anon9#1:
- goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$0$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$0$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$0$Entry#1:
- inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$0$start#1;
-
- inline$BDLDevicePowerIoCompletion$0$start#1:
- call inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$0$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_4#1:
- inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_6#1:
- inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$0$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$0$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$8$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$8$Entry#1:
- inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$8$start#1;
-
- inline$IoGetCurrentIrpStackLocation$8$start#1:
- inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$8$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$8$label_3_true#1, inline$IoGetCurrentIrpStackLocation$8$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$8$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$8$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$8$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$8$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$8$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$8$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$8$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$8$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$8$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$8$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$8$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$8$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$8$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$8$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$8$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon30_Then#1, inline$BDLDevicePowerIoCompletion$0$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_13#1:
- inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$0$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$0$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_17#1:
- goto inline$BDLGetDebugLevel$13$Entry#1;
-
- inline$BDLGetDebugLevel$13$Entry#1:
- goto inline$BDLGetDebugLevel$13$start#1;
-
- inline$BDLGetDebugLevel$13$start#1:
- goto inline$BDLGetDebugLevel$13$label_3#1;
-
- inline$BDLGetDebugLevel$13$label_3#1:
- havoc inline$BDLGetDebugLevel$13$myNondetVar_0;
- inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$13$myNondetVar_0;
- goto inline$BDLGetDebugLevel$13$label_1#1;
-
- inline$BDLGetDebugLevel$13$label_1#1:
- goto inline$BDLGetDebugLevel$13$Return#1;
-
- inline$BDLGetDebugLevel$13$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon31_Then#1, inline$BDLDevicePowerIoCompletion$0$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_20_true#1, inline$BDLDevicePowerIoCompletion$0$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_24#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_25#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_26#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$0$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_21#1:
- goto inline$BDLGetDebugLevel$14$Entry#1;
-
- inline$BDLGetDebugLevel$14$Entry#1:
- goto inline$BDLGetDebugLevel$14$start#1;
-
- inline$BDLGetDebugLevel$14$start#1:
- goto inline$BDLGetDebugLevel$14$label_3#1;
-
- inline$BDLGetDebugLevel$14$label_3#1:
- havoc inline$BDLGetDebugLevel$14$myNondetVar_0;
- inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$14$myNondetVar_0;
- goto inline$BDLGetDebugLevel$14$label_1#1;
-
- inline$BDLGetDebugLevel$14$label_1#1:
- goto inline$BDLGetDebugLevel$14$Return#1;
-
- inline$BDLGetDebugLevel$14$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon32_Then#1, inline$BDLDevicePowerIoCompletion$0$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_30_true#1, inline$BDLDevicePowerIoCompletion$0$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_34#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_35#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_36#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$0$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_31#1:
- goto inline$BDLGetDebugLevel$15$Entry#1;
-
- inline$BDLGetDebugLevel$15$Entry#1:
- goto inline$BDLGetDebugLevel$15$start#1;
-
- inline$BDLGetDebugLevel$15$start#1:
- goto inline$BDLGetDebugLevel$15$label_3#1;
-
- inline$BDLGetDebugLevel$15$label_3#1:
- havoc inline$BDLGetDebugLevel$15$myNondetVar_0;
- inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$15$myNondetVar_0;
- goto inline$BDLGetDebugLevel$15$label_1#1;
-
- inline$BDLGetDebugLevel$15$label_1#1:
- goto inline$BDLGetDebugLevel$15$Return#1;
-
- inline$BDLGetDebugLevel$15$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon33_Then#1, inline$BDLDevicePowerIoCompletion$0$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_40_true#1, inline$BDLDevicePowerIoCompletion$0$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_41_true#1, inline$BDLDevicePowerIoCompletion$0$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$0$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$0$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_44_true#1, inline$BDLDevicePowerIoCompletion$0$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$0$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_45_true#1, inline$BDLDevicePowerIoCompletion$0$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$0$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$0$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$0$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$0$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$0$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_54#1:
- inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$0$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_55_true#1, inline$BDLDevicePowerIoCompletion$0$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_56#1:
- call inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$0$anon34_Then#1, inline$BDLDevicePowerIoCompletion$0$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_59#1:
- goto inline$BDLGetDebugLevel$16$Entry#1;
-
- inline$BDLGetDebugLevel$16$Entry#1:
- goto inline$BDLGetDebugLevel$16$start#1;
-
- inline$BDLGetDebugLevel$16$start#1:
- goto inline$BDLGetDebugLevel$16$label_3#1;
-
- inline$BDLGetDebugLevel$16$label_3#1:
- havoc inline$BDLGetDebugLevel$16$myNondetVar_0;
- inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$16$myNondetVar_0;
- goto inline$BDLGetDebugLevel$16$label_1#1;
-
- inline$BDLGetDebugLevel$16$label_1#1:
- goto inline$BDLGetDebugLevel$16$Return#1;
-
- inline$BDLGetDebugLevel$16$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon35_Then#1, inline$BDLDevicePowerIoCompletion$0$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_62_true#1, inline$BDLDevicePowerIoCompletion$0$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_66#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_67#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_68#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$0$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_63#1:
- goto inline$BDLGetDebugLevel$17$Entry#1;
-
- inline$BDLGetDebugLevel$17$Entry#1:
- goto inline$BDLGetDebugLevel$17$start#1;
-
- inline$BDLGetDebugLevel$17$start#1:
- goto inline$BDLGetDebugLevel$17$label_3#1;
-
- inline$BDLGetDebugLevel$17$label_3#1:
- havoc inline$BDLGetDebugLevel$17$myNondetVar_0;
- inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$17$myNondetVar_0;
- goto inline$BDLGetDebugLevel$17$label_1#1;
-
- inline$BDLGetDebugLevel$17$label_1#1:
- goto inline$BDLGetDebugLevel$17$Return#1;
-
- inline$BDLGetDebugLevel$17$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon36_Then#1, inline$BDLDevicePowerIoCompletion$0$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_72_true#1, inline$BDLDevicePowerIoCompletion$0$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_76#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_77#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_78#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$0$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_73#1:
- goto inline$BDLGetDebugLevel$18$Entry#1;
-
- inline$BDLGetDebugLevel$18$Entry#1:
- goto inline$BDLGetDebugLevel$18$start#1;
-
- inline$BDLGetDebugLevel$18$start#1:
- goto inline$BDLGetDebugLevel$18$label_3#1;
-
- inline$BDLGetDebugLevel$18$label_3#1:
- havoc inline$BDLGetDebugLevel$18$myNondetVar_0;
- inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$18$myNondetVar_0;
- goto inline$BDLGetDebugLevel$18$label_1#1;
-
- inline$BDLGetDebugLevel$18$label_1#1:
- goto inline$BDLGetDebugLevel$18$Return#1;
-
- inline$BDLGetDebugLevel$18$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon37_Then#1, inline$BDLDevicePowerIoCompletion$0$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_82_true#1, inline$BDLDevicePowerIoCompletion$0$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$0$anon38_Then#1, inline$BDLDevicePowerIoCompletion$0$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$0$anon39_Then#1, inline$BDLDevicePowerIoCompletion$0$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_92#1:
- goto inline$storm_IoCompleteRequest$1$Entry#1;
-
- inline$storm_IoCompleteRequest$1$Entry#1:
- inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$1$start#1;
-
- inline$storm_IoCompleteRequest$1$start#1:
- inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$1$label_3#1;
-
- inline$storm_IoCompleteRequest$1$label_3#1:
- call inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$1$label_6#1;
-
- inline$storm_IoCompleteRequest$1$label_6#1:
- goto inline$storm_IoCompleteRequest$1$label_6_true#1, inline$storm_IoCompleteRequest$1$label_6_false#1;
-
- inline$storm_IoCompleteRequest$1$label_6_false#1:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$1$label_7#1;
-
- inline$storm_IoCompleteRequest$1$label_6_true#1:
- assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$1$label_8#1;
-
- inline$storm_IoCompleteRequest$1$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$1$anon3_Then#1, inline$storm_IoCompleteRequest$1$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$1$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$1$anon1#1;
-
- inline$storm_IoCompleteRequest$1$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_9#1;
-
- inline$storm_IoCompleteRequest$1$label_9#1:
- goto inline$storm_IoCompleteRequest$1$label_9_true#1, inline$storm_IoCompleteRequest$1$label_9_false#1;
-
- inline$storm_IoCompleteRequest$1$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$1$label_10#1;
-
- inline$storm_IoCompleteRequest$1$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$1$label_1#1;
-
- inline$storm_IoCompleteRequest$1$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$1$label_7#1;
-
- inline$storm_IoCompleteRequest$1$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$1$label_1#1;
-
- inline$storm_IoCompleteRequest$1$label_1#1:
- goto inline$storm_IoCompleteRequest$1$Return#1;
-
- inline$storm_IoCompleteRequest$1$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$1$Return#1;
-
- inline$storm_IoCompleteRequest$1$Return#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon40_Then#1, inline$BDLDevicePowerIoCompletion$0$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$0$anon41_Then#1, inline$BDLDevicePowerIoCompletion$0$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_98#1:
- goto inline$BDLGetDebugLevel$19$Entry#1;
-
- inline$BDLGetDebugLevel$19$Entry#1:
- goto inline$BDLGetDebugLevel$19$start#1;
-
- inline$BDLGetDebugLevel$19$start#1:
- goto inline$BDLGetDebugLevel$19$label_3#1;
-
- inline$BDLGetDebugLevel$19$label_3#1:
- havoc inline$BDLGetDebugLevel$19$myNondetVar_0;
- inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$19$myNondetVar_0;
- goto inline$BDLGetDebugLevel$19$label_1#1;
-
- inline$BDLGetDebugLevel$19$label_1#1:
- goto inline$BDLGetDebugLevel$19$Return#1;
-
- inline$BDLGetDebugLevel$19$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon42_Then#1, inline$BDLDevicePowerIoCompletion$0$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_101_true#1, inline$BDLDevicePowerIoCompletion$0$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_105#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_106#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_107#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$0$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_102#1:
- goto inline$BDLGetDebugLevel$20$Entry#1;
-
- inline$BDLGetDebugLevel$20$Entry#1:
- goto inline$BDLGetDebugLevel$20$start#1;
-
- inline$BDLGetDebugLevel$20$start#1:
- goto inline$BDLGetDebugLevel$20$label_3#1;
-
- inline$BDLGetDebugLevel$20$label_3#1:
- havoc inline$BDLGetDebugLevel$20$myNondetVar_0;
- inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$20$myNondetVar_0;
- goto inline$BDLGetDebugLevel$20$label_1#1;
-
- inline$BDLGetDebugLevel$20$label_1#1:
- goto inline$BDLGetDebugLevel$20$Return#1;
-
- inline$BDLGetDebugLevel$20$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon43_Then#1, inline$BDLDevicePowerIoCompletion$0$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_111_true#1, inline$BDLDevicePowerIoCompletion$0$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_115#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_116#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_117#1:
- call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$0$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$0$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_112#1:
- goto inline$BDLGetDebugLevel$21$Entry#1;
-
- inline$BDLGetDebugLevel$21$Entry#1:
- goto inline$BDLGetDebugLevel$21$start#1;
-
- inline$BDLGetDebugLevel$21$start#1:
- goto inline$BDLGetDebugLevel$21$label_3#1;
-
- inline$BDLGetDebugLevel$21$label_3#1:
- havoc inline$BDLGetDebugLevel$21$myNondetVar_0;
- inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$21$myNondetVar_0;
- goto inline$BDLGetDebugLevel$21$label_1#1;
-
- inline$BDLGetDebugLevel$21$label_1#1:
- goto inline$BDLGetDebugLevel$21$Return#1;
-
- inline$BDLGetDebugLevel$21$Return#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$0$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$0$anon44_Then#1, inline$BDLDevicePowerIoCompletion$0$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_121_true#1, inline$BDLDevicePowerIoCompletion$0$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$0$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$0$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_122#1:
- inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$0$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$0$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$0$Return#1;
-
- inline$BDLDevicePowerIoCompletion$0$Return#1:
- inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$0$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$0$anon13_Then#1, inline$CallCompletionRoutine$0$anon13_Else#1;
-
- inline$CallCompletionRoutine$0$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$0$anon7#1;
-
- inline$CallCompletionRoutine$0$anon7#1:
- goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$0$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$0$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$0$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$0$Entry#1:
- inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$0$start#1;
-
- inline$BDLCallDriverCompletionRoutine$0$start#1:
- inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$0$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$0$label_3_true#1, inline$BDLCallDriverCompletionRoutine$0$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$0$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$0$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$0$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$0$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_6#1:
- goto inline$storm_KeSetEvent$0$Entry#1;
-
- inline$storm_KeSetEvent$0$Entry#1:
- inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$0$start#1;
-
- inline$storm_KeSetEvent$0$start#1:
- inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$0$label_3#1;
-
- inline$storm_KeSetEvent$0$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$0$label_1#1;
-
- inline$storm_KeSetEvent$0$label_1#1:
- goto inline$storm_KeSetEvent$0$Return#1;
-
- inline$storm_KeSetEvent$0$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$0$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$0$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$0$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$0$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$0$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$0$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$0$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_9#1:
- inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$0$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$0$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$0$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$0$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$0$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$0$Return#1:
- inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$0$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$0$anon12_Then#1, inline$CallCompletionRoutine$0$anon12_Else#1;
-
- inline$CallCompletionRoutine$0$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$0$anon5#1;
-
- inline$CallCompletionRoutine$0$anon5#1:
- goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$0$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$0$label_23#1;
-
- inline$CallCompletionRoutine$0$label_23#1:
- inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$0$label_24#1;
-
- inline$CallCompletionRoutine$0$label_24#1:
- goto inline$CallCompletionRoutine$0$label_24_true#1, inline$CallCompletionRoutine$0$label_24_false#1;
-
- inline$CallCompletionRoutine$0$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$0$label_1#1;
-
- inline$CallCompletionRoutine$0$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$0$label_25#1;
-
- inline$CallCompletionRoutine$0$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$0$label_1#1;
-
- inline$CallCompletionRoutine$0$label_1#1:
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$0$Return#1;
-
- inline$CallCompletionRoutine$0$Return#1:
- goto inline$storm_IoCallDriver$0$label_33$1#1;
-
- inline$storm_IoCallDriver$0$label_33$1#1:
- goto inline$storm_IoCallDriver$0$anon14_Then#1, inline$storm_IoCallDriver$0$anon14_Else#1;
-
- inline$storm_IoCallDriver$0$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$0$anon8#1;
-
- inline$storm_IoCallDriver$0$anon8#1:
- goto inline$storm_IoCallDriver$0$label_36#1;
-
- inline$storm_IoCallDriver$0$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$label_27_case_1#1:
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$0$label_29#1;
-
- inline$storm_IoCallDriver$0$label_29#1:
- inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$0$label_37#1;
-
- inline$storm_IoCallDriver$0$label_37#1:
- goto inline$storm_IoCallDriver$0$label_38#1;
-
- inline$storm_IoCallDriver$0$label_38#1:
- goto inline$storm_IoCallDriver$0$label_39#1;
-
- inline$storm_IoCallDriver$0$label_39#1:
- goto inline$CallCompletionRoutine$1$Entry#1;
-
- inline$CallCompletionRoutine$1$Entry#1:
- inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$1$start#1;
-
- inline$CallCompletionRoutine$1$start#1:
- inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$1$label_3#1;
-
- inline$CallCompletionRoutine$1$label_3#1:
- goto inline$CallCompletionRoutine$1$label_4#1;
-
- inline$CallCompletionRoutine$1$label_4#1:
- goto inline$CallCompletionRoutine$1$label_5#1;
-
- inline$CallCompletionRoutine$1$label_5#1:
- goto inline$CallCompletionRoutine$1$label_6#1;
-
- inline$CallCompletionRoutine$1$label_6#1:
- goto inline$CallCompletionRoutine$1$label_7#1;
-
- inline$CallCompletionRoutine$1$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$10$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$10$Entry#1:
- inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$10$start#1;
-
- inline$IoGetCurrentIrpStackLocation$10$start#1:
- inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$10$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$10$label_3_true#1, inline$IoGetCurrentIrpStackLocation$10$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$10$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$10$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$10$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$10$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$10$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$10$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$10$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$10$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$10$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$10$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$10$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$10$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$10$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$10$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$10$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$10$Return#1:
- goto inline$CallCompletionRoutine$1$label_7$1#1;
-
- inline$CallCompletionRoutine$1$label_7$1#1:
- goto inline$CallCompletionRoutine$1$anon10_Then#1, inline$CallCompletionRoutine$1$anon10_Else#1;
-
- inline$CallCompletionRoutine$1$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$1$anon1#1;
-
- inline$CallCompletionRoutine$1$anon1#1:
- goto inline$CallCompletionRoutine$1$label_10#1;
-
- inline$CallCompletionRoutine$1$label_10#1:
- goto inline$CallCompletionRoutine$1$label_11#1;
-
- inline$CallCompletionRoutine$1$label_11#1:
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$CallCompletionRoutine$1$label_12#1;
-
- inline$CallCompletionRoutine$1$label_12#1:
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$CallCompletionRoutine$1$label_13#1;
-
- inline$CallCompletionRoutine$1$label_13#1:
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$CallCompletionRoutine$1$label_14#1;
-
- inline$CallCompletionRoutine$1$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$11$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$11$Entry#1:
- inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$11$start#1;
-
- inline$IoGetCurrentIrpStackLocation$11$start#1:
- inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$11$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$11$label_3_true#1, inline$IoGetCurrentIrpStackLocation$11$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$11$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$11$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$11$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$11$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$11$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$11$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$11$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$11$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$11$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$11$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$11$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$11$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$11$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$11$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$11$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$11$Return#1:
- goto inline$CallCompletionRoutine$1$label_14$1#1;
-
- inline$CallCompletionRoutine$1$label_14$1#1:
- goto inline$CallCompletionRoutine$1$anon11_Then#1, inline$CallCompletionRoutine$1$anon11_Else#1;
-
- inline$CallCompletionRoutine$1$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$1$anon3#1;
-
- inline$CallCompletionRoutine$1$anon3#1:
- goto inline$CallCompletionRoutine$1$label_17#1;
-
- inline$CallCompletionRoutine$1$label_17#1:
- goto inline$CallCompletionRoutine$1$label_18#1;
-
- inline$CallCompletionRoutine$1$label_18#1:
- goto inline$CallCompletionRoutine$1$label_18_true#1, inline$CallCompletionRoutine$1$label_18_false#1;
-
- inline$CallCompletionRoutine$1$label_18_false#1:
- assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$1$label_1#1;
-
- inline$CallCompletionRoutine$1$label_18_true#1:
- assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$1$label_19#1;
-
- inline$CallCompletionRoutine$1$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$1$label_20#1;
-
- inline$CallCompletionRoutine$1$label_20#1:
- goto inline$CallCompletionRoutine$1$label_20_icall_1#1, inline$CallCompletionRoutine$1$label_20_icall_2#1, inline$CallCompletionRoutine$1$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$1$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$1$Entry#1:
- inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$1$start#1;
-
- inline$BDLSystemPowerIoCompletion$1$start#1:
- call inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$1$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_4#1:
- inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_6#1:
- inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$1$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$1$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$13$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$13$Entry#1:
- inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$13$start#1;
-
- inline$IoGetCurrentIrpStackLocation$13$start#1:
- inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$13$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$13$label_3_true#1, inline$IoGetCurrentIrpStackLocation$13$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$13$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$13$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$13$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$13$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$13$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$13$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$13$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$13$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$13$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$13$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$13$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$13$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$13$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$13$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$13$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$13$Return#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon36_Then#1, inline$BDLSystemPowerIoCompletion$1$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_15#1:
- goto inline$BDLGetDebugLevel$43$Entry#1;
-
- inline$BDLGetDebugLevel$43$Entry#1:
- goto inline$BDLGetDebugLevel$43$start#1;
-
- inline$BDLGetDebugLevel$43$start#1:
- goto inline$BDLGetDebugLevel$43$label_3#1;
-
- inline$BDLGetDebugLevel$43$label_3#1:
- havoc inline$BDLGetDebugLevel$43$myNondetVar_0;
- inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$43$myNondetVar_0;
- goto inline$BDLGetDebugLevel$43$label_1#1;
-
- inline$BDLGetDebugLevel$43$label_1#1:
- goto inline$BDLGetDebugLevel$43$Return#1;
-
- inline$BDLGetDebugLevel$43$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon37_Then#1, inline$BDLSystemPowerIoCompletion$1$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_18_true#1, inline$BDLSystemPowerIoCompletion$1$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_22#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_23#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_24#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$1$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_19#1:
- goto inline$BDLGetDebugLevel$44$Entry#1;
-
- inline$BDLGetDebugLevel$44$Entry#1:
- goto inline$BDLGetDebugLevel$44$start#1;
-
- inline$BDLGetDebugLevel$44$start#1:
- goto inline$BDLGetDebugLevel$44$label_3#1;
-
- inline$BDLGetDebugLevel$44$label_3#1:
- havoc inline$BDLGetDebugLevel$44$myNondetVar_0;
- inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$44$myNondetVar_0;
- goto inline$BDLGetDebugLevel$44$label_1#1;
-
- inline$BDLGetDebugLevel$44$label_1#1:
- goto inline$BDLGetDebugLevel$44$Return#1;
-
- inline$BDLGetDebugLevel$44$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon38_Then#1, inline$BDLSystemPowerIoCompletion$1$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_28_true#1, inline$BDLSystemPowerIoCompletion$1$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_32#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_33#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_34#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$1$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_29#1:
- goto inline$BDLGetDebugLevel$45$Entry#1;
-
- inline$BDLGetDebugLevel$45$Entry#1:
- goto inline$BDLGetDebugLevel$45$start#1;
-
- inline$BDLGetDebugLevel$45$start#1:
- goto inline$BDLGetDebugLevel$45$label_3#1;
-
- inline$BDLGetDebugLevel$45$label_3#1:
- havoc inline$BDLGetDebugLevel$45$myNondetVar_0;
- inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$45$myNondetVar_0;
- goto inline$BDLGetDebugLevel$45$label_1#1;
-
- inline$BDLGetDebugLevel$45$label_1#1:
- goto inline$BDLGetDebugLevel$45$Return#1;
-
- inline$BDLGetDebugLevel$45$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon39_Then#1, inline$BDLSystemPowerIoCompletion$1$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_38_true#1, inline$BDLSystemPowerIoCompletion$1$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_39_true#1, inline$BDLSystemPowerIoCompletion$1$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$1$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$1$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$1$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_106_true#1, inline$BDLSystemPowerIoCompletion$1$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_142#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_143#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$1$myNondetVar_0, inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$1$anon50_Then#1, inline$BDLSystemPowerIoCompletion$1$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_112#1:
- inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$1$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_113_true#1, inline$BDLSystemPowerIoCompletion$1$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$1$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_117#1:
- goto inline$BDLGetDebugLevel$52$Entry#1;
-
- inline$BDLGetDebugLevel$52$Entry#1:
- goto inline$BDLGetDebugLevel$52$start#1;
-
- inline$BDLGetDebugLevel$52$start#1:
- goto inline$BDLGetDebugLevel$52$label_3#1;
-
- inline$BDLGetDebugLevel$52$label_3#1:
- havoc inline$BDLGetDebugLevel$52$myNondetVar_0;
- inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$52$myNondetVar_0;
- goto inline$BDLGetDebugLevel$52$label_1#1;
-
- inline$BDLGetDebugLevel$52$label_1#1:
- goto inline$BDLGetDebugLevel$52$Return#1;
-
- inline$BDLGetDebugLevel$52$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon51_Then#1, inline$BDLSystemPowerIoCompletion$1$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_120_true#1, inline$BDLSystemPowerIoCompletion$1$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_124#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_125#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_126#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$1$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_121#1:
- goto inline$BDLGetDebugLevel$53$Entry#1;
-
- inline$BDLGetDebugLevel$53$Entry#1:
- goto inline$BDLGetDebugLevel$53$start#1;
-
- inline$BDLGetDebugLevel$53$start#1:
- goto inline$BDLGetDebugLevel$53$label_3#1;
-
- inline$BDLGetDebugLevel$53$label_3#1:
- havoc inline$BDLGetDebugLevel$53$myNondetVar_0;
- inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$53$myNondetVar_0;
- goto inline$BDLGetDebugLevel$53$label_1#1;
-
- inline$BDLGetDebugLevel$53$label_1#1:
- goto inline$BDLGetDebugLevel$53$Return#1;
-
- inline$BDLGetDebugLevel$53$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon52_Then#1, inline$BDLSystemPowerIoCompletion$1$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_130_true#1, inline$BDLSystemPowerIoCompletion$1$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_134#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_135#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_136#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$1$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_131#1:
- goto inline$BDLGetDebugLevel$54$Entry#1;
-
- inline$BDLGetDebugLevel$54$Entry#1:
- goto inline$BDLGetDebugLevel$54$start#1;
-
- inline$BDLGetDebugLevel$54$start#1:
- goto inline$BDLGetDebugLevel$54$label_3#1;
-
- inline$BDLGetDebugLevel$54$label_3#1:
- havoc inline$BDLGetDebugLevel$54$myNondetVar_0;
- inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$54$myNondetVar_0;
- goto inline$BDLGetDebugLevel$54$label_1#1;
-
- inline$BDLGetDebugLevel$54$label_1#1:
- goto inline$BDLGetDebugLevel$54$Return#1;
-
- inline$BDLGetDebugLevel$54$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon53_Then#1, inline$BDLSystemPowerIoCompletion$1$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_140_true#1, inline$BDLSystemPowerIoCompletion$1$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$1$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_115#1:
- inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$1$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$1$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_43#1:
- goto inline$BDLGetDebugLevel$46$Entry#1;
-
- inline$BDLGetDebugLevel$46$Entry#1:
- goto inline$BDLGetDebugLevel$46$start#1;
-
- inline$BDLGetDebugLevel$46$start#1:
- goto inline$BDLGetDebugLevel$46$label_3#1;
-
- inline$BDLGetDebugLevel$46$label_3#1:
- havoc inline$BDLGetDebugLevel$46$myNondetVar_0;
- inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$46$myNondetVar_0;
- goto inline$BDLGetDebugLevel$46$label_1#1;
-
- inline$BDLGetDebugLevel$46$label_1#1:
- goto inline$BDLGetDebugLevel$46$Return#1;
-
- inline$BDLGetDebugLevel$46$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon40_Then#1, inline$BDLSystemPowerIoCompletion$1$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_46_true#1, inline$BDLSystemPowerIoCompletion$1$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_50#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_51#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_52#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$1$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_47#1:
- goto inline$BDLGetDebugLevel$47$Entry#1;
-
- inline$BDLGetDebugLevel$47$Entry#1:
- goto inline$BDLGetDebugLevel$47$start#1;
-
- inline$BDLGetDebugLevel$47$start#1:
- goto inline$BDLGetDebugLevel$47$label_3#1;
-
- inline$BDLGetDebugLevel$47$label_3#1:
- havoc inline$BDLGetDebugLevel$47$myNondetVar_0;
- inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$47$myNondetVar_0;
- goto inline$BDLGetDebugLevel$47$label_1#1;
-
- inline$BDLGetDebugLevel$47$label_1#1:
- goto inline$BDLGetDebugLevel$47$Return#1;
-
- inline$BDLGetDebugLevel$47$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon41_Then#1, inline$BDLSystemPowerIoCompletion$1$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_56_true#1, inline$BDLSystemPowerIoCompletion$1$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_60#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_61#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_62#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$1$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_57#1:
- goto inline$BDLGetDebugLevel$48$Entry#1;
-
- inline$BDLGetDebugLevel$48$Entry#1:
- goto inline$BDLGetDebugLevel$48$start#1;
-
- inline$BDLGetDebugLevel$48$start#1:
- goto inline$BDLGetDebugLevel$48$label_3#1;
-
- inline$BDLGetDebugLevel$48$label_3#1:
- havoc inline$BDLGetDebugLevel$48$myNondetVar_0;
- inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$48$myNondetVar_0;
- goto inline$BDLGetDebugLevel$48$label_1#1;
-
- inline$BDLGetDebugLevel$48$label_1#1:
- goto inline$BDLGetDebugLevel$48$Return#1;
-
- inline$BDLGetDebugLevel$48$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon42_Then#1, inline$BDLSystemPowerIoCompletion$1$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_66_true#1, inline$BDLSystemPowerIoCompletion$1$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$1$anon43_Then#1, inline$BDLSystemPowerIoCompletion$1$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$1$anon44_Then#1, inline$BDLSystemPowerIoCompletion$1$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_74#1:
- goto inline$storm_IoCompleteRequest$4$Entry#1;
-
- inline$storm_IoCompleteRequest$4$Entry#1:
- inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$4$start#1;
-
- inline$storm_IoCompleteRequest$4$start#1:
- inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$4$label_3#1;
-
- inline$storm_IoCompleteRequest$4$label_3#1:
- call inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$4$label_6#1;
-
- inline$storm_IoCompleteRequest$4$label_6#1:
- goto inline$storm_IoCompleteRequest$4$label_6_true#1, inline$storm_IoCompleteRequest$4$label_6_false#1;
-
- inline$storm_IoCompleteRequest$4$label_6_false#1:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$4$label_7#1;
-
- inline$storm_IoCompleteRequest$4$label_6_true#1:
- assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$4$label_8#1;
-
- inline$storm_IoCompleteRequest$4$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$4$anon3_Then#1, inline$storm_IoCompleteRequest$4$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$4$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$4$anon1#1;
-
- inline$storm_IoCompleteRequest$4$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_9#1;
-
- inline$storm_IoCompleteRequest$4$label_9#1:
- goto inline$storm_IoCompleteRequest$4$label_9_true#1, inline$storm_IoCompleteRequest$4$label_9_false#1;
-
- inline$storm_IoCompleteRequest$4$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$4$label_10#1;
-
- inline$storm_IoCompleteRequest$4$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$4$label_1#1;
-
- inline$storm_IoCompleteRequest$4$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$4$label_7#1;
-
- inline$storm_IoCompleteRequest$4$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$4$label_1#1;
-
- inline$storm_IoCompleteRequest$4$label_1#1:
- goto inline$storm_IoCompleteRequest$4$Return#1;
-
- inline$storm_IoCompleteRequest$4$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$4$Return#1;
-
- inline$storm_IoCompleteRequest$4$Return#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon45_Then#1, inline$BDLSystemPowerIoCompletion$1$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$1$anon46_Then#1, inline$BDLSystemPowerIoCompletion$1$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_80#1:
- goto inline$BDLGetDebugLevel$49$Entry#1;
-
- inline$BDLGetDebugLevel$49$Entry#1:
- goto inline$BDLGetDebugLevel$49$start#1;
-
- inline$BDLGetDebugLevel$49$start#1:
- goto inline$BDLGetDebugLevel$49$label_3#1;
-
- inline$BDLGetDebugLevel$49$label_3#1:
- havoc inline$BDLGetDebugLevel$49$myNondetVar_0;
- inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$49$myNondetVar_0;
- goto inline$BDLGetDebugLevel$49$label_1#1;
-
- inline$BDLGetDebugLevel$49$label_1#1:
- goto inline$BDLGetDebugLevel$49$Return#1;
-
- inline$BDLGetDebugLevel$49$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon47_Then#1, inline$BDLSystemPowerIoCompletion$1$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_83_true#1, inline$BDLSystemPowerIoCompletion$1$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_87#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_88#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_89#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$1$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_84#1:
- goto inline$BDLGetDebugLevel$50$Entry#1;
-
- inline$BDLGetDebugLevel$50$Entry#1:
- goto inline$BDLGetDebugLevel$50$start#1;
-
- inline$BDLGetDebugLevel$50$start#1:
- goto inline$BDLGetDebugLevel$50$label_3#1;
-
- inline$BDLGetDebugLevel$50$label_3#1:
- havoc inline$BDLGetDebugLevel$50$myNondetVar_0;
- inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$50$myNondetVar_0;
- goto inline$BDLGetDebugLevel$50$label_1#1;
-
- inline$BDLGetDebugLevel$50$label_1#1:
- goto inline$BDLGetDebugLevel$50$Return#1;
-
- inline$BDLGetDebugLevel$50$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon48_Then#1, inline$BDLSystemPowerIoCompletion$1$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_93_true#1, inline$BDLSystemPowerIoCompletion$1$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_97#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_98#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_99#1:
- call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$1$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$1$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_94#1:
- goto inline$BDLGetDebugLevel$51$Entry#1;
-
- inline$BDLGetDebugLevel$51$Entry#1:
- goto inline$BDLGetDebugLevel$51$start#1;
-
- inline$BDLGetDebugLevel$51$start#1:
- goto inline$BDLGetDebugLevel$51$label_3#1;
-
- inline$BDLGetDebugLevel$51$label_3#1:
- havoc inline$BDLGetDebugLevel$51$myNondetVar_0;
- inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$51$myNondetVar_0;
- goto inline$BDLGetDebugLevel$51$label_1#1;
-
- inline$BDLGetDebugLevel$51$label_1#1:
- goto inline$BDLGetDebugLevel$51$Return#1;
-
- inline$BDLGetDebugLevel$51$Return#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$1$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$1$anon49_Then#1, inline$BDLSystemPowerIoCompletion$1$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_103_true#1, inline$BDLSystemPowerIoCompletion$1$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$1$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$1$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_104#1:
- inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$1$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$1$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$1$Return#1;
-
- inline$BDLSystemPowerIoCompletion$1$Return#1:
- inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$1$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$1$anon14_Then#1, inline$CallCompletionRoutine$1$anon14_Else#1;
-
- inline$CallCompletionRoutine$1$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$1$anon9#1;
-
- inline$CallCompletionRoutine$1$anon9#1:
- goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$1$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$1$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$1$Entry#1:
- inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$1$start#1;
-
- inline$BDLDevicePowerIoCompletion$1$start#1:
- call inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$1$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_4#1:
- inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_6#1:
- inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$1$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$1$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$12$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$12$Entry#1:
- inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$12$start#1;
-
- inline$IoGetCurrentIrpStackLocation$12$start#1:
- inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$12$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$12$label_3_true#1, inline$IoGetCurrentIrpStackLocation$12$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$12$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$12$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$12$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$12$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$12$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$12$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$12$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$12$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$12$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$12$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$12$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$12$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$12$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$12$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$12$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon30_Then#1, inline$BDLDevicePowerIoCompletion$1$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_13#1:
- inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$1$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$1$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_17#1:
- goto inline$BDLGetDebugLevel$34$Entry#1;
-
- inline$BDLGetDebugLevel$34$Entry#1:
- goto inline$BDLGetDebugLevel$34$start#1;
-
- inline$BDLGetDebugLevel$34$start#1:
- goto inline$BDLGetDebugLevel$34$label_3#1;
-
- inline$BDLGetDebugLevel$34$label_3#1:
- havoc inline$BDLGetDebugLevel$34$myNondetVar_0;
- inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$34$myNondetVar_0;
- goto inline$BDLGetDebugLevel$34$label_1#1;
-
- inline$BDLGetDebugLevel$34$label_1#1:
- goto inline$BDLGetDebugLevel$34$Return#1;
-
- inline$BDLGetDebugLevel$34$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon31_Then#1, inline$BDLDevicePowerIoCompletion$1$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_20_true#1, inline$BDLDevicePowerIoCompletion$1$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_24#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_25#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_26#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$1$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_21#1:
- goto inline$BDLGetDebugLevel$35$Entry#1;
-
- inline$BDLGetDebugLevel$35$Entry#1:
- goto inline$BDLGetDebugLevel$35$start#1;
-
- inline$BDLGetDebugLevel$35$start#1:
- goto inline$BDLGetDebugLevel$35$label_3#1;
-
- inline$BDLGetDebugLevel$35$label_3#1:
- havoc inline$BDLGetDebugLevel$35$myNondetVar_0;
- inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$35$myNondetVar_0;
- goto inline$BDLGetDebugLevel$35$label_1#1;
-
- inline$BDLGetDebugLevel$35$label_1#1:
- goto inline$BDLGetDebugLevel$35$Return#1;
-
- inline$BDLGetDebugLevel$35$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon32_Then#1, inline$BDLDevicePowerIoCompletion$1$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_30_true#1, inline$BDLDevicePowerIoCompletion$1$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_34#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_35#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_36#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$1$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_31#1:
- goto inline$BDLGetDebugLevel$36$Entry#1;
-
- inline$BDLGetDebugLevel$36$Entry#1:
- goto inline$BDLGetDebugLevel$36$start#1;
-
- inline$BDLGetDebugLevel$36$start#1:
- goto inline$BDLGetDebugLevel$36$label_3#1;
-
- inline$BDLGetDebugLevel$36$label_3#1:
- havoc inline$BDLGetDebugLevel$36$myNondetVar_0;
- inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$36$myNondetVar_0;
- goto inline$BDLGetDebugLevel$36$label_1#1;
-
- inline$BDLGetDebugLevel$36$label_1#1:
- goto inline$BDLGetDebugLevel$36$Return#1;
-
- inline$BDLGetDebugLevel$36$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon33_Then#1, inline$BDLDevicePowerIoCompletion$1$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_40_true#1, inline$BDLDevicePowerIoCompletion$1$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_41_true#1, inline$BDLDevicePowerIoCompletion$1$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$1$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$1$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_44_true#1, inline$BDLDevicePowerIoCompletion$1$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$1$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_45_true#1, inline$BDLDevicePowerIoCompletion$1$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$1$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$1$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$1$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$1$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$1$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_54#1:
- inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$1$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_55_true#1, inline$BDLDevicePowerIoCompletion$1$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_56#1:
- call inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$1$anon34_Then#1, inline$BDLDevicePowerIoCompletion$1$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_59#1:
- goto inline$BDLGetDebugLevel$37$Entry#1;
-
- inline$BDLGetDebugLevel$37$Entry#1:
- goto inline$BDLGetDebugLevel$37$start#1;
-
- inline$BDLGetDebugLevel$37$start#1:
- goto inline$BDLGetDebugLevel$37$label_3#1;
-
- inline$BDLGetDebugLevel$37$label_3#1:
- havoc inline$BDLGetDebugLevel$37$myNondetVar_0;
- inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$37$myNondetVar_0;
- goto inline$BDLGetDebugLevel$37$label_1#1;
-
- inline$BDLGetDebugLevel$37$label_1#1:
- goto inline$BDLGetDebugLevel$37$Return#1;
-
- inline$BDLGetDebugLevel$37$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon35_Then#1, inline$BDLDevicePowerIoCompletion$1$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_62_true#1, inline$BDLDevicePowerIoCompletion$1$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_66#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_67#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_68#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$1$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_63#1:
- goto inline$BDLGetDebugLevel$38$Entry#1;
-
- inline$BDLGetDebugLevel$38$Entry#1:
- goto inline$BDLGetDebugLevel$38$start#1;
-
- inline$BDLGetDebugLevel$38$start#1:
- goto inline$BDLGetDebugLevel$38$label_3#1;
-
- inline$BDLGetDebugLevel$38$label_3#1:
- havoc inline$BDLGetDebugLevel$38$myNondetVar_0;
- inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$38$myNondetVar_0;
- goto inline$BDLGetDebugLevel$38$label_1#1;
-
- inline$BDLGetDebugLevel$38$label_1#1:
- goto inline$BDLGetDebugLevel$38$Return#1;
-
- inline$BDLGetDebugLevel$38$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon36_Then#1, inline$BDLDevicePowerIoCompletion$1$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_72_true#1, inline$BDLDevicePowerIoCompletion$1$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_76#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_77#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_78#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$1$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_73#1:
- goto inline$BDLGetDebugLevel$39$Entry#1;
-
- inline$BDLGetDebugLevel$39$Entry#1:
- goto inline$BDLGetDebugLevel$39$start#1;
-
- inline$BDLGetDebugLevel$39$start#1:
- goto inline$BDLGetDebugLevel$39$label_3#1;
-
- inline$BDLGetDebugLevel$39$label_3#1:
- havoc inline$BDLGetDebugLevel$39$myNondetVar_0;
- inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$39$myNondetVar_0;
- goto inline$BDLGetDebugLevel$39$label_1#1;
-
- inline$BDLGetDebugLevel$39$label_1#1:
- goto inline$BDLGetDebugLevel$39$Return#1;
-
- inline$BDLGetDebugLevel$39$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon37_Then#1, inline$BDLDevicePowerIoCompletion$1$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_82_true#1, inline$BDLDevicePowerIoCompletion$1$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$1$anon38_Then#1, inline$BDLDevicePowerIoCompletion$1$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$1$anon39_Then#1, inline$BDLDevicePowerIoCompletion$1$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_92#1:
- goto inline$storm_IoCompleteRequest$3$Entry#1;
-
- inline$storm_IoCompleteRequest$3$Entry#1:
- inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$3$start#1;
-
- inline$storm_IoCompleteRequest$3$start#1:
- inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$3$label_3#1;
-
- inline$storm_IoCompleteRequest$3$label_3#1:
- call inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$3$label_6#1;
-
- inline$storm_IoCompleteRequest$3$label_6#1:
- goto inline$storm_IoCompleteRequest$3$label_6_true#1, inline$storm_IoCompleteRequest$3$label_6_false#1;
-
- inline$storm_IoCompleteRequest$3$label_6_false#1:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$3$label_7#1;
-
- inline$storm_IoCompleteRequest$3$label_6_true#1:
- assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$3$label_8#1;
-
- inline$storm_IoCompleteRequest$3$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$3$anon3_Then#1, inline$storm_IoCompleteRequest$3$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$3$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$3$anon1#1;
-
- inline$storm_IoCompleteRequest$3$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_9#1;
-
- inline$storm_IoCompleteRequest$3$label_9#1:
- goto inline$storm_IoCompleteRequest$3$label_9_true#1, inline$storm_IoCompleteRequest$3$label_9_false#1;
-
- inline$storm_IoCompleteRequest$3$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$3$label_10#1;
-
- inline$storm_IoCompleteRequest$3$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$3$label_1#1;
-
- inline$storm_IoCompleteRequest$3$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$3$label_7#1;
-
- inline$storm_IoCompleteRequest$3$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$3$label_1#1;
-
- inline$storm_IoCompleteRequest$3$label_1#1:
- goto inline$storm_IoCompleteRequest$3$Return#1;
-
- inline$storm_IoCompleteRequest$3$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$3$Return#1;
-
- inline$storm_IoCompleteRequest$3$Return#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon40_Then#1, inline$BDLDevicePowerIoCompletion$1$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$1$anon41_Then#1, inline$BDLDevicePowerIoCompletion$1$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_98#1:
- goto inline$BDLGetDebugLevel$40$Entry#1;
-
- inline$BDLGetDebugLevel$40$Entry#1:
- goto inline$BDLGetDebugLevel$40$start#1;
-
- inline$BDLGetDebugLevel$40$start#1:
- goto inline$BDLGetDebugLevel$40$label_3#1;
-
- inline$BDLGetDebugLevel$40$label_3#1:
- havoc inline$BDLGetDebugLevel$40$myNondetVar_0;
- inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$40$myNondetVar_0;
- goto inline$BDLGetDebugLevel$40$label_1#1;
-
- inline$BDLGetDebugLevel$40$label_1#1:
- goto inline$BDLGetDebugLevel$40$Return#1;
-
- inline$BDLGetDebugLevel$40$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon42_Then#1, inline$BDLDevicePowerIoCompletion$1$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_101_true#1, inline$BDLDevicePowerIoCompletion$1$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_105#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_106#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_107#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$1$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_102#1:
- goto inline$BDLGetDebugLevel$41$Entry#1;
-
- inline$BDLGetDebugLevel$41$Entry#1:
- goto inline$BDLGetDebugLevel$41$start#1;
-
- inline$BDLGetDebugLevel$41$start#1:
- goto inline$BDLGetDebugLevel$41$label_3#1;
-
- inline$BDLGetDebugLevel$41$label_3#1:
- havoc inline$BDLGetDebugLevel$41$myNondetVar_0;
- inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$41$myNondetVar_0;
- goto inline$BDLGetDebugLevel$41$label_1#1;
-
- inline$BDLGetDebugLevel$41$label_1#1:
- goto inline$BDLGetDebugLevel$41$Return#1;
-
- inline$BDLGetDebugLevel$41$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon43_Then#1, inline$BDLDevicePowerIoCompletion$1$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_111_true#1, inline$BDLDevicePowerIoCompletion$1$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_115#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_116#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_117#1:
- call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$1$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$1$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_112#1:
- goto inline$BDLGetDebugLevel$42$Entry#1;
-
- inline$BDLGetDebugLevel$42$Entry#1:
- goto inline$BDLGetDebugLevel$42$start#1;
-
- inline$BDLGetDebugLevel$42$start#1:
- goto inline$BDLGetDebugLevel$42$label_3#1;
-
- inline$BDLGetDebugLevel$42$label_3#1:
- havoc inline$BDLGetDebugLevel$42$myNondetVar_0;
- inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$42$myNondetVar_0;
- goto inline$BDLGetDebugLevel$42$label_1#1;
-
- inline$BDLGetDebugLevel$42$label_1#1:
- goto inline$BDLGetDebugLevel$42$Return#1;
-
- inline$BDLGetDebugLevel$42$Return#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$1$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$1$anon44_Then#1, inline$BDLDevicePowerIoCompletion$1$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_121_true#1, inline$BDLDevicePowerIoCompletion$1$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$1$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$1$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_122#1:
- inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$1$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$1$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$1$Return#1;
-
- inline$BDLDevicePowerIoCompletion$1$Return#1:
- inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$1$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$1$anon13_Then#1, inline$CallCompletionRoutine$1$anon13_Else#1;
-
- inline$CallCompletionRoutine$1$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$1$anon7#1;
-
- inline$CallCompletionRoutine$1$anon7#1:
- goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$1$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$1$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$1$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$1$Entry#1:
- inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$1$start#1;
-
- inline$BDLCallDriverCompletionRoutine$1$start#1:
- inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$1$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$1$label_3_true#1, inline$BDLCallDriverCompletionRoutine$1$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$1$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$1$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$1$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$1$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_6#1:
- goto inline$storm_KeSetEvent$1$Entry#1;
-
- inline$storm_KeSetEvent$1$Entry#1:
- inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$1$start#1;
-
- inline$storm_KeSetEvent$1$start#1:
- inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$1$label_3#1;
-
- inline$storm_KeSetEvent$1$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$1$label_1#1;
-
- inline$storm_KeSetEvent$1$label_1#1:
- goto inline$storm_KeSetEvent$1$Return#1;
-
- inline$storm_KeSetEvent$1$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$1$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$1$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$1$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$1$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$1$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$1$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$1$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_9#1:
- inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$1$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$1$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$1$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$1$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$1$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$1$Return#1:
- inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$1$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$1$anon12_Then#1, inline$CallCompletionRoutine$1$anon12_Else#1;
-
- inline$CallCompletionRoutine$1$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$1$anon5#1;
-
- inline$CallCompletionRoutine$1$anon5#1:
- goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$1$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$1$label_23#1;
-
- inline$CallCompletionRoutine$1$label_23#1:
- inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$1$label_24#1;
-
- inline$CallCompletionRoutine$1$label_24#1:
- goto inline$CallCompletionRoutine$1$label_24_true#1, inline$CallCompletionRoutine$1$label_24_false#1;
-
- inline$CallCompletionRoutine$1$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$1$label_1#1;
-
- inline$CallCompletionRoutine$1$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$1$label_25#1;
-
- inline$CallCompletionRoutine$1$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$1$label_1#1;
-
- inline$CallCompletionRoutine$1$label_1#1:
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$1$Return#1;
-
- inline$CallCompletionRoutine$1$Return#1:
- goto inline$storm_IoCallDriver$0$label_39$1#1;
-
- inline$storm_IoCallDriver$0$label_39$1#1:
- goto inline$storm_IoCallDriver$0$anon15_Then#1, inline$storm_IoCallDriver$0$anon15_Else#1;
-
- inline$storm_IoCallDriver$0$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$0$anon10#1;
-
- inline$storm_IoCallDriver$0$anon10#1:
- goto inline$storm_IoCallDriver$0$label_36#1;
-
- inline$storm_IoCallDriver$0$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$label_27_case_0#1:
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$0$label_28#1;
-
- inline$storm_IoCallDriver$0$label_28#1:
- inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$0$label_42#1;
-
- inline$storm_IoCallDriver$0$label_42#1:
- goto inline$storm_IoCallDriver$0$label_43#1;
-
- inline$storm_IoCallDriver$0$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$0$label_36#1;
-
- inline$storm_IoCallDriver$0$label_36#1:
- inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$0$label_1#1;
-
- inline$storm_IoCallDriver$0$label_1#1:
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$0$Return#1;
-
- inline$storm_IoCallDriver$0$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_19$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_19$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon20_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon20_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon9#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon9#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_42#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_42#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon20_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_18_true#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0, 22);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_22#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_22#1:
- call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon21_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon21_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon11#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon11#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_25#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_25#1:
- goto inline$storm_PoCallDriver$0$Entry#1;
-
- inline$storm_PoCallDriver$0$Entry#1:
- inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
- goto inline$storm_PoCallDriver$0$start#1;
-
- inline$storm_PoCallDriver$0$start#1:
- inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1;
- goto inline$storm_PoCallDriver$0$label_3#1;
-
- inline$storm_PoCallDriver$0$label_3#1:
- goto inline$storm_IoCallDriver$1$Entry#1;
-
- inline$storm_IoCallDriver$1$Entry#1:
- inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8;
- goto inline$storm_IoCallDriver$1$start#1;
-
- inline$storm_IoCallDriver$1$start#1:
- inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$1$label_3#1;
-
- inline$storm_IoCallDriver$1$label_3#1:
- goto inline$storm_IoCallDriver$1$label_4#1;
-
- inline$storm_IoCallDriver$1$label_4#1:
- goto inline$storm_IoCallDriver$1$label_5#1;
-
- inline$storm_IoCallDriver$1$label_5#1:
- goto inline$storm_IoCallDriver$1$label_6#1;
-
- inline$storm_IoCallDriver$1$label_6#1:
- call inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$1$label_9#1;
-
- inline$storm_IoCallDriver$1$label_9#1:
- goto inline$storm_IoCallDriver$1$label_9_true#1, inline$storm_IoCallDriver$1$label_9_false#1;
-
- inline$storm_IoCallDriver$1$label_9_false#1:
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$1$label_10#1;
-
- inline$storm_IoCallDriver$1$label_9_true#1:
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$1$label_13#1;
-
- inline$storm_IoCallDriver$1$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$1$anon12_Then#1, inline$storm_IoCallDriver$1$anon12_Else#1;
-
- inline$storm_IoCallDriver$1$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$1$anon3#1;
-
- inline$storm_IoCallDriver$1$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$1$label_14#1;
-
- inline$storm_IoCallDriver$1$label_14#1:
- goto inline$storm_IoCallDriver$1$label_14_true#1, inline$storm_IoCallDriver$1$label_14_false#1;
-
- inline$storm_IoCallDriver$1$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$1$label_15#1;
-
- inline$storm_IoCallDriver$1$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$1$label_1#1;
-
- inline$storm_IoCallDriver$1$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$1$label_10#1;
-
- inline$storm_IoCallDriver$1$label_10#1:
- goto inline$IoSetNextIrpStackLocation$2$Entry#1;
-
- inline$IoSetNextIrpStackLocation$2$Entry#1:
- inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$2$start#1;
-
- inline$IoSetNextIrpStackLocation$2$start#1:
- inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$2$label_3#1;
-
- inline$IoSetNextIrpStackLocation$2$label_3#1:
- goto inline$IoSetNextIrpStackLocation$2$label_3_true#1, inline$IoSetNextIrpStackLocation$2$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$2$label_3_false#1:
- inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$2$myVar_0);
- goto inline$IoSetNextIrpStackLocation$2$label_4#1;
-
- inline$IoSetNextIrpStackLocation$2$label_4#1:
- call inline$IoSetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$2$label_7#1;
-
- inline$IoSetNextIrpStackLocation$2$label_7#1:
- call inline$IoSetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$2$label_8#1;
-
- inline$IoSetNextIrpStackLocation$2$label_8#1:
- goto inline$IoSetNextIrpStackLocation$2$label_5#1;
-
- inline$IoSetNextIrpStackLocation$2$label_3_true#1:
- inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$2$myVar_0);
- goto inline$IoSetNextIrpStackLocation$2$label_5#1;
-
- inline$IoSetNextIrpStackLocation$2$label_5#1:
- inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$2$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$2$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$2$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$2$label_6#1;
-
- inline$IoSetNextIrpStackLocation$2$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$2$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$2$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$2$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$2$label_1#1;
-
- inline$IoSetNextIrpStackLocation$2$label_1#1:
- goto inline$IoSetNextIrpStackLocation$2$Return#1;
-
- inline$IoSetNextIrpStackLocation$2$Return#1:
- goto inline$storm_IoCallDriver$1$label_10$1#1;
-
- inline$storm_IoCallDriver$1$label_10$1#1:
- goto inline$storm_IoCallDriver$1$anon11_Then#1, inline$storm_IoCallDriver$1$anon11_Else#1;
-
- inline$storm_IoCallDriver$1$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$1$anon1#1;
-
- inline$storm_IoCallDriver$1$anon1#1:
- goto inline$storm_IoCallDriver$1$label_18#1;
-
- inline$storm_IoCallDriver$1$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$14$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$14$Entry#1:
- inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$14$start#1;
-
- inline$IoGetCurrentIrpStackLocation$14$start#1:
- inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$14$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$14$label_3_true#1, inline$IoGetCurrentIrpStackLocation$14$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$14$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$14$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$14$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$14$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$14$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$14$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$14$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$14$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$14$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$14$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$14$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$14$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$14$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$14$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$14$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$14$Return#1:
- goto inline$storm_IoCallDriver$1$label_18$1#1;
-
- inline$storm_IoCallDriver$1$label_18$1#1:
- goto inline$storm_IoCallDriver$1$anon13_Then#1, inline$storm_IoCallDriver$1$anon13_Else#1;
-
- inline$storm_IoCallDriver$1$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$1$anon6#1;
-
- inline$storm_IoCallDriver$1$anon6#1:
- goto inline$storm_IoCallDriver$1$label_21#1;
-
- inline$storm_IoCallDriver$1$label_21#1:
- goto inline$storm_IoCallDriver$1$label_22#1;
-
- inline$storm_IoCallDriver$1$label_22#1:
- goto inline$storm_IoCallDriver$1$label_23#1;
-
- inline$storm_IoCallDriver$1$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$1$label_24#1;
-
- inline$storm_IoCallDriver$1$label_24#1:
- call inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$1$label_27#1;
-
- inline$storm_IoCallDriver$1$label_27#1:
- goto inline$storm_IoCallDriver$1$label_27_case_0#1, inline$storm_IoCallDriver$1$label_27_case_1#1, inline$storm_IoCallDriver$1$label_27_case_2#1;
-
- inline$storm_IoCallDriver$1$label_27_case_2#1:
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$1$label_30#1;
-
- inline$storm_IoCallDriver$1$label_30#1:
- inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$1$label_31#1;
-
- inline$storm_IoCallDriver$1$label_31#1:
- goto inline$storm_IoCallDriver$1$label_32#1;
-
- inline$storm_IoCallDriver$1$label_32#1:
- goto inline$storm_IoCallDriver$1$label_33#1;
-
- inline$storm_IoCallDriver$1$label_33#1:
- goto inline$CallCompletionRoutine$2$Entry#1;
-
- inline$CallCompletionRoutine$2$Entry#1:
- inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$2$start#1;
-
- inline$CallCompletionRoutine$2$start#1:
- inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$2$label_3#1;
-
- inline$CallCompletionRoutine$2$label_3#1:
- goto inline$CallCompletionRoutine$2$label_4#1;
-
- inline$CallCompletionRoutine$2$label_4#1:
- goto inline$CallCompletionRoutine$2$label_5#1;
-
- inline$CallCompletionRoutine$2$label_5#1:
- goto inline$CallCompletionRoutine$2$label_6#1;
-
- inline$CallCompletionRoutine$2$label_6#1:
- goto inline$CallCompletionRoutine$2$label_7#1;
-
- inline$CallCompletionRoutine$2$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$15$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$15$Entry#1:
- inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$15$start#1;
-
- inline$IoGetCurrentIrpStackLocation$15$start#1:
- inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$15$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$15$label_3_true#1, inline$IoGetCurrentIrpStackLocation$15$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$15$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$15$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$15$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$15$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$15$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$15$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$15$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$15$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$15$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$15$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$15$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$15$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$15$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$15$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$15$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$15$Return#1:
- goto inline$CallCompletionRoutine$2$label_7$1#1;
-
- inline$CallCompletionRoutine$2$label_7$1#1:
- goto inline$CallCompletionRoutine$2$anon10_Then#1, inline$CallCompletionRoutine$2$anon10_Else#1;
-
- inline$CallCompletionRoutine$2$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$2$anon1#1;
-
- inline$CallCompletionRoutine$2$anon1#1:
- goto inline$CallCompletionRoutine$2$label_10#1;
-
- inline$CallCompletionRoutine$2$label_10#1:
- goto inline$CallCompletionRoutine$2$label_11#1;
-
- inline$CallCompletionRoutine$2$label_11#1:
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$CallCompletionRoutine$2$label_12#1;
-
- inline$CallCompletionRoutine$2$label_12#1:
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$CallCompletionRoutine$2$label_13#1;
-
- inline$CallCompletionRoutine$2$label_13#1:
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$CallCompletionRoutine$2$label_14#1;
-
- inline$CallCompletionRoutine$2$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$16$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$16$Entry#1:
- inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$16$start#1;
-
- inline$IoGetCurrentIrpStackLocation$16$start#1:
- inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$16$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$16$label_3_true#1, inline$IoGetCurrentIrpStackLocation$16$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$16$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$16$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$16$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$16$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$16$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$16$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$16$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$16$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$16$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$16$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$16$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$16$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$16$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$16$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$16$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$16$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$16$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$16$Return#1:
- goto inline$CallCompletionRoutine$2$label_14$1#1;
-
- inline$CallCompletionRoutine$2$label_14$1#1:
- goto inline$CallCompletionRoutine$2$anon11_Then#1, inline$CallCompletionRoutine$2$anon11_Else#1;
-
- inline$CallCompletionRoutine$2$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$2$anon3#1;
-
- inline$CallCompletionRoutine$2$anon3#1:
- goto inline$CallCompletionRoutine$2$label_17#1;
-
- inline$CallCompletionRoutine$2$label_17#1:
- goto inline$CallCompletionRoutine$2$label_18#1;
-
- inline$CallCompletionRoutine$2$label_18#1:
- goto inline$CallCompletionRoutine$2$label_18_true#1, inline$CallCompletionRoutine$2$label_18_false#1;
-
- inline$CallCompletionRoutine$2$label_18_false#1:
- assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$2$label_1#1;
-
- inline$CallCompletionRoutine$2$label_18_true#1:
- assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$2$label_19#1;
-
- inline$CallCompletionRoutine$2$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$2$label_20#1;
-
- inline$CallCompletionRoutine$2$label_20#1:
- goto inline$CallCompletionRoutine$2$label_20_icall_1#1, inline$CallCompletionRoutine$2$label_20_icall_2#1, inline$CallCompletionRoutine$2$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$2$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$2$Entry#1:
- inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$2$start#1;
-
- inline$BDLSystemPowerIoCompletion$2$start#1:
- call inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$2$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_4#1:
- inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_6#1:
- inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$2$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$2$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$18$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$18$Entry#1:
- inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$18$start#1;
-
- inline$IoGetCurrentIrpStackLocation$18$start#1:
- inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$18$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$18$label_3_true#1, inline$IoGetCurrentIrpStackLocation$18$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$18$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$18$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$18$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$18$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$18$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$18$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$18$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$18$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$18$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$18$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$18$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$18$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$18$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$18$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$18$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$18$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$18$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$18$Return#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon36_Then#1, inline$BDLSystemPowerIoCompletion$2$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_15#1:
- goto inline$BDLGetDebugLevel$64$Entry#1;
-
- inline$BDLGetDebugLevel$64$Entry#1:
- goto inline$BDLGetDebugLevel$64$start#1;
-
- inline$BDLGetDebugLevel$64$start#1:
- goto inline$BDLGetDebugLevel$64$label_3#1;
-
- inline$BDLGetDebugLevel$64$label_3#1:
- havoc inline$BDLGetDebugLevel$64$myNondetVar_0;
- inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$64$myNondetVar_0;
- goto inline$BDLGetDebugLevel$64$label_1#1;
-
- inline$BDLGetDebugLevel$64$label_1#1:
- goto inline$BDLGetDebugLevel$64$Return#1;
-
- inline$BDLGetDebugLevel$64$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon37_Then#1, inline$BDLSystemPowerIoCompletion$2$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_18_true#1, inline$BDLSystemPowerIoCompletion$2$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_22#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_23#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_24#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$2$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_19#1:
- goto inline$BDLGetDebugLevel$65$Entry#1;
-
- inline$BDLGetDebugLevel$65$Entry#1:
- goto inline$BDLGetDebugLevel$65$start#1;
-
- inline$BDLGetDebugLevel$65$start#1:
- goto inline$BDLGetDebugLevel$65$label_3#1;
-
- inline$BDLGetDebugLevel$65$label_3#1:
- havoc inline$BDLGetDebugLevel$65$myNondetVar_0;
- inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$65$myNondetVar_0;
- goto inline$BDLGetDebugLevel$65$label_1#1;
-
- inline$BDLGetDebugLevel$65$label_1#1:
- goto inline$BDLGetDebugLevel$65$Return#1;
-
- inline$BDLGetDebugLevel$65$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon38_Then#1, inline$BDLSystemPowerIoCompletion$2$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_28_true#1, inline$BDLSystemPowerIoCompletion$2$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_32#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_33#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_34#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$2$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_29#1:
- goto inline$BDLGetDebugLevel$66$Entry#1;
-
- inline$BDLGetDebugLevel$66$Entry#1:
- goto inline$BDLGetDebugLevel$66$start#1;
-
- inline$BDLGetDebugLevel$66$start#1:
- goto inline$BDLGetDebugLevel$66$label_3#1;
-
- inline$BDLGetDebugLevel$66$label_3#1:
- havoc inline$BDLGetDebugLevel$66$myNondetVar_0;
- inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$66$myNondetVar_0;
- goto inline$BDLGetDebugLevel$66$label_1#1;
-
- inline$BDLGetDebugLevel$66$label_1#1:
- goto inline$BDLGetDebugLevel$66$Return#1;
-
- inline$BDLGetDebugLevel$66$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon39_Then#1, inline$BDLSystemPowerIoCompletion$2$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_38_true#1, inline$BDLSystemPowerIoCompletion$2$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_39_true#1, inline$BDLSystemPowerIoCompletion$2$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$2$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$2$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$2$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_106_true#1, inline$BDLSystemPowerIoCompletion$2$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_142#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_143#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$2$myNondetVar_0, inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$2$anon50_Then#1, inline$BDLSystemPowerIoCompletion$2$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_112#1:
- inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$2$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_113_true#1, inline$BDLSystemPowerIoCompletion$2$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$2$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_117#1:
- goto inline$BDLGetDebugLevel$73$Entry#1;
-
- inline$BDLGetDebugLevel$73$Entry#1:
- goto inline$BDLGetDebugLevel$73$start#1;
-
- inline$BDLGetDebugLevel$73$start#1:
- goto inline$BDLGetDebugLevel$73$label_3#1;
-
- inline$BDLGetDebugLevel$73$label_3#1:
- havoc inline$BDLGetDebugLevel$73$myNondetVar_0;
- inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$73$myNondetVar_0;
- goto inline$BDLGetDebugLevel$73$label_1#1;
-
- inline$BDLGetDebugLevel$73$label_1#1:
- goto inline$BDLGetDebugLevel$73$Return#1;
-
- inline$BDLGetDebugLevel$73$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon51_Then#1, inline$BDLSystemPowerIoCompletion$2$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_120_true#1, inline$BDLSystemPowerIoCompletion$2$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_124#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_125#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_126#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$2$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_121#1:
- goto inline$BDLGetDebugLevel$74$Entry#1;
-
- inline$BDLGetDebugLevel$74$Entry#1:
- goto inline$BDLGetDebugLevel$74$start#1;
-
- inline$BDLGetDebugLevel$74$start#1:
- goto inline$BDLGetDebugLevel$74$label_3#1;
-
- inline$BDLGetDebugLevel$74$label_3#1:
- havoc inline$BDLGetDebugLevel$74$myNondetVar_0;
- inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$74$myNondetVar_0;
- goto inline$BDLGetDebugLevel$74$label_1#1;
-
- inline$BDLGetDebugLevel$74$label_1#1:
- goto inline$BDLGetDebugLevel$74$Return#1;
-
- inline$BDLGetDebugLevel$74$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon52_Then#1, inline$BDLSystemPowerIoCompletion$2$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_130_true#1, inline$BDLSystemPowerIoCompletion$2$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_134#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_135#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_136#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$2$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_131#1:
- goto inline$BDLGetDebugLevel$75$Entry#1;
-
- inline$BDLGetDebugLevel$75$Entry#1:
- goto inline$BDLGetDebugLevel$75$start#1;
-
- inline$BDLGetDebugLevel$75$start#1:
- goto inline$BDLGetDebugLevel$75$label_3#1;
-
- inline$BDLGetDebugLevel$75$label_3#1:
- havoc inline$BDLGetDebugLevel$75$myNondetVar_0;
- inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$75$myNondetVar_0;
- goto inline$BDLGetDebugLevel$75$label_1#1;
-
- inline$BDLGetDebugLevel$75$label_1#1:
- goto inline$BDLGetDebugLevel$75$Return#1;
-
- inline$BDLGetDebugLevel$75$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon53_Then#1, inline$BDLSystemPowerIoCompletion$2$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_140_true#1, inline$BDLSystemPowerIoCompletion$2$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$2$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_115#1:
- inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$2$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$2$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_43#1:
- goto inline$BDLGetDebugLevel$67$Entry#1;
-
- inline$BDLGetDebugLevel$67$Entry#1:
- goto inline$BDLGetDebugLevel$67$start#1;
-
- inline$BDLGetDebugLevel$67$start#1:
- goto inline$BDLGetDebugLevel$67$label_3#1;
-
- inline$BDLGetDebugLevel$67$label_3#1:
- havoc inline$BDLGetDebugLevel$67$myNondetVar_0;
- inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$67$myNondetVar_0;
- goto inline$BDLGetDebugLevel$67$label_1#1;
-
- inline$BDLGetDebugLevel$67$label_1#1:
- goto inline$BDLGetDebugLevel$67$Return#1;
-
- inline$BDLGetDebugLevel$67$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon40_Then#1, inline$BDLSystemPowerIoCompletion$2$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_46_true#1, inline$BDLSystemPowerIoCompletion$2$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_50#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_51#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_52#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$2$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_47#1:
- goto inline$BDLGetDebugLevel$68$Entry#1;
-
- inline$BDLGetDebugLevel$68$Entry#1:
- goto inline$BDLGetDebugLevel$68$start#1;
-
- inline$BDLGetDebugLevel$68$start#1:
- goto inline$BDLGetDebugLevel$68$label_3#1;
-
- inline$BDLGetDebugLevel$68$label_3#1:
- havoc inline$BDLGetDebugLevel$68$myNondetVar_0;
- inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$68$myNondetVar_0;
- goto inline$BDLGetDebugLevel$68$label_1#1;
-
- inline$BDLGetDebugLevel$68$label_1#1:
- goto inline$BDLGetDebugLevel$68$Return#1;
-
- inline$BDLGetDebugLevel$68$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon41_Then#1, inline$BDLSystemPowerIoCompletion$2$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_56_true#1, inline$BDLSystemPowerIoCompletion$2$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_60#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_61#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_62#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$2$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_57#1:
- goto inline$BDLGetDebugLevel$69$Entry#1;
-
- inline$BDLGetDebugLevel$69$Entry#1:
- goto inline$BDLGetDebugLevel$69$start#1;
-
- inline$BDLGetDebugLevel$69$start#1:
- goto inline$BDLGetDebugLevel$69$label_3#1;
-
- inline$BDLGetDebugLevel$69$label_3#1:
- havoc inline$BDLGetDebugLevel$69$myNondetVar_0;
- inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$69$myNondetVar_0;
- goto inline$BDLGetDebugLevel$69$label_1#1;
-
- inline$BDLGetDebugLevel$69$label_1#1:
- goto inline$BDLGetDebugLevel$69$Return#1;
-
- inline$BDLGetDebugLevel$69$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon42_Then#1, inline$BDLSystemPowerIoCompletion$2$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_66_true#1, inline$BDLSystemPowerIoCompletion$2$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$2$anon43_Then#1, inline$BDLSystemPowerIoCompletion$2$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$2$anon44_Then#1, inline$BDLSystemPowerIoCompletion$2$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_74#1:
- goto inline$storm_IoCompleteRequest$6$Entry#1;
-
- inline$storm_IoCompleteRequest$6$Entry#1:
- inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$6$start#1;
-
- inline$storm_IoCompleteRequest$6$start#1:
- inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$6$label_3#1;
-
- inline$storm_IoCompleteRequest$6$label_3#1:
- call inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$6$label_6#1;
-
- inline$storm_IoCompleteRequest$6$label_6#1:
- goto inline$storm_IoCompleteRequest$6$label_6_true#1, inline$storm_IoCompleteRequest$6$label_6_false#1;
-
- inline$storm_IoCompleteRequest$6$label_6_false#1:
- assume inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$6$label_7#1;
-
- inline$storm_IoCompleteRequest$6$label_6_true#1:
- assume inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$6$label_8#1;
-
- inline$storm_IoCompleteRequest$6$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$6$anon3_Then#1, inline$storm_IoCompleteRequest$6$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$6$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$6$anon1#1;
-
- inline$storm_IoCompleteRequest$6$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$6$label_9#1;
-
- inline$storm_IoCompleteRequest$6$label_9#1:
- goto inline$storm_IoCompleteRequest$6$label_9_true#1, inline$storm_IoCompleteRequest$6$label_9_false#1;
-
- inline$storm_IoCompleteRequest$6$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$6$label_10#1;
-
- inline$storm_IoCompleteRequest$6$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$6$label_1#1;
-
- inline$storm_IoCompleteRequest$6$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$6$label_7#1;
-
- inline$storm_IoCompleteRequest$6$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$6$label_1#1;
-
- inline$storm_IoCompleteRequest$6$label_1#1:
- goto inline$storm_IoCompleteRequest$6$Return#1;
-
- inline$storm_IoCompleteRequest$6$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$6$Return#1;
-
- inline$storm_IoCompleteRequest$6$Return#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon45_Then#1, inline$BDLSystemPowerIoCompletion$2$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$2$anon46_Then#1, inline$BDLSystemPowerIoCompletion$2$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_80#1:
- goto inline$BDLGetDebugLevel$70$Entry#1;
-
- inline$BDLGetDebugLevel$70$Entry#1:
- goto inline$BDLGetDebugLevel$70$start#1;
-
- inline$BDLGetDebugLevel$70$start#1:
- goto inline$BDLGetDebugLevel$70$label_3#1;
-
- inline$BDLGetDebugLevel$70$label_3#1:
- havoc inline$BDLGetDebugLevel$70$myNondetVar_0;
- inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$70$myNondetVar_0;
- goto inline$BDLGetDebugLevel$70$label_1#1;
-
- inline$BDLGetDebugLevel$70$label_1#1:
- goto inline$BDLGetDebugLevel$70$Return#1;
-
- inline$BDLGetDebugLevel$70$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon47_Then#1, inline$BDLSystemPowerIoCompletion$2$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_83_true#1, inline$BDLSystemPowerIoCompletion$2$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_87#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_88#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_89#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$2$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_84#1:
- goto inline$BDLGetDebugLevel$71$Entry#1;
-
- inline$BDLGetDebugLevel$71$Entry#1:
- goto inline$BDLGetDebugLevel$71$start#1;
-
- inline$BDLGetDebugLevel$71$start#1:
- goto inline$BDLGetDebugLevel$71$label_3#1;
-
- inline$BDLGetDebugLevel$71$label_3#1:
- havoc inline$BDLGetDebugLevel$71$myNondetVar_0;
- inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$71$myNondetVar_0;
- goto inline$BDLGetDebugLevel$71$label_1#1;
-
- inline$BDLGetDebugLevel$71$label_1#1:
- goto inline$BDLGetDebugLevel$71$Return#1;
-
- inline$BDLGetDebugLevel$71$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon48_Then#1, inline$BDLSystemPowerIoCompletion$2$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_93_true#1, inline$BDLSystemPowerIoCompletion$2$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_97#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_98#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_99#1:
- call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$2$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$2$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_94#1:
- goto inline$BDLGetDebugLevel$72$Entry#1;
-
- inline$BDLGetDebugLevel$72$Entry#1:
- goto inline$BDLGetDebugLevel$72$start#1;
-
- inline$BDLGetDebugLevel$72$start#1:
- goto inline$BDLGetDebugLevel$72$label_3#1;
-
- inline$BDLGetDebugLevel$72$label_3#1:
- havoc inline$BDLGetDebugLevel$72$myNondetVar_0;
- inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$72$myNondetVar_0;
- goto inline$BDLGetDebugLevel$72$label_1#1;
-
- inline$BDLGetDebugLevel$72$label_1#1:
- goto inline$BDLGetDebugLevel$72$Return#1;
-
- inline$BDLGetDebugLevel$72$Return#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$2$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$2$anon49_Then#1, inline$BDLSystemPowerIoCompletion$2$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_103_true#1, inline$BDLSystemPowerIoCompletion$2$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$2$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$2$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_104#1:
- inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$2$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$2$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$2$Return#1;
-
- inline$BDLSystemPowerIoCompletion$2$Return#1:
- inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$2$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$2$anon14_Then#1, inline$CallCompletionRoutine$2$anon14_Else#1;
-
- inline$CallCompletionRoutine$2$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$2$anon9#1;
-
- inline$CallCompletionRoutine$2$anon9#1:
- goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$2$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$2$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$2$Entry#1:
- inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$2$start#1;
-
- inline$BDLDevicePowerIoCompletion$2$start#1:
- call inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$2$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_4#1:
- inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_6#1:
- inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$2$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$2$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$17$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$17$Entry#1:
- inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$17$start#1;
-
- inline$IoGetCurrentIrpStackLocation$17$start#1:
- inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$17$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$17$label_3_true#1, inline$IoGetCurrentIrpStackLocation$17$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$17$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$17$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$17$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$17$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$17$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$17$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$17$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$17$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$17$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$17$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$17$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$17$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$17$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$17$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$17$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$17$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$17$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon30_Then#1, inline$BDLDevicePowerIoCompletion$2$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_13#1:
- inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$2$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$2$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_17#1:
- goto inline$BDLGetDebugLevel$55$Entry#1;
-
- inline$BDLGetDebugLevel$55$Entry#1:
- goto inline$BDLGetDebugLevel$55$start#1;
-
- inline$BDLGetDebugLevel$55$start#1:
- goto inline$BDLGetDebugLevel$55$label_3#1;
-
- inline$BDLGetDebugLevel$55$label_3#1:
- havoc inline$BDLGetDebugLevel$55$myNondetVar_0;
- inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$55$myNondetVar_0;
- goto inline$BDLGetDebugLevel$55$label_1#1;
-
- inline$BDLGetDebugLevel$55$label_1#1:
- goto inline$BDLGetDebugLevel$55$Return#1;
-
- inline$BDLGetDebugLevel$55$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon31_Then#1, inline$BDLDevicePowerIoCompletion$2$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_20_true#1, inline$BDLDevicePowerIoCompletion$2$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_24#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_25#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_26#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$2$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_21#1:
- goto inline$BDLGetDebugLevel$56$Entry#1;
-
- inline$BDLGetDebugLevel$56$Entry#1:
- goto inline$BDLGetDebugLevel$56$start#1;
-
- inline$BDLGetDebugLevel$56$start#1:
- goto inline$BDLGetDebugLevel$56$label_3#1;
-
- inline$BDLGetDebugLevel$56$label_3#1:
- havoc inline$BDLGetDebugLevel$56$myNondetVar_0;
- inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$56$myNondetVar_0;
- goto inline$BDLGetDebugLevel$56$label_1#1;
-
- inline$BDLGetDebugLevel$56$label_1#1:
- goto inline$BDLGetDebugLevel$56$Return#1;
-
- inline$BDLGetDebugLevel$56$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon32_Then#1, inline$BDLDevicePowerIoCompletion$2$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_30_true#1, inline$BDLDevicePowerIoCompletion$2$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_34#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_35#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_36#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$2$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_31#1:
- goto inline$BDLGetDebugLevel$57$Entry#1;
-
- inline$BDLGetDebugLevel$57$Entry#1:
- goto inline$BDLGetDebugLevel$57$start#1;
-
- inline$BDLGetDebugLevel$57$start#1:
- goto inline$BDLGetDebugLevel$57$label_3#1;
-
- inline$BDLGetDebugLevel$57$label_3#1:
- havoc inline$BDLGetDebugLevel$57$myNondetVar_0;
- inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$57$myNondetVar_0;
- goto inline$BDLGetDebugLevel$57$label_1#1;
-
- inline$BDLGetDebugLevel$57$label_1#1:
- goto inline$BDLGetDebugLevel$57$Return#1;
-
- inline$BDLGetDebugLevel$57$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon33_Then#1, inline$BDLDevicePowerIoCompletion$2$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_40_true#1, inline$BDLDevicePowerIoCompletion$2$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_41_true#1, inline$BDLDevicePowerIoCompletion$2$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$2$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$2$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_44_true#1, inline$BDLDevicePowerIoCompletion$2$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$2$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_45_true#1, inline$BDLDevicePowerIoCompletion$2$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$2$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$2$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$2$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$2$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$2$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_54#1:
- inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$2$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_55_true#1, inline$BDLDevicePowerIoCompletion$2$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_56#1:
- call inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$2$anon34_Then#1, inline$BDLDevicePowerIoCompletion$2$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_59#1:
- goto inline$BDLGetDebugLevel$58$Entry#1;
-
- inline$BDLGetDebugLevel$58$Entry#1:
- goto inline$BDLGetDebugLevel$58$start#1;
-
- inline$BDLGetDebugLevel$58$start#1:
- goto inline$BDLGetDebugLevel$58$label_3#1;
-
- inline$BDLGetDebugLevel$58$label_3#1:
- havoc inline$BDLGetDebugLevel$58$myNondetVar_0;
- inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$58$myNondetVar_0;
- goto inline$BDLGetDebugLevel$58$label_1#1;
-
- inline$BDLGetDebugLevel$58$label_1#1:
- goto inline$BDLGetDebugLevel$58$Return#1;
-
- inline$BDLGetDebugLevel$58$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon35_Then#1, inline$BDLDevicePowerIoCompletion$2$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_62_true#1, inline$BDLDevicePowerIoCompletion$2$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_66#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_67#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_68#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$2$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_63#1:
- goto inline$BDLGetDebugLevel$59$Entry#1;
-
- inline$BDLGetDebugLevel$59$Entry#1:
- goto inline$BDLGetDebugLevel$59$start#1;
-
- inline$BDLGetDebugLevel$59$start#1:
- goto inline$BDLGetDebugLevel$59$label_3#1;
-
- inline$BDLGetDebugLevel$59$label_3#1:
- havoc inline$BDLGetDebugLevel$59$myNondetVar_0;
- inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$59$myNondetVar_0;
- goto inline$BDLGetDebugLevel$59$label_1#1;
-
- inline$BDLGetDebugLevel$59$label_1#1:
- goto inline$BDLGetDebugLevel$59$Return#1;
-
- inline$BDLGetDebugLevel$59$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon36_Then#1, inline$BDLDevicePowerIoCompletion$2$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_72_true#1, inline$BDLDevicePowerIoCompletion$2$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_76#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_77#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_78#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$2$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_73#1:
- goto inline$BDLGetDebugLevel$60$Entry#1;
-
- inline$BDLGetDebugLevel$60$Entry#1:
- goto inline$BDLGetDebugLevel$60$start#1;
-
- inline$BDLGetDebugLevel$60$start#1:
- goto inline$BDLGetDebugLevel$60$label_3#1;
-
- inline$BDLGetDebugLevel$60$label_3#1:
- havoc inline$BDLGetDebugLevel$60$myNondetVar_0;
- inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$60$myNondetVar_0;
- goto inline$BDLGetDebugLevel$60$label_1#1;
-
- inline$BDLGetDebugLevel$60$label_1#1:
- goto inline$BDLGetDebugLevel$60$Return#1;
-
- inline$BDLGetDebugLevel$60$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon37_Then#1, inline$BDLDevicePowerIoCompletion$2$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_82_true#1, inline$BDLDevicePowerIoCompletion$2$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$2$anon38_Then#1, inline$BDLDevicePowerIoCompletion$2$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$2$anon39_Then#1, inline$BDLDevicePowerIoCompletion$2$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_92#1:
- goto inline$storm_IoCompleteRequest$5$Entry#1;
-
- inline$storm_IoCompleteRequest$5$Entry#1:
- inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$5$start#1;
-
- inline$storm_IoCompleteRequest$5$start#1:
- inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$5$label_3#1;
-
- inline$storm_IoCompleteRequest$5$label_3#1:
- call inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$5$label_6#1;
-
- inline$storm_IoCompleteRequest$5$label_6#1:
- goto inline$storm_IoCompleteRequest$5$label_6_true#1, inline$storm_IoCompleteRequest$5$label_6_false#1;
-
- inline$storm_IoCompleteRequest$5$label_6_false#1:
- assume inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$5$label_7#1;
-
- inline$storm_IoCompleteRequest$5$label_6_true#1:
- assume inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$5$label_8#1;
-
- inline$storm_IoCompleteRequest$5$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$5$anon3_Then#1, inline$storm_IoCompleteRequest$5$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$5$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$5$anon1#1;
-
- inline$storm_IoCompleteRequest$5$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$5$label_9#1;
-
- inline$storm_IoCompleteRequest$5$label_9#1:
- goto inline$storm_IoCompleteRequest$5$label_9_true#1, inline$storm_IoCompleteRequest$5$label_9_false#1;
-
- inline$storm_IoCompleteRequest$5$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$5$label_10#1;
-
- inline$storm_IoCompleteRequest$5$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$5$label_1#1;
-
- inline$storm_IoCompleteRequest$5$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$5$label_7#1;
-
- inline$storm_IoCompleteRequest$5$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$5$label_1#1;
-
- inline$storm_IoCompleteRequest$5$label_1#1:
- goto inline$storm_IoCompleteRequest$5$Return#1;
-
- inline$storm_IoCompleteRequest$5$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$5$Return#1;
-
- inline$storm_IoCompleteRequest$5$Return#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon40_Then#1, inline$BDLDevicePowerIoCompletion$2$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$2$anon41_Then#1, inline$BDLDevicePowerIoCompletion$2$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_98#1:
- goto inline$BDLGetDebugLevel$61$Entry#1;
-
- inline$BDLGetDebugLevel$61$Entry#1:
- goto inline$BDLGetDebugLevel$61$start#1;
-
- inline$BDLGetDebugLevel$61$start#1:
- goto inline$BDLGetDebugLevel$61$label_3#1;
-
- inline$BDLGetDebugLevel$61$label_3#1:
- havoc inline$BDLGetDebugLevel$61$myNondetVar_0;
- inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$61$myNondetVar_0;
- goto inline$BDLGetDebugLevel$61$label_1#1;
-
- inline$BDLGetDebugLevel$61$label_1#1:
- goto inline$BDLGetDebugLevel$61$Return#1;
-
- inline$BDLGetDebugLevel$61$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon42_Then#1, inline$BDLDevicePowerIoCompletion$2$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_101_true#1, inline$BDLDevicePowerIoCompletion$2$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_105#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_106#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_107#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$2$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_102#1:
- goto inline$BDLGetDebugLevel$62$Entry#1;
-
- inline$BDLGetDebugLevel$62$Entry#1:
- goto inline$BDLGetDebugLevel$62$start#1;
-
- inline$BDLGetDebugLevel$62$start#1:
- goto inline$BDLGetDebugLevel$62$label_3#1;
-
- inline$BDLGetDebugLevel$62$label_3#1:
- havoc inline$BDLGetDebugLevel$62$myNondetVar_0;
- inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$62$myNondetVar_0;
- goto inline$BDLGetDebugLevel$62$label_1#1;
-
- inline$BDLGetDebugLevel$62$label_1#1:
- goto inline$BDLGetDebugLevel$62$Return#1;
-
- inline$BDLGetDebugLevel$62$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon43_Then#1, inline$BDLDevicePowerIoCompletion$2$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_111_true#1, inline$BDLDevicePowerIoCompletion$2$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_115#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_116#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_117#1:
- call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$2$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$2$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_112#1:
- goto inline$BDLGetDebugLevel$63$Entry#1;
-
- inline$BDLGetDebugLevel$63$Entry#1:
- goto inline$BDLGetDebugLevel$63$start#1;
-
- inline$BDLGetDebugLevel$63$start#1:
- goto inline$BDLGetDebugLevel$63$label_3#1;
-
- inline$BDLGetDebugLevel$63$label_3#1:
- havoc inline$BDLGetDebugLevel$63$myNondetVar_0;
- inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$63$myNondetVar_0;
- goto inline$BDLGetDebugLevel$63$label_1#1;
-
- inline$BDLGetDebugLevel$63$label_1#1:
- goto inline$BDLGetDebugLevel$63$Return#1;
-
- inline$BDLGetDebugLevel$63$Return#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$2$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$2$anon44_Then#1, inline$BDLDevicePowerIoCompletion$2$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_121_true#1, inline$BDLDevicePowerIoCompletion$2$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$2$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$2$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_122#1:
- inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$2$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$2$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$2$Return#1;
-
- inline$BDLDevicePowerIoCompletion$2$Return#1:
- inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$2$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$2$anon13_Then#1, inline$CallCompletionRoutine$2$anon13_Else#1;
-
- inline$CallCompletionRoutine$2$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$2$anon7#1;
-
- inline$CallCompletionRoutine$2$anon7#1:
- goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$2$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$2$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$2$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$2$Entry#1:
- inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$2$start#1;
-
- inline$BDLCallDriverCompletionRoutine$2$start#1:
- inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$2$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$2$label_3_true#1, inline$BDLCallDriverCompletionRoutine$2$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$2$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$2$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$2$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$2$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_6#1:
- goto inline$storm_KeSetEvent$2$Entry#1;
-
- inline$storm_KeSetEvent$2$Entry#1:
- inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$2$start#1;
-
- inline$storm_KeSetEvent$2$start#1:
- inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$2$label_3#1;
-
- inline$storm_KeSetEvent$2$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$2$label_1#1;
-
- inline$storm_KeSetEvent$2$label_1#1:
- goto inline$storm_KeSetEvent$2$Return#1;
-
- inline$storm_KeSetEvent$2$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$2$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$2$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$2$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$2$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$2$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$2$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$2$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_9#1:
- inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$2$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$2$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$2$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$2$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$2$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$2$Return#1:
- inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$2$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$2$anon12_Then#1, inline$CallCompletionRoutine$2$anon12_Else#1;
-
- inline$CallCompletionRoutine$2$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$2$anon5#1;
-
- inline$CallCompletionRoutine$2$anon5#1:
- goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$2$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$2$label_23#1;
-
- inline$CallCompletionRoutine$2$label_23#1:
- inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$2$label_24#1;
-
- inline$CallCompletionRoutine$2$label_24#1:
- goto inline$CallCompletionRoutine$2$label_24_true#1, inline$CallCompletionRoutine$2$label_24_false#1;
-
- inline$CallCompletionRoutine$2$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$2$label_1#1;
-
- inline$CallCompletionRoutine$2$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$2$label_25#1;
-
- inline$CallCompletionRoutine$2$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$2$label_1#1;
-
- inline$CallCompletionRoutine$2$label_1#1:
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$2$Return#1;
-
- inline$CallCompletionRoutine$2$Return#1:
- goto inline$storm_IoCallDriver$1$label_33$1#1;
-
- inline$storm_IoCallDriver$1$label_33$1#1:
- goto inline$storm_IoCallDriver$1$anon14_Then#1, inline$storm_IoCallDriver$1$anon14_Else#1;
-
- inline$storm_IoCallDriver$1$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$1$anon8#1;
-
- inline$storm_IoCallDriver$1$anon8#1:
- goto inline$storm_IoCallDriver$1$label_36#1;
-
- inline$storm_IoCallDriver$1$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$label_27_case_1#1:
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$1$label_29#1;
-
- inline$storm_IoCallDriver$1$label_29#1:
- inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$1$label_37#1;
-
- inline$storm_IoCallDriver$1$label_37#1:
- goto inline$storm_IoCallDriver$1$label_38#1;
-
- inline$storm_IoCallDriver$1$label_38#1:
- goto inline$storm_IoCallDriver$1$label_39#1;
-
- inline$storm_IoCallDriver$1$label_39#1:
- goto inline$CallCompletionRoutine$3$Entry#1;
-
- inline$CallCompletionRoutine$3$Entry#1:
- inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$3$start#1;
-
- inline$CallCompletionRoutine$3$start#1:
- inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$3$label_3#1;
-
- inline$CallCompletionRoutine$3$label_3#1:
- goto inline$CallCompletionRoutine$3$label_4#1;
-
- inline$CallCompletionRoutine$3$label_4#1:
- goto inline$CallCompletionRoutine$3$label_5#1;
-
- inline$CallCompletionRoutine$3$label_5#1:
- goto inline$CallCompletionRoutine$3$label_6#1;
-
- inline$CallCompletionRoutine$3$label_6#1:
- goto inline$CallCompletionRoutine$3$label_7#1;
-
- inline$CallCompletionRoutine$3$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$19$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$19$Entry#1:
- inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$19$start#1;
-
- inline$IoGetCurrentIrpStackLocation$19$start#1:
- inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$19$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$19$label_3_true#1, inline$IoGetCurrentIrpStackLocation$19$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$19$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$19$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$19$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$19$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$19$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$19$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$19$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$19$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$19$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$19$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$19$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$19$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$19$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$19$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$19$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$19$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$19$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$19$Return#1:
- goto inline$CallCompletionRoutine$3$label_7$1#1;
-
- inline$CallCompletionRoutine$3$label_7$1#1:
- goto inline$CallCompletionRoutine$3$anon10_Then#1, inline$CallCompletionRoutine$3$anon10_Else#1;
-
- inline$CallCompletionRoutine$3$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$3$anon1#1;
-
- inline$CallCompletionRoutine$3$anon1#1:
- goto inline$CallCompletionRoutine$3$label_10#1;
-
- inline$CallCompletionRoutine$3$label_10#1:
- goto inline$CallCompletionRoutine$3$label_11#1;
-
- inline$CallCompletionRoutine$3$label_11#1:
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$CallCompletionRoutine$3$label_12#1;
-
- inline$CallCompletionRoutine$3$label_12#1:
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$CallCompletionRoutine$3$label_13#1;
-
- inline$CallCompletionRoutine$3$label_13#1:
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$CallCompletionRoutine$3$label_14#1;
-
- inline$CallCompletionRoutine$3$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$20$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$20$Entry#1:
- inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$20$start#1;
-
- inline$IoGetCurrentIrpStackLocation$20$start#1:
- inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$20$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$20$label_3_true#1, inline$IoGetCurrentIrpStackLocation$20$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$20$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$20$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$20$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$20$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$20$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$20$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$20$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$20$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$20$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$20$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$20$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$20$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$20$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$20$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$20$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$20$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$20$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$20$Return#1:
- goto inline$CallCompletionRoutine$3$label_14$1#1;
-
- inline$CallCompletionRoutine$3$label_14$1#1:
- goto inline$CallCompletionRoutine$3$anon11_Then#1, inline$CallCompletionRoutine$3$anon11_Else#1;
-
- inline$CallCompletionRoutine$3$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$3$anon3#1;
-
- inline$CallCompletionRoutine$3$anon3#1:
- goto inline$CallCompletionRoutine$3$label_17#1;
-
- inline$CallCompletionRoutine$3$label_17#1:
- goto inline$CallCompletionRoutine$3$label_18#1;
-
- inline$CallCompletionRoutine$3$label_18#1:
- goto inline$CallCompletionRoutine$3$label_18_true#1, inline$CallCompletionRoutine$3$label_18_false#1;
-
- inline$CallCompletionRoutine$3$label_18_false#1:
- assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$3$label_1#1;
-
- inline$CallCompletionRoutine$3$label_18_true#1:
- assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$3$label_19#1;
-
- inline$CallCompletionRoutine$3$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$3$label_20#1;
-
- inline$CallCompletionRoutine$3$label_20#1:
- goto inline$CallCompletionRoutine$3$label_20_icall_1#1, inline$CallCompletionRoutine$3$label_20_icall_2#1, inline$CallCompletionRoutine$3$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$3$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$3$Entry#1:
- inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$3$start#1;
-
- inline$BDLSystemPowerIoCompletion$3$start#1:
- call inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$3$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_4#1:
- inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_6#1:
- inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$3$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$3$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$22$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$22$Entry#1:
- inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$22$start#1;
-
- inline$IoGetCurrentIrpStackLocation$22$start#1:
- inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$22$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$22$label_3_true#1, inline$IoGetCurrentIrpStackLocation$22$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$22$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$22$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$22$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$22$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$22$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$22$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$22$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$22$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$22$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$22$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$22$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$22$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$22$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$22$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$22$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$22$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$22$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$22$Return#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon36_Then#1, inline$BDLSystemPowerIoCompletion$3$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_15#1:
- goto inline$BDLGetDebugLevel$85$Entry#1;
-
- inline$BDLGetDebugLevel$85$Entry#1:
- goto inline$BDLGetDebugLevel$85$start#1;
-
- inline$BDLGetDebugLevel$85$start#1:
- goto inline$BDLGetDebugLevel$85$label_3#1;
-
- inline$BDLGetDebugLevel$85$label_3#1:
- havoc inline$BDLGetDebugLevel$85$myNondetVar_0;
- inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$85$myNondetVar_0;
- goto inline$BDLGetDebugLevel$85$label_1#1;
-
- inline$BDLGetDebugLevel$85$label_1#1:
- goto inline$BDLGetDebugLevel$85$Return#1;
-
- inline$BDLGetDebugLevel$85$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon37_Then#1, inline$BDLSystemPowerIoCompletion$3$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_18_true#1, inline$BDLSystemPowerIoCompletion$3$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_22#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_23#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_24#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$3$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_19#1:
- goto inline$BDLGetDebugLevel$86$Entry#1;
-
- inline$BDLGetDebugLevel$86$Entry#1:
- goto inline$BDLGetDebugLevel$86$start#1;
-
- inline$BDLGetDebugLevel$86$start#1:
- goto inline$BDLGetDebugLevel$86$label_3#1;
-
- inline$BDLGetDebugLevel$86$label_3#1:
- havoc inline$BDLGetDebugLevel$86$myNondetVar_0;
- inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$86$myNondetVar_0;
- goto inline$BDLGetDebugLevel$86$label_1#1;
-
- inline$BDLGetDebugLevel$86$label_1#1:
- goto inline$BDLGetDebugLevel$86$Return#1;
-
- inline$BDLGetDebugLevel$86$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon38_Then#1, inline$BDLSystemPowerIoCompletion$3$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_28_true#1, inline$BDLSystemPowerIoCompletion$3$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_32#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_33#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_34#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$3$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_29#1:
- goto inline$BDLGetDebugLevel$87$Entry#1;
-
- inline$BDLGetDebugLevel$87$Entry#1:
- goto inline$BDLGetDebugLevel$87$start#1;
-
- inline$BDLGetDebugLevel$87$start#1:
- goto inline$BDLGetDebugLevel$87$label_3#1;
-
- inline$BDLGetDebugLevel$87$label_3#1:
- havoc inline$BDLGetDebugLevel$87$myNondetVar_0;
- inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$87$myNondetVar_0;
- goto inline$BDLGetDebugLevel$87$label_1#1;
-
- inline$BDLGetDebugLevel$87$label_1#1:
- goto inline$BDLGetDebugLevel$87$Return#1;
-
- inline$BDLGetDebugLevel$87$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon39_Then#1, inline$BDLSystemPowerIoCompletion$3$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_38_true#1, inline$BDLSystemPowerIoCompletion$3$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_39_true#1, inline$BDLSystemPowerIoCompletion$3$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$3$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$3$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$3$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_106_true#1, inline$BDLSystemPowerIoCompletion$3$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_142#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_143#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$3$myNondetVar_0, inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$3$anon50_Then#1, inline$BDLSystemPowerIoCompletion$3$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_112#1:
- inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$3$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_113_true#1, inline$BDLSystemPowerIoCompletion$3$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$3$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_117#1:
- goto inline$BDLGetDebugLevel$94$Entry#1;
-
- inline$BDLGetDebugLevel$94$Entry#1:
- goto inline$BDLGetDebugLevel$94$start#1;
-
- inline$BDLGetDebugLevel$94$start#1:
- goto inline$BDLGetDebugLevel$94$label_3#1;
-
- inline$BDLGetDebugLevel$94$label_3#1:
- havoc inline$BDLGetDebugLevel$94$myNondetVar_0;
- inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$94$myNondetVar_0;
- goto inline$BDLGetDebugLevel$94$label_1#1;
-
- inline$BDLGetDebugLevel$94$label_1#1:
- goto inline$BDLGetDebugLevel$94$Return#1;
-
- inline$BDLGetDebugLevel$94$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon51_Then#1, inline$BDLSystemPowerIoCompletion$3$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_120_true#1, inline$BDLSystemPowerIoCompletion$3$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_124#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_125#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_126#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$3$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_121#1:
- goto inline$BDLGetDebugLevel$95$Entry#1;
-
- inline$BDLGetDebugLevel$95$Entry#1:
- goto inline$BDLGetDebugLevel$95$start#1;
-
- inline$BDLGetDebugLevel$95$start#1:
- goto inline$BDLGetDebugLevel$95$label_3#1;
-
- inline$BDLGetDebugLevel$95$label_3#1:
- havoc inline$BDLGetDebugLevel$95$myNondetVar_0;
- inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$95$myNondetVar_0;
- goto inline$BDLGetDebugLevel$95$label_1#1;
-
- inline$BDLGetDebugLevel$95$label_1#1:
- goto inline$BDLGetDebugLevel$95$Return#1;
-
- inline$BDLGetDebugLevel$95$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon52_Then#1, inline$BDLSystemPowerIoCompletion$3$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_130_true#1, inline$BDLSystemPowerIoCompletion$3$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_134#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_135#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_136#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$3$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_131#1:
- goto inline$BDLGetDebugLevel$96$Entry#1;
-
- inline$BDLGetDebugLevel$96$Entry#1:
- goto inline$BDLGetDebugLevel$96$start#1;
-
- inline$BDLGetDebugLevel$96$start#1:
- goto inline$BDLGetDebugLevel$96$label_3#1;
-
- inline$BDLGetDebugLevel$96$label_3#1:
- havoc inline$BDLGetDebugLevel$96$myNondetVar_0;
- inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$96$myNondetVar_0;
- goto inline$BDLGetDebugLevel$96$label_1#1;
-
- inline$BDLGetDebugLevel$96$label_1#1:
- goto inline$BDLGetDebugLevel$96$Return#1;
-
- inline$BDLGetDebugLevel$96$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon53_Then#1, inline$BDLSystemPowerIoCompletion$3$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_140_true#1, inline$BDLSystemPowerIoCompletion$3$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$3$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_115#1:
- inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$3$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$3$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_43#1:
- goto inline$BDLGetDebugLevel$88$Entry#1;
-
- inline$BDLGetDebugLevel$88$Entry#1:
- goto inline$BDLGetDebugLevel$88$start#1;
-
- inline$BDLGetDebugLevel$88$start#1:
- goto inline$BDLGetDebugLevel$88$label_3#1;
-
- inline$BDLGetDebugLevel$88$label_3#1:
- havoc inline$BDLGetDebugLevel$88$myNondetVar_0;
- inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$88$myNondetVar_0;
- goto inline$BDLGetDebugLevel$88$label_1#1;
-
- inline$BDLGetDebugLevel$88$label_1#1:
- goto inline$BDLGetDebugLevel$88$Return#1;
-
- inline$BDLGetDebugLevel$88$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon40_Then#1, inline$BDLSystemPowerIoCompletion$3$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_46_true#1, inline$BDLSystemPowerIoCompletion$3$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_50#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_51#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_52#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$3$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_47#1:
- goto inline$BDLGetDebugLevel$89$Entry#1;
-
- inline$BDLGetDebugLevel$89$Entry#1:
- goto inline$BDLGetDebugLevel$89$start#1;
-
- inline$BDLGetDebugLevel$89$start#1:
- goto inline$BDLGetDebugLevel$89$label_3#1;
-
- inline$BDLGetDebugLevel$89$label_3#1:
- havoc inline$BDLGetDebugLevel$89$myNondetVar_0;
- inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$89$myNondetVar_0;
- goto inline$BDLGetDebugLevel$89$label_1#1;
-
- inline$BDLGetDebugLevel$89$label_1#1:
- goto inline$BDLGetDebugLevel$89$Return#1;
-
- inline$BDLGetDebugLevel$89$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon41_Then#1, inline$BDLSystemPowerIoCompletion$3$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_56_true#1, inline$BDLSystemPowerIoCompletion$3$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_60#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_61#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_62#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$3$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_57#1:
- goto inline$BDLGetDebugLevel$90$Entry#1;
-
- inline$BDLGetDebugLevel$90$Entry#1:
- goto inline$BDLGetDebugLevel$90$start#1;
-
- inline$BDLGetDebugLevel$90$start#1:
- goto inline$BDLGetDebugLevel$90$label_3#1;
-
- inline$BDLGetDebugLevel$90$label_3#1:
- havoc inline$BDLGetDebugLevel$90$myNondetVar_0;
- inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$90$myNondetVar_0;
- goto inline$BDLGetDebugLevel$90$label_1#1;
-
- inline$BDLGetDebugLevel$90$label_1#1:
- goto inline$BDLGetDebugLevel$90$Return#1;
-
- inline$BDLGetDebugLevel$90$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon42_Then#1, inline$BDLSystemPowerIoCompletion$3$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_66_true#1, inline$BDLSystemPowerIoCompletion$3$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$3$anon43_Then#1, inline$BDLSystemPowerIoCompletion$3$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$3$anon44_Then#1, inline$BDLSystemPowerIoCompletion$3$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_74#1:
- goto inline$storm_IoCompleteRequest$8$Entry#1;
-
- inline$storm_IoCompleteRequest$8$Entry#1:
- inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$8$start#1;
-
- inline$storm_IoCompleteRequest$8$start#1:
- inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$8$label_3#1;
-
- inline$storm_IoCompleteRequest$8$label_3#1:
- call inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$8$label_6#1;
-
- inline$storm_IoCompleteRequest$8$label_6#1:
- goto inline$storm_IoCompleteRequest$8$label_6_true#1, inline$storm_IoCompleteRequest$8$label_6_false#1;
-
- inline$storm_IoCompleteRequest$8$label_6_false#1:
- assume inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$8$label_7#1;
-
- inline$storm_IoCompleteRequest$8$label_6_true#1:
- assume inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$8$label_8#1;
-
- inline$storm_IoCompleteRequest$8$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$8$anon3_Then#1, inline$storm_IoCompleteRequest$8$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$8$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$8$anon1#1;
-
- inline$storm_IoCompleteRequest$8$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$8$label_9#1;
-
- inline$storm_IoCompleteRequest$8$label_9#1:
- goto inline$storm_IoCompleteRequest$8$label_9_true#1, inline$storm_IoCompleteRequest$8$label_9_false#1;
-
- inline$storm_IoCompleteRequest$8$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$8$label_10#1;
-
- inline$storm_IoCompleteRequest$8$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$8$label_1#1;
-
- inline$storm_IoCompleteRequest$8$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$8$label_7#1;
-
- inline$storm_IoCompleteRequest$8$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$8$label_1#1;
-
- inline$storm_IoCompleteRequest$8$label_1#1:
- goto inline$storm_IoCompleteRequest$8$Return#1;
-
- inline$storm_IoCompleteRequest$8$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$8$Return#1;
-
- inline$storm_IoCompleteRequest$8$Return#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon45_Then#1, inline$BDLSystemPowerIoCompletion$3$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$3$anon46_Then#1, inline$BDLSystemPowerIoCompletion$3$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_80#1:
- goto inline$BDLGetDebugLevel$91$Entry#1;
-
- inline$BDLGetDebugLevel$91$Entry#1:
- goto inline$BDLGetDebugLevel$91$start#1;
-
- inline$BDLGetDebugLevel$91$start#1:
- goto inline$BDLGetDebugLevel$91$label_3#1;
-
- inline$BDLGetDebugLevel$91$label_3#1:
- havoc inline$BDLGetDebugLevel$91$myNondetVar_0;
- inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$91$myNondetVar_0;
- goto inline$BDLGetDebugLevel$91$label_1#1;
-
- inline$BDLGetDebugLevel$91$label_1#1:
- goto inline$BDLGetDebugLevel$91$Return#1;
-
- inline$BDLGetDebugLevel$91$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon47_Then#1, inline$BDLSystemPowerIoCompletion$3$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_83_true#1, inline$BDLSystemPowerIoCompletion$3$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_87#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_88#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_89#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$3$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_84#1:
- goto inline$BDLGetDebugLevel$92$Entry#1;
-
- inline$BDLGetDebugLevel$92$Entry#1:
- goto inline$BDLGetDebugLevel$92$start#1;
-
- inline$BDLGetDebugLevel$92$start#1:
- goto inline$BDLGetDebugLevel$92$label_3#1;
-
- inline$BDLGetDebugLevel$92$label_3#1:
- havoc inline$BDLGetDebugLevel$92$myNondetVar_0;
- inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$92$myNondetVar_0;
- goto inline$BDLGetDebugLevel$92$label_1#1;
-
- inline$BDLGetDebugLevel$92$label_1#1:
- goto inline$BDLGetDebugLevel$92$Return#1;
-
- inline$BDLGetDebugLevel$92$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon48_Then#1, inline$BDLSystemPowerIoCompletion$3$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_93_true#1, inline$BDLSystemPowerIoCompletion$3$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_97#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_98#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_99#1:
- call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$3$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$3$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_94#1:
- goto inline$BDLGetDebugLevel$93$Entry#1;
-
- inline$BDLGetDebugLevel$93$Entry#1:
- goto inline$BDLGetDebugLevel$93$start#1;
-
- inline$BDLGetDebugLevel$93$start#1:
- goto inline$BDLGetDebugLevel$93$label_3#1;
-
- inline$BDLGetDebugLevel$93$label_3#1:
- havoc inline$BDLGetDebugLevel$93$myNondetVar_0;
- inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$93$myNondetVar_0;
- goto inline$BDLGetDebugLevel$93$label_1#1;
-
- inline$BDLGetDebugLevel$93$label_1#1:
- goto inline$BDLGetDebugLevel$93$Return#1;
-
- inline$BDLGetDebugLevel$93$Return#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$3$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$3$anon49_Then#1, inline$BDLSystemPowerIoCompletion$3$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_103_true#1, inline$BDLSystemPowerIoCompletion$3$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$3$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$3$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_104#1:
- inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$3$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$3$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$3$Return#1;
-
- inline$BDLSystemPowerIoCompletion$3$Return#1:
- inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$3$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$3$anon14_Then#1, inline$CallCompletionRoutine$3$anon14_Else#1;
-
- inline$CallCompletionRoutine$3$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$3$anon9#1;
-
- inline$CallCompletionRoutine$3$anon9#1:
- goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$3$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$3$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$3$Entry#1:
- inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$3$start#1;
-
- inline$BDLDevicePowerIoCompletion$3$start#1:
- call inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$3$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_4#1:
- inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_6#1:
- inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$3$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$3$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$21$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$21$Entry#1:
- inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$21$start#1;
-
- inline$IoGetCurrentIrpStackLocation$21$start#1:
- inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$21$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$21$label_3_true#1, inline$IoGetCurrentIrpStackLocation$21$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$21$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$21$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$21$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$21$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$21$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$21$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$21$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$21$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$21$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$21$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$21$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$21$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$21$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$21$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$21$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$21$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$21$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon30_Then#1, inline$BDLDevicePowerIoCompletion$3$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_13#1:
- inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$3$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$3$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_17#1:
- goto inline$BDLGetDebugLevel$76$Entry#1;
-
- inline$BDLGetDebugLevel$76$Entry#1:
- goto inline$BDLGetDebugLevel$76$start#1;
-
- inline$BDLGetDebugLevel$76$start#1:
- goto inline$BDLGetDebugLevel$76$label_3#1;
-
- inline$BDLGetDebugLevel$76$label_3#1:
- havoc inline$BDLGetDebugLevel$76$myNondetVar_0;
- inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$76$myNondetVar_0;
- goto inline$BDLGetDebugLevel$76$label_1#1;
-
- inline$BDLGetDebugLevel$76$label_1#1:
- goto inline$BDLGetDebugLevel$76$Return#1;
-
- inline$BDLGetDebugLevel$76$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon31_Then#1, inline$BDLDevicePowerIoCompletion$3$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_20_true#1, inline$BDLDevicePowerIoCompletion$3$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_24#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_25#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_26#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$3$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_21#1:
- goto inline$BDLGetDebugLevel$77$Entry#1;
-
- inline$BDLGetDebugLevel$77$Entry#1:
- goto inline$BDLGetDebugLevel$77$start#1;
-
- inline$BDLGetDebugLevel$77$start#1:
- goto inline$BDLGetDebugLevel$77$label_3#1;
-
- inline$BDLGetDebugLevel$77$label_3#1:
- havoc inline$BDLGetDebugLevel$77$myNondetVar_0;
- inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$77$myNondetVar_0;
- goto inline$BDLGetDebugLevel$77$label_1#1;
-
- inline$BDLGetDebugLevel$77$label_1#1:
- goto inline$BDLGetDebugLevel$77$Return#1;
-
- inline$BDLGetDebugLevel$77$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon32_Then#1, inline$BDLDevicePowerIoCompletion$3$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_30_true#1, inline$BDLDevicePowerIoCompletion$3$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_34#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_35#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_36#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$3$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_31#1:
- goto inline$BDLGetDebugLevel$78$Entry#1;
-
- inline$BDLGetDebugLevel$78$Entry#1:
- goto inline$BDLGetDebugLevel$78$start#1;
-
- inline$BDLGetDebugLevel$78$start#1:
- goto inline$BDLGetDebugLevel$78$label_3#1;
-
- inline$BDLGetDebugLevel$78$label_3#1:
- havoc inline$BDLGetDebugLevel$78$myNondetVar_0;
- inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$78$myNondetVar_0;
- goto inline$BDLGetDebugLevel$78$label_1#1;
-
- inline$BDLGetDebugLevel$78$label_1#1:
- goto inline$BDLGetDebugLevel$78$Return#1;
-
- inline$BDLGetDebugLevel$78$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon33_Then#1, inline$BDLDevicePowerIoCompletion$3$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_40_true#1, inline$BDLDevicePowerIoCompletion$3$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_41_true#1, inline$BDLDevicePowerIoCompletion$3$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$3$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$3$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_44_true#1, inline$BDLDevicePowerIoCompletion$3$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$3$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_45_true#1, inline$BDLDevicePowerIoCompletion$3$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$3$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$3$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$3$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$3$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$3$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_54#1:
- inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$3$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_55_true#1, inline$BDLDevicePowerIoCompletion$3$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_56#1:
- call inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$3$anon34_Then#1, inline$BDLDevicePowerIoCompletion$3$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_59#1:
- goto inline$BDLGetDebugLevel$79$Entry#1;
-
- inline$BDLGetDebugLevel$79$Entry#1:
- goto inline$BDLGetDebugLevel$79$start#1;
-
- inline$BDLGetDebugLevel$79$start#1:
- goto inline$BDLGetDebugLevel$79$label_3#1;
-
- inline$BDLGetDebugLevel$79$label_3#1:
- havoc inline$BDLGetDebugLevel$79$myNondetVar_0;
- inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$79$myNondetVar_0;
- goto inline$BDLGetDebugLevel$79$label_1#1;
-
- inline$BDLGetDebugLevel$79$label_1#1:
- goto inline$BDLGetDebugLevel$79$Return#1;
-
- inline$BDLGetDebugLevel$79$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon35_Then#1, inline$BDLDevicePowerIoCompletion$3$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_62_true#1, inline$BDLDevicePowerIoCompletion$3$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_66#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_67#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_68#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$3$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_63#1:
- goto inline$BDLGetDebugLevel$80$Entry#1;
-
- inline$BDLGetDebugLevel$80$Entry#1:
- goto inline$BDLGetDebugLevel$80$start#1;
-
- inline$BDLGetDebugLevel$80$start#1:
- goto inline$BDLGetDebugLevel$80$label_3#1;
-
- inline$BDLGetDebugLevel$80$label_3#1:
- havoc inline$BDLGetDebugLevel$80$myNondetVar_0;
- inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$80$myNondetVar_0;
- goto inline$BDLGetDebugLevel$80$label_1#1;
-
- inline$BDLGetDebugLevel$80$label_1#1:
- goto inline$BDLGetDebugLevel$80$Return#1;
-
- inline$BDLGetDebugLevel$80$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon36_Then#1, inline$BDLDevicePowerIoCompletion$3$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_72_true#1, inline$BDLDevicePowerIoCompletion$3$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_76#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_77#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_78#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$3$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_73#1:
- goto inline$BDLGetDebugLevel$81$Entry#1;
-
- inline$BDLGetDebugLevel$81$Entry#1:
- goto inline$BDLGetDebugLevel$81$start#1;
-
- inline$BDLGetDebugLevel$81$start#1:
- goto inline$BDLGetDebugLevel$81$label_3#1;
-
- inline$BDLGetDebugLevel$81$label_3#1:
- havoc inline$BDLGetDebugLevel$81$myNondetVar_0;
- inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$81$myNondetVar_0;
- goto inline$BDLGetDebugLevel$81$label_1#1;
-
- inline$BDLGetDebugLevel$81$label_1#1:
- goto inline$BDLGetDebugLevel$81$Return#1;
-
- inline$BDLGetDebugLevel$81$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon37_Then#1, inline$BDLDevicePowerIoCompletion$3$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_82_true#1, inline$BDLDevicePowerIoCompletion$3$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$3$anon38_Then#1, inline$BDLDevicePowerIoCompletion$3$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$3$anon39_Then#1, inline$BDLDevicePowerIoCompletion$3$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_92#1:
- goto inline$storm_IoCompleteRequest$7$Entry#1;
-
- inline$storm_IoCompleteRequest$7$Entry#1:
- inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$7$start#1;
-
- inline$storm_IoCompleteRequest$7$start#1:
- inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$7$label_3#1;
-
- inline$storm_IoCompleteRequest$7$label_3#1:
- call inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$7$label_6#1;
-
- inline$storm_IoCompleteRequest$7$label_6#1:
- goto inline$storm_IoCompleteRequest$7$label_6_true#1, inline$storm_IoCompleteRequest$7$label_6_false#1;
-
- inline$storm_IoCompleteRequest$7$label_6_false#1:
- assume inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$7$label_7#1;
-
- inline$storm_IoCompleteRequest$7$label_6_true#1:
- assume inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$7$label_8#1;
-
- inline$storm_IoCompleteRequest$7$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$7$anon3_Then#1, inline$storm_IoCompleteRequest$7$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$7$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$7$anon1#1;
-
- inline$storm_IoCompleteRequest$7$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$7$label_9#1;
-
- inline$storm_IoCompleteRequest$7$label_9#1:
- goto inline$storm_IoCompleteRequest$7$label_9_true#1, inline$storm_IoCompleteRequest$7$label_9_false#1;
-
- inline$storm_IoCompleteRequest$7$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$7$label_10#1;
-
- inline$storm_IoCompleteRequest$7$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$7$label_1#1;
-
- inline$storm_IoCompleteRequest$7$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$7$label_7#1;
-
- inline$storm_IoCompleteRequest$7$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$7$label_1#1;
-
- inline$storm_IoCompleteRequest$7$label_1#1:
- goto inline$storm_IoCompleteRequest$7$Return#1;
-
- inline$storm_IoCompleteRequest$7$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$7$Return#1;
-
- inline$storm_IoCompleteRequest$7$Return#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon40_Then#1, inline$BDLDevicePowerIoCompletion$3$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$3$anon41_Then#1, inline$BDLDevicePowerIoCompletion$3$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_98#1:
- goto inline$BDLGetDebugLevel$82$Entry#1;
-
- inline$BDLGetDebugLevel$82$Entry#1:
- goto inline$BDLGetDebugLevel$82$start#1;
-
- inline$BDLGetDebugLevel$82$start#1:
- goto inline$BDLGetDebugLevel$82$label_3#1;
-
- inline$BDLGetDebugLevel$82$label_3#1:
- havoc inline$BDLGetDebugLevel$82$myNondetVar_0;
- inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$82$myNondetVar_0;
- goto inline$BDLGetDebugLevel$82$label_1#1;
-
- inline$BDLGetDebugLevel$82$label_1#1:
- goto inline$BDLGetDebugLevel$82$Return#1;
-
- inline$BDLGetDebugLevel$82$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon42_Then#1, inline$BDLDevicePowerIoCompletion$3$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_101_true#1, inline$BDLDevicePowerIoCompletion$3$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_105#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_106#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_107#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$3$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_102#1:
- goto inline$BDLGetDebugLevel$83$Entry#1;
-
- inline$BDLGetDebugLevel$83$Entry#1:
- goto inline$BDLGetDebugLevel$83$start#1;
-
- inline$BDLGetDebugLevel$83$start#1:
- goto inline$BDLGetDebugLevel$83$label_3#1;
-
- inline$BDLGetDebugLevel$83$label_3#1:
- havoc inline$BDLGetDebugLevel$83$myNondetVar_0;
- inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$83$myNondetVar_0;
- goto inline$BDLGetDebugLevel$83$label_1#1;
-
- inline$BDLGetDebugLevel$83$label_1#1:
- goto inline$BDLGetDebugLevel$83$Return#1;
-
- inline$BDLGetDebugLevel$83$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon43_Then#1, inline$BDLDevicePowerIoCompletion$3$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_111_true#1, inline$BDLDevicePowerIoCompletion$3$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_115#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_116#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_117#1:
- call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$3$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$3$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_112#1:
- goto inline$BDLGetDebugLevel$84$Entry#1;
-
- inline$BDLGetDebugLevel$84$Entry#1:
- goto inline$BDLGetDebugLevel$84$start#1;
-
- inline$BDLGetDebugLevel$84$start#1:
- goto inline$BDLGetDebugLevel$84$label_3#1;
-
- inline$BDLGetDebugLevel$84$label_3#1:
- havoc inline$BDLGetDebugLevel$84$myNondetVar_0;
- inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$84$myNondetVar_0;
- goto inline$BDLGetDebugLevel$84$label_1#1;
-
- inline$BDLGetDebugLevel$84$label_1#1:
- goto inline$BDLGetDebugLevel$84$Return#1;
-
- inline$BDLGetDebugLevel$84$Return#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$3$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$3$anon44_Then#1, inline$BDLDevicePowerIoCompletion$3$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_121_true#1, inline$BDLDevicePowerIoCompletion$3$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$3$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$3$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_122#1:
- inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$3$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$3$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$3$Return#1;
-
- inline$BDLDevicePowerIoCompletion$3$Return#1:
- inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$3$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$3$anon13_Then#1, inline$CallCompletionRoutine$3$anon13_Else#1;
-
- inline$CallCompletionRoutine$3$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$3$anon7#1;
-
- inline$CallCompletionRoutine$3$anon7#1:
- goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$3$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$3$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$3$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$3$Entry#1:
- inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$3$start#1;
-
- inline$BDLCallDriverCompletionRoutine$3$start#1:
- inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$3$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$3$label_3_true#1, inline$BDLCallDriverCompletionRoutine$3$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$3$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$3$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$3$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$3$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_6#1:
- goto inline$storm_KeSetEvent$3$Entry#1;
-
- inline$storm_KeSetEvent$3$Entry#1:
- inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$3$start#1;
-
- inline$storm_KeSetEvent$3$start#1:
- inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$3$label_3#1;
-
- inline$storm_KeSetEvent$3$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$3$label_1#1;
-
- inline$storm_KeSetEvent$3$label_1#1:
- goto inline$storm_KeSetEvent$3$Return#1;
-
- inline$storm_KeSetEvent$3$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$3$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$3$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$3$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$3$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$3$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$3$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$3$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_9#1:
- inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$3$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$3$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$3$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$3$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$3$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$3$Return#1:
- inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$3$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$3$anon12_Then#1, inline$CallCompletionRoutine$3$anon12_Else#1;
-
- inline$CallCompletionRoutine$3$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$3$anon5#1;
-
- inline$CallCompletionRoutine$3$anon5#1:
- goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$3$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$3$label_23#1;
-
- inline$CallCompletionRoutine$3$label_23#1:
- inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$3$label_24#1;
-
- inline$CallCompletionRoutine$3$label_24#1:
- goto inline$CallCompletionRoutine$3$label_24_true#1, inline$CallCompletionRoutine$3$label_24_false#1;
-
- inline$CallCompletionRoutine$3$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$3$label_1#1;
-
- inline$CallCompletionRoutine$3$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$3$label_25#1;
-
- inline$CallCompletionRoutine$3$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$3$label_1#1;
-
- inline$CallCompletionRoutine$3$label_1#1:
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$3$Return#1;
-
- inline$CallCompletionRoutine$3$Return#1:
- goto inline$storm_IoCallDriver$1$label_39$1#1;
-
- inline$storm_IoCallDriver$1$label_39$1#1:
- goto inline$storm_IoCallDriver$1$anon15_Then#1, inline$storm_IoCallDriver$1$anon15_Else#1;
-
- inline$storm_IoCallDriver$1$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$1$anon10#1;
-
- inline$storm_IoCallDriver$1$anon10#1:
- goto inline$storm_IoCallDriver$1$label_36#1;
-
- inline$storm_IoCallDriver$1$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$label_27_case_0#1:
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$1$label_28#1;
-
- inline$storm_IoCallDriver$1$label_28#1:
- inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$1$label_42#1;
-
- inline$storm_IoCallDriver$1$label_42#1:
- goto inline$storm_IoCallDriver$1$label_43#1;
-
- inline$storm_IoCallDriver$1$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$1$label_36#1;
-
- inline$storm_IoCallDriver$1$label_36#1:
- inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$1$label_1#1;
-
- inline$storm_IoCallDriver$1$label_1#1:
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$1$Return#1;
-
- inline$storm_IoCallDriver$1$Return#1:
- inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$;
- goto inline$storm_PoCallDriver$0$label_3$1#1;
-
- inline$storm_PoCallDriver$0$label_3$1#1:
- goto inline$storm_PoCallDriver$0$anon2_Then#1, inline$storm_PoCallDriver$0$anon2_Else#1;
-
- inline$storm_PoCallDriver$0$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_PoCallDriver$0$anon1#1;
-
- inline$storm_PoCallDriver$0$anon1#1:
- goto inline$storm_PoCallDriver$0$label_6#1;
-
- inline$storm_PoCallDriver$0$label_6#1:
- inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$;
- goto inline$storm_PoCallDriver$0$label_1#1;
-
- inline$storm_PoCallDriver$0$label_1#1:
- goto inline$storm_PoCallDriver$0$Return#1;
-
- inline$storm_PoCallDriver$0$anon2_Then#1:
- assume raiseException;
- goto inline$storm_PoCallDriver$0$Return#1;
-
- inline$storm_PoCallDriver$0$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_25$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_25$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon22_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon22_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon13#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon13#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_28#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_28#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_29#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_29#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_29_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_29_false#1:
- assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_29_true#1:
- assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_31#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_31#1:
- goto inline$storm_KeWaitForSingleObject$0$Entry#1;
-
- inline$storm_KeWaitForSingleObject$0$Entry#1:
- inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
- inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
- goto inline$storm_KeWaitForSingleObject$0$start#1;
-
- inline$storm_KeWaitForSingleObject$0$start#1:
- inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
- inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
- goto inline$storm_KeWaitForSingleObject$0$label_3#1;
-
- inline$storm_KeWaitForSingleObject$0$label_3#1:
- goto inline$storm_KeWaitForSingleObject$0$label_3_true#1, inline$storm_KeWaitForSingleObject$0$label_3_false#1;
-
- inline$storm_KeWaitForSingleObject$0$label_3_false#1:
- assume inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
- goto inline$storm_KeWaitForSingleObject$0$label_4#1;
-
- inline$storm_KeWaitForSingleObject$0$label_4#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon6_Then#1, inline$storm_KeWaitForSingleObject$0$anon6_Else#1;
-
- inline$storm_KeWaitForSingleObject$0$anon6_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon1#1;
-
- inline$storm_KeWaitForSingleObject$0$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$0$label_13#1;
-
- inline$storm_KeWaitForSingleObject$0$label_13#1:
- inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$0$label_1#1;
-
- inline$storm_KeWaitForSingleObject$0$anon6_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$0$Return#1;
-
- inline$storm_KeWaitForSingleObject$0$label_3_true#1:
- assume inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
- goto inline$storm_KeWaitForSingleObject$0$label_5#1;
-
- inline$storm_KeWaitForSingleObject$0$label_5#1:
- call inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ := storm_nondet();
- goto inline$storm_KeWaitForSingleObject$0$label_8#1;
-
- inline$storm_KeWaitForSingleObject$0$label_8#1:
- goto inline$storm_KeWaitForSingleObject$0$label_8_true#1, inline$storm_KeWaitForSingleObject$0$label_8_false#1;
-
- inline$storm_KeWaitForSingleObject$0$label_8_false#1:
- assume inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ == 0;
- goto inline$storm_KeWaitForSingleObject$0$label_9#1;
-
- inline$storm_KeWaitForSingleObject$0$label_9#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon7_Then#1, inline$storm_KeWaitForSingleObject$0$anon7_Else#1;
-
- inline$storm_KeWaitForSingleObject$0$anon7_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon3#1;
-
- inline$storm_KeWaitForSingleObject$0$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$0$label_12#1;
-
- inline$storm_KeWaitForSingleObject$0$label_12#1:
- inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
- goto inline$storm_KeWaitForSingleObject$0$label_1#1;
-
- inline$storm_KeWaitForSingleObject$0$anon7_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$0$Return#1;
-
- inline$storm_KeWaitForSingleObject$0$label_8_true#1:
- assume inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ != 0;
- goto inline$storm_KeWaitForSingleObject$0$label_10#1;
-
- inline$storm_KeWaitForSingleObject$0$label_10#1:
- havoc raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon8_Then#1, inline$storm_KeWaitForSingleObject$0$anon8_Else#1;
-
- inline$storm_KeWaitForSingleObject$0$anon8_Else#1:
- assume !raiseException;
- goto inline$storm_KeWaitForSingleObject$0$anon5#1;
-
- inline$storm_KeWaitForSingleObject$0$anon5#1:
- assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
- call contextSwitch();
- goto inline$storm_KeWaitForSingleObject$0$label_11#1;
-
- inline$storm_KeWaitForSingleObject$0$label_11#1:
- inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
- goto inline$storm_KeWaitForSingleObject$0$label_1#1;
-
- inline$storm_KeWaitForSingleObject$0$label_1#1:
- goto inline$storm_KeWaitForSingleObject$0$Return#1;
-
- inline$storm_KeWaitForSingleObject$0$anon8_Then#1:
- assume raiseException;
- goto inline$storm_KeWaitForSingleObject$0$Return#1;
-
- inline$storm_KeWaitForSingleObject$0$Return#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_31$1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_31$1#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon23_Else#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon23_Else#1:
- assume !raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$anon15#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon15#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_34#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_34#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_35#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_35#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_35_false#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_35_false#1:
- assume inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_35_true#1:
- assume inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_37#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_37#1:
- call inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_38#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_38#1:
- call inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_39#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_39#1:
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_36#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_36#1:
- havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
- inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_30#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_30#1:
- inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
- goto inline$BDLCallLowerLevelDriverAndWait$0$label_1#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$label_1#1:
- call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon23_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon22_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon21_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon19_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon18_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon17_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$anon16_Then#1:
- assume raiseException;
- goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
-
- inline$BDLCallLowerLevelDriverAndWait$0$Return#1:
- inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$ := inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
- goto inline$BDLPnPStart$0$label_32$1#1;
-
- inline$BDLPnPStart$0$label_32$1#1:
- goto inline$BDLPnPStart$0$anon39_Then#1, inline$BDLPnPStart$0$anon39_Else#1;
-
- inline$BDLPnPStart$0$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon7#1;
-
- inline$BDLPnPStart$0$anon7#1:
- goto inline$BDLPnPStart$0$label_36#1;
-
- inline$BDLPnPStart$0$label_36#1:
- inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$;
- goto inline$BDLPnPStart$0$label_37#1;
-
- inline$BDLPnPStart$0$label_37#1:
- goto inline$BDLPnPStart$0$label_37_true#1, inline$BDLPnPStart$0$label_37_false#1;
-
- inline$BDLPnPStart$0$label_37_false#1:
- assume !INT_LEQ(0, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16);
- goto inline$BDLPnPStart$0$label_38#1;
-
- inline$BDLPnPStart$0$label_38#1:
- goto inline$BDLGetDebugLevel$97$Entry#1;
-
- inline$BDLGetDebugLevel$97$Entry#1:
- goto inline$BDLGetDebugLevel$97$start#1;
-
- inline$BDLGetDebugLevel$97$start#1:
- goto inline$BDLGetDebugLevel$97$label_3#1;
-
- inline$BDLGetDebugLevel$97$label_3#1:
- havoc inline$BDLGetDebugLevel$97$myNondetVar_0;
- inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$97$myNondetVar_0;
- goto inline$BDLGetDebugLevel$97$label_1#1;
-
- inline$BDLGetDebugLevel$97$label_1#1:
- goto inline$BDLGetDebugLevel$97$Return#1;
-
- inline$BDLGetDebugLevel$97$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$ := inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_38$1#1;
-
- inline$BDLPnPStart$0$label_38$1#1:
- goto inline$BDLPnPStart$0$anon40_Then#1, inline$BDLPnPStart$0$anon40_Else#1;
-
- inline$BDLPnPStart$0$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon9#1;
-
- inline$BDLPnPStart$0$anon9#1:
- goto inline$BDLPnPStart$0$label_151#1;
-
- inline$BDLPnPStart$0$label_151#1:
- goto inline$BDLPnPStart$0$label_151_true#1, inline$BDLPnPStart$0$label_151_false#1;
-
- inline$BDLPnPStart$0$label_151_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$) == 0;
- goto inline$BDLPnPStart$0$label_152#1;
-
- inline$BDLPnPStart$0$label_151_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$) != 0;
- goto inline$BDLPnPStart$0$label_155#1;
-
- inline$BDLPnPStart$0$label_155#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_156#1;
-
- inline$BDLPnPStart$0$label_156#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_157#1;
-
- inline$BDLPnPStart$0$label_157#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_158#1;
-
- inline$BDLPnPStart$0$label_158#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$1979.0$15$;
- goto inline$BDLPnPStart$0$label_152#1;
-
- inline$BDLPnPStart$0$label_152#1:
- goto inline$BDLGetDebugLevel$107$Entry#1;
-
- inline$BDLGetDebugLevel$107$Entry#1:
- goto inline$BDLGetDebugLevel$107$start#1;
-
- inline$BDLGetDebugLevel$107$start#1:
- goto inline$BDLGetDebugLevel$107$label_3#1;
-
- inline$BDLGetDebugLevel$107$label_3#1:
- havoc inline$BDLGetDebugLevel$107$myNondetVar_0;
- inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$107$myNondetVar_0;
- goto inline$BDLGetDebugLevel$107$label_1#1;
-
- inline$BDLGetDebugLevel$107$label_1#1:
- goto inline$BDLGetDebugLevel$107$Return#1;
-
- inline$BDLGetDebugLevel$107$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$ := inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_152$1#1;
-
- inline$BDLPnPStart$0$label_152$1#1:
- goto inline$BDLPnPStart$0$anon52_Then#1, inline$BDLPnPStart$0$anon52_Else#1;
-
- inline$BDLPnPStart$0$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon33#1;
-
- inline$BDLPnPStart$0$anon33#1:
- goto inline$BDLPnPStart$0$label_161#1;
-
- inline$BDLPnPStart$0$label_161#1:
- goto inline$BDLPnPStart$0$label_161_true#1, inline$BDLPnPStart$0$label_161_false#1;
-
- inline$BDLPnPStart$0$label_161_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$) == 0;
- goto inline$BDLPnPStart$0$label_162#1;
-
- inline$BDLPnPStart$0$label_161_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$) != 0;
- goto inline$BDLPnPStart$0$label_165#1;
-
- inline$BDLPnPStart$0$label_165#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_166#1;
-
- inline$BDLPnPStart$0$label_166#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_167#1;
-
- inline$BDLPnPStart$0$label_167#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_168#1;
-
- inline$BDLPnPStart$0$label_168#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$1979.0$20$;
- goto inline$BDLPnPStart$0$label_162#1;
-
- inline$BDLPnPStart$0$label_162#1:
- goto inline$BDLGetDebugLevel$108$Entry#1;
-
- inline$BDLGetDebugLevel$108$Entry#1:
- goto inline$BDLGetDebugLevel$108$start#1;
-
- inline$BDLGetDebugLevel$108$start#1:
- goto inline$BDLGetDebugLevel$108$label_3#1;
-
- inline$BDLGetDebugLevel$108$label_3#1:
- havoc inline$BDLGetDebugLevel$108$myNondetVar_0;
- inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$108$myNondetVar_0;
- goto inline$BDLGetDebugLevel$108$label_1#1;
-
- inline$BDLGetDebugLevel$108$label_1#1:
- goto inline$BDLGetDebugLevel$108$Return#1;
-
- inline$BDLGetDebugLevel$108$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$ := inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_162$1#1;
-
- inline$BDLPnPStart$0$label_162$1#1:
- goto inline$BDLPnPStart$0$anon53_Then#1, inline$BDLPnPStart$0$anon53_Else#1;
-
- inline$BDLPnPStart$0$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon35#1;
-
- inline$BDLPnPStart$0$anon35#1:
- goto inline$BDLPnPStart$0$label_171#1;
-
- inline$BDLPnPStart$0$label_171#1:
- goto inline$BDLPnPStart$0$label_171_true#1, inline$BDLPnPStart$0$label_171_false#1;
-
- inline$BDLPnPStart$0$label_171_false#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$, 4) == 0;
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$label_171_true#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$, 4) != 0;
- goto inline$BDLPnPStart$0$label_172#1;
-
- inline$BDLPnPStart$0$label_172#1:
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$anon53_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon52_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon40_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$label_37_true#1:
- assume INT_LEQ(0, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16);
- goto inline$BDLPnPStart$0$label_41#1;
-
- inline$BDLPnPStart$0$label_41#1:
- havoc inline$BDLPnPStart$0$$result.memset$1992.4$25$;
- goto inline$BDLPnPStart$0$label_44#1;
-
- inline$BDLPnPStart$0$label_44#1:
- goto inline$BDLPnPStart$0$label_45#1;
-
- inline$BDLPnPStart$0$label_45#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_46#1;
-
- inline$BDLPnPStart$0$label_46#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_47#1;
-
- inline$BDLPnPStart$0$label_47#1:
- goto inline$BDLPnPStart$0$label_50#1;
-
- inline$BDLPnPStart$0$label_50#1:
- inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$callresult.$1999.70$26$;
- goto inline$BDLPnPStart$0$label_51#1;
-
- inline$BDLPnPStart$0$label_51#1:
- goto inline$BDLPnPStart$0$label_51_true#1, inline$BDLPnPStart$0$label_51_false#1;
-
- inline$BDLPnPStart$0$label_51_false#1:
- assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 == 0;
- goto inline$BDLPnPStart$0$label_52#1;
-
- inline$BDLPnPStart$0$label_52#1:
- goto inline$BDLPnPStart$0$label_104#1;
-
- inline$BDLPnPStart$0$label_104#1:
- goto inline$BDLPnPStart$0$label_105#1;
-
- inline$BDLPnPStart$0$label_105#1:
- havoc inline$BDLPnPStart$0$$result.memcpy$2018.0$38$;
- goto inline$BDLPnPStart$0$label_108#1;
-
- inline$BDLPnPStart$0$label_108#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_109#1;
-
- inline$BDLPnPStart$0$label_109#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_110#1;
-
- inline$BDLPnPStart$0$label_110#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_111#1;
-
- inline$BDLPnPStart$0$label_111#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_112#1;
-
- inline$BDLPnPStart$0$label_112#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_113#1;
-
- inline$BDLPnPStart$0$label_113#1:
- havoc inline$BDLPnPStart$0$myNondetVar_0;
- goto inline$BDLPnPStart$0$label_114#1;
-
- inline$BDLPnPStart$0$label_114#1:
- call inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16), 1);
- goto inline$BDLPnPStart$0$anon47_Then#1, inline$BDLPnPStart$0$anon47_Else#1;
-
- inline$BDLPnPStart$0$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon23#1;
-
- inline$BDLPnPStart$0$anon23#1:
- goto inline$BDLPnPStart$0$label_117#1;
-
- inline$BDLPnPStart$0$label_117#1:
- inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$;
- goto inline$BDLPnPStart$0$label_118#1;
-
- inline$BDLPnPStart$0$label_118#1:
- goto inline$BDLPnPStart$0$label_118_true#1, inline$BDLPnPStart$0$label_118_false#1;
-
- inline$BDLPnPStart$0$label_118_false#1:
- assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 == 0;
- goto inline$BDLPnPStart$0$label_119#1;
-
- inline$BDLPnPStart$0$label_119#1:
- goto inline$BDLPnPStart$0$label_148#1;
-
- inline$BDLPnPStart$0$label_148#1:
- goto inline$storm_KeSetEvent$4$Entry#1;
-
- inline$storm_KeSetEvent$4$Entry#1:
- inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16);
- goto inline$storm_KeSetEvent$4$start#1;
-
- inline$storm_KeSetEvent$4$start#1:
- inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$4$label_3#1;
-
- inline$storm_KeSetEvent$4$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$4$label_1#1;
-
- inline$storm_KeSetEvent$4$label_1#1:
- goto inline$storm_KeSetEvent$4$Return#1;
-
- inline$storm_KeSetEvent$4$Return#1:
- goto inline$BDLPnPStart$0$label_148$1#1;
-
- inline$BDLPnPStart$0$label_148$1#1:
- goto inline$BDLPnPStart$0$anon51_Then#1, inline$BDLPnPStart$0$anon51_Else#1;
-
- inline$BDLPnPStart$0$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon31#1;
-
- inline$BDLPnPStart$0$anon31#1:
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$anon51_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$label_118_true#1:
- assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 != 0;
- goto inline$BDLPnPStart$0$label_120#1;
-
- inline$BDLPnPStart$0$label_120#1:
- goto inline$BDLGetDebugLevel$104$Entry#1;
-
- inline$BDLGetDebugLevel$104$Entry#1:
- goto inline$BDLGetDebugLevel$104$start#1;
-
- inline$BDLGetDebugLevel$104$start#1:
- goto inline$BDLGetDebugLevel$104$label_3#1;
-
- inline$BDLGetDebugLevel$104$label_3#1:
- havoc inline$BDLGetDebugLevel$104$myNondetVar_0;
- inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$104$myNondetVar_0;
- goto inline$BDLGetDebugLevel$104$label_1#1;
-
- inline$BDLGetDebugLevel$104$label_1#1:
- goto inline$BDLGetDebugLevel$104$Return#1;
-
- inline$BDLGetDebugLevel$104$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$ := inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_120$1#1;
-
- inline$BDLPnPStart$0$label_120$1#1:
- goto inline$BDLPnPStart$0$anon48_Then#1, inline$BDLPnPStart$0$anon48_Else#1;
-
- inline$BDLPnPStart$0$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon25#1;
-
- inline$BDLPnPStart$0$anon25#1:
- goto inline$BDLPnPStart$0$label_123#1;
-
- inline$BDLPnPStart$0$label_123#1:
- goto inline$BDLPnPStart$0$label_123_true#1, inline$BDLPnPStart$0$label_123_false#1;
-
- inline$BDLPnPStart$0$label_123_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$) == 0;
- goto inline$BDLPnPStart$0$label_124#1;
-
- inline$BDLPnPStart$0$label_123_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$) != 0;
- goto inline$BDLPnPStart$0$label_127#1;
-
- inline$BDLPnPStart$0$label_127#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_128#1;
-
- inline$BDLPnPStart$0$label_128#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_129#1;
-
- inline$BDLPnPStart$0$label_129#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_130#1;
-
- inline$BDLPnPStart$0$label_130#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2037.0$43$;
- goto inline$BDLPnPStart$0$label_124#1;
-
- inline$BDLPnPStart$0$label_124#1:
- goto inline$BDLGetDebugLevel$105$Entry#1;
-
- inline$BDLGetDebugLevel$105$Entry#1:
- goto inline$BDLGetDebugLevel$105$start#1;
-
- inline$BDLGetDebugLevel$105$start#1:
- goto inline$BDLGetDebugLevel$105$label_3#1;
-
- inline$BDLGetDebugLevel$105$label_3#1:
- havoc inline$BDLGetDebugLevel$105$myNondetVar_0;
- inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$105$myNondetVar_0;
- goto inline$BDLGetDebugLevel$105$label_1#1;
-
- inline$BDLGetDebugLevel$105$label_1#1:
- goto inline$BDLGetDebugLevel$105$Return#1;
-
- inline$BDLGetDebugLevel$105$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$ := inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_124$1#1;
-
- inline$BDLPnPStart$0$label_124$1#1:
- goto inline$BDLPnPStart$0$anon49_Then#1, inline$BDLPnPStart$0$anon49_Else#1;
-
- inline$BDLPnPStart$0$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon27#1;
-
- inline$BDLPnPStart$0$anon27#1:
- goto inline$BDLPnPStart$0$label_133#1;
-
- inline$BDLPnPStart$0$label_133#1:
- goto inline$BDLPnPStart$0$label_133_true#1, inline$BDLPnPStart$0$label_133_false#1;
-
- inline$BDLPnPStart$0$label_133_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$) == 0;
- goto inline$BDLPnPStart$0$label_134#1;
-
- inline$BDLPnPStart$0$label_133_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$) != 0;
- goto inline$BDLPnPStart$0$label_137#1;
-
- inline$BDLPnPStart$0$label_137#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_138#1;
-
- inline$BDLPnPStart$0$label_138#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_139#1;
-
- inline$BDLPnPStart$0$label_139#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_140#1;
-
- inline$BDLPnPStart$0$label_140#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2037.0$48$;
- goto inline$BDLPnPStart$0$label_134#1;
-
- inline$BDLPnPStart$0$label_134#1:
- goto inline$BDLGetDebugLevel$106$Entry#1;
-
- inline$BDLGetDebugLevel$106$Entry#1:
- goto inline$BDLGetDebugLevel$106$start#1;
-
- inline$BDLGetDebugLevel$106$start#1:
- goto inline$BDLGetDebugLevel$106$label_3#1;
-
- inline$BDLGetDebugLevel$106$label_3#1:
- havoc inline$BDLGetDebugLevel$106$myNondetVar_0;
- inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$106$myNondetVar_0;
- goto inline$BDLGetDebugLevel$106$label_1#1;
-
- inline$BDLGetDebugLevel$106$label_1#1:
- goto inline$BDLGetDebugLevel$106$Return#1;
-
- inline$BDLGetDebugLevel$106$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$ := inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_134$1#1;
-
- inline$BDLPnPStart$0$label_134$1#1:
- goto inline$BDLPnPStart$0$anon50_Then#1, inline$BDLPnPStart$0$anon50_Else#1;
-
- inline$BDLPnPStart$0$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon29#1;
-
- inline$BDLPnPStart$0$anon29#1:
- goto inline$BDLPnPStart$0$label_143#1;
-
- inline$BDLPnPStart$0$label_143#1:
- goto inline$BDLPnPStart$0$label_143_true#1, inline$BDLPnPStart$0$label_143_false#1;
-
- inline$BDLPnPStart$0$label_143_false#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$, 4) == 0;
- goto inline$BDLPnPStart$0$label_144#1;
-
- inline$BDLPnPStart$0$label_143_true#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$, 4) != 0;
- goto inline$BDLPnPStart$0$label_147#1;
-
- inline$BDLPnPStart$0$label_147#1:
- goto inline$BDLPnPStart$0$label_144#1;
-
- inline$BDLPnPStart$0$label_144#1:
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$anon50_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon49_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon48_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon47_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$label_51_true#1:
- assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 != 0;
- goto inline$BDLPnPStart$0$label_53#1;
-
- inline$BDLPnPStart$0$label_53#1:
- goto inline$BDLGetDebugLevel$98$Entry#1;
-
- inline$BDLGetDebugLevel$98$Entry#1:
- goto inline$BDLGetDebugLevel$98$start#1;
-
- inline$BDLGetDebugLevel$98$start#1:
- goto inline$BDLGetDebugLevel$98$label_3#1;
-
- inline$BDLGetDebugLevel$98$label_3#1:
- havoc inline$BDLGetDebugLevel$98$myNondetVar_0;
- inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$98$myNondetVar_0;
- goto inline$BDLGetDebugLevel$98$label_1#1;
-
- inline$BDLGetDebugLevel$98$label_1#1:
- goto inline$BDLGetDebugLevel$98$Return#1;
-
- inline$BDLGetDebugLevel$98$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$ := inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_53$1#1;
-
- inline$BDLPnPStart$0$label_53$1#1:
- goto inline$BDLPnPStart$0$anon41_Then#1, inline$BDLPnPStart$0$anon41_Else#1;
-
- inline$BDLPnPStart$0$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon11#1;
-
- inline$BDLPnPStart$0$anon11#1:
- goto inline$BDLPnPStart$0$label_56#1;
-
- inline$BDLPnPStart$0$label_56#1:
- goto inline$BDLPnPStart$0$label_56_true#1, inline$BDLPnPStart$0$label_56_false#1;
-
- inline$BDLPnPStart$0$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$) == 0;
- goto inline$BDLPnPStart$0$label_57#1;
-
- inline$BDLPnPStart$0$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$) != 0;
- goto inline$BDLPnPStart$0$label_60#1;
-
- inline$BDLPnPStart$0$label_60#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_61#1;
-
- inline$BDLPnPStart$0$label_61#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_62#1;
-
- inline$BDLPnPStart$0$label_62#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_63#1;
-
- inline$BDLPnPStart$0$label_63#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2005.0$28$;
- goto inline$BDLPnPStart$0$label_57#1;
-
- inline$BDLPnPStart$0$label_57#1:
- goto inline$BDLGetDebugLevel$99$Entry#1;
-
- inline$BDLGetDebugLevel$99$Entry#1:
- goto inline$BDLGetDebugLevel$99$start#1;
-
- inline$BDLGetDebugLevel$99$start#1:
- goto inline$BDLGetDebugLevel$99$label_3#1;
-
- inline$BDLGetDebugLevel$99$label_3#1:
- havoc inline$BDLGetDebugLevel$99$myNondetVar_0;
- inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$99$myNondetVar_0;
- goto inline$BDLGetDebugLevel$99$label_1#1;
-
- inline$BDLGetDebugLevel$99$label_1#1:
- goto inline$BDLGetDebugLevel$99$Return#1;
-
- inline$BDLGetDebugLevel$99$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$ := inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_57$1#1;
-
- inline$BDLPnPStart$0$label_57$1#1:
- goto inline$BDLPnPStart$0$anon42_Then#1, inline$BDLPnPStart$0$anon42_Else#1;
-
- inline$BDLPnPStart$0$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon13#1;
-
- inline$BDLPnPStart$0$anon13#1:
- goto inline$BDLPnPStart$0$label_66#1;
-
- inline$BDLPnPStart$0$label_66#1:
- goto inline$BDLPnPStart$0$label_66_true#1, inline$BDLPnPStart$0$label_66_false#1;
-
- inline$BDLPnPStart$0$label_66_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$) == 0;
- goto inline$BDLPnPStart$0$label_67#1;
-
- inline$BDLPnPStart$0$label_66_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$) != 0;
- goto inline$BDLPnPStart$0$label_70#1;
-
- inline$BDLPnPStart$0$label_70#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_71#1;
-
- inline$BDLPnPStart$0$label_71#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_72#1;
-
- inline$BDLPnPStart$0$label_72#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_73#1;
-
- inline$BDLPnPStart$0$label_73#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2005.0$33$;
- goto inline$BDLPnPStart$0$label_67#1;
-
- inline$BDLPnPStart$0$label_67#1:
- goto inline$BDLGetDebugLevel$100$Entry#1;
-
- inline$BDLGetDebugLevel$100$Entry#1:
- goto inline$BDLGetDebugLevel$100$start#1;
-
- inline$BDLGetDebugLevel$100$start#1:
- goto inline$BDLGetDebugLevel$100$label_3#1;
-
- inline$BDLGetDebugLevel$100$label_3#1:
- havoc inline$BDLGetDebugLevel$100$myNondetVar_0;
- inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$100$myNondetVar_0;
- goto inline$BDLGetDebugLevel$100$label_1#1;
-
- inline$BDLGetDebugLevel$100$label_1#1:
- goto inline$BDLGetDebugLevel$100$Return#1;
-
- inline$BDLGetDebugLevel$100$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$ := inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_67$1#1;
-
- inline$BDLPnPStart$0$label_67$1#1:
- goto inline$BDLPnPStart$0$anon43_Then#1, inline$BDLPnPStart$0$anon43_Else#1;
-
- inline$BDLPnPStart$0$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon15#1;
-
- inline$BDLPnPStart$0$anon15#1:
- goto inline$BDLPnPStart$0$label_76#1;
-
- inline$BDLPnPStart$0$label_76#1:
- goto inline$BDLPnPStart$0$label_76_true#1, inline$BDLPnPStart$0$label_76_false#1;
-
- inline$BDLPnPStart$0$label_76_false#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$, 4) == 0;
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$label_76_true#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$, 4) != 0;
- goto inline$BDLPnPStart$0$label_80#1;
-
- inline$BDLPnPStart$0$label_80#1:
- goto inline$BDLPnPStart$0$label_77#1;
-
- inline$BDLPnPStart$0$label_77#1:
- goto inline$BDLGetDebugLevel$101$Entry#1;
-
- inline$BDLGetDebugLevel$101$Entry#1:
- goto inline$BDLGetDebugLevel$101$start#1;
-
- inline$BDLGetDebugLevel$101$start#1:
- goto inline$BDLGetDebugLevel$101$label_3#1;
-
- inline$BDLGetDebugLevel$101$label_3#1:
- havoc inline$BDLGetDebugLevel$101$myNondetVar_0;
- inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$101$myNondetVar_0;
- goto inline$BDLGetDebugLevel$101$label_1#1;
-
- inline$BDLGetDebugLevel$101$label_1#1:
- goto inline$BDLGetDebugLevel$101$Return#1;
-
- inline$BDLGetDebugLevel$101$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$ := inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_77$1#1;
-
- inline$BDLPnPStart$0$label_77$1#1:
- goto inline$BDLPnPStart$0$anon44_Then#1, inline$BDLPnPStart$0$anon44_Else#1;
-
- inline$BDLPnPStart$0$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon17#1;
-
- inline$BDLPnPStart$0$anon17#1:
- goto inline$BDLPnPStart$0$label_81#1;
-
- inline$BDLPnPStart$0$label_81#1:
- goto inline$BDLPnPStart$0$label_81_true#1, inline$BDLPnPStart$0$label_81_false#1;
-
- inline$BDLPnPStart$0$label_81_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$) == 0;
- goto inline$BDLPnPStart$0$label_82#1;
-
- inline$BDLPnPStart$0$label_81_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$) != 0;
- goto inline$BDLPnPStart$0$label_85#1;
-
- inline$BDLPnPStart$0$label_85#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_86#1;
-
- inline$BDLPnPStart$0$label_86#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_87#1;
-
- inline$BDLPnPStart$0$label_87#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_88#1;
-
- inline$BDLPnPStart$0$label_88#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2063.0$56$;
- goto inline$BDLPnPStart$0$label_82#1;
-
- inline$BDLPnPStart$0$label_82#1:
- goto inline$BDLGetDebugLevel$102$Entry#1;
-
- inline$BDLGetDebugLevel$102$Entry#1:
- goto inline$BDLGetDebugLevel$102$start#1;
-
- inline$BDLGetDebugLevel$102$start#1:
- goto inline$BDLGetDebugLevel$102$label_3#1;
-
- inline$BDLGetDebugLevel$102$label_3#1:
- havoc inline$BDLGetDebugLevel$102$myNondetVar_0;
- inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$102$myNondetVar_0;
- goto inline$BDLGetDebugLevel$102$label_1#1;
-
- inline$BDLGetDebugLevel$102$label_1#1:
- goto inline$BDLGetDebugLevel$102$Return#1;
-
- inline$BDLGetDebugLevel$102$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$ := inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_82$1#1;
-
- inline$BDLPnPStart$0$label_82$1#1:
- goto inline$BDLPnPStart$0$anon45_Then#1, inline$BDLPnPStart$0$anon45_Else#1;
-
- inline$BDLPnPStart$0$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon19#1;
-
- inline$BDLPnPStart$0$anon19#1:
- goto inline$BDLPnPStart$0$label_91#1;
-
- inline$BDLPnPStart$0$label_91#1:
- goto inline$BDLPnPStart$0$label_91_true#1, inline$BDLPnPStart$0$label_91_false#1;
-
- inline$BDLPnPStart$0$label_91_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$) == 0;
- goto inline$BDLPnPStart$0$label_92#1;
-
- inline$BDLPnPStart$0$label_91_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$) != 0;
- goto inline$BDLPnPStart$0$label_95#1;
-
- inline$BDLPnPStart$0$label_95#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_96#1;
-
- inline$BDLPnPStart$0$label_96#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_97#1;
-
- inline$BDLPnPStart$0$label_97#1:
- call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnPStart$0$label_98#1;
-
- inline$BDLPnPStart$0$label_98#1:
- havoc inline$BDLPnPStart$0$$result.DbgPrint$2063.0$61$;
- goto inline$BDLPnPStart$0$label_92#1;
-
- inline$BDLPnPStart$0$label_92#1:
- goto inline$BDLGetDebugLevel$103$Entry#1;
-
- inline$BDLGetDebugLevel$103$Entry#1:
- goto inline$BDLGetDebugLevel$103$start#1;
-
- inline$BDLGetDebugLevel$103$start#1:
- goto inline$BDLGetDebugLevel$103$label_3#1;
-
- inline$BDLGetDebugLevel$103$label_3#1:
- havoc inline$BDLGetDebugLevel$103$myNondetVar_0;
- inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$103$myNondetVar_0;
- goto inline$BDLGetDebugLevel$103$label_1#1;
-
- inline$BDLGetDebugLevel$103$label_1#1:
- goto inline$BDLGetDebugLevel$103$Return#1;
-
- inline$BDLGetDebugLevel$103$Return#1:
- inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$ := inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnPStart$0$label_92$1#1;
-
- inline$BDLPnPStart$0$label_92$1#1:
- goto inline$BDLPnPStart$0$anon46_Then#1, inline$BDLPnPStart$0$anon46_Else#1;
-
- inline$BDLPnPStart$0$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLPnPStart$0$anon21#1;
-
- inline$BDLPnPStart$0$anon21#1:
- goto inline$BDLPnPStart$0$label_101#1;
-
- inline$BDLPnPStart$0$label_101#1:
- goto inline$BDLPnPStart$0$label_101_true#1, inline$BDLPnPStart$0$label_101_false#1;
-
- inline$BDLPnPStart$0$label_101_false#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$, 4) == 0;
- goto inline$BDLPnPStart$0$label_102#1;
-
- inline$BDLPnPStart$0$label_101_true#1:
- assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$, 4) != 0;
- goto inline$BDLPnPStart$0$label_103#1;
-
- inline$BDLPnPStart$0$label_103#1:
- goto inline$BDLPnPStart$0$label_102#1;
-
- inline$BDLPnPStart$0$label_102#1:
- inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$ := inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16;
- goto inline$BDLPnPStart$0$label_1#1;
-
- inline$BDLPnPStart$0$label_1#1:
- call __HAVOC_free(inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16);
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon46_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon45_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon44_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon43_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon42_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon41_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon39_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon38_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon37_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$anon36_Then#1:
- assume raiseException;
- goto inline$BDLPnPStart$0$Return#1;
-
- inline$BDLPnPStart$0$Return#1:
- inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$ := inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$;
- goto inline$BDLPnP$0$label_113$1#1;
-
- inline$BDLPnP$0$label_113$1#1:
- goto inline$BDLPnP$0$anon67_Then#1, inline$BDLPnP$0$anon67_Else#1;
-
- inline$BDLPnP$0$anon67_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon27#1;
-
- inline$BDLPnP$0$anon27#1:
- goto inline$BDLPnP$0$label_155#1;
-
- inline$BDLPnP$0$label_155#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$anon67_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_52_case_0#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 0;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 1;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 2;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 3;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 4;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 5;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 6;
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume inline$BDLPnP$0$myNondetVar_0 != 23;
- goto inline$BDLPnP$0$label_110#1;
-
- inline$BDLPnP$0$label_110#1:
- goto inline$BDLGetDebugLevel$9$Entry#1;
-
- inline$BDLGetDebugLevel$9$Entry#1:
- goto inline$BDLGetDebugLevel$9$start#1;
-
- inline$BDLGetDebugLevel$9$start#1:
- goto inline$BDLGetDebugLevel$9$label_3#1;
-
- inline$BDLGetDebugLevel$9$label_3#1:
- havoc inline$BDLGetDebugLevel$9$myNondetVar_0;
- inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$9$myNondetVar_0;
- goto inline$BDLGetDebugLevel$9$label_1#1;
-
- inline$BDLGetDebugLevel$9$label_1#1:
- goto inline$BDLGetDebugLevel$9$Return#1;
-
- inline$BDLGetDebugLevel$9$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$ := inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_110$1#1;
-
- inline$BDLPnP$0$label_110$1#1:
- goto inline$BDLPnP$0$anon66_Then#1, inline$BDLPnP$0$anon66_Else#1;
-
- inline$BDLPnP$0$anon66_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon25#1;
-
- inline$BDLPnP$0$anon25#1:
- goto inline$BDLPnP$0$label_156#1;
-
- inline$BDLPnP$0$label_156#1:
- goto inline$BDLPnP$0$label_156_true#1, inline$BDLPnP$0$label_156_false#1;
-
- inline$BDLPnP$0$label_156_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$) == 0;
- goto inline$BDLPnP$0$label_157#1;
-
- inline$BDLPnP$0$label_156_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$) != 0;
- goto inline$BDLPnP$0$label_160#1;
-
- inline$BDLPnP$0$label_160#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_161#1;
-
- inline$BDLPnP$0$label_161#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_162#1;
-
- inline$BDLPnP$0$label_162#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_163#1;
-
- inline$BDLPnP$0$label_163#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$987.0$37$;
- goto inline$BDLPnP$0$label_157#1;
-
- inline$BDLPnP$0$label_157#1:
- goto inline$BDLGetDebugLevel$739$Entry#1;
-
- inline$BDLGetDebugLevel$739$Entry#1:
- goto inline$BDLGetDebugLevel$739$start#1;
-
- inline$BDLGetDebugLevel$739$start#1:
- goto inline$BDLGetDebugLevel$739$label_3#1;
-
- inline$BDLGetDebugLevel$739$label_3#1:
- havoc inline$BDLGetDebugLevel$739$myNondetVar_0;
- inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$739$myNondetVar_0;
- goto inline$BDLGetDebugLevel$739$label_1#1;
-
- inline$BDLGetDebugLevel$739$label_1#1:
- goto inline$BDLGetDebugLevel$739$Return#1;
-
- inline$BDLGetDebugLevel$739$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$ := inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_157$1#1;
-
- inline$BDLPnP$0$label_157$1#1:
- goto inline$BDLPnP$0$anon77_Then#1, inline$BDLPnP$0$anon77_Else#1;
-
- inline$BDLPnP$0$anon77_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon47#1;
-
- inline$BDLPnP$0$anon47#1:
- goto inline$BDLPnP$0$label_166#1;
-
- inline$BDLPnP$0$label_166#1:
- goto inline$BDLPnP$0$label_166_true#1, inline$BDLPnP$0$label_166_false#1;
-
- inline$BDLPnP$0$label_166_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$) == 0;
- goto inline$BDLPnP$0$label_167#1;
-
- inline$BDLPnP$0$label_166_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$) != 0;
- goto inline$BDLPnP$0$label_170#1;
-
- inline$BDLPnP$0$label_170#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_171#1;
-
- inline$BDLPnP$0$label_171#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_172#1;
-
- inline$BDLPnP$0$label_172#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_173#1;
-
- inline$BDLPnP$0$label_173#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$987.0$42$;
- goto inline$BDLPnP$0$label_167#1;
-
- inline$BDLPnP$0$label_167#1:
- goto inline$BDLGetDebugLevel$740$Entry#1;
-
- inline$BDLGetDebugLevel$740$Entry#1:
- goto inline$BDLGetDebugLevel$740$start#1;
-
- inline$BDLGetDebugLevel$740$start#1:
- goto inline$BDLGetDebugLevel$740$label_3#1;
-
- inline$BDLGetDebugLevel$740$label_3#1:
- havoc inline$BDLGetDebugLevel$740$myNondetVar_0;
- inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$740$myNondetVar_0;
- goto inline$BDLGetDebugLevel$740$label_1#1;
-
- inline$BDLGetDebugLevel$740$label_1#1:
- goto inline$BDLGetDebugLevel$740$Return#1;
-
- inline$BDLGetDebugLevel$740$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$ := inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_167$1#1;
-
- inline$BDLPnP$0$label_167$1#1:
- goto inline$BDLPnP$0$anon78_Then#1, inline$BDLPnP$0$anon78_Else#1;
-
- inline$BDLPnP$0$anon78_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon49#1;
-
- inline$BDLPnP$0$anon49#1:
- goto inline$BDLPnP$0$label_176#1;
-
- inline$BDLPnP$0$label_176#1:
- goto inline$BDLPnP$0$label_176_true#1, inline$BDLPnP$0$label_176_false#1;
-
- inline$BDLPnP$0$label_176_false#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$, 4) == 0;
- goto inline$BDLPnP$0$label_177#1;
-
- inline$BDLPnP$0$label_176_true#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$, 4) != 0;
- goto inline$BDLPnP$0$label_180#1;
-
- inline$BDLPnP$0$label_180#1:
- goto inline$BDLPnP$0$label_177#1;
-
- inline$BDLPnP$0$label_177#1:
- goto inline$IoSkipCurrentIrpStackLocation$2$Entry#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$Entry#1:
- inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$IoSkipCurrentIrpStackLocation$2$start#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$start#1:
- inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
- goto inline$IoSkipCurrentIrpStackLocation$2$label_3#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_3#1:
- goto inline$IoSkipCurrentIrpStackLocation$2$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$2$label_3_false#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_3_false#1:
- inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, inline$IoSkipCurrentIrpStackLocation$2$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$2$label_4#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_4#1:
- call inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$2$label_7#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_7#1:
- call inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSkipCurrentIrpStackLocation$2$label_8#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_8#1:
- goto inline$IoSkipCurrentIrpStackLocation$2$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_3_true#1:
- inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, inline$IoSkipCurrentIrpStackLocation$2$myVar_1);
- goto inline$IoSkipCurrentIrpStackLocation$2$label_5#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_5#1:
- inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0];
- call contextSwitch();
- goto inline$IoSkipCurrentIrpStackLocation$2$label_6#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_6#1:
- havoc inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0;
- inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0, 36, 1);
- goto inline$IoSkipCurrentIrpStackLocation$2$label_1#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$label_1#1:
- goto inline$IoSkipCurrentIrpStackLocation$2$Return#1;
-
- inline$IoSkipCurrentIrpStackLocation$2$Return#1:
- goto inline$BDLPnP$0$label_177$1#1;
-
- inline$BDLPnP$0$label_177$1#1:
- goto inline$BDLPnP$0$anon79_Then#1, inline$BDLPnP$0$anon79_Else#1;
-
- inline$BDLPnP$0$anon79_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon51#1;
-
- inline$BDLPnP$0$anon51#1:
- goto inline$BDLPnP$0$label_181#1;
-
- inline$BDLPnP$0$label_181#1:
- goto inline$storm_IoCallDriver$14$Entry#1;
-
- inline$storm_IoCallDriver$14$Entry#1:
- inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$storm_IoCallDriver$14$start#1;
-
- inline$storm_IoCallDriver$14$start#1:
- inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1;
- goto inline$storm_IoCallDriver$14$label_3#1;
-
- inline$storm_IoCallDriver$14$label_3#1:
- goto inline$storm_IoCallDriver$14$label_4#1;
-
- inline$storm_IoCallDriver$14$label_4#1:
- goto inline$storm_IoCallDriver$14$label_5#1;
-
- inline$storm_IoCallDriver$14$label_5#1:
- goto inline$storm_IoCallDriver$14$label_6#1;
-
- inline$storm_IoCallDriver$14$label_6#1:
- call inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ := storm_nondet();
- goto inline$storm_IoCallDriver$14$label_9#1;
-
- inline$storm_IoCallDriver$14$label_9#1:
- goto inline$storm_IoCallDriver$14$label_9_true#1, inline$storm_IoCallDriver$14$label_9_false#1;
-
- inline$storm_IoCallDriver$14$label_9_false#1:
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ == 0;
- goto inline$storm_IoCallDriver$14$label_10#1;
-
- inline$storm_IoCallDriver$14$label_9_true#1:
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ != 0;
- goto inline$storm_IoCallDriver$14$label_13#1;
-
- inline$storm_IoCallDriver$14$label_13#1:
- havoc raiseException;
- goto inline$storm_IoCallDriver$14$anon12_Then#1, inline$storm_IoCallDriver$14$anon12_Else#1;
-
- inline$storm_IoCallDriver$14$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$14$anon3#1;
-
- inline$storm_IoCallDriver$14$anon3#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8], 1);
- call contextSwitch();
- goto inline$storm_IoCallDriver$14$label_14#1;
-
- inline$storm_IoCallDriver$14$label_14#1:
- goto inline$storm_IoCallDriver$14$label_14_true#1, inline$storm_IoCallDriver$14$label_14_false#1;
-
- inline$storm_IoCallDriver$14$label_14_false#1:
- assume 0 == 0;
- goto inline$storm_IoCallDriver$14$label_15#1;
-
- inline$storm_IoCallDriver$14$label_15#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCallDriver$14$label_1#1;
-
- inline$storm_IoCallDriver$14$label_14_true#1:
- assume 0 != 0;
- goto inline$storm_IoCallDriver$14$label_10#1;
-
- inline$storm_IoCallDriver$14$label_10#1:
- goto inline$IoSetNextIrpStackLocation$15$Entry#1;
-
- inline$IoSetNextIrpStackLocation$15$Entry#1:
- inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoSetNextIrpStackLocation$15$start#1;
-
- inline$IoSetNextIrpStackLocation$15$start#1:
- inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
- goto inline$IoSetNextIrpStackLocation$15$label_3#1;
-
- inline$IoSetNextIrpStackLocation$15$label_3#1:
- goto inline$IoSetNextIrpStackLocation$15$label_3_true#1, inline$IoSetNextIrpStackLocation$15$label_3_false#1;
-
- inline$IoSetNextIrpStackLocation$15$label_3_false#1:
- inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LT(0, inline$IoSetNextIrpStackLocation$15$myVar_0);
- goto inline$IoSetNextIrpStackLocation$15$label_4#1;
-
- inline$IoSetNextIrpStackLocation$15$label_4#1:
- call inline$IoSetNextIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$15$label_7#1;
-
- inline$IoSetNextIrpStackLocation$15$label_7#1:
- call inline$IoSetNextIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoSetNextIrpStackLocation$15$label_8#1;
-
- inline$IoSetNextIrpStackLocation$15$label_8#1:
- goto inline$IoSetNextIrpStackLocation$15$label_5#1;
-
- inline$IoSetNextIrpStackLocation$15$label_3_true#1:
- inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LT(0, inline$IoSetNextIrpStackLocation$15$myVar_0);
- goto inline$IoSetNextIrpStackLocation$15$label_5#1;
-
- inline$IoSetNextIrpStackLocation$15$label_5#1:
- inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
- call contextSwitch();
- inline$IoSetNextIrpStackLocation$15$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$15$myVar_0, 1, 1);
- Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$15$tempBoogie0];
- call contextSwitch();
- goto inline$IoSetNextIrpStackLocation$15$label_6#1;
-
- inline$IoSetNextIrpStackLocation$15$label_6#1:
- havoc inline$IoSetNextIrpStackLocation$15$myNondetVar_0;
- inline$IoSetNextIrpStackLocation$15$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$15$myNondetVar_0, 36, 1);
- goto inline$IoSetNextIrpStackLocation$15$label_1#1;
-
- inline$IoSetNextIrpStackLocation$15$label_1#1:
- goto inline$IoSetNextIrpStackLocation$15$Return#1;
-
- inline$IoSetNextIrpStackLocation$15$Return#1:
- goto inline$storm_IoCallDriver$14$label_10$1#1;
-
- inline$storm_IoCallDriver$14$label_10$1#1:
- goto inline$storm_IoCallDriver$14$anon11_Then#1, inline$storm_IoCallDriver$14$anon11_Else#1;
-
- inline$storm_IoCallDriver$14$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$14$anon1#1;
-
- inline$storm_IoCallDriver$14$anon1#1:
- goto inline$storm_IoCallDriver$14$label_18#1;
-
- inline$storm_IoCallDriver$14$label_18#1:
- goto inline$IoGetCurrentIrpStackLocation$141$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$141$Entry#1:
- inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$IoGetCurrentIrpStackLocation$141$start#1;
-
- inline$IoGetCurrentIrpStackLocation$141$start#1:
- inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$141$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$141$label_3_true#1, inline$IoGetCurrentIrpStackLocation$141$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$141$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$141$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$141$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$141$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$141$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$141$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$141$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$141$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$141$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$141$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$141$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$141$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$141$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$141$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$141$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$141$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$141$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$141$Return#1:
- goto inline$storm_IoCallDriver$14$label_18$1#1;
-
- inline$storm_IoCallDriver$14$label_18$1#1:
- goto inline$storm_IoCallDriver$14$anon13_Then#1, inline$storm_IoCallDriver$14$anon13_Else#1;
-
- inline$storm_IoCallDriver$14$anon13_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$14$anon6#1;
-
- inline$storm_IoCallDriver$14$anon6#1:
- goto inline$storm_IoCallDriver$14$label_21#1;
-
- inline$storm_IoCallDriver$14$label_21#1:
- goto inline$storm_IoCallDriver$14$label_22#1;
-
- inline$storm_IoCallDriver$14$label_22#1:
- goto inline$storm_IoCallDriver$14$label_23#1;
-
- inline$storm_IoCallDriver$14$label_23#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCallDriver$14$label_24#1;
-
- inline$storm_IoCallDriver$14$label_24#1:
- call inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ := storm_nondet();
- goto inline$storm_IoCallDriver$14$label_27#1;
-
- inline$storm_IoCallDriver$14$label_27#1:
- goto inline$storm_IoCallDriver$14$label_27_case_0#1, inline$storm_IoCallDriver$14$label_27_case_1#1, inline$storm_IoCallDriver$14$label_27_case_2#1;
-
- inline$storm_IoCallDriver$14$label_27_case_2#1:
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ == 1;
- goto inline$storm_IoCallDriver$14$label_30#1;
-
- inline$storm_IoCallDriver$14$label_30#1:
- inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
- goto inline$storm_IoCallDriver$14$label_31#1;
-
- inline$storm_IoCallDriver$14$label_31#1:
- goto inline$storm_IoCallDriver$14$label_32#1;
-
- inline$storm_IoCallDriver$14$label_32#1:
- goto inline$storm_IoCallDriver$14$label_33#1;
-
- inline$storm_IoCallDriver$14$label_33#1:
- goto inline$CallCompletionRoutine$28$Entry#1;
-
- inline$CallCompletionRoutine$28$Entry#1:
- inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$28$start#1;
-
- inline$CallCompletionRoutine$28$start#1:
- inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$28$label_3#1;
-
- inline$CallCompletionRoutine$28$label_3#1:
- goto inline$CallCompletionRoutine$28$label_4#1;
-
- inline$CallCompletionRoutine$28$label_4#1:
- goto inline$CallCompletionRoutine$28$label_5#1;
-
- inline$CallCompletionRoutine$28$label_5#1:
- goto inline$CallCompletionRoutine$28$label_6#1;
-
- inline$CallCompletionRoutine$28$label_6#1:
- goto inline$CallCompletionRoutine$28$label_7#1;
-
- inline$CallCompletionRoutine$28$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$142$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$142$Entry#1:
- inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$142$start#1;
-
- inline$IoGetCurrentIrpStackLocation$142$start#1:
- inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$142$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$142$label_3_true#1, inline$IoGetCurrentIrpStackLocation$142$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$142$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$142$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$142$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$142$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$142$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$142$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$142$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$142$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$142$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$142$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$142$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$142$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$142$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$142$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$142$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$142$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$142$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$142$Return#1:
- goto inline$CallCompletionRoutine$28$label_7$1#1;
-
- inline$CallCompletionRoutine$28$label_7$1#1:
- goto inline$CallCompletionRoutine$28$anon10_Then#1, inline$CallCompletionRoutine$28$anon10_Else#1;
-
- inline$CallCompletionRoutine$28$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$28$anon1#1;
-
- inline$CallCompletionRoutine$28$anon1#1:
- goto inline$CallCompletionRoutine$28$label_10#1;
-
- inline$CallCompletionRoutine$28$label_10#1:
- goto inline$CallCompletionRoutine$28$label_11#1;
-
- inline$CallCompletionRoutine$28$label_11#1:
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$CallCompletionRoutine$28$label_12#1;
-
- inline$CallCompletionRoutine$28$label_12#1:
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$CallCompletionRoutine$28$label_13#1;
-
- inline$CallCompletionRoutine$28$label_13#1:
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$CallCompletionRoutine$28$label_14#1;
-
- inline$CallCompletionRoutine$28$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$143$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$143$Entry#1:
- inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$143$start#1;
-
- inline$IoGetCurrentIrpStackLocation$143$start#1:
- inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$143$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$143$label_3_true#1, inline$IoGetCurrentIrpStackLocation$143$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$143$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$143$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$143$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$143$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$143$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$143$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$143$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$143$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$143$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$143$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$143$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$143$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$143$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$143$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$143$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$143$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$143$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$143$Return#1:
- goto inline$CallCompletionRoutine$28$label_14$1#1;
-
- inline$CallCompletionRoutine$28$label_14$1#1:
- goto inline$CallCompletionRoutine$28$anon11_Then#1, inline$CallCompletionRoutine$28$anon11_Else#1;
-
- inline$CallCompletionRoutine$28$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$28$anon3#1;
-
- inline$CallCompletionRoutine$28$anon3#1:
- goto inline$CallCompletionRoutine$28$label_17#1;
-
- inline$CallCompletionRoutine$28$label_17#1:
- goto inline$CallCompletionRoutine$28$label_18#1;
-
- inline$CallCompletionRoutine$28$label_18#1:
- goto inline$CallCompletionRoutine$28$label_18_true#1, inline$CallCompletionRoutine$28$label_18_false#1;
-
- inline$CallCompletionRoutine$28$label_18_false#1:
- assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$28$label_1#1;
-
- inline$CallCompletionRoutine$28$label_18_true#1:
- assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$28$label_19#1;
-
- inline$CallCompletionRoutine$28$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$28$label_20#1;
-
- inline$CallCompletionRoutine$28$label_20#1:
- goto inline$CallCompletionRoutine$28$label_20_icall_1#1, inline$CallCompletionRoutine$28$label_20_icall_2#1, inline$CallCompletionRoutine$28$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$28$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$28$Entry#1:
- inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$28$start#1;
-
- inline$BDLSystemPowerIoCompletion$28$start#1:
- call inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$28$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_4#1:
- inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_6#1:
- inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$28$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$28$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$145$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$145$Entry#1:
- inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$145$start#1;
-
- inline$IoGetCurrentIrpStackLocation$145$start#1:
- inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$145$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$145$label_3_true#1, inline$IoGetCurrentIrpStackLocation$145$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$145$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$145$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$145$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$145$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$145$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$145$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$145$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$145$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$145$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$145$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$145$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$145$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$145$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$145$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$145$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$145$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$145$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$145$Return#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon36_Then#1, inline$BDLSystemPowerIoCompletion$28$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_15#1:
- goto inline$BDLGetDebugLevel$750$Entry#1;
-
- inline$BDLGetDebugLevel$750$Entry#1:
- goto inline$BDLGetDebugLevel$750$start#1;
-
- inline$BDLGetDebugLevel$750$start#1:
- goto inline$BDLGetDebugLevel$750$label_3#1;
-
- inline$BDLGetDebugLevel$750$label_3#1:
- havoc inline$BDLGetDebugLevel$750$myNondetVar_0;
- inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$750$myNondetVar_0;
- goto inline$BDLGetDebugLevel$750$label_1#1;
-
- inline$BDLGetDebugLevel$750$label_1#1:
- goto inline$BDLGetDebugLevel$750$Return#1;
-
- inline$BDLGetDebugLevel$750$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon37_Then#1, inline$BDLSystemPowerIoCompletion$28$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_18_true#1, inline$BDLSystemPowerIoCompletion$28$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_22#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_23#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_24#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$28$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_19#1:
- goto inline$BDLGetDebugLevel$751$Entry#1;
-
- inline$BDLGetDebugLevel$751$Entry#1:
- goto inline$BDLGetDebugLevel$751$start#1;
-
- inline$BDLGetDebugLevel$751$start#1:
- goto inline$BDLGetDebugLevel$751$label_3#1;
-
- inline$BDLGetDebugLevel$751$label_3#1:
- havoc inline$BDLGetDebugLevel$751$myNondetVar_0;
- inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$751$myNondetVar_0;
- goto inline$BDLGetDebugLevel$751$label_1#1;
-
- inline$BDLGetDebugLevel$751$label_1#1:
- goto inline$BDLGetDebugLevel$751$Return#1;
-
- inline$BDLGetDebugLevel$751$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon38_Then#1, inline$BDLSystemPowerIoCompletion$28$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_28_true#1, inline$BDLSystemPowerIoCompletion$28$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_32#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_33#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_34#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$28$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_29#1:
- goto inline$BDLGetDebugLevel$752$Entry#1;
-
- inline$BDLGetDebugLevel$752$Entry#1:
- goto inline$BDLGetDebugLevel$752$start#1;
-
- inline$BDLGetDebugLevel$752$start#1:
- goto inline$BDLGetDebugLevel$752$label_3#1;
-
- inline$BDLGetDebugLevel$752$label_3#1:
- havoc inline$BDLGetDebugLevel$752$myNondetVar_0;
- inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$752$myNondetVar_0;
- goto inline$BDLGetDebugLevel$752$label_1#1;
-
- inline$BDLGetDebugLevel$752$label_1#1:
- goto inline$BDLGetDebugLevel$752$Return#1;
-
- inline$BDLGetDebugLevel$752$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon39_Then#1, inline$BDLSystemPowerIoCompletion$28$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_38_true#1, inline$BDLSystemPowerIoCompletion$28$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_39_true#1, inline$BDLSystemPowerIoCompletion$28$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$28$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$28$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$28$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_106_true#1, inline$BDLSystemPowerIoCompletion$28$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_142#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_143#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$28$myNondetVar_0, inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$28$anon50_Then#1, inline$BDLSystemPowerIoCompletion$28$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_112#1:
- inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$28$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_113_true#1, inline$BDLSystemPowerIoCompletion$28$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$28$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_117#1:
- goto inline$BDLGetDebugLevel$759$Entry#1;
-
- inline$BDLGetDebugLevel$759$Entry#1:
- goto inline$BDLGetDebugLevel$759$start#1;
-
- inline$BDLGetDebugLevel$759$start#1:
- goto inline$BDLGetDebugLevel$759$label_3#1;
-
- inline$BDLGetDebugLevel$759$label_3#1:
- havoc inline$BDLGetDebugLevel$759$myNondetVar_0;
- inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$759$myNondetVar_0;
- goto inline$BDLGetDebugLevel$759$label_1#1;
-
- inline$BDLGetDebugLevel$759$label_1#1:
- goto inline$BDLGetDebugLevel$759$Return#1;
-
- inline$BDLGetDebugLevel$759$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon51_Then#1, inline$BDLSystemPowerIoCompletion$28$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_120_true#1, inline$BDLSystemPowerIoCompletion$28$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_124#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_125#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_126#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$28$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_121#1:
- goto inline$BDLGetDebugLevel$760$Entry#1;
-
- inline$BDLGetDebugLevel$760$Entry#1:
- goto inline$BDLGetDebugLevel$760$start#1;
-
- inline$BDLGetDebugLevel$760$start#1:
- goto inline$BDLGetDebugLevel$760$label_3#1;
-
- inline$BDLGetDebugLevel$760$label_3#1:
- havoc inline$BDLGetDebugLevel$760$myNondetVar_0;
- inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$760$myNondetVar_0;
- goto inline$BDLGetDebugLevel$760$label_1#1;
-
- inline$BDLGetDebugLevel$760$label_1#1:
- goto inline$BDLGetDebugLevel$760$Return#1;
-
- inline$BDLGetDebugLevel$760$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon52_Then#1, inline$BDLSystemPowerIoCompletion$28$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_130_true#1, inline$BDLSystemPowerIoCompletion$28$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_134#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_135#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_136#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$28$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_131#1:
- goto inline$BDLGetDebugLevel$761$Entry#1;
-
- inline$BDLGetDebugLevel$761$Entry#1:
- goto inline$BDLGetDebugLevel$761$start#1;
-
- inline$BDLGetDebugLevel$761$start#1:
- goto inline$BDLGetDebugLevel$761$label_3#1;
-
- inline$BDLGetDebugLevel$761$label_3#1:
- havoc inline$BDLGetDebugLevel$761$myNondetVar_0;
- inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$761$myNondetVar_0;
- goto inline$BDLGetDebugLevel$761$label_1#1;
-
- inline$BDLGetDebugLevel$761$label_1#1:
- goto inline$BDLGetDebugLevel$761$Return#1;
-
- inline$BDLGetDebugLevel$761$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon53_Then#1, inline$BDLSystemPowerIoCompletion$28$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_140_true#1, inline$BDLSystemPowerIoCompletion$28$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$28$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_115#1:
- inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$28$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$28$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_43#1:
- goto inline$BDLGetDebugLevel$753$Entry#1;
-
- inline$BDLGetDebugLevel$753$Entry#1:
- goto inline$BDLGetDebugLevel$753$start#1;
-
- inline$BDLGetDebugLevel$753$start#1:
- goto inline$BDLGetDebugLevel$753$label_3#1;
-
- inline$BDLGetDebugLevel$753$label_3#1:
- havoc inline$BDLGetDebugLevel$753$myNondetVar_0;
- inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$753$myNondetVar_0;
- goto inline$BDLGetDebugLevel$753$label_1#1;
-
- inline$BDLGetDebugLevel$753$label_1#1:
- goto inline$BDLGetDebugLevel$753$Return#1;
-
- inline$BDLGetDebugLevel$753$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon40_Then#1, inline$BDLSystemPowerIoCompletion$28$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_46_true#1, inline$BDLSystemPowerIoCompletion$28$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_50#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_51#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_52#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$28$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_47#1:
- goto inline$BDLGetDebugLevel$754$Entry#1;
-
- inline$BDLGetDebugLevel$754$Entry#1:
- goto inline$BDLGetDebugLevel$754$start#1;
-
- inline$BDLGetDebugLevel$754$start#1:
- goto inline$BDLGetDebugLevel$754$label_3#1;
-
- inline$BDLGetDebugLevel$754$label_3#1:
- havoc inline$BDLGetDebugLevel$754$myNondetVar_0;
- inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$754$myNondetVar_0;
- goto inline$BDLGetDebugLevel$754$label_1#1;
-
- inline$BDLGetDebugLevel$754$label_1#1:
- goto inline$BDLGetDebugLevel$754$Return#1;
-
- inline$BDLGetDebugLevel$754$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon41_Then#1, inline$BDLSystemPowerIoCompletion$28$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_56_true#1, inline$BDLSystemPowerIoCompletion$28$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_60#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_61#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_62#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$28$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_57#1:
- goto inline$BDLGetDebugLevel$755$Entry#1;
-
- inline$BDLGetDebugLevel$755$Entry#1:
- goto inline$BDLGetDebugLevel$755$start#1;
-
- inline$BDLGetDebugLevel$755$start#1:
- goto inline$BDLGetDebugLevel$755$label_3#1;
-
- inline$BDLGetDebugLevel$755$label_3#1:
- havoc inline$BDLGetDebugLevel$755$myNondetVar_0;
- inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$755$myNondetVar_0;
- goto inline$BDLGetDebugLevel$755$label_1#1;
-
- inline$BDLGetDebugLevel$755$label_1#1:
- goto inline$BDLGetDebugLevel$755$Return#1;
-
- inline$BDLGetDebugLevel$755$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon42_Then#1, inline$BDLSystemPowerIoCompletion$28$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_66_true#1, inline$BDLSystemPowerIoCompletion$28$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$28$anon43_Then#1, inline$BDLSystemPowerIoCompletion$28$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$28$anon44_Then#1, inline$BDLSystemPowerIoCompletion$28$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_74#1:
- goto inline$storm_IoCompleteRequest$59$Entry#1;
-
- inline$storm_IoCompleteRequest$59$Entry#1:
- inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$59$start#1;
-
- inline$storm_IoCompleteRequest$59$start#1:
- inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$59$label_3#1;
-
- inline$storm_IoCompleteRequest$59$label_3#1:
- call inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$59$label_6#1;
-
- inline$storm_IoCompleteRequest$59$label_6#1:
- goto inline$storm_IoCompleteRequest$59$label_6_true#1, inline$storm_IoCompleteRequest$59$label_6_false#1;
-
- inline$storm_IoCompleteRequest$59$label_6_false#1:
- assume inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$59$label_7#1;
-
- inline$storm_IoCompleteRequest$59$label_6_true#1:
- assume inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$59$label_8#1;
-
- inline$storm_IoCompleteRequest$59$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$59$anon3_Then#1, inline$storm_IoCompleteRequest$59$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$59$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$59$anon1#1;
-
- inline$storm_IoCompleteRequest$59$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$59$label_9#1;
-
- inline$storm_IoCompleteRequest$59$label_9#1:
- goto inline$storm_IoCompleteRequest$59$label_9_true#1, inline$storm_IoCompleteRequest$59$label_9_false#1;
-
- inline$storm_IoCompleteRequest$59$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$59$label_10#1;
-
- inline$storm_IoCompleteRequest$59$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$59$label_1#1;
-
- inline$storm_IoCompleteRequest$59$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$59$label_7#1;
-
- inline$storm_IoCompleteRequest$59$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$59$label_1#1;
-
- inline$storm_IoCompleteRequest$59$label_1#1:
- goto inline$storm_IoCompleteRequest$59$Return#1;
-
- inline$storm_IoCompleteRequest$59$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$59$Return#1;
-
- inline$storm_IoCompleteRequest$59$Return#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon45_Then#1, inline$BDLSystemPowerIoCompletion$28$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$28$anon46_Then#1, inline$BDLSystemPowerIoCompletion$28$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_80#1:
- goto inline$BDLGetDebugLevel$756$Entry#1;
-
- inline$BDLGetDebugLevel$756$Entry#1:
- goto inline$BDLGetDebugLevel$756$start#1;
-
- inline$BDLGetDebugLevel$756$start#1:
- goto inline$BDLGetDebugLevel$756$label_3#1;
-
- inline$BDLGetDebugLevel$756$label_3#1:
- havoc inline$BDLGetDebugLevel$756$myNondetVar_0;
- inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$756$myNondetVar_0;
- goto inline$BDLGetDebugLevel$756$label_1#1;
-
- inline$BDLGetDebugLevel$756$label_1#1:
- goto inline$BDLGetDebugLevel$756$Return#1;
-
- inline$BDLGetDebugLevel$756$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon47_Then#1, inline$BDLSystemPowerIoCompletion$28$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_83_true#1, inline$BDLSystemPowerIoCompletion$28$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_87#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_88#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_89#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$28$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_84#1:
- goto inline$BDLGetDebugLevel$757$Entry#1;
-
- inline$BDLGetDebugLevel$757$Entry#1:
- goto inline$BDLGetDebugLevel$757$start#1;
-
- inline$BDLGetDebugLevel$757$start#1:
- goto inline$BDLGetDebugLevel$757$label_3#1;
-
- inline$BDLGetDebugLevel$757$label_3#1:
- havoc inline$BDLGetDebugLevel$757$myNondetVar_0;
- inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$757$myNondetVar_0;
- goto inline$BDLGetDebugLevel$757$label_1#1;
-
- inline$BDLGetDebugLevel$757$label_1#1:
- goto inline$BDLGetDebugLevel$757$Return#1;
-
- inline$BDLGetDebugLevel$757$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon48_Then#1, inline$BDLSystemPowerIoCompletion$28$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_93_true#1, inline$BDLSystemPowerIoCompletion$28$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_97#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_98#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_99#1:
- call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$28$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$28$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_94#1:
- goto inline$BDLGetDebugLevel$758$Entry#1;
-
- inline$BDLGetDebugLevel$758$Entry#1:
- goto inline$BDLGetDebugLevel$758$start#1;
-
- inline$BDLGetDebugLevel$758$start#1:
- goto inline$BDLGetDebugLevel$758$label_3#1;
-
- inline$BDLGetDebugLevel$758$label_3#1:
- havoc inline$BDLGetDebugLevel$758$myNondetVar_0;
- inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$758$myNondetVar_0;
- goto inline$BDLGetDebugLevel$758$label_1#1;
-
- inline$BDLGetDebugLevel$758$label_1#1:
- goto inline$BDLGetDebugLevel$758$Return#1;
-
- inline$BDLGetDebugLevel$758$Return#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$28$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$28$anon49_Then#1, inline$BDLSystemPowerIoCompletion$28$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_103_true#1, inline$BDLSystemPowerIoCompletion$28$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$28$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$28$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_104#1:
- inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$28$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$28$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$28$Return#1;
-
- inline$BDLSystemPowerIoCompletion$28$Return#1:
- inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$28$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$28$anon14_Then#1, inline$CallCompletionRoutine$28$anon14_Else#1;
-
- inline$CallCompletionRoutine$28$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$28$anon9#1;
-
- inline$CallCompletionRoutine$28$anon9#1:
- goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$28$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$28$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$28$Entry#1:
- inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$28$start#1;
-
- inline$BDLDevicePowerIoCompletion$28$start#1:
- call inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$28$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_4#1:
- inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_6#1:
- inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$28$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$28$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$144$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$144$Entry#1:
- inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$144$start#1;
-
- inline$IoGetCurrentIrpStackLocation$144$start#1:
- inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$144$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$144$label_3_true#1, inline$IoGetCurrentIrpStackLocation$144$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$144$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$144$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$144$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$144$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$144$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$144$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$144$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$144$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$144$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$144$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$144$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$144$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$144$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$144$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$144$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$144$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$144$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon30_Then#1, inline$BDLDevicePowerIoCompletion$28$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_13#1:
- inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$28$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$28$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_17#1:
- goto inline$BDLGetDebugLevel$741$Entry#1;
-
- inline$BDLGetDebugLevel$741$Entry#1:
- goto inline$BDLGetDebugLevel$741$start#1;
-
- inline$BDLGetDebugLevel$741$start#1:
- goto inline$BDLGetDebugLevel$741$label_3#1;
-
- inline$BDLGetDebugLevel$741$label_3#1:
- havoc inline$BDLGetDebugLevel$741$myNondetVar_0;
- inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$741$myNondetVar_0;
- goto inline$BDLGetDebugLevel$741$label_1#1;
-
- inline$BDLGetDebugLevel$741$label_1#1:
- goto inline$BDLGetDebugLevel$741$Return#1;
-
- inline$BDLGetDebugLevel$741$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon31_Then#1, inline$BDLDevicePowerIoCompletion$28$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_20_true#1, inline$BDLDevicePowerIoCompletion$28$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_24#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_25#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_26#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$28$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_21#1:
- goto inline$BDLGetDebugLevel$742$Entry#1;
-
- inline$BDLGetDebugLevel$742$Entry#1:
- goto inline$BDLGetDebugLevel$742$start#1;
-
- inline$BDLGetDebugLevel$742$start#1:
- goto inline$BDLGetDebugLevel$742$label_3#1;
-
- inline$BDLGetDebugLevel$742$label_3#1:
- havoc inline$BDLGetDebugLevel$742$myNondetVar_0;
- inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$742$myNondetVar_0;
- goto inline$BDLGetDebugLevel$742$label_1#1;
-
- inline$BDLGetDebugLevel$742$label_1#1:
- goto inline$BDLGetDebugLevel$742$Return#1;
-
- inline$BDLGetDebugLevel$742$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon32_Then#1, inline$BDLDevicePowerIoCompletion$28$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_30_true#1, inline$BDLDevicePowerIoCompletion$28$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_34#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_35#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_36#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$28$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_31#1:
- goto inline$BDLGetDebugLevel$743$Entry#1;
-
- inline$BDLGetDebugLevel$743$Entry#1:
- goto inline$BDLGetDebugLevel$743$start#1;
-
- inline$BDLGetDebugLevel$743$start#1:
- goto inline$BDLGetDebugLevel$743$label_3#1;
-
- inline$BDLGetDebugLevel$743$label_3#1:
- havoc inline$BDLGetDebugLevel$743$myNondetVar_0;
- inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$743$myNondetVar_0;
- goto inline$BDLGetDebugLevel$743$label_1#1;
-
- inline$BDLGetDebugLevel$743$label_1#1:
- goto inline$BDLGetDebugLevel$743$Return#1;
-
- inline$BDLGetDebugLevel$743$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon33_Then#1, inline$BDLDevicePowerIoCompletion$28$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_40_true#1, inline$BDLDevicePowerIoCompletion$28$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_41_true#1, inline$BDLDevicePowerIoCompletion$28$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$28$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$28$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_44_true#1, inline$BDLDevicePowerIoCompletion$28$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$28$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_45_true#1, inline$BDLDevicePowerIoCompletion$28$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$28$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$28$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$28$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$28$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$28$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_54#1:
- inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$28$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_55_true#1, inline$BDLDevicePowerIoCompletion$28$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_56#1:
- call inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$28$anon34_Then#1, inline$BDLDevicePowerIoCompletion$28$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_59#1:
- goto inline$BDLGetDebugLevel$744$Entry#1;
-
- inline$BDLGetDebugLevel$744$Entry#1:
- goto inline$BDLGetDebugLevel$744$start#1;
-
- inline$BDLGetDebugLevel$744$start#1:
- goto inline$BDLGetDebugLevel$744$label_3#1;
-
- inline$BDLGetDebugLevel$744$label_3#1:
- havoc inline$BDLGetDebugLevel$744$myNondetVar_0;
- inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$744$myNondetVar_0;
- goto inline$BDLGetDebugLevel$744$label_1#1;
-
- inline$BDLGetDebugLevel$744$label_1#1:
- goto inline$BDLGetDebugLevel$744$Return#1;
-
- inline$BDLGetDebugLevel$744$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon35_Then#1, inline$BDLDevicePowerIoCompletion$28$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_62_true#1, inline$BDLDevicePowerIoCompletion$28$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_66#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_67#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_68#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$28$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_63#1:
- goto inline$BDLGetDebugLevel$745$Entry#1;
-
- inline$BDLGetDebugLevel$745$Entry#1:
- goto inline$BDLGetDebugLevel$745$start#1;
-
- inline$BDLGetDebugLevel$745$start#1:
- goto inline$BDLGetDebugLevel$745$label_3#1;
-
- inline$BDLGetDebugLevel$745$label_3#1:
- havoc inline$BDLGetDebugLevel$745$myNondetVar_0;
- inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$745$myNondetVar_0;
- goto inline$BDLGetDebugLevel$745$label_1#1;
-
- inline$BDLGetDebugLevel$745$label_1#1:
- goto inline$BDLGetDebugLevel$745$Return#1;
-
- inline$BDLGetDebugLevel$745$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon36_Then#1, inline$BDLDevicePowerIoCompletion$28$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_72_true#1, inline$BDLDevicePowerIoCompletion$28$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_76#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_77#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_78#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$28$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_73#1:
- goto inline$BDLGetDebugLevel$746$Entry#1;
-
- inline$BDLGetDebugLevel$746$Entry#1:
- goto inline$BDLGetDebugLevel$746$start#1;
-
- inline$BDLGetDebugLevel$746$start#1:
- goto inline$BDLGetDebugLevel$746$label_3#1;
-
- inline$BDLGetDebugLevel$746$label_3#1:
- havoc inline$BDLGetDebugLevel$746$myNondetVar_0;
- inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$746$myNondetVar_0;
- goto inline$BDLGetDebugLevel$746$label_1#1;
-
- inline$BDLGetDebugLevel$746$label_1#1:
- goto inline$BDLGetDebugLevel$746$Return#1;
-
- inline$BDLGetDebugLevel$746$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon37_Then#1, inline$BDLDevicePowerIoCompletion$28$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_82_true#1, inline$BDLDevicePowerIoCompletion$28$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$28$anon38_Then#1, inline$BDLDevicePowerIoCompletion$28$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$28$anon39_Then#1, inline$BDLDevicePowerIoCompletion$28$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_92#1:
- goto inline$storm_IoCompleteRequest$58$Entry#1;
-
- inline$storm_IoCompleteRequest$58$Entry#1:
- inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$58$start#1;
-
- inline$storm_IoCompleteRequest$58$start#1:
- inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$58$label_3#1;
-
- inline$storm_IoCompleteRequest$58$label_3#1:
- call inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$58$label_6#1;
-
- inline$storm_IoCompleteRequest$58$label_6#1:
- goto inline$storm_IoCompleteRequest$58$label_6_true#1, inline$storm_IoCompleteRequest$58$label_6_false#1;
-
- inline$storm_IoCompleteRequest$58$label_6_false#1:
- assume inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$58$label_7#1;
-
- inline$storm_IoCompleteRequest$58$label_6_true#1:
- assume inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$58$label_8#1;
-
- inline$storm_IoCompleteRequest$58$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$58$anon3_Then#1, inline$storm_IoCompleteRequest$58$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$58$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$58$anon1#1;
-
- inline$storm_IoCompleteRequest$58$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$58$label_9#1;
-
- inline$storm_IoCompleteRequest$58$label_9#1:
- goto inline$storm_IoCompleteRequest$58$label_9_true#1, inline$storm_IoCompleteRequest$58$label_9_false#1;
-
- inline$storm_IoCompleteRequest$58$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$58$label_10#1;
-
- inline$storm_IoCompleteRequest$58$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$58$label_1#1;
-
- inline$storm_IoCompleteRequest$58$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$58$label_7#1;
-
- inline$storm_IoCompleteRequest$58$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$58$label_1#1;
-
- inline$storm_IoCompleteRequest$58$label_1#1:
- goto inline$storm_IoCompleteRequest$58$Return#1;
-
- inline$storm_IoCompleteRequest$58$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$58$Return#1;
-
- inline$storm_IoCompleteRequest$58$Return#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon40_Then#1, inline$BDLDevicePowerIoCompletion$28$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$28$anon41_Then#1, inline$BDLDevicePowerIoCompletion$28$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_98#1:
- goto inline$BDLGetDebugLevel$747$Entry#1;
-
- inline$BDLGetDebugLevel$747$Entry#1:
- goto inline$BDLGetDebugLevel$747$start#1;
-
- inline$BDLGetDebugLevel$747$start#1:
- goto inline$BDLGetDebugLevel$747$label_3#1;
-
- inline$BDLGetDebugLevel$747$label_3#1:
- havoc inline$BDLGetDebugLevel$747$myNondetVar_0;
- inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$747$myNondetVar_0;
- goto inline$BDLGetDebugLevel$747$label_1#1;
-
- inline$BDLGetDebugLevel$747$label_1#1:
- goto inline$BDLGetDebugLevel$747$Return#1;
-
- inline$BDLGetDebugLevel$747$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon42_Then#1, inline$BDLDevicePowerIoCompletion$28$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_101_true#1, inline$BDLDevicePowerIoCompletion$28$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_105#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_106#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_107#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$28$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_102#1:
- goto inline$BDLGetDebugLevel$748$Entry#1;
-
- inline$BDLGetDebugLevel$748$Entry#1:
- goto inline$BDLGetDebugLevel$748$start#1;
-
- inline$BDLGetDebugLevel$748$start#1:
- goto inline$BDLGetDebugLevel$748$label_3#1;
-
- inline$BDLGetDebugLevel$748$label_3#1:
- havoc inline$BDLGetDebugLevel$748$myNondetVar_0;
- inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$748$myNondetVar_0;
- goto inline$BDLGetDebugLevel$748$label_1#1;
-
- inline$BDLGetDebugLevel$748$label_1#1:
- goto inline$BDLGetDebugLevel$748$Return#1;
-
- inline$BDLGetDebugLevel$748$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon43_Then#1, inline$BDLDevicePowerIoCompletion$28$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_111_true#1, inline$BDLDevicePowerIoCompletion$28$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_115#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_116#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_117#1:
- call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$28$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$28$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_112#1:
- goto inline$BDLGetDebugLevel$749$Entry#1;
-
- inline$BDLGetDebugLevel$749$Entry#1:
- goto inline$BDLGetDebugLevel$749$start#1;
-
- inline$BDLGetDebugLevel$749$start#1:
- goto inline$BDLGetDebugLevel$749$label_3#1;
-
- inline$BDLGetDebugLevel$749$label_3#1:
- havoc inline$BDLGetDebugLevel$749$myNondetVar_0;
- inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$749$myNondetVar_0;
- goto inline$BDLGetDebugLevel$749$label_1#1;
-
- inline$BDLGetDebugLevel$749$label_1#1:
- goto inline$BDLGetDebugLevel$749$Return#1;
-
- inline$BDLGetDebugLevel$749$Return#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$28$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$28$anon44_Then#1, inline$BDLDevicePowerIoCompletion$28$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_121_true#1, inline$BDLDevicePowerIoCompletion$28$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$28$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$28$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_122#1:
- inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$28$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$28$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$28$Return#1;
-
- inline$BDLDevicePowerIoCompletion$28$Return#1:
- inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$28$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$28$anon13_Then#1, inline$CallCompletionRoutine$28$anon13_Else#1;
-
- inline$CallCompletionRoutine$28$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$28$anon7#1;
-
- inline$CallCompletionRoutine$28$anon7#1:
- goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$28$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$28$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$28$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$28$Entry#1:
- inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$28$start#1;
-
- inline$BDLCallDriverCompletionRoutine$28$start#1:
- inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$28$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$28$label_3_true#1, inline$BDLCallDriverCompletionRoutine$28$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$28$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$28$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$28$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$28$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_6#1:
- goto inline$storm_KeSetEvent$32$Entry#1;
-
- inline$storm_KeSetEvent$32$Entry#1:
- inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$32$start#1;
-
- inline$storm_KeSetEvent$32$start#1:
- inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$32$label_3#1;
-
- inline$storm_KeSetEvent$32$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$32$label_1#1;
-
- inline$storm_KeSetEvent$32$label_1#1:
- goto inline$storm_KeSetEvent$32$Return#1;
-
- inline$storm_KeSetEvent$32$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$28$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$28$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$28$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$28$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$28$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$28$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$28$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_9#1:
- inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$28$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$28$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$28$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$28$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$28$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$28$Return#1:
- inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$28$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$28$anon12_Then#1, inline$CallCompletionRoutine$28$anon12_Else#1;
-
- inline$CallCompletionRoutine$28$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$28$anon5#1;
-
- inline$CallCompletionRoutine$28$anon5#1:
- goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$28$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$28$label_23#1;
-
- inline$CallCompletionRoutine$28$label_23#1:
- inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$28$label_24#1;
-
- inline$CallCompletionRoutine$28$label_24#1:
- goto inline$CallCompletionRoutine$28$label_24_true#1, inline$CallCompletionRoutine$28$label_24_false#1;
-
- inline$CallCompletionRoutine$28$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$28$label_1#1;
-
- inline$CallCompletionRoutine$28$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$28$label_25#1;
-
- inline$CallCompletionRoutine$28$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$28$label_1#1;
-
- inline$CallCompletionRoutine$28$label_1#1:
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$28$Return#1;
-
- inline$CallCompletionRoutine$28$Return#1:
- goto inline$storm_IoCallDriver$14$label_33$1#1;
-
- inline$storm_IoCallDriver$14$label_33$1#1:
- goto inline$storm_IoCallDriver$14$anon14_Then#1, inline$storm_IoCallDriver$14$anon14_Else#1;
-
- inline$storm_IoCallDriver$14$anon14_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$14$anon8#1;
-
- inline$storm_IoCallDriver$14$anon8#1:
- goto inline$storm_IoCallDriver$14$label_36#1;
-
- inline$storm_IoCallDriver$14$anon14_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$label_27_case_1#1:
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ == 0;
- goto inline$storm_IoCallDriver$14$label_29#1;
-
- inline$storm_IoCallDriver$14$label_29#1:
- inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 0;
- goto inline$storm_IoCallDriver$14$label_37#1;
-
- inline$storm_IoCallDriver$14$label_37#1:
- goto inline$storm_IoCallDriver$14$label_38#1;
-
- inline$storm_IoCallDriver$14$label_38#1:
- goto inline$storm_IoCallDriver$14$label_39#1;
-
- inline$storm_IoCallDriver$14$label_39#1:
- goto inline$CallCompletionRoutine$29$Entry#1;
-
- inline$CallCompletionRoutine$29$Entry#1:
- inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
- goto inline$CallCompletionRoutine$29$start#1;
-
- inline$CallCompletionRoutine$29$start#1:
- inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$29$label_3#1;
-
- inline$CallCompletionRoutine$29$label_3#1:
- goto inline$CallCompletionRoutine$29$label_4#1;
-
- inline$CallCompletionRoutine$29$label_4#1:
- goto inline$CallCompletionRoutine$29$label_5#1;
-
- inline$CallCompletionRoutine$29$label_5#1:
- goto inline$CallCompletionRoutine$29$label_6#1;
-
- inline$CallCompletionRoutine$29$label_6#1:
- goto inline$CallCompletionRoutine$29$label_7#1;
-
- inline$CallCompletionRoutine$29$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$146$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$146$Entry#1:
- inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$146$start#1;
-
- inline$IoGetCurrentIrpStackLocation$146$start#1:
- inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$146$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$146$label_3_true#1, inline$IoGetCurrentIrpStackLocation$146$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$146$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$146$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$146$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$146$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$146$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$146$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$146$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$146$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$146$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$146$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$146$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$146$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$146$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$146$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$146$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$146$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$146$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$146$Return#1:
- goto inline$CallCompletionRoutine$29$label_7$1#1;
-
- inline$CallCompletionRoutine$29$label_7$1#1:
- goto inline$CallCompletionRoutine$29$anon10_Then#1, inline$CallCompletionRoutine$29$anon10_Else#1;
-
- inline$CallCompletionRoutine$29$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$29$anon1#1;
-
- inline$CallCompletionRoutine$29$anon1#1:
- goto inline$CallCompletionRoutine$29$label_10#1;
-
- inline$CallCompletionRoutine$29$label_10#1:
- goto inline$CallCompletionRoutine$29$label_11#1;
-
- inline$CallCompletionRoutine$29$label_11#1:
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$CallCompletionRoutine$29$label_12#1;
-
- inline$CallCompletionRoutine$29$label_12#1:
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$CallCompletionRoutine$29$label_13#1;
-
- inline$CallCompletionRoutine$29$label_13#1:
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$CallCompletionRoutine$29$label_14#1;
-
- inline$CallCompletionRoutine$29$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$147$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$147$Entry#1:
- inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$147$start#1;
-
- inline$IoGetCurrentIrpStackLocation$147$start#1:
- inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$147$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$147$label_3_true#1, inline$IoGetCurrentIrpStackLocation$147$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$147$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$147$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$147$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$147$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$147$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$147$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$147$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$147$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$147$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$147$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$147$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$147$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$147$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$147$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$147$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$147$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$147$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$147$Return#1:
- goto inline$CallCompletionRoutine$29$label_14$1#1;
-
- inline$CallCompletionRoutine$29$label_14$1#1:
- goto inline$CallCompletionRoutine$29$anon11_Then#1, inline$CallCompletionRoutine$29$anon11_Else#1;
-
- inline$CallCompletionRoutine$29$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$29$anon3#1;
-
- inline$CallCompletionRoutine$29$anon3#1:
- goto inline$CallCompletionRoutine$29$label_17#1;
-
- inline$CallCompletionRoutine$29$label_17#1:
- goto inline$CallCompletionRoutine$29$label_18#1;
-
- inline$CallCompletionRoutine$29$label_18#1:
- goto inline$CallCompletionRoutine$29$label_18_true#1, inline$CallCompletionRoutine$29$label_18_false#1;
-
- inline$CallCompletionRoutine$29$label_18_false#1:
- assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$29$label_1#1;
-
- inline$CallCompletionRoutine$29$label_18_true#1:
- assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$29$label_19#1;
-
- inline$CallCompletionRoutine$29$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$29$label_20#1;
-
- inline$CallCompletionRoutine$29$label_20#1:
- goto inline$CallCompletionRoutine$29$label_20_icall_1#1, inline$CallCompletionRoutine$29$label_20_icall_2#1, inline$CallCompletionRoutine$29$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$29$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$29$Entry#1:
- inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$29$start#1;
-
- inline$BDLSystemPowerIoCompletion$29$start#1:
- call inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$29$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_4#1:
- inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_6#1:
- inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$29$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$29$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$149$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$149$Entry#1:
- inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$149$start#1;
-
- inline$IoGetCurrentIrpStackLocation$149$start#1:
- inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$149$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$149$label_3_true#1, inline$IoGetCurrentIrpStackLocation$149$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$149$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$149$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$149$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$149$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$149$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$149$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$149$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$149$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$149$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$149$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$149$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$149$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$149$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$149$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$149$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$149$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$149$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$149$Return#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon36_Then#1, inline$BDLSystemPowerIoCompletion$29$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_15#1:
- goto inline$BDLGetDebugLevel$771$Entry#1;
-
- inline$BDLGetDebugLevel$771$Entry#1:
- goto inline$BDLGetDebugLevel$771$start#1;
-
- inline$BDLGetDebugLevel$771$start#1:
- goto inline$BDLGetDebugLevel$771$label_3#1;
-
- inline$BDLGetDebugLevel$771$label_3#1:
- havoc inline$BDLGetDebugLevel$771$myNondetVar_0;
- inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$771$myNondetVar_0;
- goto inline$BDLGetDebugLevel$771$label_1#1;
-
- inline$BDLGetDebugLevel$771$label_1#1:
- goto inline$BDLGetDebugLevel$771$Return#1;
-
- inline$BDLGetDebugLevel$771$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon37_Then#1, inline$BDLSystemPowerIoCompletion$29$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_18_true#1, inline$BDLSystemPowerIoCompletion$29$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_22#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_23#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_24#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$29$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_19#1:
- goto inline$BDLGetDebugLevel$772$Entry#1;
-
- inline$BDLGetDebugLevel$772$Entry#1:
- goto inline$BDLGetDebugLevel$772$start#1;
-
- inline$BDLGetDebugLevel$772$start#1:
- goto inline$BDLGetDebugLevel$772$label_3#1;
-
- inline$BDLGetDebugLevel$772$label_3#1:
- havoc inline$BDLGetDebugLevel$772$myNondetVar_0;
- inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$772$myNondetVar_0;
- goto inline$BDLGetDebugLevel$772$label_1#1;
-
- inline$BDLGetDebugLevel$772$label_1#1:
- goto inline$BDLGetDebugLevel$772$Return#1;
-
- inline$BDLGetDebugLevel$772$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon38_Then#1, inline$BDLSystemPowerIoCompletion$29$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_28_true#1, inline$BDLSystemPowerIoCompletion$29$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_32#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_33#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_34#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$29$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_29#1:
- goto inline$BDLGetDebugLevel$773$Entry#1;
-
- inline$BDLGetDebugLevel$773$Entry#1:
- goto inline$BDLGetDebugLevel$773$start#1;
-
- inline$BDLGetDebugLevel$773$start#1:
- goto inline$BDLGetDebugLevel$773$label_3#1;
-
- inline$BDLGetDebugLevel$773$label_3#1:
- havoc inline$BDLGetDebugLevel$773$myNondetVar_0;
- inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$773$myNondetVar_0;
- goto inline$BDLGetDebugLevel$773$label_1#1;
-
- inline$BDLGetDebugLevel$773$label_1#1:
- goto inline$BDLGetDebugLevel$773$Return#1;
-
- inline$BDLGetDebugLevel$773$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon39_Then#1, inline$BDLSystemPowerIoCompletion$29$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_38_true#1, inline$BDLSystemPowerIoCompletion$29$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_39_true#1, inline$BDLSystemPowerIoCompletion$29$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$29$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$29$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$29$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_106_true#1, inline$BDLSystemPowerIoCompletion$29$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_142#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_143#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$29$myNondetVar_0, inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$29$anon50_Then#1, inline$BDLSystemPowerIoCompletion$29$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_112#1:
- inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$29$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_113_true#1, inline$BDLSystemPowerIoCompletion$29$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$29$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_117#1:
- goto inline$BDLGetDebugLevel$780$Entry#1;
-
- inline$BDLGetDebugLevel$780$Entry#1:
- goto inline$BDLGetDebugLevel$780$start#1;
-
- inline$BDLGetDebugLevel$780$start#1:
- goto inline$BDLGetDebugLevel$780$label_3#1;
-
- inline$BDLGetDebugLevel$780$label_3#1:
- havoc inline$BDLGetDebugLevel$780$myNondetVar_0;
- inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$780$myNondetVar_0;
- goto inline$BDLGetDebugLevel$780$label_1#1;
-
- inline$BDLGetDebugLevel$780$label_1#1:
- goto inline$BDLGetDebugLevel$780$Return#1;
-
- inline$BDLGetDebugLevel$780$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon51_Then#1, inline$BDLSystemPowerIoCompletion$29$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_120_true#1, inline$BDLSystemPowerIoCompletion$29$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_124#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_125#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_126#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$29$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_121#1:
- goto inline$BDLGetDebugLevel$781$Entry#1;
-
- inline$BDLGetDebugLevel$781$Entry#1:
- goto inline$BDLGetDebugLevel$781$start#1;
-
- inline$BDLGetDebugLevel$781$start#1:
- goto inline$BDLGetDebugLevel$781$label_3#1;
-
- inline$BDLGetDebugLevel$781$label_3#1:
- havoc inline$BDLGetDebugLevel$781$myNondetVar_0;
- inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$781$myNondetVar_0;
- goto inline$BDLGetDebugLevel$781$label_1#1;
-
- inline$BDLGetDebugLevel$781$label_1#1:
- goto inline$BDLGetDebugLevel$781$Return#1;
-
- inline$BDLGetDebugLevel$781$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon52_Then#1, inline$BDLSystemPowerIoCompletion$29$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_130_true#1, inline$BDLSystemPowerIoCompletion$29$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_134#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_135#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_136#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$29$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_131#1:
- goto inline$BDLGetDebugLevel$782$Entry#1;
-
- inline$BDLGetDebugLevel$782$Entry#1:
- goto inline$BDLGetDebugLevel$782$start#1;
-
- inline$BDLGetDebugLevel$782$start#1:
- goto inline$BDLGetDebugLevel$782$label_3#1;
-
- inline$BDLGetDebugLevel$782$label_3#1:
- havoc inline$BDLGetDebugLevel$782$myNondetVar_0;
- inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$782$myNondetVar_0;
- goto inline$BDLGetDebugLevel$782$label_1#1;
-
- inline$BDLGetDebugLevel$782$label_1#1:
- goto inline$BDLGetDebugLevel$782$Return#1;
-
- inline$BDLGetDebugLevel$782$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon53_Then#1, inline$BDLSystemPowerIoCompletion$29$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_140_true#1, inline$BDLSystemPowerIoCompletion$29$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$29$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_115#1:
- inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$29$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$29$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_43#1:
- goto inline$BDLGetDebugLevel$774$Entry#1;
-
- inline$BDLGetDebugLevel$774$Entry#1:
- goto inline$BDLGetDebugLevel$774$start#1;
-
- inline$BDLGetDebugLevel$774$start#1:
- goto inline$BDLGetDebugLevel$774$label_3#1;
-
- inline$BDLGetDebugLevel$774$label_3#1:
- havoc inline$BDLGetDebugLevel$774$myNondetVar_0;
- inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$774$myNondetVar_0;
- goto inline$BDLGetDebugLevel$774$label_1#1;
-
- inline$BDLGetDebugLevel$774$label_1#1:
- goto inline$BDLGetDebugLevel$774$Return#1;
-
- inline$BDLGetDebugLevel$774$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon40_Then#1, inline$BDLSystemPowerIoCompletion$29$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_46_true#1, inline$BDLSystemPowerIoCompletion$29$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_50#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_51#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_52#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$29$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_47#1:
- goto inline$BDLGetDebugLevel$775$Entry#1;
-
- inline$BDLGetDebugLevel$775$Entry#1:
- goto inline$BDLGetDebugLevel$775$start#1;
-
- inline$BDLGetDebugLevel$775$start#1:
- goto inline$BDLGetDebugLevel$775$label_3#1;
-
- inline$BDLGetDebugLevel$775$label_3#1:
- havoc inline$BDLGetDebugLevel$775$myNondetVar_0;
- inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$775$myNondetVar_0;
- goto inline$BDLGetDebugLevel$775$label_1#1;
-
- inline$BDLGetDebugLevel$775$label_1#1:
- goto inline$BDLGetDebugLevel$775$Return#1;
-
- inline$BDLGetDebugLevel$775$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon41_Then#1, inline$BDLSystemPowerIoCompletion$29$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_56_true#1, inline$BDLSystemPowerIoCompletion$29$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_60#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_61#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_62#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$29$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_57#1:
- goto inline$BDLGetDebugLevel$776$Entry#1;
-
- inline$BDLGetDebugLevel$776$Entry#1:
- goto inline$BDLGetDebugLevel$776$start#1;
-
- inline$BDLGetDebugLevel$776$start#1:
- goto inline$BDLGetDebugLevel$776$label_3#1;
-
- inline$BDLGetDebugLevel$776$label_3#1:
- havoc inline$BDLGetDebugLevel$776$myNondetVar_0;
- inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$776$myNondetVar_0;
- goto inline$BDLGetDebugLevel$776$label_1#1;
-
- inline$BDLGetDebugLevel$776$label_1#1:
- goto inline$BDLGetDebugLevel$776$Return#1;
-
- inline$BDLGetDebugLevel$776$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon42_Then#1, inline$BDLSystemPowerIoCompletion$29$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_66_true#1, inline$BDLSystemPowerIoCompletion$29$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$29$anon43_Then#1, inline$BDLSystemPowerIoCompletion$29$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$29$anon44_Then#1, inline$BDLSystemPowerIoCompletion$29$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_74#1:
- goto inline$storm_IoCompleteRequest$61$Entry#1;
-
- inline$storm_IoCompleteRequest$61$Entry#1:
- inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$61$start#1;
-
- inline$storm_IoCompleteRequest$61$start#1:
- inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$61$label_3#1;
-
- inline$storm_IoCompleteRequest$61$label_3#1:
- call inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$61$label_6#1;
-
- inline$storm_IoCompleteRequest$61$label_6#1:
- goto inline$storm_IoCompleteRequest$61$label_6_true#1, inline$storm_IoCompleteRequest$61$label_6_false#1;
-
- inline$storm_IoCompleteRequest$61$label_6_false#1:
- assume inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$61$label_7#1;
-
- inline$storm_IoCompleteRequest$61$label_6_true#1:
- assume inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$61$label_8#1;
-
- inline$storm_IoCompleteRequest$61$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$61$anon3_Then#1, inline$storm_IoCompleteRequest$61$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$61$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$61$anon1#1;
-
- inline$storm_IoCompleteRequest$61$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$61$label_9#1;
-
- inline$storm_IoCompleteRequest$61$label_9#1:
- goto inline$storm_IoCompleteRequest$61$label_9_true#1, inline$storm_IoCompleteRequest$61$label_9_false#1;
-
- inline$storm_IoCompleteRequest$61$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$61$label_10#1;
-
- inline$storm_IoCompleteRequest$61$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$61$label_1#1;
-
- inline$storm_IoCompleteRequest$61$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$61$label_7#1;
-
- inline$storm_IoCompleteRequest$61$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$61$label_1#1;
-
- inline$storm_IoCompleteRequest$61$label_1#1:
- goto inline$storm_IoCompleteRequest$61$Return#1;
-
- inline$storm_IoCompleteRequest$61$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$61$Return#1;
-
- inline$storm_IoCompleteRequest$61$Return#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon45_Then#1, inline$BDLSystemPowerIoCompletion$29$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$29$anon46_Then#1, inline$BDLSystemPowerIoCompletion$29$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_80#1:
- goto inline$BDLGetDebugLevel$777$Entry#1;
-
- inline$BDLGetDebugLevel$777$Entry#1:
- goto inline$BDLGetDebugLevel$777$start#1;
-
- inline$BDLGetDebugLevel$777$start#1:
- goto inline$BDLGetDebugLevel$777$label_3#1;
-
- inline$BDLGetDebugLevel$777$label_3#1:
- havoc inline$BDLGetDebugLevel$777$myNondetVar_0;
- inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$777$myNondetVar_0;
- goto inline$BDLGetDebugLevel$777$label_1#1;
-
- inline$BDLGetDebugLevel$777$label_1#1:
- goto inline$BDLGetDebugLevel$777$Return#1;
-
- inline$BDLGetDebugLevel$777$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon47_Then#1, inline$BDLSystemPowerIoCompletion$29$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_83_true#1, inline$BDLSystemPowerIoCompletion$29$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_87#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_88#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_89#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$29$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_84#1:
- goto inline$BDLGetDebugLevel$778$Entry#1;
-
- inline$BDLGetDebugLevel$778$Entry#1:
- goto inline$BDLGetDebugLevel$778$start#1;
-
- inline$BDLGetDebugLevel$778$start#1:
- goto inline$BDLGetDebugLevel$778$label_3#1;
-
- inline$BDLGetDebugLevel$778$label_3#1:
- havoc inline$BDLGetDebugLevel$778$myNondetVar_0;
- inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$778$myNondetVar_0;
- goto inline$BDLGetDebugLevel$778$label_1#1;
-
- inline$BDLGetDebugLevel$778$label_1#1:
- goto inline$BDLGetDebugLevel$778$Return#1;
-
- inline$BDLGetDebugLevel$778$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon48_Then#1, inline$BDLSystemPowerIoCompletion$29$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_93_true#1, inline$BDLSystemPowerIoCompletion$29$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_97#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_98#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_99#1:
- call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$29$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$29$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_94#1:
- goto inline$BDLGetDebugLevel$779$Entry#1;
-
- inline$BDLGetDebugLevel$779$Entry#1:
- goto inline$BDLGetDebugLevel$779$start#1;
-
- inline$BDLGetDebugLevel$779$start#1:
- goto inline$BDLGetDebugLevel$779$label_3#1;
-
- inline$BDLGetDebugLevel$779$label_3#1:
- havoc inline$BDLGetDebugLevel$779$myNondetVar_0;
- inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$779$myNondetVar_0;
- goto inline$BDLGetDebugLevel$779$label_1#1;
-
- inline$BDLGetDebugLevel$779$label_1#1:
- goto inline$BDLGetDebugLevel$779$Return#1;
-
- inline$BDLGetDebugLevel$779$Return#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$29$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$29$anon49_Then#1, inline$BDLSystemPowerIoCompletion$29$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_103_true#1, inline$BDLSystemPowerIoCompletion$29$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$29$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$29$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_104#1:
- inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$29$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$29$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$29$Return#1;
-
- inline$BDLSystemPowerIoCompletion$29$Return#1:
- inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$29$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$29$anon14_Then#1, inline$CallCompletionRoutine$29$anon14_Else#1;
-
- inline$CallCompletionRoutine$29$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$29$anon9#1;
-
- inline$CallCompletionRoutine$29$anon9#1:
- goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$29$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$29$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$29$Entry#1:
- inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$29$start#1;
-
- inline$BDLDevicePowerIoCompletion$29$start#1:
- call inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$29$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_4#1:
- inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_6#1:
- inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$29$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$29$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$148$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$148$Entry#1:
- inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$148$start#1;
-
- inline$IoGetCurrentIrpStackLocation$148$start#1:
- inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$148$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$148$label_3_true#1, inline$IoGetCurrentIrpStackLocation$148$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$148$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$148$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$148$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$148$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$148$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$148$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$148$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$148$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$148$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$148$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$148$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$148$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$148$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$148$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$148$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$148$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$148$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon30_Then#1, inline$BDLDevicePowerIoCompletion$29$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_13#1:
- inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$29$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$29$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_17#1:
- goto inline$BDLGetDebugLevel$762$Entry#1;
-
- inline$BDLGetDebugLevel$762$Entry#1:
- goto inline$BDLGetDebugLevel$762$start#1;
-
- inline$BDLGetDebugLevel$762$start#1:
- goto inline$BDLGetDebugLevel$762$label_3#1;
-
- inline$BDLGetDebugLevel$762$label_3#1:
- havoc inline$BDLGetDebugLevel$762$myNondetVar_0;
- inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$762$myNondetVar_0;
- goto inline$BDLGetDebugLevel$762$label_1#1;
-
- inline$BDLGetDebugLevel$762$label_1#1:
- goto inline$BDLGetDebugLevel$762$Return#1;
-
- inline$BDLGetDebugLevel$762$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon31_Then#1, inline$BDLDevicePowerIoCompletion$29$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_20_true#1, inline$BDLDevicePowerIoCompletion$29$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_24#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_25#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_26#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$29$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_21#1:
- goto inline$BDLGetDebugLevel$763$Entry#1;
-
- inline$BDLGetDebugLevel$763$Entry#1:
- goto inline$BDLGetDebugLevel$763$start#1;
-
- inline$BDLGetDebugLevel$763$start#1:
- goto inline$BDLGetDebugLevel$763$label_3#1;
-
- inline$BDLGetDebugLevel$763$label_3#1:
- havoc inline$BDLGetDebugLevel$763$myNondetVar_0;
- inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$763$myNondetVar_0;
- goto inline$BDLGetDebugLevel$763$label_1#1;
-
- inline$BDLGetDebugLevel$763$label_1#1:
- goto inline$BDLGetDebugLevel$763$Return#1;
-
- inline$BDLGetDebugLevel$763$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon32_Then#1, inline$BDLDevicePowerIoCompletion$29$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_30_true#1, inline$BDLDevicePowerIoCompletion$29$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_34#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_35#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_36#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$29$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_31#1:
- goto inline$BDLGetDebugLevel$764$Entry#1;
-
- inline$BDLGetDebugLevel$764$Entry#1:
- goto inline$BDLGetDebugLevel$764$start#1;
-
- inline$BDLGetDebugLevel$764$start#1:
- goto inline$BDLGetDebugLevel$764$label_3#1;
-
- inline$BDLGetDebugLevel$764$label_3#1:
- havoc inline$BDLGetDebugLevel$764$myNondetVar_0;
- inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$764$myNondetVar_0;
- goto inline$BDLGetDebugLevel$764$label_1#1;
-
- inline$BDLGetDebugLevel$764$label_1#1:
- goto inline$BDLGetDebugLevel$764$Return#1;
-
- inline$BDLGetDebugLevel$764$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon33_Then#1, inline$BDLDevicePowerIoCompletion$29$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_40_true#1, inline$BDLDevicePowerIoCompletion$29$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_41_true#1, inline$BDLDevicePowerIoCompletion$29$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$29$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$29$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_44_true#1, inline$BDLDevicePowerIoCompletion$29$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$29$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_45_true#1, inline$BDLDevicePowerIoCompletion$29$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$29$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$29$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$29$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$29$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$29$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_54#1:
- inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$29$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_55_true#1, inline$BDLDevicePowerIoCompletion$29$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_56#1:
- call inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$29$anon34_Then#1, inline$BDLDevicePowerIoCompletion$29$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_59#1:
- goto inline$BDLGetDebugLevel$765$Entry#1;
-
- inline$BDLGetDebugLevel$765$Entry#1:
- goto inline$BDLGetDebugLevel$765$start#1;
-
- inline$BDLGetDebugLevel$765$start#1:
- goto inline$BDLGetDebugLevel$765$label_3#1;
-
- inline$BDLGetDebugLevel$765$label_3#1:
- havoc inline$BDLGetDebugLevel$765$myNondetVar_0;
- inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$765$myNondetVar_0;
- goto inline$BDLGetDebugLevel$765$label_1#1;
-
- inline$BDLGetDebugLevel$765$label_1#1:
- goto inline$BDLGetDebugLevel$765$Return#1;
-
- inline$BDLGetDebugLevel$765$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon35_Then#1, inline$BDLDevicePowerIoCompletion$29$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_62_true#1, inline$BDLDevicePowerIoCompletion$29$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_66#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_67#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_68#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$29$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_63#1:
- goto inline$BDLGetDebugLevel$766$Entry#1;
-
- inline$BDLGetDebugLevel$766$Entry#1:
- goto inline$BDLGetDebugLevel$766$start#1;
-
- inline$BDLGetDebugLevel$766$start#1:
- goto inline$BDLGetDebugLevel$766$label_3#1;
-
- inline$BDLGetDebugLevel$766$label_3#1:
- havoc inline$BDLGetDebugLevel$766$myNondetVar_0;
- inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$766$myNondetVar_0;
- goto inline$BDLGetDebugLevel$766$label_1#1;
-
- inline$BDLGetDebugLevel$766$label_1#1:
- goto inline$BDLGetDebugLevel$766$Return#1;
-
- inline$BDLGetDebugLevel$766$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon36_Then#1, inline$BDLDevicePowerIoCompletion$29$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_72_true#1, inline$BDLDevicePowerIoCompletion$29$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_76#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_77#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_78#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$29$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_73#1:
- goto inline$BDLGetDebugLevel$767$Entry#1;
-
- inline$BDLGetDebugLevel$767$Entry#1:
- goto inline$BDLGetDebugLevel$767$start#1;
-
- inline$BDLGetDebugLevel$767$start#1:
- goto inline$BDLGetDebugLevel$767$label_3#1;
-
- inline$BDLGetDebugLevel$767$label_3#1:
- havoc inline$BDLGetDebugLevel$767$myNondetVar_0;
- inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$767$myNondetVar_0;
- goto inline$BDLGetDebugLevel$767$label_1#1;
-
- inline$BDLGetDebugLevel$767$label_1#1:
- goto inline$BDLGetDebugLevel$767$Return#1;
-
- inline$BDLGetDebugLevel$767$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon37_Then#1, inline$BDLDevicePowerIoCompletion$29$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_82_true#1, inline$BDLDevicePowerIoCompletion$29$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$29$anon38_Then#1, inline$BDLDevicePowerIoCompletion$29$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$29$anon39_Then#1, inline$BDLDevicePowerIoCompletion$29$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_92#1:
- goto inline$storm_IoCompleteRequest$60$Entry#1;
-
- inline$storm_IoCompleteRequest$60$Entry#1:
- inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$60$start#1;
-
- inline$storm_IoCompleteRequest$60$start#1:
- inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$60$label_3#1;
-
- inline$storm_IoCompleteRequest$60$label_3#1:
- call inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$60$label_6#1;
-
- inline$storm_IoCompleteRequest$60$label_6#1:
- goto inline$storm_IoCompleteRequest$60$label_6_true#1, inline$storm_IoCompleteRequest$60$label_6_false#1;
-
- inline$storm_IoCompleteRequest$60$label_6_false#1:
- assume inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$60$label_7#1;
-
- inline$storm_IoCompleteRequest$60$label_6_true#1:
- assume inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$60$label_8#1;
-
- inline$storm_IoCompleteRequest$60$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$60$anon3_Then#1, inline$storm_IoCompleteRequest$60$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$60$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$60$anon1#1;
-
- inline$storm_IoCompleteRequest$60$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$60$label_9#1;
-
- inline$storm_IoCompleteRequest$60$label_9#1:
- goto inline$storm_IoCompleteRequest$60$label_9_true#1, inline$storm_IoCompleteRequest$60$label_9_false#1;
-
- inline$storm_IoCompleteRequest$60$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$60$label_10#1;
-
- inline$storm_IoCompleteRequest$60$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$60$label_1#1;
-
- inline$storm_IoCompleteRequest$60$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$60$label_7#1;
-
- inline$storm_IoCompleteRequest$60$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$60$label_1#1;
-
- inline$storm_IoCompleteRequest$60$label_1#1:
- goto inline$storm_IoCompleteRequest$60$Return#1;
-
- inline$storm_IoCompleteRequest$60$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$60$Return#1;
-
- inline$storm_IoCompleteRequest$60$Return#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon40_Then#1, inline$BDLDevicePowerIoCompletion$29$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$29$anon41_Then#1, inline$BDLDevicePowerIoCompletion$29$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_98#1:
- goto inline$BDLGetDebugLevel$768$Entry#1;
-
- inline$BDLGetDebugLevel$768$Entry#1:
- goto inline$BDLGetDebugLevel$768$start#1;
-
- inline$BDLGetDebugLevel$768$start#1:
- goto inline$BDLGetDebugLevel$768$label_3#1;
-
- inline$BDLGetDebugLevel$768$label_3#1:
- havoc inline$BDLGetDebugLevel$768$myNondetVar_0;
- inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$768$myNondetVar_0;
- goto inline$BDLGetDebugLevel$768$label_1#1;
-
- inline$BDLGetDebugLevel$768$label_1#1:
- goto inline$BDLGetDebugLevel$768$Return#1;
-
- inline$BDLGetDebugLevel$768$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon42_Then#1, inline$BDLDevicePowerIoCompletion$29$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_101_true#1, inline$BDLDevicePowerIoCompletion$29$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_105#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_106#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_107#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$29$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_102#1:
- goto inline$BDLGetDebugLevel$769$Entry#1;
-
- inline$BDLGetDebugLevel$769$Entry#1:
- goto inline$BDLGetDebugLevel$769$start#1;
-
- inline$BDLGetDebugLevel$769$start#1:
- goto inline$BDLGetDebugLevel$769$label_3#1;
-
- inline$BDLGetDebugLevel$769$label_3#1:
- havoc inline$BDLGetDebugLevel$769$myNondetVar_0;
- inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$769$myNondetVar_0;
- goto inline$BDLGetDebugLevel$769$label_1#1;
-
- inline$BDLGetDebugLevel$769$label_1#1:
- goto inline$BDLGetDebugLevel$769$Return#1;
-
- inline$BDLGetDebugLevel$769$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon43_Then#1, inline$BDLDevicePowerIoCompletion$29$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_111_true#1, inline$BDLDevicePowerIoCompletion$29$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_115#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_116#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_117#1:
- call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$29$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$29$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_112#1:
- goto inline$BDLGetDebugLevel$770$Entry#1;
-
- inline$BDLGetDebugLevel$770$Entry#1:
- goto inline$BDLGetDebugLevel$770$start#1;
-
- inline$BDLGetDebugLevel$770$start#1:
- goto inline$BDLGetDebugLevel$770$label_3#1;
-
- inline$BDLGetDebugLevel$770$label_3#1:
- havoc inline$BDLGetDebugLevel$770$myNondetVar_0;
- inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$770$myNondetVar_0;
- goto inline$BDLGetDebugLevel$770$label_1#1;
-
- inline$BDLGetDebugLevel$770$label_1#1:
- goto inline$BDLGetDebugLevel$770$Return#1;
-
- inline$BDLGetDebugLevel$770$Return#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$29$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$29$anon44_Then#1, inline$BDLDevicePowerIoCompletion$29$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_121_true#1, inline$BDLDevicePowerIoCompletion$29$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$29$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$29$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_122#1:
- inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$29$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$29$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$29$Return#1;
-
- inline$BDLDevicePowerIoCompletion$29$Return#1:
- inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$29$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$29$anon13_Then#1, inline$CallCompletionRoutine$29$anon13_Else#1;
-
- inline$CallCompletionRoutine$29$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$29$anon7#1;
-
- inline$CallCompletionRoutine$29$anon7#1:
- goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$29$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$29$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$29$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$29$Entry#1:
- inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$29$start#1;
-
- inline$BDLCallDriverCompletionRoutine$29$start#1:
- inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$29$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$29$label_3_true#1, inline$BDLCallDriverCompletionRoutine$29$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$29$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$29$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$29$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$29$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_6#1:
- goto inline$storm_KeSetEvent$33$Entry#1;
-
- inline$storm_KeSetEvent$33$Entry#1:
- inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$33$start#1;
-
- inline$storm_KeSetEvent$33$start#1:
- inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$33$label_3#1;
-
- inline$storm_KeSetEvent$33$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$33$label_1#1;
-
- inline$storm_KeSetEvent$33$label_1#1:
- goto inline$storm_KeSetEvent$33$Return#1;
-
- inline$storm_KeSetEvent$33$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$29$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$29$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$29$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$29$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$29$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$29$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$29$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_9#1:
- inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$29$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$29$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$29$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$29$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$29$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$29$Return#1:
- inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$29$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$29$anon12_Then#1, inline$CallCompletionRoutine$29$anon12_Else#1;
-
- inline$CallCompletionRoutine$29$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$29$anon5#1;
-
- inline$CallCompletionRoutine$29$anon5#1:
- goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$29$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$29$label_23#1;
-
- inline$CallCompletionRoutine$29$label_23#1:
- inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$29$label_24#1;
-
- inline$CallCompletionRoutine$29$label_24#1:
- goto inline$CallCompletionRoutine$29$label_24_true#1, inline$CallCompletionRoutine$29$label_24_false#1;
-
- inline$CallCompletionRoutine$29$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$29$label_1#1;
-
- inline$CallCompletionRoutine$29$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$29$label_25#1;
-
- inline$CallCompletionRoutine$29$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$29$label_1#1;
-
- inline$CallCompletionRoutine$29$label_1#1:
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$29$Return#1;
-
- inline$CallCompletionRoutine$29$Return#1:
- goto inline$storm_IoCallDriver$14$label_39$1#1;
-
- inline$storm_IoCallDriver$14$label_39$1#1:
- goto inline$storm_IoCallDriver$14$anon15_Then#1, inline$storm_IoCallDriver$14$anon15_Else#1;
-
- inline$storm_IoCallDriver$14$anon15_Else#1:
- assume !raiseException;
- goto inline$storm_IoCallDriver$14$anon10#1;
-
- inline$storm_IoCallDriver$14$anon10#1:
- goto inline$storm_IoCallDriver$14$label_36#1;
-
- inline$storm_IoCallDriver$14$anon15_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$label_27_case_0#1:
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ != 0;
- assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ != 1;
- goto inline$storm_IoCallDriver$14$label_28#1;
-
- inline$storm_IoCallDriver$14$label_28#1:
- inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 259;
- goto inline$storm_IoCallDriver$14$label_42#1;
-
- inline$storm_IoCallDriver$14$label_42#1:
- goto inline$storm_IoCallDriver$14$label_43#1;
-
- inline$storm_IoCallDriver$14$label_43#1:
- created_irp_0 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
- call contextSwitch();
- goto inline$storm_IoCallDriver$14$label_36#1;
-
- inline$storm_IoCallDriver$14$label_36#1:
- inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8;
- goto inline$storm_IoCallDriver$14$label_1#1;
-
- inline$storm_IoCallDriver$14$label_1#1:
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$anon13_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCallDriver$14$Return#1;
-
- inline$storm_IoCallDriver$14$Return#1:
- inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$ := inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$;
- goto inline$BDLPnP$0$label_181$1#1;
-
- inline$BDLPnP$0$label_181$1#1:
- goto inline$BDLPnP$0$anon80_Then#1, inline$BDLPnP$0$anon80_Else#1;
-
- inline$BDLPnP$0$anon80_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon53#1;
-
- inline$BDLPnP$0$anon53#1:
- goto inline$BDLPnP$0$label_184#1;
-
- inline$BDLPnP$0$label_184#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$;
- goto inline$BDLPnP$0$label_185#1;
-
- inline$BDLPnP$0$label_185#1:
- inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
- goto inline$BDLPnP$0$label_139#1;
-
- inline$BDLPnP$0$label_139#1:
- goto inline$BDLPnP$0$label_139_true#1, inline$BDLPnP$0$label_139_false#1;
-
- inline$BDLPnP$0$label_139_false#1:
- assume !INT_EQ(inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8, 1);
- goto inline$BDLPnP$0$label_140#1;
-
- inline$BDLPnP$0$label_139_true#1:
- assume INT_EQ(inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8, 1);
- goto inline$BDLPnP$0$label_141#1;
-
- inline$BDLPnP$0$label_141#1:
- goto inline$BDLPnP$0$label_142#1;
-
- inline$BDLPnP$0$label_142#1:
- goto inline$storm_IoCompleteRequest$57$Entry#1;
-
- inline$storm_IoCompleteRequest$57$Entry#1:
- inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$storm_IoCompleteRequest$57$start#1;
-
- inline$storm_IoCompleteRequest$57$start#1:
- inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$57$label_3#1;
-
- inline$storm_IoCompleteRequest$57$label_3#1:
- call inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$57$label_6#1;
-
- inline$storm_IoCompleteRequest$57$label_6#1:
- goto inline$storm_IoCompleteRequest$57$label_6_true#1, inline$storm_IoCompleteRequest$57$label_6_false#1;
-
- inline$storm_IoCompleteRequest$57$label_6_false#1:
- assume inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$57$label_7#1;
-
- inline$storm_IoCompleteRequest$57$label_6_true#1:
- assume inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$57$label_8#1;
-
- inline$storm_IoCompleteRequest$57$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$57$anon3_Then#1, inline$storm_IoCompleteRequest$57$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$57$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$57$anon1#1;
-
- inline$storm_IoCompleteRequest$57$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$57$label_9#1;
-
- inline$storm_IoCompleteRequest$57$label_9#1:
- goto inline$storm_IoCompleteRequest$57$label_9_true#1, inline$storm_IoCompleteRequest$57$label_9_false#1;
-
- inline$storm_IoCompleteRequest$57$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$57$label_10#1;
-
- inline$storm_IoCompleteRequest$57$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$57$label_1#1;
-
- inline$storm_IoCompleteRequest$57$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$57$label_7#1;
-
- inline$storm_IoCompleteRequest$57$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$57$label_1#1;
-
- inline$storm_IoCompleteRequest$57$label_1#1:
- goto inline$storm_IoCompleteRequest$57$Return#1;
-
- inline$storm_IoCompleteRequest$57$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$57$Return#1;
-
- inline$storm_IoCompleteRequest$57$Return#1:
- goto inline$BDLPnP$0$label_142$1#1;
-
- inline$BDLPnP$0$label_142$1#1:
- goto inline$BDLPnP$0$anon75_Then#1, inline$BDLPnP$0$anon75_Else#1;
-
- inline$BDLPnP$0$anon75_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon43#1;
-
- inline$BDLPnP$0$anon43#1:
- goto inline$BDLPnP$0$label_140#1;
-
- inline$BDLPnP$0$label_140#1:
- goto inline$BDLPnP$0$label_140_true#1, inline$BDLPnP$0$label_140_false#1;
-
- inline$BDLPnP$0$label_140_false#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume !INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
- goto inline$BDLPnP$0$label_84#1;
-
- inline$BDLPnP$0$label_140_true#1:
- havoc inline$BDLPnP$0$myNondetVar_0;
- assume INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
- goto inline$BDLPnP$0$label_145#1;
-
- inline$BDLPnP$0$label_145#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8), 542142032, 88);
- goto inline$BDLPnP$0$anon76_Then#1, inline$BDLPnP$0$anon76_Else#1;
-
- inline$BDLPnP$0$anon76_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon45#1;
-
- inline$BDLPnP$0$anon45#1:
- goto inline$BDLPnP$0$label_84#1;
-
- inline$BDLPnP$0$anon76_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon75_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon80_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon79_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon78_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon77_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon66_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$label_51_true#1:
- assume inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 != 0;
- goto inline$BDLPnP$0$label_53#1;
-
- inline$BDLPnP$0$label_53#1:
- goto inline$BDLGetDebugLevel$3$Entry#1;
-
- inline$BDLGetDebugLevel$3$Entry#1:
- goto inline$BDLGetDebugLevel$3$start#1;
-
- inline$BDLGetDebugLevel$3$start#1:
- goto inline$BDLGetDebugLevel$3$label_3#1;
-
- inline$BDLGetDebugLevel$3$label_3#1:
- havoc inline$BDLGetDebugLevel$3$myNondetVar_0;
- inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$3$myNondetVar_0;
- goto inline$BDLGetDebugLevel$3$label_1#1;
-
- inline$BDLGetDebugLevel$3$label_1#1:
- goto inline$BDLGetDebugLevel$3$Return#1;
-
- inline$BDLGetDebugLevel$3$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$ := inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_53$1#1;
-
- inline$BDLPnP$0$label_53$1#1:
- goto inline$BDLPnP$0$anon59_Then#1, inline$BDLPnP$0$anon59_Else#1;
-
- inline$BDLPnP$0$anon59_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon11#1;
-
- inline$BDLPnP$0$anon11#1:
- goto inline$BDLPnP$0$label_56#1;
-
- inline$BDLPnP$0$label_56#1:
- goto inline$BDLPnP$0$label_56_true#1, inline$BDLPnP$0$label_56_false#1;
-
- inline$BDLPnP$0$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$) == 0;
- goto inline$BDLPnP$0$label_57#1;
-
- inline$BDLPnP$0$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$) != 0;
- goto inline$BDLPnP$0$label_60#1;
-
- inline$BDLPnP$0$label_60#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_61#1;
-
- inline$BDLPnP$0$label_61#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_62#1;
-
- inline$BDLPnP$0$label_62#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_63#1;
-
- inline$BDLPnP$0$label_63#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$886.0$18$;
- goto inline$BDLPnP$0$label_57#1;
-
- inline$BDLPnP$0$label_57#1:
- goto inline$BDLGetDebugLevel$4$Entry#1;
-
- inline$BDLGetDebugLevel$4$Entry#1:
- goto inline$BDLGetDebugLevel$4$start#1;
-
- inline$BDLGetDebugLevel$4$start#1:
- goto inline$BDLGetDebugLevel$4$label_3#1;
-
- inline$BDLGetDebugLevel$4$label_3#1:
- havoc inline$BDLGetDebugLevel$4$myNondetVar_0;
- inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$4$myNondetVar_0;
- goto inline$BDLGetDebugLevel$4$label_1#1;
-
- inline$BDLGetDebugLevel$4$label_1#1:
- goto inline$BDLGetDebugLevel$4$Return#1;
-
- inline$BDLGetDebugLevel$4$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$ := inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_57$1#1;
-
- inline$BDLPnP$0$label_57$1#1:
- goto inline$BDLPnP$0$anon60_Then#1, inline$BDLPnP$0$anon60_Else#1;
-
- inline$BDLPnP$0$anon60_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon13#1;
-
- inline$BDLPnP$0$anon13#1:
- goto inline$BDLPnP$0$label_66#1;
-
- inline$BDLPnP$0$label_66#1:
- goto inline$BDLPnP$0$label_66_true#1, inline$BDLPnP$0$label_66_false#1;
-
- inline$BDLPnP$0$label_66_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$) == 0;
- goto inline$BDLPnP$0$label_67#1;
-
- inline$BDLPnP$0$label_66_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$) != 0;
- goto inline$BDLPnP$0$label_70#1;
-
- inline$BDLPnP$0$label_70#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_71#1;
-
- inline$BDLPnP$0$label_71#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_72#1;
-
- inline$BDLPnP$0$label_72#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_73#1;
-
- inline$BDLPnP$0$label_73#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$886.0$23$;
- goto inline$BDLPnP$0$label_67#1;
-
- inline$BDLPnP$0$label_67#1:
- goto inline$BDLGetDebugLevel$5$Entry#1;
-
- inline$BDLGetDebugLevel$5$Entry#1:
- goto inline$BDLGetDebugLevel$5$start#1;
-
- inline$BDLGetDebugLevel$5$start#1:
- goto inline$BDLGetDebugLevel$5$label_3#1;
-
- inline$BDLGetDebugLevel$5$label_3#1:
- havoc inline$BDLGetDebugLevel$5$myNondetVar_0;
- inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$5$myNondetVar_0;
- goto inline$BDLGetDebugLevel$5$label_1#1;
-
- inline$BDLGetDebugLevel$5$label_1#1:
- goto inline$BDLGetDebugLevel$5$Return#1;
-
- inline$BDLGetDebugLevel$5$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$ := inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_67$1#1;
-
- inline$BDLPnP$0$label_67$1#1:
- goto inline$BDLPnP$0$anon61_Then#1, inline$BDLPnP$0$anon61_Else#1;
-
- inline$BDLPnP$0$anon61_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon15#1;
-
- inline$BDLPnP$0$anon15#1:
- goto inline$BDLPnP$0$label_76#1;
-
- inline$BDLPnP$0$label_76#1:
- goto inline$BDLPnP$0$label_76_true#1, inline$BDLPnP$0$label_76_false#1;
-
- inline$BDLPnP$0$label_76_false#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$, 4) == 0;
- goto inline$BDLPnP$0$label_77#1;
-
- inline$BDLPnP$0$label_76_true#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$, 4) != 0;
- goto inline$BDLPnP$0$label_78#1;
-
- inline$BDLPnP$0$label_78#1:
- goto inline$BDLPnP$0$label_77#1;
-
- inline$BDLPnP$0$label_77#1:
- goto inline$BDLPnP$0$label_79#1;
-
- inline$BDLPnP$0$label_79#1:
- goto inline$BDLPnP$0$label_80#1;
-
- inline$BDLPnP$0$label_80#1:
- goto inline$storm_IoCompleteRequest$0$Entry#1;
-
- inline$storm_IoCompleteRequest$0$Entry#1:
- inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
- goto inline$storm_IoCompleteRequest$0$start#1;
-
- inline$storm_IoCompleteRequest$0$start#1:
- inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$0$label_3#1;
-
- inline$storm_IoCompleteRequest$0$label_3#1:
- call inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$0$label_6#1;
-
- inline$storm_IoCompleteRequest$0$label_6#1:
- goto inline$storm_IoCompleteRequest$0$label_6_true#1, inline$storm_IoCompleteRequest$0$label_6_false#1;
-
- inline$storm_IoCompleteRequest$0$label_6_false#1:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$0$label_7#1;
-
- inline$storm_IoCompleteRequest$0$label_6_true#1:
- assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$0$label_8#1;
-
- inline$storm_IoCompleteRequest$0$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$0$anon3_Then#1, inline$storm_IoCompleteRequest$0$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$0$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$0$anon1#1;
-
- inline$storm_IoCompleteRequest$0$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_9#1;
-
- inline$storm_IoCompleteRequest$0$label_9#1:
- goto inline$storm_IoCompleteRequest$0$label_9_true#1, inline$storm_IoCompleteRequest$0$label_9_false#1;
-
- inline$storm_IoCompleteRequest$0$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$0$label_10#1;
-
- inline$storm_IoCompleteRequest$0$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$0$label_1#1;
-
- inline$storm_IoCompleteRequest$0$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$0$label_7#1;
-
- inline$storm_IoCompleteRequest$0$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$0$label_1#1;
-
- inline$storm_IoCompleteRequest$0$label_1#1:
- goto inline$storm_IoCompleteRequest$0$Return#1;
-
- inline$storm_IoCompleteRequest$0$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$0$Return#1;
-
- inline$storm_IoCompleteRequest$0$Return#1:
- goto inline$BDLPnP$0$label_80$1#1;
-
- inline$BDLPnP$0$label_80$1#1:
- goto inline$BDLPnP$0$anon62_Then#1, inline$BDLPnP$0$anon62_Else#1;
-
- inline$BDLPnP$0$anon62_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon17#1;
-
- inline$BDLPnP$0$anon17#1:
- goto inline$BDLPnP$0$label_83#1;
-
- inline$BDLPnP$0$label_83#1:
- inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := 0 - 1073741130;
- goto inline$BDLPnP$0$label_84#1;
-
- inline$BDLPnP$0$label_84#1:
- goto inline$BDLGetDebugLevel$6$Entry#1;
-
- inline$BDLGetDebugLevel$6$Entry#1:
- goto inline$BDLGetDebugLevel$6$start#1;
-
- inline$BDLGetDebugLevel$6$start#1:
- goto inline$BDLGetDebugLevel$6$label_3#1;
-
- inline$BDLGetDebugLevel$6$label_3#1:
- havoc inline$BDLGetDebugLevel$6$myNondetVar_0;
- inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$6$myNondetVar_0;
- goto inline$BDLGetDebugLevel$6$label_1#1;
-
- inline$BDLGetDebugLevel$6$label_1#1:
- goto inline$BDLGetDebugLevel$6$Return#1;
-
- inline$BDLGetDebugLevel$6$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$ := inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_84$1#1;
-
- inline$BDLPnP$0$label_84$1#1:
- goto inline$BDLPnP$0$anon63_Then#1, inline$BDLPnP$0$anon63_Else#1;
-
- inline$BDLPnP$0$anon63_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon19#1;
-
- inline$BDLPnP$0$anon19#1:
- goto inline$BDLPnP$0$label_87#1;
-
- inline$BDLPnP$0$label_87#1:
- goto inline$BDLPnP$0$label_87_true#1, inline$BDLPnP$0$label_87_false#1;
-
- inline$BDLPnP$0$label_87_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$) == 0;
- goto inline$BDLPnP$0$label_88#1;
-
- inline$BDLPnP$0$label_87_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$) != 0;
- goto inline$BDLPnP$0$label_91#1;
-
- inline$BDLPnP$0$label_91#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_92#1;
-
- inline$BDLPnP$0$label_92#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_93#1;
-
- inline$BDLPnP$0$label_93#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_94#1;
-
- inline$BDLPnP$0$label_94#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$1023.0$49$;
- goto inline$BDLPnP$0$label_88#1;
-
- inline$BDLPnP$0$label_88#1:
- goto inline$BDLGetDebugLevel$7$Entry#1;
-
- inline$BDLGetDebugLevel$7$Entry#1:
- goto inline$BDLGetDebugLevel$7$start#1;
-
- inline$BDLGetDebugLevel$7$start#1:
- goto inline$BDLGetDebugLevel$7$label_3#1;
-
- inline$BDLGetDebugLevel$7$label_3#1:
- havoc inline$BDLGetDebugLevel$7$myNondetVar_0;
- inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$7$myNondetVar_0;
- goto inline$BDLGetDebugLevel$7$label_1#1;
-
- inline$BDLGetDebugLevel$7$label_1#1:
- goto inline$BDLGetDebugLevel$7$Return#1;
-
- inline$BDLGetDebugLevel$7$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$ := inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_88$1#1;
-
- inline$BDLPnP$0$label_88$1#1:
- goto inline$BDLPnP$0$anon64_Then#1, inline$BDLPnP$0$anon64_Else#1;
-
- inline$BDLPnP$0$anon64_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon21#1;
-
- inline$BDLPnP$0$anon21#1:
- goto inline$BDLPnP$0$label_97#1;
-
- inline$BDLPnP$0$label_97#1:
- goto inline$BDLPnP$0$label_97_true#1, inline$BDLPnP$0$label_97_false#1;
-
- inline$BDLPnP$0$label_97_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$) == 0;
- goto inline$BDLPnP$0$label_98#1;
-
- inline$BDLPnP$0$label_97_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$) != 0;
- goto inline$BDLPnP$0$label_101#1;
-
- inline$BDLPnP$0$label_101#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_102#1;
-
- inline$BDLPnP$0$label_102#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_103#1;
-
- inline$BDLPnP$0$label_103#1:
- call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLPnP$0$label_104#1;
-
- inline$BDLPnP$0$label_104#1:
- havoc inline$BDLPnP$0$$result.DbgPrint$1023.0$54$;
- goto inline$BDLPnP$0$label_98#1;
-
- inline$BDLPnP$0$label_98#1:
- goto inline$BDLGetDebugLevel$8$Entry#1;
-
- inline$BDLGetDebugLevel$8$Entry#1:
- goto inline$BDLGetDebugLevel$8$start#1;
-
- inline$BDLGetDebugLevel$8$start#1:
- goto inline$BDLGetDebugLevel$8$label_3#1;
-
- inline$BDLGetDebugLevel$8$label_3#1:
- havoc inline$BDLGetDebugLevel$8$myNondetVar_0;
- inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$8$myNondetVar_0;
- goto inline$BDLGetDebugLevel$8$label_1#1;
-
- inline$BDLGetDebugLevel$8$label_1#1:
- goto inline$BDLGetDebugLevel$8$Return#1;
-
- inline$BDLGetDebugLevel$8$Return#1:
- inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$ := inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLPnP$0$label_98$1#1;
-
- inline$BDLPnP$0$label_98$1#1:
- goto inline$BDLPnP$0$anon65_Then#1, inline$BDLPnP$0$anon65_Else#1;
-
- inline$BDLPnP$0$anon65_Else#1:
- assume !raiseException;
- goto inline$BDLPnP$0$anon23#1;
-
- inline$BDLPnP$0$anon23#1:
- goto inline$BDLPnP$0$label_107#1;
-
- inline$BDLPnP$0$label_107#1:
- goto inline$BDLPnP$0$label_107_true#1, inline$BDLPnP$0$label_107_false#1;
-
- inline$BDLPnP$0$label_107_false#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$, 4) == 0;
- goto inline$BDLPnP$0$label_108#1;
-
- inline$BDLPnP$0$label_107_true#1:
- assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$, 4) != 0;
- goto inline$BDLPnP$0$label_109#1;
-
- inline$BDLPnP$0$label_109#1:
- goto inline$BDLPnP$0$label_108#1;
-
- inline$BDLPnP$0$label_108#1:
- goto inline$BDLPnP$0$label_1#1;
-
- inline$BDLPnP$0$label_1#1:
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon65_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon64_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon63_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon62_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon61_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon60_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon59_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon58_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon57_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon56_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon55_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$anon54_Then#1:
- assume raiseException;
- goto inline$BDLPnP$0$Return#1;
-
- inline$BDLPnP$0$Return#1:
- goto inline$storm_thread_dispatch$0$label_8$1#1;
-
- inline$storm_thread_dispatch$0$label_8$1#1:
- goto inline$storm_thread_dispatch$0$anon5_Then#1, inline$storm_thread_dispatch$0$anon5_Else#1;
-
- inline$storm_thread_dispatch$0$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_thread_dispatch$0$anon3#1;
-
- inline$storm_thread_dispatch$0$anon3#1:
- goto inline$storm_thread_dispatch$0$label_11#1;
-
- inline$storm_thread_dispatch$0$label_11#1:
- goto inline$storm_thread_dispatch$0$label_1#1;
-
- inline$storm_thread_dispatch$0$label_1#1:
- __storm_thread_done_3 := true;
- goto inline$storm_thread_dispatch$0$Return#1;
-
- inline$storm_thread_dispatch$0$anon5_Then#1:
- assume raiseException;
- goto inline$storm_thread_dispatch$0$Return#1;
-
- inline$storm_thread_dispatch$0$anon4_Then#1:
- assume raiseException;
- goto inline$storm_thread_dispatch$0$Return#1;
-
- inline$storm_thread_dispatch$0$Return#1:
- goto label_29$1#1;
-
- label_29$1#1:
- goto label_32#1;
-
- label_32#1:
- goto inline$storm_thread_cancel$0$Entry#1;
-
- inline$storm_thread_cancel$0$Entry#1:
- inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1 := $irp$1$96.7$storm_main$0;
- goto inline$storm_thread_cancel$0$start#1;
-
- inline$storm_thread_cancel$0$start#1:
- k := 0;
- raiseException := false;
- __storm_thread_id := 1;
- call contextSwitch();
- inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4 := inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1;
- goto inline$storm_thread_cancel$0$label_3#1;
-
- inline$storm_thread_cancel$0$label_3#1:
- goto inline$storm_IoCancelIrp$0$Entry#1;
-
- inline$storm_IoCancelIrp$0$Entry#1:
- inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1 := inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4;
- goto inline$storm_IoCancelIrp$0$start#1;
-
- inline$storm_IoCancelIrp$0$start#1:
- inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4 := inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1;
- goto inline$storm_IoCancelIrp$0$label_3#1;
-
- inline$storm_IoCancelIrp$0$label_3#1:
- goto inline$storm_IoCancelIrp$0$label_4#1;
-
- inline$storm_IoCancelIrp$0$label_4#1:
- goto inline$storm_IoCancelIrp$0$label_5#1;
-
- inline$storm_IoCancelIrp$0$label_5#1:
- __storm_atomic := true;
- goto inline$storm_IoCancelIrp$0$label_8#1;
-
- inline$storm_IoCancelIrp$0$label_8#1:
- inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4)];
- call contextSwitch();
- inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 := inline$storm_IoCancelIrp$0$myVar_0;
- goto inline$storm_IoCancelIrp$0$label_9#1;
-
- inline$storm_IoCancelIrp$0$label_9#1:
- Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4) := 0];
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_10#1;
-
- inline$storm_IoCancelIrp$0$label_10#1:
- goto inline$storm_IoCancelIrp$0$anon9_Then#1, inline$storm_IoCancelIrp$0$anon9_Else#1;
-
- inline$storm_IoCancelIrp$0$anon9_Else#1:
- assume __storm_init;
- goto inline$storm_IoCancelIrp$0$anon1#1;
-
- inline$storm_IoCancelIrp$0$anon9_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoCancelIrp$0$anon1#1;
-
- inline$storm_IoCancelIrp$0$anon1#1:
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_13#1;
-
- inline$storm_IoCancelIrp$0$label_13#1:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
- assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
- goto inline$storm_IoAcquireCancelSpinLock$0$Entry#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$Entry#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$start#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$start#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$label_3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_3#1:
- __storm_atomic := true;
- goto inline$storm_IoAcquireCancelSpinLock$0$label_6#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_6#1:
- havoc raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon4_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon4_Else#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon4_Else#1:
- assume !raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon1#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon1#1:
- assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_7#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_7#1:
- cancelLockStatus_0 := 1;
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_8#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_8#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#1:
- assume __storm_init;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#1:
- assume !__storm_init;
- __storm_atomic := false;
- goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon3#1:
- call contextSwitch();
- goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$label_1#1:
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$anon4_Then#1:
- assume raiseException;
- goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
-
- inline$storm_IoAcquireCancelSpinLock$0$Return#1:
- goto inline$storm_IoCancelIrp$0$label_13$1#1;
-
- inline$storm_IoCancelIrp$0$label_13$1#1:
- goto inline$storm_IoCancelIrp$0$anon10_Then#1, inline$storm_IoCancelIrp$0$anon10_Else#1;
-
- inline$storm_IoCancelIrp$0$anon10_Else#1:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon3#1;
-
- inline$storm_IoCancelIrp$0$anon3#1:
- havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
- goto inline$storm_IoCancelIrp$0$label_16#1;
-
- inline$storm_IoCancelIrp$0$label_16#1:
- goto inline$storm_IoCancelIrp$0$label_16_true#1, inline$storm_IoCancelIrp$0$label_16_false#1;
-
- inline$storm_IoCancelIrp$0$label_16_false#1:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 == 0;
- goto inline$storm_IoCancelIrp$0$label_17#1;
-
- inline$storm_IoCancelIrp$0$label_17#1:
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_16_true#1:
- assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 != 0;
- goto inline$storm_IoCancelIrp$0$label_18#1;
-
- inline$storm_IoCancelIrp$0$label_18#1:
- goto inline$storm_IoCancelIrp$0$label_19#1;
-
- inline$storm_IoCancelIrp$0$label_19#1:
- call inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ := storm_nondet();
- goto inline$storm_IoCancelIrp$0$label_22#1;
-
- inline$storm_IoCancelIrp$0$label_22#1:
- goto inline$storm_IoCancelIrp$0$label_22_true#1, inline$storm_IoCancelIrp$0$label_22_false#1;
-
- inline$storm_IoCancelIrp$0$label_22_false#1:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ == 0;
- goto inline$storm_IoCancelIrp$0$label_23#1;
-
- inline$storm_IoCancelIrp$0$label_22_true#1:
- assume inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ != 0;
- goto inline$storm_IoCancelIrp$0$label_26#1;
-
- inline$storm_IoCancelIrp$0$label_26#1:
- havoc raiseException;
- goto inline$storm_IoCancelIrp$0$anon12_Then#1, inline$storm_IoCancelIrp$0$anon12_Else#1;
-
- inline$storm_IoCancelIrp$0$anon12_Else#1:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon7#1;
-
- inline$storm_IoCancelIrp$0$anon7#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4], 1);
- call contextSwitch();
- goto inline$storm_IoCancelIrp$0$label_27#1;
-
- inline$storm_IoCancelIrp$0$label_27#1:
- goto inline$storm_IoCancelIrp$0$label_27_true#1, inline$storm_IoCancelIrp$0$label_27_false#1;
-
- inline$storm_IoCancelIrp$0$label_27_false#1:
- assume 0 == 0;
- goto inline$storm_IoCancelIrp$0$label_28#1;
-
- inline$storm_IoCancelIrp$0$label_28#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_27_true#1:
- assume 0 != 0;
- goto inline$storm_IoCancelIrp$0$label_23#1;
-
- inline$storm_IoCancelIrp$0$label_23#1:
- goto inline$IoGetCurrentIrpStackLocation$150$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$150$Entry#1:
- inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4;
- goto inline$IoGetCurrentIrpStackLocation$150$start#1;
-
- inline$IoGetCurrentIrpStackLocation$150$start#1:
- inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$150$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$150$label_3_true#1, inline$IoGetCurrentIrpStackLocation$150$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$150$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$150$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$150$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$150$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$150$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$150$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$150$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$150$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$150$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$150$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$150$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$150$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$150$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$150$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$150$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$150$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$150$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$150$Return#1:
- goto inline$storm_IoCancelIrp$0$label_23$1#1;
-
- inline$storm_IoCancelIrp$0$label_23$1#1:
- goto inline$storm_IoCancelIrp$0$anon11_Then#1, inline$storm_IoCancelIrp$0$anon11_Else#1;
-
- inline$storm_IoCancelIrp$0$anon11_Else#1:
- assume !raiseException;
- goto inline$storm_IoCancelIrp$0$anon5#1;
-
- inline$storm_IoCancelIrp$0$anon5#1:
- goto inline$storm_IoCancelIrp$0$label_31#1;
-
- inline$storm_IoCancelIrp$0$label_31#1:
- goto inline$storm_IoCancelIrp$0$label_32#1;
-
- inline$storm_IoCancelIrp$0$label_32#1:
- goto inline$storm_IoCancelIrp$0$label_35#1;
-
- inline$storm_IoCancelIrp$0$label_35#1:
- goto inline$storm_IoCancelIrp$0$label_1#1;
-
- inline$storm_IoCancelIrp$0$label_1#1:
- goto inline$storm_IoCancelIrp$0$Return#1;
-
- inline$storm_IoCancelIrp$0$anon11_Then#1:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#1;
-
- inline$storm_IoCancelIrp$0$anon12_Then#1:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#1;
-
- inline$storm_IoCancelIrp$0$anon10_Then#1:
- assume raiseException;
- goto inline$storm_IoCancelIrp$0$Return#1;
-
- inline$storm_IoCancelIrp$0$Return#1:
- goto inline$storm_thread_cancel$0$label_3$1#1;
-
- inline$storm_thread_cancel$0$label_3$1#1:
- goto inline$storm_thread_cancel$0$anon2_Then#1, inline$storm_thread_cancel$0$anon2_Else#1;
-
- inline$storm_thread_cancel$0$anon2_Else#1:
- assume !raiseException;
- goto inline$storm_thread_cancel$0$anon1#1;
-
- inline$storm_thread_cancel$0$anon1#1:
- goto inline$storm_thread_cancel$0$label_1#1;
-
- inline$storm_thread_cancel$0$label_1#1:
- __storm_thread_done_1 := true;
- goto inline$storm_thread_cancel$0$Return#1;
-
- inline$storm_thread_cancel$0$anon2_Then#1:
- assume raiseException;
- goto inline$storm_thread_cancel$0$Return#1;
-
- inline$storm_thread_cancel$0$Return#1:
- goto label_32$1#1;
-
- label_32$1#1:
- goto label_35#1;
-
- label_35#1:
- goto inline$storm_thread_dpc$0$Entry#1;
-
- inline$storm_thread_dpc$0$Entry#1:
- goto inline$storm_thread_dpc$0$start#1;
-
- inline$storm_thread_dpc$0$start#1:
- k := 0;
- raiseException := false;
- __storm_thread_id := 4;
- call contextSwitch();
- goto inline$storm_thread_dpc$0$label_1#1;
-
- inline$storm_thread_dpc$0$label_1#1:
- __storm_thread_done_4 := true;
- goto inline$storm_thread_dpc$0$Return#1;
-
- inline$storm_thread_dpc$0$Return#1:
- goto label_35$1#1;
-
- label_35$1#1:
- goto label_38#1;
-
- label_38#1:
- goto inline$storm_thread_completion$0$Entry#1;
-
- inline$storm_thread_completion$0$Entry#1:
- goto inline$storm_thread_completion$0$start#1;
-
- inline$storm_thread_completion$0$start#1:
- k := 0;
- raiseException := false;
- __storm_thread_id := 2;
- call contextSwitch();
- goto inline$storm_thread_completion$0$label_3#1;
-
- inline$storm_thread_completion$0$label_3#1:
- havoc raiseException;
- goto inline$storm_thread_completion$0$anon4_Then#1, inline$storm_thread_completion$0$anon4_Else#1;
-
- inline$storm_thread_completion$0$anon4_Else#1:
- assume !raiseException;
- goto inline$storm_thread_completion$0$anon1#1;
-
- inline$storm_thread_completion$0$anon1#1:
- assume k == 0 ==> INT_NEQ(created_irp_0, 0);
- call contextSwitch();
- goto inline$storm_thread_completion$0$label_4#1;
-
- inline$storm_thread_completion$0$label_4#1:
- goto inline$CallCompletionRoutine$30$Entry#1;
-
- inline$CallCompletionRoutine$30$Entry#1:
- inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1 := created_irp_0;
- goto inline$CallCompletionRoutine$30$start#1;
-
- inline$CallCompletionRoutine$30$start#1:
- inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1;
- goto inline$CallCompletionRoutine$30$label_3#1;
-
- inline$CallCompletionRoutine$30$label_3#1:
- goto inline$CallCompletionRoutine$30$label_4#1;
-
- inline$CallCompletionRoutine$30$label_4#1:
- goto inline$CallCompletionRoutine$30$label_5#1;
-
- inline$CallCompletionRoutine$30$label_5#1:
- goto inline$CallCompletionRoutine$30$label_6#1;
-
- inline$CallCompletionRoutine$30$label_6#1:
- goto inline$CallCompletionRoutine$30$label_7#1;
-
- inline$CallCompletionRoutine$30$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$151$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$151$Entry#1:
- inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$151$start#1;
-
- inline$IoGetCurrentIrpStackLocation$151$start#1:
- inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$151$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$151$label_3_true#1, inline$IoGetCurrentIrpStackLocation$151$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$151$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$151$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$151$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$151$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$151$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$151$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$151$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$151$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$151$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$151$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$151$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$151$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$151$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$151$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$151$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$151$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$151$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$151$Return#1:
- goto inline$CallCompletionRoutine$30$label_7$1#1;
-
- inline$CallCompletionRoutine$30$label_7$1#1:
- goto inline$CallCompletionRoutine$30$anon10_Then#1, inline$CallCompletionRoutine$30$anon10_Else#1;
-
- inline$CallCompletionRoutine$30$anon10_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$30$anon1#1;
-
- inline$CallCompletionRoutine$30$anon1#1:
- goto inline$CallCompletionRoutine$30$label_10#1;
-
- inline$CallCompletionRoutine$30$label_10#1:
- goto inline$CallCompletionRoutine$30$label_11#1;
-
- inline$CallCompletionRoutine$30$label_11#1:
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$CallCompletionRoutine$30$label_12#1;
-
- inline$CallCompletionRoutine$30$label_12#1:
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$CallCompletionRoutine$30$label_13#1;
-
- inline$CallCompletionRoutine$30$label_13#1:
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$CallCompletionRoutine$30$label_14#1;
-
- inline$CallCompletionRoutine$30$label_14#1:
- goto inline$IoGetCurrentIrpStackLocation$152$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$152$Entry#1:
- inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
- goto inline$IoGetCurrentIrpStackLocation$152$start#1;
-
- inline$IoGetCurrentIrpStackLocation$152$start#1:
- inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$152$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$152$label_3_true#1, inline$IoGetCurrentIrpStackLocation$152$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$152$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$152$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$152$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$152$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$152$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$152$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$152$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$152$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$152$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$152$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$152$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$152$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$152$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$152$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$152$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$152$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$152$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$152$Return#1:
- goto inline$CallCompletionRoutine$30$label_14$1#1;
-
- inline$CallCompletionRoutine$30$label_14$1#1:
- goto inline$CallCompletionRoutine$30$anon11_Then#1, inline$CallCompletionRoutine$30$anon11_Else#1;
-
- inline$CallCompletionRoutine$30$anon11_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$30$anon3#1;
-
- inline$CallCompletionRoutine$30$anon3#1:
- goto inline$CallCompletionRoutine$30$label_17#1;
-
- inline$CallCompletionRoutine$30$label_17#1:
- goto inline$CallCompletionRoutine$30$label_18#1;
-
- inline$CallCompletionRoutine$30$label_18#1:
- goto inline$CallCompletionRoutine$30$label_18_true#1, inline$CallCompletionRoutine$30$label_18_false#1;
-
- inline$CallCompletionRoutine$30$label_18_false#1:
- assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
- goto inline$CallCompletionRoutine$30$label_1#1;
-
- inline$CallCompletionRoutine$30$label_18_true#1:
- assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
- goto inline$CallCompletionRoutine$30$label_19#1;
-
- inline$CallCompletionRoutine$30$label_19#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
- call contextSwitch();
- goto inline$CallCompletionRoutine$30$label_20#1;
-
- inline$CallCompletionRoutine$30$label_20#1:
- goto inline$CallCompletionRoutine$30$label_20_icall_1#1, inline$CallCompletionRoutine$30$label_20_icall_2#1, inline$CallCompletionRoutine$30$label_20_icall_3#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_3#1:
- assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$30$Entry#1;
-
- inline$BDLSystemPowerIoCompletion$30$Entry#1:
- inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLSystemPowerIoCompletion$30$start#1;
-
- inline$BDLSystemPowerIoCompletion$30$start#1:
- call inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
- inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
- inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
- goto inline$BDLSystemPowerIoCompletion$30$label_3#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_3#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_4#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_4#1:
- inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_5#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_5#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_6#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_6#1:
- inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$30$label_7#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_7#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_8#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_8#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$30$label_9#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_9#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_10#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$154$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$154$Entry#1:
- inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$154$start#1;
-
- inline$IoGetCurrentIrpStackLocation$154$start#1:
- inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$154$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$154$label_3_true#1, inline$IoGetCurrentIrpStackLocation$154$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$154$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$154$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$154$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$154$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$154$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$154$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$154$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$154$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$154$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$154$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$154$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$154$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$154$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$154$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$154$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$154$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$154$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$154$Return#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_10$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_10$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon36_Then#1, inline$BDLSystemPowerIoCompletion$30$anon36_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon1#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon1#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_13#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_13#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_14#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_14#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_15#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_15#1:
- goto inline$BDLGetDebugLevel$792$Entry#1;
-
- inline$BDLGetDebugLevel$792$Entry#1:
- goto inline$BDLGetDebugLevel$792$start#1;
-
- inline$BDLGetDebugLevel$792$start#1:
- goto inline$BDLGetDebugLevel$792$label_3#1;
-
- inline$BDLGetDebugLevel$792$label_3#1:
- havoc inline$BDLGetDebugLevel$792$myNondetVar_0;
- inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$792$myNondetVar_0;
- goto inline$BDLGetDebugLevel$792$label_1#1;
-
- inline$BDLGetDebugLevel$792$label_1#1:
- goto inline$BDLGetDebugLevel$792$Return#1;
-
- inline$BDLGetDebugLevel$792$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_15$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_15$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon37_Then#1, inline$BDLSystemPowerIoCompletion$30$anon37_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon3#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon3#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_18#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_18#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_18_true#1, inline$BDLSystemPowerIoCompletion$30$label_18_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_18_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_18_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_22#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_22#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_23#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_23#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_24#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_24#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_25#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_25#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$4$;
- goto inline$BDLSystemPowerIoCompletion$30$label_19#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_19#1:
- goto inline$BDLGetDebugLevel$793$Entry#1;
-
- inline$BDLGetDebugLevel$793$Entry#1:
- goto inline$BDLGetDebugLevel$793$start#1;
-
- inline$BDLGetDebugLevel$793$start#1:
- goto inline$BDLGetDebugLevel$793$label_3#1;
-
- inline$BDLGetDebugLevel$793$label_3#1:
- havoc inline$BDLGetDebugLevel$793$myNondetVar_0;
- inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$793$myNondetVar_0;
- goto inline$BDLGetDebugLevel$793$label_1#1;
-
- inline$BDLGetDebugLevel$793$label_1#1:
- goto inline$BDLGetDebugLevel$793$Return#1;
-
- inline$BDLGetDebugLevel$793$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_19$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_19$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon38_Then#1, inline$BDLSystemPowerIoCompletion$30$anon38_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon5#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon5#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_28#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_28#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_28_true#1, inline$BDLSystemPowerIoCompletion$30$label_28_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_28_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_28_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_32#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_32#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_33#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_33#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_34#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_34#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_35#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_35#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$9$;
- goto inline$BDLSystemPowerIoCompletion$30$label_29#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_29#1:
- goto inline$BDLGetDebugLevel$794$Entry#1;
-
- inline$BDLGetDebugLevel$794$Entry#1:
- goto inline$BDLGetDebugLevel$794$start#1;
-
- inline$BDLGetDebugLevel$794$start#1:
- goto inline$BDLGetDebugLevel$794$label_3#1;
-
- inline$BDLGetDebugLevel$794$label_3#1:
- havoc inline$BDLGetDebugLevel$794$myNondetVar_0;
- inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$794$myNondetVar_0;
- goto inline$BDLGetDebugLevel$794$label_1#1;
-
- inline$BDLGetDebugLevel$794$label_1#1:
- goto inline$BDLGetDebugLevel$794$Return#1;
-
- inline$BDLGetDebugLevel$794$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_29$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_29$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon39_Then#1, inline$BDLSystemPowerIoCompletion$30$anon39_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon7#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon7#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_38#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_38#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_38_true#1, inline$BDLSystemPowerIoCompletion$30$label_38_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_38_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_38_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_40#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_40#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_39#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_39#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_39_true#1, inline$BDLSystemPowerIoCompletion$30$label_39_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_39_false#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_41#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_7#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_7#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 7;
- goto inline$BDLSystemPowerIoCompletion$30$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_6#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 6;
- goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_5#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 5;
- goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_4#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 4;
- goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_3#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 3;
- goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_2#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 2;
- goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_108#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_1#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 1;
- goto inline$BDLSystemPowerIoCompletion$30$label_107#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_107#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_41_case_0#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 1;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 2;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 3;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 4;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 5;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 6;
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 7;
- goto inline$BDLSystemPowerIoCompletion$30$label_106#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_106#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_106_true#1, inline$BDLSystemPowerIoCompletion$30$label_106_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_106_false#1:
- assume 0 == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_142#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_142#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_143#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_143#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_144#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_144#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_106_true#1:
- assume 0 != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_109#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- call inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$30$myNondetVar_0, inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
- goto inline$BDLSystemPowerIoCompletion$30$anon50_Then#1, inline$BDLSystemPowerIoCompletion$30$anon50_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon50_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon29#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon29#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_112#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_112#1:
- inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$;
- goto inline$BDLSystemPowerIoCompletion$30$label_113#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_113#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_113_true#1, inline$BDLSystemPowerIoCompletion$30$label_113_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_113_false#1:
- assume !INT_EQ(inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$30$label_114#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_114#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_116#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_116#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_117#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_117#1:
- goto inline$BDLGetDebugLevel$801$Entry#1;
-
- inline$BDLGetDebugLevel$801$Entry#1:
- goto inline$BDLGetDebugLevel$801$start#1;
-
- inline$BDLGetDebugLevel$801$start#1:
- goto inline$BDLGetDebugLevel$801$label_3#1;
-
- inline$BDLGetDebugLevel$801$label_3#1:
- havoc inline$BDLGetDebugLevel$801$myNondetVar_0;
- inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$801$myNondetVar_0;
- goto inline$BDLGetDebugLevel$801$label_1#1;
-
- inline$BDLGetDebugLevel$801$label_1#1:
- goto inline$BDLGetDebugLevel$801$Return#1;
-
- inline$BDLGetDebugLevel$801$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_117$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_117$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon51_Then#1, inline$BDLSystemPowerIoCompletion$30$anon51_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon51_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon31#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon31#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_120#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_120#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_120_true#1, inline$BDLSystemPowerIoCompletion$30$label_120_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_120_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_120_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_124#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_124#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_125#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_125#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_126#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_126#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_127#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_127#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$29$;
- goto inline$BDLSystemPowerIoCompletion$30$label_121#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_121#1:
- goto inline$BDLGetDebugLevel$802$Entry#1;
-
- inline$BDLGetDebugLevel$802$Entry#1:
- goto inline$BDLGetDebugLevel$802$start#1;
-
- inline$BDLGetDebugLevel$802$start#1:
- goto inline$BDLGetDebugLevel$802$label_3#1;
-
- inline$BDLGetDebugLevel$802$label_3#1:
- havoc inline$BDLGetDebugLevel$802$myNondetVar_0;
- inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$802$myNondetVar_0;
- goto inline$BDLGetDebugLevel$802$label_1#1;
-
- inline$BDLGetDebugLevel$802$label_1#1:
- goto inline$BDLGetDebugLevel$802$Return#1;
-
- inline$BDLGetDebugLevel$802$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_121$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_121$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon52_Then#1, inline$BDLSystemPowerIoCompletion$30$anon52_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon52_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon33#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon33#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_130#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_130#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_130_true#1, inline$BDLSystemPowerIoCompletion$30$label_130_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_130_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_130_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_134#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_134#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_135#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_135#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_136#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_136#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_137#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_137#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$34$;
- goto inline$BDLSystemPowerIoCompletion$30$label_131#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_131#1:
- goto inline$BDLGetDebugLevel$803$Entry#1;
-
- inline$BDLGetDebugLevel$803$Entry#1:
- goto inline$BDLGetDebugLevel$803$start#1;
-
- inline$BDLGetDebugLevel$803$start#1:
- goto inline$BDLGetDebugLevel$803$label_3#1;
-
- inline$BDLGetDebugLevel$803$label_3#1:
- havoc inline$BDLGetDebugLevel$803$myNondetVar_0;
- inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$803$myNondetVar_0;
- goto inline$BDLGetDebugLevel$803$label_1#1;
-
- inline$BDLGetDebugLevel$803$label_1#1:
- goto inline$BDLGetDebugLevel$803$Return#1;
-
- inline$BDLGetDebugLevel$803$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_131$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_131$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon53_Then#1, inline$BDLSystemPowerIoCompletion$30$anon53_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon53_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon35#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon35#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_140#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_140#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_140_true#1, inline$BDLSystemPowerIoCompletion$30$label_140_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_140_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_140_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_141#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_141#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon53_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon52_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon51_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_113_true#1:
- assume INT_EQ(inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
- goto inline$BDLSystemPowerIoCompletion$30$label_115#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_115#1:
- inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
- goto inline$BDLSystemPowerIoCompletion$30$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon50_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_39_true#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_42#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_42#1:
- havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
- goto inline$BDLSystemPowerIoCompletion$30$label_43#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_43#1:
- goto inline$BDLGetDebugLevel$795$Entry#1;
-
- inline$BDLGetDebugLevel$795$Entry#1:
- goto inline$BDLGetDebugLevel$795$start#1;
-
- inline$BDLGetDebugLevel$795$start#1:
- goto inline$BDLGetDebugLevel$795$label_3#1;
-
- inline$BDLGetDebugLevel$795$label_3#1:
- havoc inline$BDLGetDebugLevel$795$myNondetVar_0;
- inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$795$myNondetVar_0;
- goto inline$BDLGetDebugLevel$795$label_1#1;
-
- inline$BDLGetDebugLevel$795$label_1#1:
- goto inline$BDLGetDebugLevel$795$Return#1;
-
- inline$BDLGetDebugLevel$795$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_43$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_43$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon40_Then#1, inline$BDLSystemPowerIoCompletion$30$anon40_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon9#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon9#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_46#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_46#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_46_true#1, inline$BDLSystemPowerIoCompletion$30$label_46_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_46_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_46_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_50#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_50#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_51#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_51#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_52#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_52#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_53#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_53#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$15$;
- goto inline$BDLSystemPowerIoCompletion$30$label_47#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_47#1:
- goto inline$BDLGetDebugLevel$796$Entry#1;
-
- inline$BDLGetDebugLevel$796$Entry#1:
- goto inline$BDLGetDebugLevel$796$start#1;
-
- inline$BDLGetDebugLevel$796$start#1:
- goto inline$BDLGetDebugLevel$796$label_3#1;
-
- inline$BDLGetDebugLevel$796$label_3#1:
- havoc inline$BDLGetDebugLevel$796$myNondetVar_0;
- inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$796$myNondetVar_0;
- goto inline$BDLGetDebugLevel$796$label_1#1;
-
- inline$BDLGetDebugLevel$796$label_1#1:
- goto inline$BDLGetDebugLevel$796$Return#1;
-
- inline$BDLGetDebugLevel$796$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_47$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_47$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon41_Then#1, inline$BDLSystemPowerIoCompletion$30$anon41_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon11#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon11#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_56#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_56#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_56_true#1, inline$BDLSystemPowerIoCompletion$30$label_56_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_56_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_56_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_60#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_60#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_61#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_61#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_62#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_62#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_63#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_63#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$20$;
- goto inline$BDLSystemPowerIoCompletion$30$label_57#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_57#1:
- goto inline$BDLGetDebugLevel$797$Entry#1;
-
- inline$BDLGetDebugLevel$797$Entry#1:
- goto inline$BDLGetDebugLevel$797$start#1;
-
- inline$BDLGetDebugLevel$797$start#1:
- goto inline$BDLGetDebugLevel$797$label_3#1;
-
- inline$BDLGetDebugLevel$797$label_3#1:
- havoc inline$BDLGetDebugLevel$797$myNondetVar_0;
- inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$797$myNondetVar_0;
- goto inline$BDLGetDebugLevel$797$label_1#1;
-
- inline$BDLGetDebugLevel$797$label_1#1:
- goto inline$BDLGetDebugLevel$797$Return#1;
-
- inline$BDLGetDebugLevel$797$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_57$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_57$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon42_Then#1, inline$BDLSystemPowerIoCompletion$30$anon42_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon13#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon13#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_66#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_66#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_66_true#1, inline$BDLSystemPowerIoCompletion$30$label_66_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_66_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_66_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_70#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_70#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_67#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLSystemPowerIoCompletion$30$anon43_Then#1, inline$BDLSystemPowerIoCompletion$30$anon43_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon15#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon15#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_71#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_71#1:
- call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$30$anon44_Then#1, inline$BDLSystemPowerIoCompletion$30$anon44_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon17#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon17#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_74#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_74#1:
- goto inline$storm_IoCompleteRequest$63$Entry#1;
-
- inline$storm_IoCompleteRequest$63$Entry#1:
- inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$63$start#1;
-
- inline$storm_IoCompleteRequest$63$start#1:
- inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$63$label_3#1;
-
- inline$storm_IoCompleteRequest$63$label_3#1:
- call inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$63$label_6#1;
-
- inline$storm_IoCompleteRequest$63$label_6#1:
- goto inline$storm_IoCompleteRequest$63$label_6_true#1, inline$storm_IoCompleteRequest$63$label_6_false#1;
-
- inline$storm_IoCompleteRequest$63$label_6_false#1:
- assume inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$63$label_7#1;
-
- inline$storm_IoCompleteRequest$63$label_6_true#1:
- assume inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$63$label_8#1;
-
- inline$storm_IoCompleteRequest$63$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$63$anon3_Then#1, inline$storm_IoCompleteRequest$63$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$63$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$63$anon1#1;
-
- inline$storm_IoCompleteRequest$63$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$63$label_9#1;
-
- inline$storm_IoCompleteRequest$63$label_9#1:
- goto inline$storm_IoCompleteRequest$63$label_9_true#1, inline$storm_IoCompleteRequest$63$label_9_false#1;
-
- inline$storm_IoCompleteRequest$63$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$63$label_10#1;
-
- inline$storm_IoCompleteRequest$63$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$63$label_1#1;
-
- inline$storm_IoCompleteRequest$63$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$63$label_7#1;
-
- inline$storm_IoCompleteRequest$63$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$63$label_1#1;
-
- inline$storm_IoCompleteRequest$63$label_1#1:
- goto inline$storm_IoCompleteRequest$63$Return#1;
-
- inline$storm_IoCompleteRequest$63$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$63$Return#1;
-
- inline$storm_IoCompleteRequest$63$Return#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_74$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_74$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon45_Then#1, inline$BDLSystemPowerIoCompletion$30$anon45_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon45_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon19#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon19#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_77#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_77#1:
- call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
- goto inline$BDLSystemPowerIoCompletion$30$anon46_Then#1, inline$BDLSystemPowerIoCompletion$30$anon46_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon46_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon21#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon21#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_80#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_80#1:
- goto inline$BDLGetDebugLevel$798$Entry#1;
-
- inline$BDLGetDebugLevel$798$Entry#1:
- goto inline$BDLGetDebugLevel$798$start#1;
-
- inline$BDLGetDebugLevel$798$start#1:
- goto inline$BDLGetDebugLevel$798$label_3#1;
-
- inline$BDLGetDebugLevel$798$label_3#1:
- havoc inline$BDLGetDebugLevel$798$myNondetVar_0;
- inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$798$myNondetVar_0;
- goto inline$BDLGetDebugLevel$798$label_1#1;
-
- inline$BDLGetDebugLevel$798$label_1#1:
- goto inline$BDLGetDebugLevel$798$Return#1;
-
- inline$BDLGetDebugLevel$798$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_80$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_80$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon47_Then#1, inline$BDLSystemPowerIoCompletion$30$anon47_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon47_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon23#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon23#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_83#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_83#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_83_true#1, inline$BDLSystemPowerIoCompletion$30$label_83_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_83_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_83_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_87#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_87#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_88#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_88#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_89#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_89#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_90#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_90#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$40$;
- goto inline$BDLSystemPowerIoCompletion$30$label_84#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_84#1:
- goto inline$BDLGetDebugLevel$799$Entry#1;
-
- inline$BDLGetDebugLevel$799$Entry#1:
- goto inline$BDLGetDebugLevel$799$start#1;
-
- inline$BDLGetDebugLevel$799$start#1:
- goto inline$BDLGetDebugLevel$799$label_3#1;
-
- inline$BDLGetDebugLevel$799$label_3#1:
- havoc inline$BDLGetDebugLevel$799$myNondetVar_0;
- inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$799$myNondetVar_0;
- goto inline$BDLGetDebugLevel$799$label_1#1;
-
- inline$BDLGetDebugLevel$799$label_1#1:
- goto inline$BDLGetDebugLevel$799$Return#1;
-
- inline$BDLGetDebugLevel$799$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_84$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_84$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon48_Then#1, inline$BDLSystemPowerIoCompletion$30$anon48_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon48_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon25#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon25#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_93#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_93#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_93_true#1, inline$BDLSystemPowerIoCompletion$30$label_93_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_93_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_93_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_97#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_97#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_98#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_98#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_99#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_99#1:
- call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLSystemPowerIoCompletion$30$label_100#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_100#1:
- havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$45$;
- goto inline$BDLSystemPowerIoCompletion$30$label_94#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_94#1:
- goto inline$BDLGetDebugLevel$800$Entry#1;
-
- inline$BDLGetDebugLevel$800$Entry#1:
- goto inline$BDLGetDebugLevel$800$start#1;
-
- inline$BDLGetDebugLevel$800$start#1:
- goto inline$BDLGetDebugLevel$800$label_3#1;
-
- inline$BDLGetDebugLevel$800$label_3#1:
- havoc inline$BDLGetDebugLevel$800$myNondetVar_0;
- inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$800$myNondetVar_0;
- goto inline$BDLGetDebugLevel$800$label_1#1;
-
- inline$BDLGetDebugLevel$800$label_1#1:
- goto inline$BDLGetDebugLevel$800$Return#1;
-
- inline$BDLGetDebugLevel$800$Return#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLSystemPowerIoCompletion$30$label_94$1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_94$1#1:
- goto inline$BDLSystemPowerIoCompletion$30$anon49_Then#1, inline$BDLSystemPowerIoCompletion$30$anon49_Else#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon49_Else#1:
- assume !raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$anon27#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon27#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_103#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_103#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_103_true#1, inline$BDLSystemPowerIoCompletion$30$label_103_false#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_103_false#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_103_true#1:
- assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
- goto inline$BDLSystemPowerIoCompletion$30$label_105#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_105#1:
- goto inline$BDLSystemPowerIoCompletion$30$label_104#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_104#1:
- inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
- goto inline$BDLSystemPowerIoCompletion$30$label_1#1;
-
- inline$BDLSystemPowerIoCompletion$30$label_1#1:
- call __HAVOC_free(inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon49_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon48_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon47_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon46_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon45_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon44_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon43_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon42_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon41_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon40_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon39_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon38_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon37_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$anon36_Then#1:
- assume raiseException;
- goto inline$BDLSystemPowerIoCompletion$30$Return#1;
-
- inline$BDLSystemPowerIoCompletion$30$Return#1:
- inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$;
- goto inline$CallCompletionRoutine$30$label_20_icall_3$1#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_3$1#1:
- goto inline$CallCompletionRoutine$30$anon14_Then#1, inline$CallCompletionRoutine$30$anon14_Else#1;
-
- inline$CallCompletionRoutine$30$anon14_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$30$anon9#1;
-
- inline$CallCompletionRoutine$30$anon9#1:
- goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$30$anon14_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_2#1:
- assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$30$Entry#1;
-
- inline$BDLDevicePowerIoCompletion$30$Entry#1:
- inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
- inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLDevicePowerIoCompletion$30$start#1;
-
- inline$BDLDevicePowerIoCompletion$30$start#1:
- call inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
- call inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
- inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
- inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
- goto inline$BDLDevicePowerIoCompletion$30$label_3#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_3#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_4#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_4#1:
- inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_5#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_5#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_6#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_6#1:
- inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$30$label_7#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_7#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_8#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_8#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$30$label_9#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_9#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_10#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_10#1:
- goto inline$IoGetCurrentIrpStackLocation$153$Entry#1;
-
- inline$IoGetCurrentIrpStackLocation$153$Entry#1:
- inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$IoGetCurrentIrpStackLocation$153$start#1;
-
- inline$IoGetCurrentIrpStackLocation$153$start#1:
- inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
- goto inline$IoGetCurrentIrpStackLocation$153$label_3#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_3#1:
- goto inline$IoGetCurrentIrpStackLocation$153$label_3_true#1, inline$IoGetCurrentIrpStackLocation$153$label_3_false#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_3_false#1:
- inline$IoGetCurrentIrpStackLocation$153$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$153$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$153$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$153$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$153$label_4#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_4#1:
- call inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$153$label_6#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_6#1:
- call inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$IoGetCurrentIrpStackLocation$153$label_7#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_7#1:
- goto inline$IoGetCurrentIrpStackLocation$153$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_3_true#1:
- inline$IoGetCurrentIrpStackLocation$153$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- inline$IoGetCurrentIrpStackLocation$153$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
- call contextSwitch();
- assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$153$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$153$myVar_1, 1, 1));
- goto inline$IoGetCurrentIrpStackLocation$153$label_5#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_5#1:
- havoc inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0;
- inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0;
- goto inline$IoGetCurrentIrpStackLocation$153$label_1#1;
-
- inline$IoGetCurrentIrpStackLocation$153$label_1#1:
- goto inline$IoGetCurrentIrpStackLocation$153$Return#1;
-
- inline$IoGetCurrentIrpStackLocation$153$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_10$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_10$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon30_Then#1, inline$BDLDevicePowerIoCompletion$30$anon30_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon30_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon1#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon1#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_13#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_13#1:
- inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
- goto inline$BDLDevicePowerIoCompletion$30$label_14#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_14#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_15#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_15#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$30$label_16#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_16#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_17#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_17#1:
- goto inline$BDLGetDebugLevel$783$Entry#1;
-
- inline$BDLGetDebugLevel$783$Entry#1:
- goto inline$BDLGetDebugLevel$783$start#1;
-
- inline$BDLGetDebugLevel$783$start#1:
- goto inline$BDLGetDebugLevel$783$label_3#1;
-
- inline$BDLGetDebugLevel$783$label_3#1:
- havoc inline$BDLGetDebugLevel$783$myNondetVar_0;
- inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$783$myNondetVar_0;
- goto inline$BDLGetDebugLevel$783$label_1#1;
-
- inline$BDLGetDebugLevel$783$label_1#1:
- goto inline$BDLGetDebugLevel$783$Return#1;
-
- inline$BDLGetDebugLevel$783$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_17$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_17$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon31_Then#1, inline$BDLDevicePowerIoCompletion$30$anon31_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon31_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon3#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon3#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_20#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_20#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_20_true#1, inline$BDLDevicePowerIoCompletion$30$label_20_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_20_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_20_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_24#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_24#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_25#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_25#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_26#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_26#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_27#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_27#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$4$;
- goto inline$BDLDevicePowerIoCompletion$30$label_21#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_21#1:
- goto inline$BDLGetDebugLevel$784$Entry#1;
-
- inline$BDLGetDebugLevel$784$Entry#1:
- goto inline$BDLGetDebugLevel$784$start#1;
-
- inline$BDLGetDebugLevel$784$start#1:
- goto inline$BDLGetDebugLevel$784$label_3#1;
-
- inline$BDLGetDebugLevel$784$label_3#1:
- havoc inline$BDLGetDebugLevel$784$myNondetVar_0;
- inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$784$myNondetVar_0;
- goto inline$BDLGetDebugLevel$784$label_1#1;
-
- inline$BDLGetDebugLevel$784$label_1#1:
- goto inline$BDLGetDebugLevel$784$Return#1;
-
- inline$BDLGetDebugLevel$784$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_21$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_21$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon32_Then#1, inline$BDLDevicePowerIoCompletion$30$anon32_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon32_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon5#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon5#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_30#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_30#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_30_true#1, inline$BDLDevicePowerIoCompletion$30$label_30_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_30_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_30_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_34#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_34#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_35#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_35#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_36#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_36#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_37#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_37#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$9$;
- goto inline$BDLDevicePowerIoCompletion$30$label_31#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_31#1:
- goto inline$BDLGetDebugLevel$785$Entry#1;
-
- inline$BDLGetDebugLevel$785$Entry#1:
- goto inline$BDLGetDebugLevel$785$start#1;
-
- inline$BDLGetDebugLevel$785$start#1:
- goto inline$BDLGetDebugLevel$785$label_3#1;
-
- inline$BDLGetDebugLevel$785$label_3#1:
- havoc inline$BDLGetDebugLevel$785$myNondetVar_0;
- inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$785$myNondetVar_0;
- goto inline$BDLGetDebugLevel$785$label_1#1;
-
- inline$BDLGetDebugLevel$785$label_1#1:
- goto inline$BDLGetDebugLevel$785$Return#1;
-
- inline$BDLGetDebugLevel$785$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_31$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_31$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon33_Then#1, inline$BDLDevicePowerIoCompletion$30$anon33_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon33_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon7#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon7#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_40#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_40#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_40_true#1, inline$BDLDevicePowerIoCompletion$30$label_40_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_40_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_40_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_42#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_42#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_41#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_41#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_41_true#1, inline$BDLDevicePowerIoCompletion$30$label_41_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_41_false#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$30$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_41_true#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 2);
- goto inline$BDLDevicePowerIoCompletion$30$label_44#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_44#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_44_true#1, inline$BDLDevicePowerIoCompletion$30$label_44_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_44_false#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$30$label_45#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_45#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_45_true#1, inline$BDLDevicePowerIoCompletion$30$label_45_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_45_false#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$30$label_43#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_43#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- goto inline$BDLDevicePowerIoCompletion$30$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_45_true#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 5);
- goto inline$BDLDevicePowerIoCompletion$30$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_44_true#1:
- havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
- assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 1);
- goto inline$BDLDevicePowerIoCompletion$30$label_46#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_46#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.memset$1683.8$14$;
- goto inline$BDLDevicePowerIoCompletion$30$label_49#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_49#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_50#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_50#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_51#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_51#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_54#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_54#1:
- inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$callresult.$1687.68$15$;
- goto inline$BDLDevicePowerIoCompletion$30$label_55#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_55#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_55_true#1, inline$BDLDevicePowerIoCompletion$30$label_55_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_55_false#1:
- assume inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_56#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_56#1:
- call inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
- goto inline$BDLDevicePowerIoCompletion$30$anon34_Then#1, inline$BDLDevicePowerIoCompletion$30$anon34_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon34_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon9#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon9#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon34_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_55_true#1:
- assume inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_59#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_59#1:
- goto inline$BDLGetDebugLevel$786$Entry#1;
-
- inline$BDLGetDebugLevel$786$Entry#1:
- goto inline$BDLGetDebugLevel$786$start#1;
-
- inline$BDLGetDebugLevel$786$start#1:
- goto inline$BDLGetDebugLevel$786$label_3#1;
-
- inline$BDLGetDebugLevel$786$label_3#1:
- havoc inline$BDLGetDebugLevel$786$myNondetVar_0;
- inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$786$myNondetVar_0;
- goto inline$BDLGetDebugLevel$786$label_1#1;
-
- inline$BDLGetDebugLevel$786$label_1#1:
- goto inline$BDLGetDebugLevel$786$Return#1;
-
- inline$BDLGetDebugLevel$786$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_59$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_59$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon35_Then#1, inline$BDLDevicePowerIoCompletion$30$anon35_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon35_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon11#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon11#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_62#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_62#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_62_true#1, inline$BDLDevicePowerIoCompletion$30$label_62_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_62_false#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_62_true#1:
- assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_66#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_66#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_67#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_67#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_68#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_68#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_69#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_69#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$18$;
- goto inline$BDLDevicePowerIoCompletion$30$label_63#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_63#1:
- goto inline$BDLGetDebugLevel$787$Entry#1;
-
- inline$BDLGetDebugLevel$787$Entry#1:
- goto inline$BDLGetDebugLevel$787$start#1;
-
- inline$BDLGetDebugLevel$787$start#1:
- goto inline$BDLGetDebugLevel$787$label_3#1;
-
- inline$BDLGetDebugLevel$787$label_3#1:
- havoc inline$BDLGetDebugLevel$787$myNondetVar_0;
- inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$787$myNondetVar_0;
- goto inline$BDLGetDebugLevel$787$label_1#1;
-
- inline$BDLGetDebugLevel$787$label_1#1:
- goto inline$BDLGetDebugLevel$787$Return#1;
-
- inline$BDLGetDebugLevel$787$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_63$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_63$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon36_Then#1, inline$BDLDevicePowerIoCompletion$30$anon36_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon36_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon13#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon13#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_72#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_72#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_72_true#1, inline$BDLDevicePowerIoCompletion$30$label_72_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_72_false#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_72_true#1:
- assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_76#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_76#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_77#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_77#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_78#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_78#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_79#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_79#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$23$;
- goto inline$BDLDevicePowerIoCompletion$30$label_73#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_73#1:
- goto inline$BDLGetDebugLevel$788$Entry#1;
-
- inline$BDLGetDebugLevel$788$Entry#1:
- goto inline$BDLGetDebugLevel$788$start#1;
-
- inline$BDLGetDebugLevel$788$start#1:
- goto inline$BDLGetDebugLevel$788$label_3#1;
-
- inline$BDLGetDebugLevel$788$label_3#1:
- havoc inline$BDLGetDebugLevel$788$myNondetVar_0;
- inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$788$myNondetVar_0;
- goto inline$BDLGetDebugLevel$788$label_1#1;
-
- inline$BDLGetDebugLevel$788$label_1#1:
- goto inline$BDLGetDebugLevel$788$Return#1;
-
- inline$BDLGetDebugLevel$788$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_73$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_73$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon37_Then#1, inline$BDLDevicePowerIoCompletion$30$anon37_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon37_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon15#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon15#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_82#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_82#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_82_true#1, inline$BDLDevicePowerIoCompletion$30$label_82_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_82_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_82_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_84#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_84#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_83#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_85#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_85#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_86#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_86#1:
- call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
- goto inline$BDLDevicePowerIoCompletion$30$anon38_Then#1, inline$BDLDevicePowerIoCompletion$30$anon38_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon38_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon17#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon17#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_89#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_89#1:
- call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
- goto inline$BDLDevicePowerIoCompletion$30$anon39_Then#1, inline$BDLDevicePowerIoCompletion$30$anon39_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon39_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon19#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon19#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_92#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_92#1:
- goto inline$storm_IoCompleteRequest$62$Entry#1;
-
- inline$storm_IoCompleteRequest$62$Entry#1:
- inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
- goto inline$storm_IoCompleteRequest$62$start#1;
-
- inline$storm_IoCompleteRequest$62$start#1:
- inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
- goto inline$storm_IoCompleteRequest$62$label_3#1;
-
- inline$storm_IoCompleteRequest$62$label_3#1:
- call inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ := storm_nondet();
- goto inline$storm_IoCompleteRequest$62$label_6#1;
-
- inline$storm_IoCompleteRequest$62$label_6#1:
- goto inline$storm_IoCompleteRequest$62$label_6_true#1, inline$storm_IoCompleteRequest$62$label_6_false#1;
-
- inline$storm_IoCompleteRequest$62$label_6_false#1:
- assume inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ == 0;
- goto inline$storm_IoCompleteRequest$62$label_7#1;
-
- inline$storm_IoCompleteRequest$62$label_6_true#1:
- assume inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ != 0;
- goto inline$storm_IoCompleteRequest$62$label_8#1;
-
- inline$storm_IoCompleteRequest$62$label_8#1:
- havoc raiseException;
- goto inline$storm_IoCompleteRequest$62$anon3_Then#1, inline$storm_IoCompleteRequest$62$anon3_Else#1;
-
- inline$storm_IoCompleteRequest$62$anon3_Else#1:
- assume !raiseException;
- goto inline$storm_IoCompleteRequest$62$anon1#1;
-
- inline$storm_IoCompleteRequest$62$anon1#1:
- assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$62$label_9#1;
-
- inline$storm_IoCompleteRequest$62$label_9#1:
- goto inline$storm_IoCompleteRequest$62$label_9_true#1, inline$storm_IoCompleteRequest$62$label_9_false#1;
-
- inline$storm_IoCompleteRequest$62$label_9_false#1:
- assume 0 == 0;
- goto inline$storm_IoCompleteRequest$62$label_10#1;
-
- inline$storm_IoCompleteRequest$62$label_10#1:
- errorReached := true;
- raiseException := true;
- __storm_atomic := false;
- __storm_init := false;
- goto inline$storm_IoCompleteRequest$62$label_1#1;
-
- inline$storm_IoCompleteRequest$62$label_9_true#1:
- assume 0 != 0;
- goto inline$storm_IoCompleteRequest$62$label_7#1;
-
- inline$storm_IoCompleteRequest$62$label_7#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
- call contextSwitch();
- goto inline$storm_IoCompleteRequest$62$label_1#1;
-
- inline$storm_IoCompleteRequest$62$label_1#1:
- goto inline$storm_IoCompleteRequest$62$Return#1;
-
- inline$storm_IoCompleteRequest$62$anon3_Then#1:
- assume raiseException;
- goto inline$storm_IoCompleteRequest$62$Return#1;
-
- inline$storm_IoCompleteRequest$62$Return#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_92$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_92$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon40_Then#1, inline$BDLDevicePowerIoCompletion$30$anon40_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon40_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon21#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon21#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_95#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_95#1:
- call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
- goto inline$BDLDevicePowerIoCompletion$30$anon41_Then#1, inline$BDLDevicePowerIoCompletion$30$anon41_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon41_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon23#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon23#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_98#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_98#1:
- goto inline$BDLGetDebugLevel$789$Entry#1;
-
- inline$BDLGetDebugLevel$789$Entry#1:
- goto inline$BDLGetDebugLevel$789$start#1;
-
- inline$BDLGetDebugLevel$789$start#1:
- goto inline$BDLGetDebugLevel$789$label_3#1;
-
- inline$BDLGetDebugLevel$789$label_3#1:
- havoc inline$BDLGetDebugLevel$789$myNondetVar_0;
- inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$789$myNondetVar_0;
- goto inline$BDLGetDebugLevel$789$label_1#1;
-
- inline$BDLGetDebugLevel$789$label_1#1:
- goto inline$BDLGetDebugLevel$789$Return#1;
-
- inline$BDLGetDebugLevel$789$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_98$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_98$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon42_Then#1, inline$BDLDevicePowerIoCompletion$30$anon42_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon42_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon25#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon25#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_101#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_101#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_101_true#1, inline$BDLDevicePowerIoCompletion$30$label_101_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_101_false#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_101_true#1:
- assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_105#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_105#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_106#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_106#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_107#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_107#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_108#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_108#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$29$;
- goto inline$BDLDevicePowerIoCompletion$30$label_102#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_102#1:
- goto inline$BDLGetDebugLevel$790$Entry#1;
-
- inline$BDLGetDebugLevel$790$Entry#1:
- goto inline$BDLGetDebugLevel$790$start#1;
-
- inline$BDLGetDebugLevel$790$start#1:
- goto inline$BDLGetDebugLevel$790$label_3#1;
-
- inline$BDLGetDebugLevel$790$label_3#1:
- havoc inline$BDLGetDebugLevel$790$myNondetVar_0;
- inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$790$myNondetVar_0;
- goto inline$BDLGetDebugLevel$790$label_1#1;
-
- inline$BDLGetDebugLevel$790$label_1#1:
- goto inline$BDLGetDebugLevel$790$Return#1;
-
- inline$BDLGetDebugLevel$790$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_102$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_102$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon43_Then#1, inline$BDLDevicePowerIoCompletion$30$anon43_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon43_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon27#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon27#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_111#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_111#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_111_true#1, inline$BDLDevicePowerIoCompletion$30$label_111_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_111_false#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_111_true#1:
- assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_115#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_115#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_116#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_116#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_117#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_117#1:
- call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
- goto inline$BDLDevicePowerIoCompletion$30$label_118#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_118#1:
- havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$34$;
- goto inline$BDLDevicePowerIoCompletion$30$label_112#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_112#1:
- goto inline$BDLGetDebugLevel$791$Entry#1;
-
- inline$BDLGetDebugLevel$791$Entry#1:
- goto inline$BDLGetDebugLevel$791$start#1;
-
- inline$BDLGetDebugLevel$791$start#1:
- goto inline$BDLGetDebugLevel$791$label_3#1;
-
- inline$BDLGetDebugLevel$791$label_3#1:
- havoc inline$BDLGetDebugLevel$791$myNondetVar_0;
- inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$791$myNondetVar_0;
- goto inline$BDLGetDebugLevel$791$label_1#1;
-
- inline$BDLGetDebugLevel$791$label_1#1:
- goto inline$BDLGetDebugLevel$791$Return#1;
-
- inline$BDLGetDebugLevel$791$Return#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$;
- goto inline$BDLDevicePowerIoCompletion$30$label_112$1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_112$1#1:
- goto inline$BDLDevicePowerIoCompletion$30$anon44_Then#1, inline$BDLDevicePowerIoCompletion$30$anon44_Else#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon44_Else#1:
- assume !raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$anon29#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon29#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_121#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_121#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_121_true#1, inline$BDLDevicePowerIoCompletion$30$label_121_false#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_121_false#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_121_true#1:
- assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
- goto inline$BDLDevicePowerIoCompletion$30$label_123#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_123#1:
- goto inline$BDLDevicePowerIoCompletion$30$label_122#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_122#1:
- inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
- goto inline$BDLDevicePowerIoCompletion$30$label_1#1;
-
- inline$BDLDevicePowerIoCompletion$30$label_1#1:
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
- call __HAVOC_free(inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$);
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon44_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon43_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon42_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon41_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon40_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon39_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon38_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon37_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon36_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon35_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon33_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon32_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon31_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$anon30_Then#1:
- assume raiseException;
- goto inline$BDLDevicePowerIoCompletion$30$Return#1;
-
- inline$BDLDevicePowerIoCompletion$30$Return#1:
- inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$;
- goto inline$CallCompletionRoutine$30$label_20_icall_2$1#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_2$1#1:
- goto inline$CallCompletionRoutine$30$anon13_Then#1, inline$CallCompletionRoutine$30$anon13_Else#1;
-
- inline$CallCompletionRoutine$30$anon13_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$30$anon7#1;
-
- inline$CallCompletionRoutine$30$anon7#1:
- goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$30$anon13_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_1#1:
- assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
- havoc inline$CallCompletionRoutine$30$myNondetVar_0;
- goto inline$BDLCallDriverCompletionRoutine$30$Entry#1;
-
- inline$BDLCallDriverCompletionRoutine$30$Entry#1:
- inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
- goto inline$BDLCallDriverCompletionRoutine$30$start#1;
-
- inline$BDLCallDriverCompletionRoutine$30$start#1:
- inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
- goto inline$BDLCallDriverCompletionRoutine$30$label_3#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_3#1:
- goto inline$BDLCallDriverCompletionRoutine$30$label_3_true#1, inline$BDLCallDriverCompletionRoutine$30$label_3_false#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_3_false#1:
- havoc inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0 == 0;
- goto inline$BDLCallDriverCompletionRoutine$30$label_4#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_4#1:
- goto inline$BDLCallDriverCompletionRoutine$30$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_3_true#1:
- havoc inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0;
- assume inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0 != 0;
- goto inline$BDLCallDriverCompletionRoutine$30$label_5#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_5#1:
- goto inline$BDLCallDriverCompletionRoutine$30$label_6#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_6#1:
- goto inline$storm_KeSetEvent$34$Entry#1;
-
- inline$storm_KeSetEvent$34$Entry#1:
- inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
- goto inline$storm_KeSetEvent$34$start#1;
-
- inline$storm_KeSetEvent$34$start#1:
- inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1;
- goto inline$storm_KeSetEvent$34$label_3#1;
-
- inline$storm_KeSetEvent$34$label_3#1:
- Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12 := 1];
- call contextSwitch();
- goto inline$storm_KeSetEvent$34$label_1#1;
-
- inline$storm_KeSetEvent$34$label_1#1:
- goto inline$storm_KeSetEvent$34$Return#1;
-
- inline$storm_KeSetEvent$34$Return#1:
- goto inline$BDLCallDriverCompletionRoutine$30$label_6$1#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_6$1#1:
- goto inline$BDLCallDriverCompletionRoutine$30$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$30$anon2_Else#1;
-
- inline$BDLCallDriverCompletionRoutine$30$anon2_Else#1:
- assume !raiseException;
- goto inline$BDLCallDriverCompletionRoutine$30$anon1#1;
-
- inline$BDLCallDriverCompletionRoutine$30$anon1#1:
- goto inline$BDLCallDriverCompletionRoutine$30$label_9#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_9#1:
- inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
- goto inline$BDLCallDriverCompletionRoutine$30$label_1#1;
-
- inline$BDLCallDriverCompletionRoutine$30$label_1#1:
- goto inline$BDLCallDriverCompletionRoutine$30$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$30$anon2_Then#1:
- assume raiseException;
- goto inline$BDLCallDriverCompletionRoutine$30$Return#1;
-
- inline$BDLCallDriverCompletionRoutine$30$Return#1:
- inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$;
- goto inline$CallCompletionRoutine$30$label_20_icall_1$1#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_1$1#1:
- goto inline$CallCompletionRoutine$30$anon12_Then#1, inline$CallCompletionRoutine$30$anon12_Else#1;
-
- inline$CallCompletionRoutine$30$anon12_Else#1:
- assume !raiseException;
- goto inline$CallCompletionRoutine$30$anon5#1;
-
- inline$CallCompletionRoutine$30$anon5#1:
- goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
-
- inline$CallCompletionRoutine$30$label_20_icall_return#1:
- goto inline$CallCompletionRoutine$30$label_23#1;
-
- inline$CallCompletionRoutine$30$label_23#1:
- inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$;
- goto inline$CallCompletionRoutine$30$label_24#1;
-
- inline$CallCompletionRoutine$30$label_24#1:
- goto inline$CallCompletionRoutine$30$label_24_true#1, inline$CallCompletionRoutine$30$label_24_false#1;
-
- inline$CallCompletionRoutine$30$label_24_false#1:
- assume !INT_NEQ(inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$30$label_1#1;
-
- inline$CallCompletionRoutine$30$label_24_true#1:
- assume INT_NEQ(inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
- goto inline$CallCompletionRoutine$30$label_25#1;
-
- inline$CallCompletionRoutine$30$label_25#1:
- Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
- call contextSwitch();
- goto inline$CallCompletionRoutine$30$label_1#1;
-
- inline$CallCompletionRoutine$30$label_1#1:
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$anon12_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$anon11_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$anon10_Then#1:
- assume raiseException;
- goto inline$CallCompletionRoutine$30$Return#1;
-
- inline$CallCompletionRoutine$30$Return#1:
- goto inline$storm_thread_completion$0$label_4$1#1;
-
- inline$storm_thread_completion$0$label_4$1#1:
- call contextSwitch();
- goto inline$storm_thread_completion$0$anon5_Then#1, inline$storm_thread_completion$0$anon5_Else#1;
-
- inline$storm_thread_completion$0$anon5_Else#1:
- assume !raiseException;
- goto inline$storm_thread_completion$0$anon3#1;
-
- inline$storm_thread_completion$0$anon3#1:
- goto inline$storm_thread_completion$0$label_1#1;
-
- inline$storm_thread_completion$0$label_1#1:
- __storm_thread_done_2 := true;
- goto inline$storm_thread_completion$0$Return#1;
-
- inline$storm_thread_completion$0$anon5_Then#1:
- assume raiseException;
- goto inline$storm_thread_completion$0$Return#1;
-
- inline$storm_thread_completion$0$anon4_Then#1:
- assume raiseException;
- goto inline$storm_thread_completion$0$Return#1;
-
- inline$storm_thread_completion$0$Return#1:
- goto label_38$1#1;
-
- label_38$1#1:
- goto label_1#1;
-
- label_1#1:
- assert !errorReached;
- return;
-
- anon14_Then#1:
- assume raiseException;
- return;
-
- anon13_Then#1:
- assume raiseException;
- return;
-
- anon12_Then#1:
- assume raiseException;
- return;
-
- anon11_Then#1:
- assume raiseException;
- return;
-
- anon10_Then#1:
- assume raiseException;
- return;
-}
-
-
-
+// RUN: %boogie -noinfer -useArrayTheory "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var raiseException : bool;
+var errorReached : bool;
+var k : int;
+var __storm_atomic : bool;
+var __storm_init : bool;
+var __storm_thread_id : int;
+var __storm_thread_done_1 : bool;
+var __storm_thread_done_2 : bool;
+var __storm_thread_done_3 : bool;
+var __storm_thread_done_4 : bool;
+var __storm_thread_done_5 : bool;
+var __storm_thread_done_6 : bool;
+
+procedure /* dummy comment */ {:inline 1} storm_getThreadID() returns (tid:int)
+{
+ tid := __storm_thread_id;
+ return;
+}
+
+procedure storm_context_0();
+
+procedure contextSwitch();
+modifies k;
+ensures __storm_atomic ==> old(k) == k;
+ensures(old(k) <= k);
+ensures(k < 1);
+
+
+
+// Memory model
+
+// Mutable
+var alloc:int;
+
+// Immutable
+
+var Mem_0_T.CancelRoutine__IRP : [int]int;
+var Mem_0_T.CurrentLocation__IRP : [int]int;
+var Mem_0_T.DeviceExtension__DEVICE_OBJECT : [int]int;
+var Mem_0_T.StackCount__IRP : [int]int;
+
+
+// Field declarations
+
+
+// Type declarations
+
+
+// Field offset definitions
+
+function AllocatedResourcesTranslated___unnamed_8_634fb90c(int) returns (int);
+
+
+//axiom (forall x:int :: {AllocatedResourcesTranslated___unnamed_8_634fb90c(x)} AllocatedResourcesTranslated___unnamed_8_634fb90c(x) == x + 4);
+axiom (forall x:int :: {AllocatedResourcesTranslated___unnamed_8_634fb90c(x)} AllocatedResourcesTranslated___unnamed_8_634fb90c(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function AllocatedResources___unnamed_8_634fb90c(int) returns (int);
+
+
+//axiom (forall x:int :: {AllocatedResources___unnamed_8_634fb90c(x)} AllocatedResources___unnamed_8_634fb90c(x) == x + 0);
+axiom (forall x:int :: {AllocatedResources___unnamed_8_634fb90c(x)} AllocatedResources___unnamed_8_634fb90c(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1364);
+axiom (forall x:int :: {BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1364));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDBuildNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDBuildNumber__BDSI_INITIALIZERESOURCES(x)} BDDBuildNumber__BDSI_INITIALIZERESOURCES(x) == x + 544);
+axiom (forall x:int :: {BDDBuildNumber__BDSI_INITIALIZERESOURCES(x)} BDDBuildNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 544));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1356);
+axiom (forall x:int :: {BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1356));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDVersionMajor__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDVersionMajor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMajor__BDSI_INITIALIZERESOURCES(x) == x + 536);
+axiom (forall x:int :: {BDDVersionMajor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMajor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 536));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1360);
+axiom (forall x:int :: {BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} BDDVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1360));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BDDVersionMinor__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {BDDVersionMinor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMinor__BDSI_INITIALIZERESOURCES(x) == x + 540);
+axiom (forall x:int :: {BDDVersionMinor__BDSI_INITIALIZERESOURCES(x)} BDDVersionMinor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 540));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x)} BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x)} BdlExtenstion__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Blink__LIST_ENTRY(int) returns (int);
+
+
+//axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == x + 4);
+axiom (forall x:int :: {Blink__LIST_ENTRY(x)} Blink__LIST_ENTRY(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Block__BDDI_ITEM_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Block__BDDI_ITEM_DATA(x)} Block__BDDI_ITEM_DATA(x) == x + 0);
+axiom (forall x:int :: {Block__BDDI_ITEM_DATA(x)} Block__BDDI_ITEM_DATA(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelIrql__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == x + 37);
+axiom (forall x:int :: {CancelIrql__IRP(x)} CancelIrql__IRP(x) == INT_ADD(x, 37));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CancelRoutine__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == x + 56);
+axiom (forall x:int :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == INT_ADD(x, 56));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Cancel__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == x + 36);
+axiom (forall x:int :: {Cancel__IRP(x)} Cancel__IRP(x) == INT_ADD(x, 36));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ChannelId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
+
+
+//axiom (forall x:int :: {ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x)} ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 12);
+axiom (forall x:int :: {ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x)} ChannelId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
+
+
+//axiom (forall x:int :: {ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 4);
+axiom (forall x:int :: {ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ChannelId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CompletionRoutine__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == x + 28);
+axiom (forall x:int :: {CompletionRoutine__IO_STACK_LOCATION(x)} CompletionRoutine__IO_STACK_LOCATION(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ComponentId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
+
+
+//axiom (forall x:int :: {ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x)} ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 8);
+axiom (forall x:int :: {ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x)} ComponentId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
+
+
+//axiom (forall x:int :: {ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 0);
+axiom (forall x:int :: {ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ComponentId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Context__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == x + 32);
+axiom (forall x:int :: {Context__IO_STACK_LOCATION(x)} Context__IO_STACK_LOCATION(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == x + 620);
+axiom (forall x:int :: {ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 620));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x) == x + 636);
+axiom (forall x:int :: {ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x)} ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 636));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x)} ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 160);
+axiom (forall x:int :: {ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x)} ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 160));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControlId__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
+
+
+//axiom (forall x:int :: {ControlId__BDDI_PARAMS_REGISTERNOTIFY(x)} ControlId__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 16);
+axiom (forall x:int :: {ControlId__BDDI_PARAMS_REGISTERNOTIFY(x)} ControlId__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 16));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ControlId__BDL_CONTROL_CHANGE_REGISTRATION(int) returns (int);
+
+
+//axiom (forall x:int :: {ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x) == x + 8);
+axiom (forall x:int :: {ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x)} ControlId__BDL_CONTROL_CHANGE_REGISTRATION(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Control__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == x + 3);
+axiom (forall x:int :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == INT_ADD(x, 3));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentLocation__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == x + 35);
+axiom (forall x:int :: {CurrentLocation__IRP(x)} CurrentLocation__IRP(x) == INT_ADD(x, 35));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function CurrentStackLocation___unnamed_12_3a099e68(int) returns (int);
+
+
+//axiom (forall x:int :: {CurrentStackLocation___unnamed_12_3a099e68(x)} CurrentStackLocation___unnamed_12_3a099e68(x) == x + 8);
+axiom (forall x:int :: {CurrentStackLocation___unnamed_12_3a099e68(x)} CurrentStackLocation___unnamed_12_3a099e68(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Data__BDDI_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Data__BDDI_ITEM(x)} Data__BDDI_ITEM(x) == x + 4);
+axiom (forall x:int :: {Data__BDDI_ITEM(x)} Data__BDDI_ITEM(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 140);
+axiom (forall x:int :: {DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceCapabilities__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 140));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceExtension__DEVICE_OBJECT(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == x + 40);
+axiom (forall x:int :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == INT_ADD(x, 40));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceObject__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == x + 20);
+axiom (forall x:int :: {DeviceObject__IO_STACK_LOCATION(x)} DeviceObject__IO_STACK_LOCATION(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 136);
+axiom (forall x:int :: {DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceOpen__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 136));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 28);
+axiom (forall x:int :: {DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x)} DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function DeviceState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {DeviceState__POWER_STATE(x)} DeviceState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Flink__LIST_ENTRY(int) returns (int);
+
+
+//axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == x + 0);
+axiom (forall x:int :: {Flink__LIST_ENTRY(x)} Flink__LIST_ENTRY(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1352);
+axiom (forall x:int :: {HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWBuildNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1352));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWBuildNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {HWBuildNumber__BDSI_INITIALIZERESOURCES(x)} HWBuildNumber__BDSI_INITIALIZERESOURCES(x) == x + 532);
+axiom (forall x:int :: {HWBuildNumber__BDSI_INITIALIZERESOURCES(x)} HWBuildNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 532));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1344);
+axiom (forall x:int :: {HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMajor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1344));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWVersionMajor__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {HWVersionMajor__BDSI_INITIALIZERESOURCES(x)} HWVersionMajor__BDSI_INITIALIZERESOURCES(x) == x + 524);
+axiom (forall x:int :: {HWVersionMajor__BDSI_INITIALIZERESOURCES(x)} HWVersionMajor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 524));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 1348);
+axiom (forall x:int :: {HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x)} HWVersionMinor__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 1348));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HWVersionMinor__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {HWVersionMinor__BDSI_INITIALIZERESOURCES(x)} HWVersionMinor__BDSI_INITIALIZERESOURCES(x) == x + 528);
+axiom (forall x:int :: {HWVersionMinor__BDSI_INITIALIZERESOURCES(x)} HWVersionMinor__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 528));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 816);
+axiom (forall x:int :: {HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 816));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function HandleList__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 820);
+axiom (forall x:int :: {HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x)} HandleList__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 820));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Handle__BDDI_ITEM_DATA(int) returns (int);
+
+
+//axiom (forall x:int :: {Handle__BDDI_ITEM_DATA(x)} Handle__BDDI_ITEM_DATA(x) == x + 0);
+axiom (forall x:int :: {Handle__BDDI_ITEM_DATA(x)} Handle__BDDI_ITEM_DATA(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == x + 624);
+axiom (forall x:int :: {IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 624));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == x + 0);
+axiom (forall x:int :: {ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == x + 36);
+axiom (forall x:int :: {ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x)} ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 36));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Information__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == x + 4);
+axiom (forall x:int :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoCount__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x)} IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 44);
+axiom (forall x:int :: {IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x)} IoCount__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 44));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function IoStatus__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == x + 24);
+axiom (forall x:int :: {IoStatus__IRP(x)} IoStatus__IRP(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MajorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == x + 0);
+axiom (forall x:int :: {MajorFunction__IO_STACK_LOCATION(x)} MajorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function MinorFunction__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == x + 1);
+axiom (forall x:int :: {MinorFunction__IO_STACK_LOCATION(x)} MinorFunction__IO_STACK_LOCATION(x) == INT_ADD(x, 1));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function NumChannels__BDL_COMPONENT(int) returns (int);
+
+
+//axiom (forall x:int :: {NumChannels__BDL_COMPONENT(x)} NumChannels__BDL_COMPONENT(x) == x + 12);
+axiom (forall x:int :: {NumChannels__BDL_COMPONENT(x)} NumChannels__BDL_COMPONENT(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function NumComponents__BDL_DEVICE_CAPABILITIES(int) returns (int);
+
+
+//axiom (forall x:int :: {NumComponents__BDL_DEVICE_CAPABILITIES(x)} NumComponents__BDL_DEVICE_CAPABILITIES(x) == x + 8);
+axiom (forall x:int :: {NumComponents__BDL_DEVICE_CAPABILITIES(x)} NumComponents__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function NumHandles_HANDLELIST_(int) returns (int);
+
+
+//axiom (forall x:int :: {NumHandles_HANDLELIST_(x)} NumHandles_HANDLELIST_(x) == x + 8);
+axiom (forall x:int :: {NumHandles_HANDLELIST_(x)} NumHandles_HANDLELIST_(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Overlay___unnamed_48_7c673e0a(int) returns (int);
+
+
+//axiom (forall x:int :: {Overlay___unnamed_48_7c673e0a(x)} Overlay___unnamed_48_7c673e0a(x) == x + 0);
+axiom (forall x:int :: {Overlay___unnamed_48_7c673e0a(x)} Overlay___unnamed_48_7c673e0a(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Parameters__IO_STACK_LOCATION(int) returns (int);
+
+
+//axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == x + 4);
+axiom (forall x:int :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PendingReturned__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == x + 33);
+axiom (forall x:int :: {PendingReturned__IRP(x)} PendingReturned__IRP(x) == INT_ADD(x, 33));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function PowerState__BDSI_SETPOWERSTATE(int) returns (int);
+
+
+//axiom (forall x:int :: {PowerState__BDSI_SETPOWERSTATE(x)} PowerState__BDSI_SETPOWERSTATE(x) == x + 4);
+axiom (forall x:int :: {PowerState__BDSI_SETPOWERSTATE(x)} PowerState__BDSI_SETPOWERSTATE(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Power___unnamed_16_357c4db4(int) returns (int);
+
+
+//axiom (forall x:int :: {Power___unnamed_16_357c4db4(x)} Power___unnamed_16_357c4db4(x) == x + 0);
+axiom (forall x:int :: {Power___unnamed_16_357c4db4(x)} Power___unnamed_16_357c4db4(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 48);
+axiom (forall x:int :: {RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 48));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Size__BDDI_PARAMS_CLOSEHANDLE(int) returns (int);
+
+
+//axiom (forall x:int :: {Size__BDDI_PARAMS_CLOSEHANDLE(x)} Size__BDDI_PARAMS_CLOSEHANDLE(x) == x + 0);
+axiom (forall x:int :: {Size__BDDI_PARAMS_CLOSEHANDLE(x)} Size__BDDI_PARAMS_CLOSEHANDLE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Size__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {Size__BDSI_INITIALIZERESOURCES(x)} Size__BDSI_INITIALIZERESOURCES(x) == x + 0);
+axiom (forall x:int :: {Size__BDSI_INITIALIZERESOURCES(x)} Size__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Size__BDSI_SETPOWERSTATE(int) returns (int);
+
+
+//axiom (forall x:int :: {Size__BDSI_SETPOWERSTATE(x)} Size__BDSI_SETPOWERSTATE(x) == x + 0);
+axiom (forall x:int :: {Size__BDSI_SETPOWERSTATE(x)} Size__BDSI_SETPOWERSTATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 24);
+axiom (forall x:int :: {SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x)} SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function StackCount__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {StackCount__IRP(x)} StackCount__IRP(x) == x + 34);
+axiom (forall x:int :: {StackCount__IRP(x)} StackCount__IRP(x) == INT_ADD(x, 34));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function StartDevice___unnamed_16_357c4db4(int) returns (int);
+
+
+//axiom (forall x:int :: {StartDevice___unnamed_16_357c4db4(x)} StartDevice___unnamed_16_357c4db4(x) == x + 0);
+axiom (forall x:int :: {StartDevice___unnamed_16_357c4db4(x)} StartDevice___unnamed_16_357c4db4(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function State___unnamed_16_72a8bca0(int) returns (int);
+
+
+//axiom (forall x:int :: {State___unnamed_16_72a8bca0(x)} State___unnamed_16_72a8bca0(x) == x + 8);
+axiom (forall x:int :: {State___unnamed_16_72a8bca0(x)} State___unnamed_16_72a8bca0(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Status___unnamed_4_46d45f1c(int) returns (int);
+
+
+//axiom (forall x:int :: {Status___unnamed_4_46d45f1c(x)} Status___unnamed_4_46d45f1c(x) == x + 0);
+axiom (forall x:int :: {Status___unnamed_4_46d45f1c(x)} Status___unnamed_4_46d45f1c(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x)} SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 16);
+axiom (forall x:int :: {SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x)} SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 16));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function SystemState__POWER_STATE(int) returns (int);
+
+
+//axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == x + 0);
+axiom (forall x:int :: {SystemState__POWER_STATE(x)} SystemState__POWER_STATE(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Tail__IRP(int) returns (int);
+
+
+//axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == x + 64);
+axiom (forall x:int :: {Tail__IRP(x)} Tail__IRP(x) == INT_ADD(x, 64));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function Type__BDDI_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {Type__BDDI_ITEM(x)} Type__BDDI_ITEM(x) == x + 0);
+axiom (forall x:int :: {Type__BDDI_ITEM(x)} Type__BDDI_ITEM(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_12_3a099e68___unnamed_40_3fd77f3c(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_12_3a099e68___unnamed_40_3fd77f3c(x)} __unnamed_12_3a099e68___unnamed_40_3fd77f3c(x) == x + 24);
+axiom (forall x:int :: {__unnamed_12_3a099e68___unnamed_40_3fd77f3c(x)} __unnamed_12_3a099e68___unnamed_40_3fd77f3c(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function __unnamed_4_46d45f1c__IO_STATUS_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {__unnamed_4_46d45f1c__IO_STATUS_BLOCK(x)} __unnamed_4_46d45f1c__IO_STATUS_BLOCK(x) == x + 0);
+axiom (forall x:int :: {__unnamed_4_46d45f1c__IO_STATUS_BLOCK(x)} __unnamed_4_46d45f1c__IO_STATUS_BLOCK(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function bddiFunctions__BDL_DRIVER_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {bddiFunctions__BDL_DRIVER_EXTENSION(x)} bddiFunctions__BDL_DRIVER_EXTENSION(x) == x + 0);
+axiom (forall x:int :: {bddiFunctions__BDL_DRIVER_EXTENSION(x)} bddiFunctions__BDL_DRIVER_EXTENSION(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function bdsiFunctions__BDL_DRIVER_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {bdsiFunctions__BDL_DRIVER_EXTENSION(x)} bdsiFunctions__BDL_DRIVER_EXTENSION(x) == x + 32);
+axiom (forall x:int :: {bdsiFunctions__BDL_DRIVER_EXTENSION(x)} bdsiFunctions__BDL_DRIVER_EXTENSION(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x)} fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 813);
+axiom (forall x:int :: {fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x)} fDeviceRemoved__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 813));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function fRegister__BDDI_PARAMS_REGISTERNOTIFY(int) returns (int);
+
+
+//axiom (forall x:int :: {fRegister__BDDI_PARAMS_REGISTERNOTIFY(x)} fRegister__BDDI_PARAMS_REGISTERNOTIFY(x) == x + 4);
+axiom (forall x:int :: {fRegister__BDDI_PARAMS_REGISTERNOTIFY(x)} fRegister__BDDI_PARAMS_REGISTERNOTIFY(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x)} fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 812);
+axiom (forall x:int :: {fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x)} fStartSucceeded__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 812));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(int) returns (int);
+
+
+//axiom (forall x:int :: {fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x)} fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x) == x + 24);
+axiom (forall x:int :: {fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x)} fUsed__BDL_ISR_CONTROL_CHANGE_ITEM(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function hData__BDDI_PARAMS_CLOSEHANDLE(int) returns (int);
+
+
+//axiom (forall x:int :: {hData__BDDI_PARAMS_CLOSEHANDLE(x)} hData__BDDI_PARAMS_CLOSEHANDLE(x) == x + 4);
+axiom (forall x:int :: {hData__BDDI_PARAMS_CLOSEHANDLE(x)} hData__BDDI_PARAMS_CLOSEHANDLE(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function handle_LIST_NODE_(int) returns (int);
+
+
+//axiom (forall x:int :: {handle_LIST_NODE_(x)} handle_LIST_NODE_(x) == x + 4);
+axiom (forall x:int :: {handle_LIST_NODE_(x)} handle_LIST_NODE_(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x)} pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x) == x + 8);
+axiom (forall x:int :: {pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x)} pAllocatedResourcesTranslated__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pAllocatedResources__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {pAllocatedResources__BDSI_INITIALIZERESOURCES(x)} pAllocatedResources__BDSI_INITIALIZERESOURCES(x) == x + 4);
+axiom (forall x:int :: {pAllocatedResources__BDSI_INITIALIZERESOURCES(x)} pAllocatedResources__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pAttachedDeviceObject__BDL_DEVICEEXT(int) returns (int);
+
+
+//axiom (forall x:int :: {pAttachedDeviceObject__BDL_DEVICEEXT(x)} pAttachedDeviceObject__BDL_DEVICEEXT(x) == x + 4);
+axiom (forall x:int :: {pAttachedDeviceObject__BDL_DEVICEEXT(x)} pAttachedDeviceObject__BDL_DEVICEEXT(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pBDLExtension__POWER_IRP_CONTEXT(int) returns (int);
+
+
+//axiom (forall x:int :: {pBDLExtension__POWER_IRP_CONTEXT(x)} pBDLExtension__POWER_IRP_CONTEXT(x) == x + 0);
+axiom (forall x:int :: {pBDLExtension__POWER_IRP_CONTEXT(x)} pBDLExtension__POWER_IRP_CONTEXT(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pBuffer__BDDI_ITEM_DATA_BLOCK(int) returns (int);
+
+
+//axiom (forall x:int :: {pBuffer__BDDI_ITEM_DATA_BLOCK(x)} pBuffer__BDDI_ITEM_DATA_BLOCK(x) == x + 4);
+axiom (forall x:int :: {pBuffer__BDDI_ITEM_DATA_BLOCK(x)} pBuffer__BDDI_ITEM_DATA_BLOCK(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x)} pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 12);
+axiom (forall x:int :: {pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x)} pDriverExtension__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pHead_HANDLELIST_(int) returns (int);
+
+
+//axiom (forall x:int :: {pHead_HANDLELIST_(x)} pHead_HANDLELIST_(x) == x + 0);
+axiom (forall x:int :: {pHead_HANDLELIST_(x)} pHead_HANDLELIST_(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pIrp__BDL_CONTROL_CHANGE_STRUCT(int) returns (int);
+
+
+//axiom (forall x:int :: {pIrp__BDL_CONTROL_CHANGE_STRUCT(x)} pIrp__BDL_CONTROL_CHANGE_STRUCT(x) == x + 632);
+axiom (forall x:int :: {pIrp__BDL_CONTROL_CHANGE_STRUCT(x)} pIrp__BDL_CONTROL_CHANGE_STRUCT(x) == INT_ADD(x, 632));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pNext_LIST_NODE_(int) returns (int);
+
+
+//axiom (forall x:int :: {pNext_LIST_NODE_(x)} pNext_LIST_NODE_(x) == x + 0);
+axiom (forall x:int :: {pNext_LIST_NODE_(x)} pNext_LIST_NODE_(x) == INT_ADD(x, 0));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pTail_HANDLELIST_(int) returns (int);
+
+
+//axiom (forall x:int :: {pTail_HANDLELIST_(x)} pTail_HANDLELIST_(x) == x + 4);
+axiom (forall x:int :: {pTail_HANDLELIST_(x)} pTail_HANDLELIST_(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x)} pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x) == x + 24);
+axiom (forall x:int :: {pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x)} pfbddiCloseHandle__BDLI_BDDIFUNCTIONS(x) == INT_ADD(x, 24));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x)} pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x) == x + 4);
+axiom (forall x:int :: {pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x)} pfbddiRegisterNotify__BDLI_BDDIFUNCTIONS(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x) == x + 12);
+axiom (forall x:int :: {pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiInitializeResources__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x) == x + 16);
+axiom (forall x:int :: {pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x)} pfbdsiReleaseResources__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 16));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x)} pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x) == x + 8);
+axiom (forall x:int :: {pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x)} pfbdsiRemoveDevice__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(int) returns (int);
+
+
+//axiom (forall x:int :: {pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x)} pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x) == x + 32);
+axiom (forall x:int :: {pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x)} pfbdsiSetPowerState__BDLI_BDSIFUNCTIONS(x) == INT_ADD(x, 32));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgChannels__BDL_COMPONENT(int) returns (int);
+
+
+//axiom (forall x:int :: {rgChannels__BDL_COMPONENT(x)} rgChannels__BDL_COMPONENT(x) == x + 16);
+axiom (forall x:int :: {rgChannels__BDL_COMPONENT(x)} rgChannels__BDL_COMPONENT(x) == INT_ADD(x, 16));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgComponents__BDL_DEVICE_CAPABILITIES(int) returns (int);
+
+
+//axiom (forall x:int :: {rgComponents__BDL_DEVICE_CAPABILITIES(x)} rgComponents__BDL_DEVICE_CAPABILITIES(x) == x + 12);
+axiom (forall x:int :: {rgComponents__BDL_DEVICE_CAPABILITIES(x)} rgComponents__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgControls__BDL_CHANNEL(int) returns (int);
+
+
+//axiom (forall x:int :: {rgControls__BDL_CHANNEL(x)} rgControls__BDL_CHANNEL(x) == x + 8);
+axiom (forall x:int :: {rgControls__BDL_CHANNEL(x)} rgControls__BDL_CHANNEL(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgControls__BDL_COMPONENT(int) returns (int);
+
+
+//axiom (forall x:int :: {rgControls__BDL_COMPONENT(x)} rgControls__BDL_COMPONENT(x) == x + 8);
+axiom (forall x:int :: {rgControls__BDL_COMPONENT(x)} rgControls__BDL_COMPONENT(x) == INT_ADD(x, 8));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgControls__BDL_DEVICE_CAPABILITIES(int) returns (int);
+
+
+//axiom (forall x:int :: {rgControls__BDL_DEVICE_CAPABILITIES(x)} rgControls__BDL_DEVICE_CAPABILITIES(x) == x + 4);
+axiom (forall x:int :: {rgControls__BDL_DEVICE_CAPABILITIES(x)} rgControls__BDL_DEVICE_CAPABILITIES(x) == INT_ADD(x, 4));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgProducts__BDL_CHANNEL(int) returns (int);
+
+
+//axiom (forall x:int :: {rgProducts__BDL_CHANNEL(x)} rgProducts__BDL_CHANNEL(x) == x + 28);
+axiom (forall x:int :: {rgProducts__BDL_CHANNEL(x)} rgProducts__BDL_CHANNEL(x) == INT_ADD(x, 28));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function rgSourceLists__BDL_CHANNEL(int) returns (int);
+
+
+//axiom (forall x:int :: {rgSourceLists__BDL_CHANNEL(x)} rgSourceLists__BDL_CHANNEL(x) == x + 20);
+axiom (forall x:int :: {rgSourceLists__BDL_CHANNEL(x)} rgSourceLists__BDL_CHANNEL(x) == INT_ADD(x, 20));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(int) returns (int);
+
+
+//axiom (forall x:int :: {wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == x + 832);
+axiom (forall x:int :: {wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x)} wszSerialNumber__BDL_INTERNAL_DEVICE_EXTENSION(x) == INT_ADD(x, 832));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+function wszSerialNumber__BDSI_INITIALIZERESOURCES(int) returns (int);
+
+
+//axiom (forall x:int :: {wszSerialNumber__BDSI_INITIALIZERESOURCES(x)} wszSerialNumber__BDSI_INITIALIZERESOURCES(x) == x + 12);
+axiom (forall x:int :: {wszSerialNumber__BDSI_INITIALIZERESOURCES(x)} wszSerialNumber__BDSI_INITIALIZERESOURCES(x) == INT_ADD(x, 12));
+//adding this additional axiom since to show Array(x, 1, n)[f(x)], we need f(x) to be a PLUS
+
+
+///////////////////////////////////
+// will be replaced by:
+// "//" when using bv mode
+// "" when using int mode
+// main reason is to avoid using bv for constants
+// or avoid translating lines that are complex or unsound
+//////////////////////////////////
+
+////////////////////////////////////////////
+/////// functions for int type /////////////
+// Theorem prover does not see INT_ADD etc.
+////////////////////////////////////////////
+function {:inline true} INT_EQ(x:int, y:int) returns (bool) {x == y}
+function {:inline true} INT_NEQ(x:int, y:int) returns (bool) {x != y}
+
+function {:inline true} INT_ADD(x:int, y:int) returns (int) {x + y}
+function {:inline true} INT_SUB(x:int, y:int) returns (int) {x - y}
+function {:inline true} INT_MULT(x:int, y:int) returns (int) {x * y}
+function {:inline true} INT_DIV(x:int, y:int) returns (int) {x div y}
+function {:inline true} INT_LT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_ULT(x:int, y:int) returns (bool) {x < y}
+function {:inline true} INT_LEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_ULEQ(x:int, y:int) returns (bool) {x <= y}
+function {:inline true} INT_GT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_UGT(x:int, y:int) returns (bool) {x > y}
+function {:inline true} INT_GEQ(x:int, y:int) returns (bool) {x >= y}
+function {:inline true} INT_UGEQ(x:int, y:int) returns (bool) {x >= y}
+
+
+////////////////////////////////////////////
+/////// functions for bv32 type /////////////
+// Theorem prover does not see INT_ADD etc.
+// we are treating unsigned ops now
+////////////////////////////////////////////
+function {:inline true} BV32_EQ(x:bv32, y:bv32) returns (bool) {x == y}
+function {:inline true} BV32_NEQ(x:bv32, y:bv32) returns (bool) {x != y}
+
+function {:bvbuiltin "bvadd"} BV32_ADD(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvsub"} BV32_SUB(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvmul"} BV32_MULT(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvudiv"} BV32_DIV(x:bv32, y:bv32) returns (bv32);
+function {:bvbuiltin "bvult"} BV32_ULT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvslt"} BV32_LT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvule"} BV32_ULEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsle"} BV32_LEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvugt"} BV32_UGT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsgt"} BV32_GT(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvuge"} BV32_UGEQ(x:bv32, y:bv32) returns (bool);
+function {:bvbuiltin "bvsge"} BV32_GEQ(x:bv32, y:bv32) returns (bool);
+
+//what about bitwise ops {BIT_AND, BIT_OR, BIT_NOT, ..}
+//only enabled with bv theory
+// function {:bvbuiltin "bvand"} BIT_BAND(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvor"} BIT_BOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvxor"} BIT_BXOR(a:int, b:int) returns (x:int);
+// function {:bvbuiltin "bvnot"} BIT_BNOT(a:int) returns (x:int);
+
+//////////////////////////////////
+// Generic C Arithmetic operations
+/////////////////////////////////
+
+//Is this sound for bv32?
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+ axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+//size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+ INT_LEQ( INT_MULT(size, MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)), INT_SUB(a, b)) && INT_LT( INT_SUB(a, b), INT_MULT(size, (INT_ADD(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size), 1)))));
+
+//we just keep this axiom for size = 1
+axiom (forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)} MINUS_BOTH_PTR_OR_BOTH_INT(a,b,1) == INT_SUB(a,b));
+
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+//axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == INT_SUB(a, INT_MULT(a_size, b)));
+
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+//axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+axiom (forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == INT_ADD(a, INT_MULT(a_size, b)));
+
+function MULT(a:int, b:int) returns (int); // a*b
+//axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == INT_MULT(a, b));
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+// Not sure if these axioms hold for BV too, just commet them for BV
+
+
+
+//uninterpreted binary op
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+
+//////////////////////////////////////////
+//// Bitwise ops (uninterpreted, used with int)
+//////////////////////////////////////////
+
+
+ function BIT_BAND(a:int, b:int) returns (x:int);
+
+
+ function BIT_BOR(a:int, b:int) returns (x:int);
+ function BIT_BXOR(a:int, b:int) returns (x:int);
+ function BIT_BNOT(a:int) returns (int);
+
+
+
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function PTR_NOT(a:int) returns (int);
+axiom(forall a:int :: {PTR_NOT(a)} a == 0 ==> PTR_NOT(a) != 0);
+axiom(forall a:int :: {PTR_NOT(a)} a != 0 ==> PTR_NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+
+function NewAlloc(x:int, y:int) returns (z:int);
+
+//Comments below make HAVOC_malloc deterministic
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+//requires obj_size >= 0;
+free requires INT_GEQ(obj_size, 0);
+modifies alloc;
+ensures new == old(alloc);
+//ensures alloc > new + obj_size;
+ensures INT_GT(alloc, INT_ADD(new, obj_size));
+//ensures alloc == NewAlloc(old(alloc), obj_size);
+
+
+
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+/*
+//bv functions
+function bv8ToInt(bv8) returns (int);
+function bv16ToInt(bv16) returns (int);
+function bv32ToInt(bv32) returns (int);
+function bv64ToInt(bv64) returns (int);
+
+function intToBv8(int) returns (bv8);
+function intToBv16(int) returns (bv16);
+function intToBv32(int) returns (bv32);
+function intToBv64(int) returns (bv64);
+
+axiom(forall a:int :: {intToBv8(a)} bv8ToInt(intToBv8(a)) == a);
+axiom(forall a:int :: {intToBv16(a)} bv16ToInt(intToBv16(a)) == a);
+axiom(forall a:int :: {intToBv32(a)} bv32ToInt(intToBv32(a)) == a);
+axiom(forall a:int :: {intToBv64(a)} bv64ToInt(intToBv64(a)) == a);
+
+axiom(forall b:bv8 :: {bv8ToInt(b)} intToBv8(bv8ToInt(b)) == b);
+axiom(forall b:bv16 :: {bv16ToInt(b)} intToBv16(bv16ToInt(b)) == b);
+axiom(forall b:bv32 :: {bv32ToInt(b)} intToBv32(bv32ToInt(b)) == b);
+axiom(forall b:bv64 :: {bv64ToInt(b)} intToBv64(bv64ToInt(b)) == b);
+*/
+
+
+
+var Res_0_COMPLETED : [int]int;
+var Res_0_EVENT : [int]int;
+var Res_KERNEL_SOURCE:[int]int;
+var Res_0_LOCK : [int]int;
+var Res_PROBED:[int]int;
+
+//Pointer constants
+
+//Function pointer constants
+const unique BDLCallDriverCompletionRoutine : int;
+const unique BDLDevicePowerIoCompletion : int;
+const unique BDLSystemPowerIoCompletion : int;
+
+
+axiom(BDLCallDriverCompletionRoutine != 0);
+const unique BDLSystemPowerCompleted : int;
+axiom(BDLSystemPowerCompleted != 0);
+var cancelLockStatus_0 : int;
+
+var created_irp_0 : int;
+
+const unique g_DebugLevel : int;
+axiom(g_DebugLevel != 0);
+const unique hdevobj : int;
+axiom(hdevobj != 0);
+
+
+procedure DRIVER_CANCEL(a0:int, a1:int);
+
+
+
+procedure ExFreePoolWithTag(a0:int, a1:int);
+
+
+
+procedure FN_BDDI_CLOSEHANDLE(a0:int, a1:int) returns (ret:int);
+
+
+
+procedure FN_BDDI_REGISTERNOTIFY(a0:int, a1:int) returns (ret:int);
+
+
+
+procedure FN_BDSI_INITIALIZERESOURCES(a0:int, a1:int) returns (ret:int);
+
+
+
+procedure FN_BDSI_RELEASERESOURCES(a0:int) returns (ret:int);
+
+
+
+procedure FN_BDSI_REMOVEDEVICE(a0:int) returns (ret:int);
+
+
+
+procedure FN_BDSI_SETPOWERSTATE(a0:int, a1:int) returns (ret:int);
+
+
+
+procedure IO_COMPLETION_ROUTINE(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure IoAcquireRemoveLockEx(a0:int, a1:int, a2:int, a3:int, a4:int) returns (ret:int);
+
+
+
+procedure IoDeleteDevice(a0:int);
+
+
+
+procedure IoDetachDevice(a0:int);
+
+
+
+procedure IoReleaseRemoveLockAndWaitEx(a0:int, a1:int, a2:int);
+
+
+
+procedure IoReleaseRemoveLockEx(a0:int, a1:int, a2:int);
+
+
+
+procedure IoSetDeviceInterfaceState(a0:int, a1:int) returns (ret:int);
+
+
+
+procedure KfLowerIrql(a0:int);
+
+
+
+procedure KfRaiseIrql(a0:int) returns (ret:int);
+
+
+
+procedure PoRequestPowerIrp(a0:int, a1:int, a2:int, a3:int, a4:int, a5:int) returns (ret:int);
+
+
+
+procedure PoSetPowerState(a0:int, a1:int, a2:int) returns (ret:int);
+
+
+
+procedure PoStartNextPowerIrp(a0:int);
+
+
+
+procedure RtlFreeUnicodeString(a0:int);
+
+
+
+procedure __storm_assert_dummy();
+
+
+
+procedure __storm_atomic_begin_dummy();
+
+
+
+procedure __storm_atomic_end_dummy();
+
+
+
+procedure __storm_init_begin_dummy();
+
+
+
+procedure __storm_init_end_dummy();
+
+
+
+
+
+
+procedure storm_nondet() returns (ret:int);
+
+
+
+procedure storm_main();
+ free requires 0 < alloc;
+ requires INT_LT(PLUS(hdevobj, 1, 184), alloc);
+ modifies alloc, k, errorReached, raiseException, __storm_atomic, __storm_init, cancelLockStatus_0, created_irp_0, alloc, __storm_thread_id, __storm_thread_done_1, __storm_thread_done_2, __storm_thread_done_3, __storm_thread_done_4, Res_0_COMPLETED, Res_0_EVENT, Res_KERNEL_SOURCE, Res_0_LOCK, Res_PROBED, Mem_0_T.CancelRoutine__IRP, Mem_0_T.CurrentLocation__IRP, Mem_0_T.DeviceExtension__DEVICE_OBJECT, Mem_0_T.StackCount__IRP;
+
+
+
+implementation storm_main()
+{
+ var inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$407$myNondetVar_0: int, inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$: int, inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$55$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$2$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$21$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$487$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$567$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$: int, inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$375$myNondetVar_0: int, inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$548$myNondetVar_0: int, inline$BDLGetDebugLevel$49$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.memset$1683.8$14$: int, inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$596$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$31$myVar_0: int, inline$IoGetCurrentIrpStackLocation$31$myVar_1: int, inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$529$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$6$havoc_stringTemp: int, inline$CallCompletionRoutine$28$myNondetVar_0: int, inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$: int, inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLGetDebugLevel$8$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$71$myVar_0: int, inline$IoGetCurrentIrpStackLocation$71$myVar_1: int, inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetNextIrpStackLocation$8$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp: int, inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$702$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$792$myNondetVar_0: int, inline$BDLGetDebugLevel$733$myNondetVar_0: int, inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.memset$1683.8$14$: int, inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$10$myNondetVar_0: int, inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$555$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$79$myVar_0: int, inline$IoGetCurrentIrpStackLocation$79$myVar_1: int, inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$: int, inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp: int, inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$CallCompletionRoutine$30$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$: int, inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$1$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$113$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1: int, inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$315$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$284$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.memset$1683.8$14$: int, inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$41$myNondetVar_0: int, inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$44$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetNextIrpStackLocation$5$myNondetVar_0: int, inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$21$: int, inline$IoSetNextIrpStackLocation$9$havoc_stringTemp: int, inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$519$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp: int, inline$BDLGetDebugLevel$530$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$210$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$471$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$2$myVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$305$myNondetVar_0: int, inline$BDLGetDebugLevel$506$myNondetVar_0: int, inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$147$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$636$myNondetVar_0: int, inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$111$myNondetVar_0: int, inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$3$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$42$myVar_0: int, inline$IoGetCurrentIrpStackLocation$42$myVar_1: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$24$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$22$myNondetVar_0: int, inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$18$: int, inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$352$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$tempBoogie0: int, inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1: int, inline$BDLGetDebugLevel$100$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetNextIrpStackLocation$6$myVar_0: int, inline$IoGetCurrentIrpStackLocation$23$myVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$143$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$107$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoSetNextIrpStackLocation$14$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$35$myNondetVar_0: int, inline$BDLGetDebugLevel$624$myNondetVar_0: int, inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$360$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$56$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$38$myVar_1: int, inline$IoGetCurrentIrpStackLocation$38$myVar_0: int, inline$BDLGetDebugLevel$525$myNondetVar_0: int, inline$BDLGetDebugLevel$450$myNondetVar_0: int, inline$BDLGetDebugLevel$363$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$771$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$54$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLGetDebugLevel$578$myNondetVar_0: int, inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$29$myNondetVar_0: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$: int, inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_getThreadID$3$tid: int, inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoSetNextIrpStackLocation$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$: int, inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$670$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$96$myVar_1: int, inline$IoGetCurrentIrpStackLocation$96$myVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$23$: int, inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$799$myNondetVar_0: int, inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$: int, inline$IoGetCurrentIrpStackLocation$47$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$303$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$: int, inline$BDLGetDebugLevel$60$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$640$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp: int, inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$743$myNondetVar_0: int, inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$99$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.memset$1683.8$14$: int, inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$: int, inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$561$myNondetVar_0: int, inline$BDLGetDebugLevel$56$myNondetVar_0: int, inline$BDLGetDebugLevel$583$myNondetVar_0: int, inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$41$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$477$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$: int, inline$IoSetNextIrpStackLocation$13$myVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$681$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$421$myNondetVar_0: int, inline$BDLGetDebugLevel$59$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$47$myNondetVar_0: int, inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$690$myNondetVar_0: int, inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$: int, inline$BDLGetDebugLevel$165$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$myNondetVar_0: int, inline$BDLGetDebugLevel$458$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$20$: int, inline$IsListEmpty$5$myNondetVar_0: int, inline$BDLGetDebugLevel$324$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$17$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1: int, inline$BDLGetDebugLevel$309$myNondetVar_0: int, inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$25$myNondetVar_0: int, inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$94$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$473$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLHandleRemove$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$3$myVar_0: int, inline$IoGetCurrentIrpStackLocation$3$myVar_1: int, inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$10$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$437$myNondetVar_0: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLPnP$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$12$myVar_1: int, inline$IoGetCurrentIrpStackLocation$12$myVar_0: int, inline$BDLGetDebugLevel$633$myNondetVar_0: int, inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$63$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$649$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$14$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$27$: int, inline$BDLGetDebugLevel$729$myNondetVar_0: int, inline$BDLGetDebugLevel$274$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$144$myVar_0: int, inline$IoGetCurrentIrpStackLocation$144$myVar_1: int, inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnP$0$$result.DbgPrint$987.0$42$: int, inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$73$myVar_0: int, inline$IoGetCurrentIrpStackLocation$73$myVar_1: int, inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$57$myVar_0: int, inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$2037.0$48$: int, inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$465$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$5$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$: int, inline$IoGetCurrentIrpStackLocation$86$myVar_0: int, inline$IoGetCurrentIrpStackLocation$86$myVar_1: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$4$: int, inline$CallCompletionRoutine$9$myNondetVar_0: int, inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$803$myNondetVar_0: int, inline$BDLGetDebugLevel$137$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$280$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$326$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp: int, inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp: int, inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$260$myNondetVar_0: int, inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$: int, inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$: int, inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$241$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0: int, inline$myInitDriver$0$myVar_0: int, inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0: int, inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$3$: int, inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$8$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$250$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp: int, inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$147$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$725$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$: int, inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$: int, inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$603$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$82$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$myVar_1: int, inline$IoGetCurrentIrpStackLocation$118$myVar_0: int, inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$IoGetCurrentIrpStackLocation$112$myVar_1: int, inline$IoGetCurrentIrpStackLocation$112$myVar_0: int, inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$19$myNondetVar_0: int, inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$416$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$33$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$: int, inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$289$myNondetVar_0: int, inline$BDLGetDebugLevel$572$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$501$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp: int, inline$BDLGetDebugLevel$16$myNondetVar_0: int, inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$151$myVar_0: int, inline$IoGetCurrentIrpStackLocation$151$myVar_1: int, inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$665$myNondetVar_0: int, inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$: int, inline$BDLGetDebugLevel$214$myNondetVar_0: int, inline$BDLGetDebugLevel$77$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$83$myNondetVar_0: int, inline$BDLGetDebugLevel$80$myNondetVar_0: int, inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4: int, inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$: int, inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$601$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$34$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$IoGetCurrentIrpStackLocation$65$myVar_0: int, inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8: int, inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$109$myVar_1: int, inline$IoGetCurrentIrpStackLocation$109$myVar_0: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$34$: int, inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$8$: int, inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetCurrentIrpStackLocation$50$myVar_1: int, inline$IoGetCurrentIrpStackLocation$50$myVar_0: int, inline$BDLGetDebugLevel$133$myNondetVar_0: int, inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$51$myNondetVar_0: int, inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8: int, inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$145$myNondetVar_0: int, inline$BDLGetDebugLevel$128$myNondetVar_0: int, inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$21$: int, inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetNextIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$160$myNondetVar_0: int, inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp: int, inline$BDLGetDebugLevel$314$myNondetVar_0: int, inline$BDLGetDebugLevel$696$myNondetVar_0: int, inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$654$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$14$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$635$myNondetVar_0: int, inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$204$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$3$myNondetVar_0: int, inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1: int, inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$102$myVar_1: int, inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$628$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$: int, inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$478$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp: int, inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$643$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$129$myNondetVar_0: int, inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$92$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$myVar_1: int, inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$: int, inline$IoGetCurrentIrpStackLocation$48$myVar_0: int, inline$IoGetCurrentIrpStackLocation$48$myVar_1: int, inline$BDLGetDebugLevel$316$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$20$: int, inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp: int, inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp: int, inline$BDLHandleRemove$0$$callresult.$2437.63$27$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$29$: int, inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0: int, inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$17$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$0$myVar_1: int, inline$IoGetCurrentIrpStackLocation$0$myVar_0: int, inline$BDLGetDebugLevel$58$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$310$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0: int, inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$377$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$11$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$41$: int, inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$: int, inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$169$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLPnPQueryRemove$0$havoc_stringTemp: int, inline$storm_getThreadID$8$tid: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$20$: int, inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp: int, inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$88$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp: int, inline$IoGetNextIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$659$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$740$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$myVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$9$myVar_0: int, inline$BDLGetDebugLevel$523$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$177$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$25$$callresult.$1687.68$15$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16: int, inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$256$myNondetVar_0: int, inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$294$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$myNondetVar_0: int, inline$BDLGetDebugLevel$485$myNondetVar_0: int, inline$BDLGetDebugLevel$2$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$475$myNondetVar_0: int, inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$9$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$573$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoSetNextIrpStackLocation$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$87$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetCurrentIrpStackLocation$14$myNondetVar_0: int, inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$283$myNondetVar_0: int, inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$647$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$myNondetVar_0: int, inline$BDLGetDebugLevel$194$myNondetVar_0: int, inline$BDLGetDebugLevel$610$myNondetVar_0: int, inline$BDLGetDebugLevel$772$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$: int, inline$IoGetCurrentIrpStackLocation$148$myVar_0: int, inline$IoGetCurrentIrpStackLocation$148$myVar_1: int, inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$: int, inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$: int, inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp: int, inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1: int, inline$IoGetCurrentIrpStackLocation$51$myVar_0: int, inline$IoGetCurrentIrpStackLocation$51$myVar_1: int, inline$BDLDevicePowerIoCompletion$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$30$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$779$myNondetVar_0: int, inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$419$myNondetVar_0: int, inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetNextIrpStackLocation$11$myVar_0: int, inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$8$: int, inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$595$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$58$myVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$232$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$28$myVar_1: int, inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$409$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$114$myVar_1: int, inline$IoGetCurrentIrpStackLocation$114$myVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$: int, inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp: int, inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$23$: int, inline$IoSetNextIrpStackLocation$15$myVar_0: int, inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$17$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0: int, inline$BDLGetDebugLevel$64$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$8$: int, inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$: int, inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$: int, inline$BDLDevicePowerIoCompletion$9$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$714$myNondetVar_0: int, inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLGetDebugLevel$299$myNondetVar_0: int, inline$BDLGetDebugLevel$380$myNondetVar_0: int, inline$BDLGetDebugLevel$774$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$: int, inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4: int, inline$BDLGetDebugLevel$322$myNondetVar_0: int, inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$3$: int, inline$BDLGetDebugLevel$197$myNondetVar_0: int, inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$22$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$607$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$: int, inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$: int, inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$9$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoSetNextIrpStackLocation$3$tempBoogie0: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$12$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$: int, inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8: int, inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$: int, inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoSetNextIrpStackLocation$10$tempBoogie0: int, inline$BDLGetDebugLevel$287$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$22$myNondetVar_0: int, inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$28$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$32$: int, inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$604$myNondetVar_0: int, inline$BDLGetDebugLevel$223$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$52$myVar_1: int, inline$IoGetCurrentIrpStackLocation$52$myVar_0: int, inline$IoGetCurrentIrpStackLocation$71$myNondetVar_0: int, inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$: int, inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$: int, inline$RemoveHeadList$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$500$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$: int, inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$775$myNondetVar_0: int, inline$BDLGetDebugLevel$266$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$4$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$16$: int, inline$BDLDevicePowerIoCompletion$15$$result.memset$1683.8$14$: int, inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$652$myNondetVar_0: int, inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$2$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp: int, inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$myVar_1: int, inline$IoGetCurrentIrpStackLocation$61$myVar_0: int, inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$599$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$11$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp: int, inline$BDLGetDebugLevel$273$myNondetVar_0: int, inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$125$myNondetVar_0: int, inline$CallCompletionRoutine$21$myNondetVar_0: int, inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$155$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetNextIrpStackLocation$4$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$: int, inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$23$myVar_1: int, inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$24$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$446$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$133$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$712$myNondetVar_0: int, inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$18$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp: int, inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$762$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$43$myVar_1: int, inline$IoGetCurrentIrpStackLocation$43$myVar_0: int, inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$BDLGetDebugLevel$428$myNondetVar_0: int, inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$737$myNondetVar_0: int, inline$BDLGetDebugLevel$208$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$320$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8: int, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$229$myNondetVar_0: int, inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$100$myVar_0: int, inline$IoGetCurrentIrpStackLocation$100$myVar_1: int, inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8: int, inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$759$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$591$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$: int, inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0: int, inline$BDLGetDebugLevel$716$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$: int, inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$91$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$292$myNondetVar_0: int, inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$84$myNondetVar_0: int, inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$40$: int, inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$551$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$35$myNondetVar_0: int, inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$2$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$103$myVar_1: int, inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$662$myNondetVar_0: int, inline$BDLGetDebugLevel$726$myNondetVar_0: int, inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$668$myNondetVar_0: int, inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$: int, inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$122$myVar_0: int, inline$IoGetCurrentIrpStackLocation$122$myVar_1: int, inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$13$myVar_0: int, inline$IoGetCurrentIrpStackLocation$13$myVar_1: int, inline$BDLGetDebugLevel$123$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0: int, inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$36$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$230$myNondetVar_0: int, inline$BDLGetDebugLevel$415$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp: int, inline$BDLGetDebugLevel$787$myNondetVar_0: int, inline$BDLGetDebugLevel$74$myNondetVar_0: int, inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$3$: int, inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1: int, inline$BDLGetDebugLevel$359$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$: int, inline$BDLGetDebugLevel$502$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4: int, inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$5$myVar_1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$: int, inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp: int, inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$535$myNondetVar_0: int, inline$BDLGetDebugLevel$403$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$135$myNondetVar_0: int, inline$BDLGetDebugLevel$620$myNondetVar_0: int, inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$189$myNondetVar_0: int, inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$: int, inline$BDLDevicePowerIoCompletion$8$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$32$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$18$: int, inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$: int, inline$BDLGetDebugLevel$399$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$result.memcpy$25110.4$3$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$1$tempBoogie0: int, inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$: int, inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$22$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$4$myNondetVar_0: int, inline$BDLGetDebugLevel$664$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$495$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myVar_0: int, inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$result.memcpy$25110.4$3$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$52$: int, inline$IoGetCurrentIrpStackLocation$14$myVar_1: int, inline$IoGetCurrentIrpStackLocation$14$myVar_0: int, inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$: int, inline$storm_IoCancelIrp$0$myVar_0: int, inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$579$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$499$myNondetVar_0: int, inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$60$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$279$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1: int, inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$callresult.$3172.84$15$: int, inline$BDLGetDebugLevel$275$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$: int, inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2190.0$3$: int, inline$IoGetCurrentIrpStackLocation$10$myVar_1: int, inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$129$myVar_1: int, inline$IoGetCurrentIrpStackLocation$129$myVar_0: int, inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp: int, inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$: int, inline$IoGetCurrentIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1: int, inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$347$myNondetVar_0: int, inline$BDLGetDebugLevel$150$myNondetVar_0: int, inline$BDLGetDebugLevel$510$myNondetVar_0: int, inline$BDLGetDebugLevel$369$myNondetVar_0: int, inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$125$myNondetVar_0: int, inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$233$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$547$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$7$myNondetVar_0: int, inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$: int, inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$20$: int, inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.question.16$: int, inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$17$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$580$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$457$myNondetVar_0: int, inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$: int, inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$512$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$8$: int, inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$231$myNondetVar_0: int, inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$211$myNondetVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$: int, inline$IoGetCurrentIrpStackLocation$54$myVar_1: int, inline$IoGetCurrentIrpStackLocation$54$myVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$5$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$146$myNondetVar_0: int, inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$status$3$859.36$BDLPnP$8: int, inline$BDLGetDebugLevel$791$myNondetVar_0: int, inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetNextIrpStackLocation$9$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$111$myVar_0: int, inline$IoGetCurrentIrpStackLocation$111$myVar_1: int, inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$11$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$52$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$237$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$107$myVar_1: int, inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$23$: int, inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$582$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$364$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$: int, inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$: int, inline$BDLGetDebugLevel$371$myNondetVar_0: int, inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$: int, inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$: int, inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$CallCompletionRoutine$3$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$: int, inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoSetNextIrpStackLocation$15$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp: int, inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$27$: int, inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$: int, inline$BDLGetDebugLevel$723$myNondetVar_0: int, inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$88$myVar_0: int, inline$IoGetCurrentIrpStackLocation$88$myVar_1: int, inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$174$myNondetVar_0: int, inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$533$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$710$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$115$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$17$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$494$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$: int, inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1: int, inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$98$myNondetVar_0: int, inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoSetNextIrpStackLocation$11$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$15$myVar_0: int, inline$IoGetCurrentIrpStackLocation$15$myVar_1: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLHandleRemove$0$myNondetVar_0: int, inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp: int, inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$: int, inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$509$myNondetVar_0: int, inline$BDLGetDebugLevel$278$myNondetVar_0: int, inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$12$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$: int, inline$IoGetCurrentIrpStackLocation$146$myVar_1: int, inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$110$myNondetVar_0: int, inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$29$: int, inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$89$myVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$26$myNondetVar_0: int, inline$BDLLockHandleList$0$myNondetVar_0: int, inline$BDLLockHandleList$0$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$140$myNondetVar_0: int, inline$BDLGetDebugLevel$609$myNondetVar_0: int, inline$BDLGetDebugLevel$728$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$351$myNondetVar_0: int, inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$9$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$15$: int, inline$BDLGetDebugLevel$18$myNondetVar_0: int, inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$11$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$59$myNondetVar_0: int, inline$BDLGetDebugLevel$788$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$476$myNondetVar_0: int, inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$20$: int, inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$: int, inline$RemoveHeadList$5$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$179$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetNextIrpStackLocation$5$havoc_stringTemp: int, inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$: int, inline$BDLGetDebugLevel$107$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$100$myNondetVar_0: int, inline$BDLGetDebugLevel$27$myNondetVar_0: int, inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$: int, inline$BDLGetDebugLevel$62$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$: int, inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$29$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$: int, inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$45$: int, inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$80$myNondetVar_0: int, inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$516$myNondetVar_0: int, inline$BDLGetDebugLevel$302$myNondetVar_0: int, inline$BDLGetDebugLevel$754$myNondetVar_0: int, inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$276$myNondetVar_0: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$: int, inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$: int, inline$BDLGetDebugLevel$105$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$29$: int, inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12: int, inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$190$myNondetVar_0: int, inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$3$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$29$: int, inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$80$myVar_1: int, inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSetNextIrpStackLocation$11$myVar_0: int, inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$425$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$141$myVar_1: int, inline$IoGetCurrentIrpStackLocation$141$myVar_0: int, inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$40$: int, inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$48$myNondetVar_0: int, inline$BDLGetDebugLevel$564$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$180$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$374$myNondetVar_0: int, inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$756$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$440$myNondetVar_0: int, inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$166$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$782$myNondetVar_0: int, inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$656$myNondetVar_0: int, inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$27$: int, inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$: int, inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12: int, inline$BDLGetDebugLevel$348$myNondetVar_0: int, inline$IoCopyCurrentIrpStackLocationToNext$1$$result.memcpy$25110.4$3$: int, inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$317$myNondetVar_0: int, inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.DbgPrint$886.0$23$: int, inline$IoGetCurrentIrpStackLocation$53$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$11$myNondetVar_0: int, inline$BDLGetDebugLevel$602$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp: int, inline$BDLPnPRemove$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp: int, inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$: int, inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$: int, inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$: int, inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4: int, inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$: int, inline$CallCompletionRoutine$11$myNondetVar_0: int, inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0: int, inline$BDLGetDebugLevel$768$myNondetVar_0: int, inline$BDLGetDebugLevel$556$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$43$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$538$myNondetVar_0: int, inline$BDLGetDebugLevel$666$myNondetVar_0: int, inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetNextIrpStackLocation$3$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoGetNextIrpStackLocation$7$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$18$: int, inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12: int, inline$IoGetCurrentIrpStackLocation$108$myNondetVar_0: int, inline$BDLGetDebugLevel$17$myNondetVar_0: int, inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0: int, inline$BDLGetDebugLevel$311$myNondetVar_0: int, inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$430$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$10$havoc_stringTemp: int, inline$BDLGetDebugLevel$584$myNondetVar_0: int, inline$BDLPnPStop$0$$result.DbgPrint$2208.0$21$: int, inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$394$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp: int, inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$: int, inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$329$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0: int, inline$BDLGetDebugLevel$709$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$780$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$187$myNondetVar_0: int, inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1: int, inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0: int, inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp: int, inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$614$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$41$myVar_1: int, inline$IoGetCurrentIrpStackLocation$41$myVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$686$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp: int, inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$: int, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1: int, inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$412$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$105$myVar_1: int, inline$IoGetCurrentIrpStackLocation$105$myVar_0: int, inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$73$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$587$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$105$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$: int, inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLGetDebugLevel$472$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$396$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$63$myVar_1: int, inline$IoGetCurrentIrpStackLocation$63$myVar_0: int, inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$7$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$342$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$639$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$323$myNondetVar_0: int, inline$BDLGetDebugLevel$296$myNondetVar_0: int, inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$93$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$callresult.$1687.68$15$: int, inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12: int, inline$BDLGetDebugLevel$158$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$74$myVar_0: int, inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$451$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$81$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$26$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myVar_1: int, inline$BDLGetDebugLevel$619$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12: int, inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$623$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$168$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$101$myNondetVar_0: int, inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$36$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$139$myVar_0: int, inline$IoGetCurrentIrpStackLocation$139$myVar_1: int, inline$IoGetNextIrpStackLocation$8$havoc_stringTemp: int, inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$93$myVar_0: int, inline$IoGetCurrentIrpStackLocation$93$myVar_1: int, inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$15$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$8$myNondetVar_0: int, inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$: int, inline$BDLCleanupNotificationStruct$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$: int, inline$BDLGetDebugLevel$438$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$6$myNondetVar_0: int, inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$340$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$368$myNondetVar_0: int, inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12: int, inline$BDLGetDebugLevel$34$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$: int, inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$: int, inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$myNondetVar_0: int, inline$BDLGetDebugLevel$481$myNondetVar_0: int, inline$BDLGetDebugLevel$339$myNondetVar_0: int, inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$246$myNondetVar_0: int, inline$BDLGetDebugLevel$400$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$20$: int, inline$IoGetNextIrpStackLocation$12$havoc_stringTemp: int, inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$: int, inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp: int, inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$20$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$44$myNondetVar_0: int, inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoSetNextIrpStackLocation$6$myVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$83$myVar_1: int, inline$IoGetCurrentIrpStackLocation$83$myVar_0: int, inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$29$: int, inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLPnPStop$0$$result.DbgPrint$2243.0$39$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$136$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$152$myVar_1: int, inline$IoGetCurrentIrpStackLocation$152$myVar_0: int, inline$BDLGetDebugLevel$698$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$25$myNondetVar_0: int, inline$BDLGetDebugLevel$435$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$70$myNondetVar_0: int, inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$35$myVar_0: int, inline$IoGetCurrentIrpStackLocation$35$myVar_1: int, inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$45$: int, inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$125$myVar_1: int, inline$IoGetCurrentIrpStackLocation$125$myVar_0: int, inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$: int, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$9$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$103$myVar_0: int, inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$272$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$0$myNondetVar_0: int, inline$BDLGetDebugLevel$692$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp: int, inline$BDLGetDebugLevel$550$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$747$myNondetVar_0: int, inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$16$myVar_1: int, inline$IoGetCurrentIrpStackLocation$16$myVar_0: int, inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp: int, inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$: int, inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$: int, inline$IoGetCurrentIrpStackLocation$103$myNondetVar_0: int, inline$BDLGetDebugLevel$378$myNondetVar_0: int, inline$BDLGetDebugLevel$202$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$9$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$: int, inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$: int, inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$175$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$18$: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$: int, inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$: int, inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$57$myVar_1: int, inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1: int, inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12: int, inline$BDLGetDebugLevel$384$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$: int, inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$678$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$193$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$632$myNondetVar_0: int, inline$BDLGetDebugLevel$445$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$183$myNondetVar_0: int, inline$BDLGetDebugLevel$196$myNondetVar_0: int, inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$: int, inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2231.0$33$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$15$: int, inline$BDLPnP$0$$result.DbgPrint$865.0$3$: int, inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4: int, inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$44$myVar_0: int, inline$IoGetCurrentIrpStackLocation$44$myVar_1: int, inline$BDLGetDebugLevel$629$myNondetVar_0: int, inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1: int, inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$258$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLGetDebugLevel$338$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$29$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$: int, inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$20$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$4$: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$131$myVar_0: int, inline$IoGetCurrentIrpStackLocation$131$myVar_1: int, inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$72$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4: int, inline$BDLGetDebugLevel$7$myNondetVar_0: int, inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$447$myNondetVar_0: int, inline$BDLPnPStart$0$$result.memset$1992.4$25$: int, inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$261$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$252$myNondetVar_0: int, inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$71$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$40$myVar_0: int, inline$IoGetCurrentIrpStackLocation$40$myVar_1: int, inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$10$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$124$myNondetVar_0: int, inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0: int, inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$143$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$: int, inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$: int, inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$433$myNondetVar_0: int, inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8: int, inline$CallCompletionRoutine$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$151$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$784$myNondetVar_0: int, inline$BDLGetDebugLevel$422$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$callresult.$1687.68$15$: int, inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$64$myVar_0: int, inline$IoGetCurrentIrpStackLocation$64$myVar_1: int, inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$480$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$20$: int, inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0: int, inline$BDLGetDebugLevel$40$myNondetVar_0: int, inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$42$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$result.memcpy$25110.4$3$: int, inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$17$myVar_0: int, inline$IoGetCurrentIrpStackLocation$17$myVar_1: int, inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$: int, inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$138$myVar_1: int, inline$IoGetCurrentIrpStackLocation$138$myVar_0: int, inline$IoGetCurrentIrpStackLocation$127$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$: int, inline$BDLGetDebugLevel$498$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$0$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp: int, inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$15$: int, inline$IoSetNextIrpStackLocation$5$myVar_0: int, inline$BDLGetDebugLevel$697$myNondetVar_0: int, inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$99$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$: int, inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp: int, inline$BDLGetDebugLevel$116$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$713$myNondetVar_0: int, inline$CallCompletionRoutine$19$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$188$myNondetVar_0: int, inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp: int, inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$: int, $result.storm_IoAllocateIrp$100.0$1$: int, inline$BDLGetDebugLevel$683$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$680$myNondetVar_0: int, inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$: int, inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$195$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$198$myNondetVar_0: int, inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$: int, inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp: int, inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$8$: int, inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$218$myNondetVar_0: int, inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp: int, inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$32$: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$18$: int, inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$: int, inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$: int, inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$108$myVar_0: int, inline$IoGetCurrentIrpStackLocation$108$myVar_1: int, inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$325$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$4$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$568$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$22$: int, inline$IoSetNextIrpStackLocation$12$myVar_0: int, inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$96$myNondetVar_0: int, inline$BDLGetDebugLevel$414$myNondetVar_0: int, inline$BDLGetDebugLevel$95$myNondetVar_0: int, inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$673$myNondetVar_0: int, inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$553$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$7$: int, inline$BDLGetDebugLevel$732$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$222$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$150$myNondetVar_0: int, inline$BDLGetDebugLevel$112$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$21$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$16$: int, inline$BDLGetDebugLevel$344$myNondetVar_0: int, inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp: int, inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$34$: int, inline$BDLRemoveHandleFromList$0$myNondetVar_1: int, inline$BDLRemoveHandleFromList$0$myNondetVar_0: int, inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$: int, inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$131$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$8$: int, inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$20$: int, inline$BDLGetDebugLevel$766$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$34$: int, inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$257$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$: int, inline$BDLGetDebugLevel$671$myNondetVar_0: int, inline$BDLGetDebugLevel$786$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$131$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$793$myNondetVar_0: int, inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$308$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$2$: int, inline$IoGetCurrentIrpStackLocation$33$myVar_0: int, inline$IoGetCurrentIrpStackLocation$33$myVar_1: int, inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$243$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$15$myNondetVar_0: int, inline$BDLGetDebugLevel$240$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$: int, inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$634$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp: int, inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1: int, inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.DbgPrint$2037.0$43$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$20$: int, inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$8$$result.memset$1683.8$14$: int, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp: int, inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$57$: int, inline$BDLGetDebugLevel$130$myNondetVar_0: int, inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$23$: int, inline$IsListEmpty$4$myNondetVar_0: int, inline$BDLGetDebugLevel$417$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$236$myNondetVar_0: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$: int, inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$441$myNondetVar_0: int, inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IsListEmpty$2$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$107$myVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$184$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$7$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$224$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$: int, inline$BDLGetDebugLevel$39$myNondetVar_0: int, inline$RemoveHeadList$2$myNondetVar_0: int, inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$: int, inline$BDLGetDebugLevel$332$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$21$myNondetVar_0: int, inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$69$myVar_0: int, inline$IoGetCurrentIrpStackLocation$2$myNondetVar_0: int, inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16: int, inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$486$myNondetVar_0: int, inline$BDLGetDebugLevel$460$myNondetVar_0: int, inline$BDLPnP$0$$result.DbgPrint$865.0$8$: int, inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$734$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$145$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$401$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$704$myNondetVar_0: int, inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp: int, inline$BDLGetDebugLevel$442$myNondetVar_0: int, inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$23$: int, inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$1$myNondetVar_0: int, inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$717$myNondetVar_0: int, inline$BDLGetDebugLevel$346$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$: int, inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$207$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$116$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$: int, inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$: int, inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp: int, inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1: int, inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$581$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$: int, inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$96$myNondetVar_0: int, inline$BDLGetDebugLevel$730$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$: int, inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$127$myVar_1: int, inline$IoGetCurrentIrpStackLocation$127$myVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$598$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$85$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$53$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$: int, inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnPStart$0$$result.DbgPrint$2063.0$56$: int, inline$BDLDevicePowerIoCompletion$11$myNondetVar_0: int, inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1: int, inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$: int, inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$BDLPnPStop$0$$result.DbgPrint$2208.0$16$: int, inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$4$: int, inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$186$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$27$: int, inline$BDLGetDebugLevel$205$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$result.memset$1683.8$14$: int, inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$50$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp: int, inline$BDLGetDebugLevel$689$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$505$myNondetVar_0: int, inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$: int, inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$334$myNondetVar_0: int, inline$BDLGetDebugLevel$185$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$513$myNondetVar_0: int, inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16: int, inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.memcpy$2018.0$38$: int, inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$606$myNondetVar_0: int, inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$: int, inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$: int, inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$storm_getThreadID$9$tid: int, inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_getThreadID$6$tid: int, inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$: int, inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp: int, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$: int, inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4: int, inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$26$myNondetVar_0: int, inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$104$myVar_0: int, inline$IoGetCurrentIrpStackLocation$104$myVar_1: int, inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1: int, inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$29$: int, inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$94$myVar_1: int, inline$IoGetCurrentIrpStackLocation$94$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$426$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$27$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$75$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$751$myNondetVar_0: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$: int, inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$68$myVar_0: int, inline$IoGetCurrentIrpStackLocation$68$myVar_1: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$38$myNondetVar_0: int, inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$myVar_1: int, inline$IoGetCurrentIrpStackLocation$98$myVar_0: int, inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$20$myVar_0: int, inline$IoGetCurrentIrpStackLocation$20$myVar_1: int, inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp: int, inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$557$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$28$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$29$: int, inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$15$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoSetNextIrpStackLocation$7$tempBoogie0: int, inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$: int, inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$4$tempBoogie0: int, inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$541$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLGetDebugLevel$796$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$128$myVar_0: int, inline$IoGetCurrentIrpStackLocation$128$myVar_1: int, inline$IoGetCurrentIrpStackLocation$151$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$75$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$: int, inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$81$myVar_1: int, inline$IoGetCurrentIrpStackLocation$81$myVar_0: int, inline$BDLGetDebugLevel$306$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$249$myNondetVar_0: int, inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$387$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$13$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$144$myNondetVar_0: int, inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$34$: int, inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$651$myNondetVar_0: int, inline$BDLGetDebugLevel$521$myNondetVar_0: int, inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$65$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$794$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$9$: int, inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$: int, inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$: int, inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$367$myNondetVar_0: int, inline$BDLLockHandleList$1$myNondetVar_1: int, inline$BDLLockHandleList$1$myNondetVar_0: int, inline$BDLGetDebugLevel$120$myNondetVar_0: int, inline$BDLGetDebugLevel$118$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$110$myVar_1: int, inline$IoGetCurrentIrpStackLocation$110$myVar_0: int, inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$119$myVar_0: int, inline$IoGetCurrentIrpStackLocation$119$myVar_1: int, inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$72$myVar_1: int, inline$IoGetCurrentIrpStackLocation$72$myVar_0: int, inline$BDLGetDebugLevel$391$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp: int, inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$114$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$708$myNondetVar_0: int, inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$78$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$33$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp: int, inline$BDLGetDebugLevel$537$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$result.memset$1683.8$14$: int, inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$3$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$: int, inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$115$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$18$: int, inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$667$myNondetVar_0: int, inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0: int, inline$BDLGetDebugLevel$104$myNondetVar_0: int, inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0: int, inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$761$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$515$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$291$myNondetVar_0: int, inline$BDLGetDebugLevel$736$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$: int, inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$75$myVar_0: int, inline$IoGetCurrentIrpStackLocation$75$myVar_1: int, inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$789$myNondetVar_0: int, inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1: int, inline$BDLGetDebugLevel$354$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$23$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$22$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$IoGetNextIrpStackLocation$1$myVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp: int, inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$54$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$: int, inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$85$myVar_1: int, inline$IoGetCurrentIrpStackLocation$85$myVar_0: int, inline$IoGetCurrentIrpStackLocation$78$myVar_1: int, inline$IoGetCurrentIrpStackLocation$78$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp: int, inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoSetNextIrpStackLocation$4$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$3$myNondetVar_0: int, inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$73$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$: int, inline$BDLGetDebugLevel$575$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$424$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$20$$result.memset$1683.8$14$: int, inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4: int, inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$: int, inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$29$: int, inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$228$myNondetVar_0: int, inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$161$myNondetVar_0: int, inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$37$: int, inline$IoGetCurrentIrpStackLocation$39$myNondetVar_0: int, inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$: int, inline$IoGetCurrentIrpStackLocation$69$myVar_1: int, inline$BDLGetDebugLevel$790$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$611$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$8$myNondetVar_0: int, inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$49$myVar_1: int, inline$IoGetCurrentIrpStackLocation$49$myVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$565$myNondetVar_0: int, inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$11$myVar_1: int, inline$BDLGetDebugLevel$201$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$8$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$3$: int, inline$BDLGetDebugLevel$227$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$307$myNondetVar_0: int, inline$BDLGetDebugLevel$801$myNondetVar_0: int, inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$328$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$65$myVar_1: int, inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$7$myVar_0: int, inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$17$myNondetVar_0: int, inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$349$myNondetVar_0: int, inline$BDLGetDebugLevel$163$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$30$myVar_1: int, inline$IoGetCurrentIrpStackLocation$30$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$20$: int, inline$BDLPnPStart$0$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$callresult.$3284.85$14$: int, inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$: int, inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12: int, inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$532$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$593$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$171$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$335$myNondetVar_0: int, inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStart$0$$result.DbgPrint$2063.0$61$: int, inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$23$: int, inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$: int, inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_getThreadID$7$tid: int, inline$BDLGetDebugLevel$785$myNondetVar_0: int, inline$BDLGetDebugLevel$630$myNondetVar_0: int, inline$BDLGetDebugLevel$503$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$46$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$: int, inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$: int, inline$CallCompletionRoutine$2$myNondetVar_0: int, inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$79$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$120$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$: int, inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$6$tempBoogie0: int, inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1: int, inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$3$: int, inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$5$myVar_0: int, inline$IoGetNextIrpStackLocation$1$havoc_stringTemp: int, inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0: int, inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4: int, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1: int, inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$102$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$379$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$65$myNondetVar_0: int, inline$BDLGetDebugLevel$350$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$12$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$153$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$563$myNondetVar_0: int, inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$366$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$140$myVar_0: int, inline$IoGetCurrentIrpStackLocation$140$myVar_1: int, inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$callresult.$1999.70$26$: int, inline$IoGetCurrentIrpStackLocation$46$myVar_0: int, inline$IoGetCurrentIrpStackLocation$46$myVar_1: int, inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$70$myVar_1: int, inline$IoGetCurrentIrpStackLocation$70$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$124$myVar_0: int, inline$IoGetCurrentIrpStackLocation$124$myVar_1: int, inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$32$: int, inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0: int, inline$BDLGetDebugLevel$617$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$: int, inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1: int, inline$storm_getThreadID$2$tid: int, inline$IoGetCurrentIrpStackLocation$146$myVar_0: int, inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$89$myVar_1: int, inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$746$myNondetVar_0: int, inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$641$myNondetVar_0: int, inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$0$myNondetVar_0: int, inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$638$myNondetVar_0: int, inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$: int, inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$203$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$544$myNondetVar_0: int, inline$BDLGetDebugLevel$83$myNondetVar_0: int, inline$BDLGetDebugLevel$569$myNondetVar_0: int, inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$34$: int, inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$3$myVar_0: int, inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0: int, inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$25$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp: int, inline$BDLGetDebugLevel$444$myNondetVar_0: int, inline$BDLGetDebugLevel$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$613$myNondetVar_0: int, inline$BDLGetDebugLevel$345$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$119$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp: int, inline$BDLGetDebugLevel$657$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$: int, inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12: int, inline$BDLGetDebugLevel$69$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLPnP$0$$result.DbgPrint$886.0$18$: int, inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$318$myNondetVar_0: int, inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$: int, inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$410$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$605$myNondetVar_0: int, inline$BDLGetDebugLevel$142$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$167$myNondetVar_0: int, inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$8$: int, inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp: int, inline$BDLGetDebugLevel$420$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$BDLCleanupDataHandles$1$$callresult.$3284.85$14$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$546$myNondetVar_0: int, inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$myNondetVar_0: int, inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$577$myNondetVar_0: int, inline$BDLGetDebugLevel$752$myNondetVar_0: int, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$301$myNondetVar_0: int, inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$217$myNondetVar_0: int, inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$: int, inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$10$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$386$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$281$myNondetVar_0: int, inline$BDLGetDebugLevel$52$myNondetVar_0: int, inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$: int, inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp: int, inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$20$: int, inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$24$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$: int, inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1: int, inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$141$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$62$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$34$: int, inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$23$: int, inline$IoSetNextIrpStackLocation$10$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$31$myNondetVar_0: int, inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$76$myVar_1: int, inline$IoGetCurrentIrpStackLocation$76$myVar_0: int, inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$1$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$765$myNondetVar_0: int, inline$BDLGetDebugLevel$238$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$9$myVar_0: int, inline$IoGetCurrentIrpStackLocation$9$myVar_1: int, inline$BDLGetDebugLevel$297$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$676$myNondetVar_0: int, inline$BDLGetDebugLevel$453$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$20$: int, inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$15$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$0$myNondetVar_0: int, inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$429$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$127$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$109$myNondetVar_0: int, inline$BDLGetDebugLevel$589$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$9$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$: int, inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$: int, inline$IoSkipCurrentIrpStackLocation$2$myVar_1: int, inline$IoSkipCurrentIrpStackLocation$2$myVar_0: int, inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp: int, inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$687$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$: int, inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$89$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$43$: int, inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$104$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$12$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$20$: int, inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$: int, inline$BDLGetDebugLevel$392$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0: int, inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IsListEmpty$3$myNondetVar_0: int, inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$769$myNondetVar_0: int, inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp: int, inline$BDLGetDebugLevel$574$myNondetVar_0: int, inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoGetCurrentIrpStackLocation$113$myNondetVar_0: int, inline$BDLGetDebugLevel$92$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$67$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$: int, inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$585$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$618$myNondetVar_0: int, inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$126$myVar_0: int, inline$IoGetCurrentIrpStackLocation$126$myVar_1: int, inline$BDLGetDebugLevel$463$myNondetVar_0: int, inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$718$myNondetVar_0: int, inline$CallCompletionRoutine$12$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_getThreadID$12$tid: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$: int, inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetCurrentIrpStackLocation$58$myNondetVar_0: int, inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$15$: int, inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp: int, inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$576$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$18$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$: int, inline$BDLGetDebugLevel$262$myNondetVar_0: int, inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$40$: int, inline$IoSetNextIrpStackLocation$14$myVar_0: int, inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$myInitDriver$0$myNondetVar_0: int, inline$myInitDriver$0$myNondetVar_1: int, inline$BDLGetDebugLevel$694$myNondetVar_0: int, inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp: int, inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$312$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$361$myNondetVar_0: int, inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$: int, inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8: int, inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$405$myNondetVar_0: int, inline$BDLGetDebugLevel$570$myNondetVar_0: int, inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp: int, inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$4$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$6$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp: int, inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$: int, inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$461$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$: int, inline$BDLGetDebugLevel$247$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$109$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$: int, inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$: int, inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$: int, inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$94$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$20$: int, inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp: int, inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$: int, inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$152$myNondetVar_0: int, inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$783$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$: int, inline$IoSetNextIrpStackLocation$5$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$: int, inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$20$: int, inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$434$myNondetVar_0: int, inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$455$myNondetVar_0: int, inline$BDLGetDebugLevel$615$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$140$myNondetVar_0: int, inline$storm_getThreadID$0$tid: int, inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0: int, inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$143$myVar_1: int, inline$BDLGetDebugLevel$448$myNondetVar_0: int, inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$34$: int, inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$191$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$68$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$3$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$102$myVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$: int, inline$BDLGetDebugLevel$423$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$64$myNondetVar_0: int, inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryRemove$0$$result.IoSetDeviceInterfaceState$2272.29$13$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLPnPStop$0$$callresult.$2204.67$14$: int, inline$CallCompletionRoutine$8$myNondetVar_0: int, inline$BDLPnPStart$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12: int, inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$37$myNondetVar_0: int, inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLPnPStop$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$269$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$: int, inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$679$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$19$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$254$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$7$: int, inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$176$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp: int, inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$800$myNondetVar_0: int, inline$BDLGetDebugLevel$735$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$99$myVar_0: int, inline$IoGetCurrentIrpStackLocation$99$myVar_1: int, inline$BDLGetDebugLevel$121$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$492$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$767$myNondetVar_0: int, inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$31$myNondetVar_0: int, inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$669$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myVar_0: int, inline$IoGetCurrentIrpStackLocation$153$myVar_1: int, inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$4$: int, inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$: int, inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$: int, inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$86$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$: int, inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$122$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$23$: int, inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$20$myNondetVar_0: int, inline$CallCompletionRoutine$5$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$136$myVar_1: int, inline$IoGetCurrentIrpStackLocation$136$myVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$439$myNondetVar_0: int, inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$1966.0$3$: int, inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4: int, inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$8$tempBoogie0: int, inline$BDLGetDebugLevel$343$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$result.DbgPrint$1023.0$49$: int, inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$542$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$3$myVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$560$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4: int, inline$BDLGetDebugLevel$225$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$26$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$32$: int, inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1: int, inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$67$myVar_1: int, inline$IoGetCurrentIrpStackLocation$67$myVar_0: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$15$: int, inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$: int, inline$BDLHandleRemove$0$havoc_stringTemp: int, inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$313$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4: int, inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp: int, inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStart$0$$result.DbgPrint$1979.0$20$: int, inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$154$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$: int, inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$: int, inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$3$: int, inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$700$myNondetVar_0: int, inline$BDLGetDebugLevel$427$myNondetVar_0: int, inline$BDLGetDebugLevel$170$myNondetVar_0: int, inline$BDLGetDebugLevel$470$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$19$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$15$: int, inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$699$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$80$myVar_0: int, inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$483$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$16$: int, inline$BDLGetDebugLevel$216$myNondetVar_0: int, inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$102$myNondetVar_0: int, inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$21$myVar_0: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$15$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$4$: int, inline$BDLCleanupNotificationStruct$1$myNondetVar_1: int, inline$BDLCleanupNotificationStruct$1$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$BDLDevicePowerIoCompletion$27$$callresult.$1687.68$15$: int, inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$739$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$: int, inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$23$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$20$: int, inline$BDLDevicePowerIoCompletion$20$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$69$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0: int, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$: int, inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$263$myNondetVar_0: int, inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$: int, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLReleaseHandleList$1$myNondetVar_0: int, inline$BDLReleaseHandleList$1$myNondetVar_1: int, inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$38$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$467$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp: int, inline$BDLGetDebugLevel$626$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$: int, inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$2$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$: int, inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp: int, inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$648$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$: int, inline$BDLGetDebugLevel$540$myNondetVar_0: int, inline$BDLGetDebugLevel$755$myNondetVar_0: int, inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$489$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$: int, inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$: int, inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$259$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$23$$result.memset$1683.8$14$: int, inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp: int, inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$22$: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$464$myNondetVar_0: int, inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$76$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_getThreadID$11$tid: int, inline$BDLGetDebugLevel$731$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$20$: int, inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoSkipCurrentIrpStackLocation$0$myVar_1: int, inline$IoSkipCurrentIrpStackLocation$0$myVar_0: int, inline$BDLSystemPowerIoCompletion$7$myNondetVar_0: int, inline$BDLGetDebugLevel$200$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$15$: int, inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$IoGetCurrentIrpStackLocation$67$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$4$: int, inline$IoGetCurrentIrpStackLocation$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$53$myVar_0: int, inline$IoGetCurrentIrpStackLocation$53$myVar_1: int, inline$BDLGetDebugLevel$432$myNondetVar_0: int, inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$132$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$: int, inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$138$myNondetVar_0: int, inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$14$myNondetVar_0: int, inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$119$myNondetVar_0: int, inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$: int, inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$84$myVar_0: int, inline$IoGetCurrentIrpStackLocation$84$myVar_1: int, inline$BDLGetDebugLevel$122$myNondetVar_0: int, inline$BDLGetDebugLevel$79$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$: int, inline$IoGetCurrentIrpStackLocation$116$myVar_1: int, inline$IoGetCurrentIrpStackLocation$116$myVar_0: int, inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$29$: int, inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$763$myNondetVar_0: int, inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$: int, inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$45$myNondetVar_0: int, inline$BDLGetDebugLevel$490$myNondetVar_0: int, inline$BDLGetDebugLevel$675$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$539$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$16$$result.memset$1683.8$14$: int, inline$IoGetNextIrpStackLocation$10$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$397$myNondetVar_0: int, inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$: int, inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$288$myNondetVar_0: int, inline$BDLGetDebugLevel$597$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$663$myNondetVar_0: int, inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$myNondetVar_0: int, inline$CallCompletionRoutine$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$28$myVar_0: int, inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$395$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12: int, inline$BDLDevicePowerIoCompletion$28$$callresult.$1687.68$15$: int, inline$BDLDevicePowerIoCompletion$29$$result.memset$1683.8$14$: int, inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$62$myVar_0: int, inline$IoGetCurrentIrpStackLocation$62$myVar_1: int, inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$143$myVar_0: int, inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$134$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$23$: int, inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$7$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp: int, inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$18$: int, inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IsListEmpty$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$myNondetVar_0: int, inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPQueryStop$0$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$: int, inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$90$myVar_1: int, inline$IoGetCurrentIrpStackLocation$90$myVar_0: int, inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1: int, inline$BDLGetDebugLevel$776$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$18$: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$: int, inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$28$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$749$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$331$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$: int, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$192$myNondetVar_0: int, inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$storm_getThreadID$5$tid: int, inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$: int, inline$IoGetNextIrpStackLocation$4$myVar_0: int, inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$66$myVar_0: int, inline$IoGetCurrentIrpStackLocation$66$myVar_1: int, inline$BDLGetDebugLevel$402$myNondetVar_0: int, inline$BDLGetDebugLevel$586$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$408$myNondetVar_0: int, inline$storm_getThreadID$10$tid: int, inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoGetCurrentIrpStackLocation$91$myVar_1: int, inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1: int, inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$29$: int, inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12: int, inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp: int, inline$BDLGetDebugLevel$38$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoSetNextIrpStackLocation$2$myNondetVar_0: int, inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$150$myVar_1: int, inline$IoGetCurrentIrpStackLocation$150$myVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLPnP$0$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$14$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$66$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$527$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$29$: int, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$493$myNondetVar_0: int, inline$BDLGetDebugLevel$362$myNondetVar_0: int, inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16: int, inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$3$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$355$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$147$myVar_1: int, inline$IoGetCurrentIrpStackLocation$147$myVar_0: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$720$myNondetVar_0: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$: int, inline$BDLGetDebugLevel$637$myNondetVar_0: int, inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$: int, inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$45$: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$15$: int, inline$BDLPnPQueryStop$0$myNondetVar_1: int, inline$BDLPnPQueryStop$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$74$myVar_1: int, inline$BDLGetDebugLevel$491$myNondetVar_0: int, inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$: int, inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$callresult.$2419.71$15$: int, inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$404$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$101$myVar_1: int, inline$IoGetCurrentIrpStackLocation$101$myVar_0: int, inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$: int, inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$235$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$264$myNondetVar_0: int, inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$389$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$: int, inline$BDLGetDebugLevel$172$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$26$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$742$myNondetVar_0: int, inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$319$myNondetVar_0: int, inline$BDLGetDebugLevel$497$myNondetVar_0: int, inline$BDLRemoveHandleFromList$1$myNondetVar_0: int, inline$BDLRemoveHandleFromList$1$myNondetVar_1: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$myNondetVar_0: int, inline$BDLGetDebugLevel$239$myNondetVar_0: int, inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4: int, inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp: int, inline$BDLHandleRemove$1$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp: int, inline$BDLGetDebugLevel$146$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$: int, inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$722$myNondetVar_0: int, inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$: int, inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$504$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$: int, inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$59$myVar_0: int, inline$IoGetCurrentIrpStackLocation$59$myVar_1: int, inline$BDLGetDebugLevel$511$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$9$: int, inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$25$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$27$: int, inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoSetNextIrpStackLocation$8$myVar_0: int, inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12: int, inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IoSetNextIrpStackLocation$2$myVar_0: int, inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$: int, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8: int, inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$132$myVar_1: int, inline$IoGetCurrentIrpStackLocation$132$myVar_0: int, inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetCurrentIrpStackLocation$115$myVar_0: int, inline$IoGetCurrentIrpStackLocation$115$myVar_1: int, inline$BDLGetDebugLevel$370$myNondetVar_0: int, inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$27$myNondetVar_0: int, inline$BDLGetDebugLevel$13$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$61$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$: int, inline$IoGetCurrentIrpStackLocation$82$myVar_0: int, inline$IoGetCurrentIrpStackLocation$82$myVar_1: int, inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$770$myNondetVar_0: int, inline$BDLGetDebugLevel$327$myNondetVar_0: int, inline$BDLGetDebugLevel$139$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$29$: int, inline$BDLPnPStart$0$$result.DbgPrint$2005.0$28$: int, inline$BDLGetDebugLevel$162$myNondetVar_0: int, inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$707$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1: int, inline$IoSetNextIrpStackLocation$15$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetNextIrpStackLocation$6$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.IoSetDeviceInterfaceState$2406.29$14$: int, inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$63$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$25$myVar_1: int, inline$IoGetCurrentIrpStackLocation$25$myVar_0: int, inline$IoGetCurrentIrpStackLocation$18$myNondetVar_0: int, inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4: int, inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8: int, inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$: int, inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$372$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1: int, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2: int, inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$268$myNondetVar_0: int, inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$: int, inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.DbgPrint$2231.0$28$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$55$myVar_0: int, inline$IoGetCurrentIrpStackLocation$55$myVar_1: int, inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12: int, inline$BDLCleanupDataHandles$1$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$10$myNondetVar_0: int, inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp: int, inline$BDLGetDebugLevel$531$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$9$: int, inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$20$: int, inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$130$myVar_1: int, inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$382$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$126$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp: int, inline$BDLGetDebugLevel$616$myNondetVar_0: int, inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$: int, inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$129$myNondetVar_0: int, inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$22$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$: int, inline$IoGetNextIrpStackLocation$9$myVar_0: int, inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0: int, inline$BDLGetDebugLevel$356$myNondetVar_0: int, inline$BDLGetDebugLevel$182$myNondetVar_0: int, inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$23$: int, inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$16$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$29$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$: int, inline$IoSetNextIrpStackLocation$7$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$206$myNondetVar_0: int, inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$9$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$4$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$: int, inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$36$myVar_1: int, inline$IoGetCurrentIrpStackLocation$36$myVar_0: int, inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$353$myNondetVar_0: int, inline$BDLGetDebugLevel$753$myNondetVar_0: int, inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$562$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$132$myNondetVar_0: int, inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$748$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$: int, inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$: int, inline$BDLGetDebugLevel$234$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$209$myNondetVar_0: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$: int, inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$: int, inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp: int, inline$RemoveHeadList$1$myNondetVar_0: int, inline$BDLPnPStop$0$myNondetVar_0: int, inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$57$: int, inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$: int, inline$BDLGetDebugLevel$149$myNondetVar_0: int, inline$BDLGetDebugLevel$645$myNondetVar_0: int, inline$BDLGetDebugLevel$764$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$715$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$149$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$154$myVar_1: int, inline$IoGetCurrentIrpStackLocation$154$myVar_0: int, inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$: int, inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$4$: int, inline$BDLGetDebugLevel$469$myNondetVar_0: int, inline$BDLGetDebugLevel$588$myNondetVar_0: int, inline$BDLGetDebugLevel$514$myNondetVar_0: int, inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$: int, inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$22$myVar_0: int, inline$IoGetCurrentIrpStackLocation$22$myVar_1: int, inline$BDLGetFirstHandle$1$myNondetVar_0: int, inline$BDLGetFirstHandle$1$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$750$myNondetVar_0: int, inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$: int, inline$BDLPnP$0$$result.DbgPrint$987.0$37$: int, inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$: int, inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$157$myNondetVar_0: int, inline$BDLGetDebugLevel$528$myNondetVar_0: int, inline$BDLGetDebugLevel$106$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$RemoveHeadList$3$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$13$$callresult.$1687.68$15$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$: int, inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$92$myVar_1: int, inline$IoGetCurrentIrpStackLocation$92$myVar_0: int, inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$34$: int, inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1: int, inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$136$myNondetVar_0: int, inline$BDLPnPRemove$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$20$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$145$myVar_1: int, inline$IoGetCurrentIrpStackLocation$145$myVar_0: int, inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$34$: int, inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$24$myVar_0: int, inline$IoGetCurrentIrpStackLocation$24$myVar_1: int, inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$: int, inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$798$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$15$: int, inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$2$tempBoogie0: int, inline$IoSetNextIrpStackLocation$13$tempBoogie0: int, inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$0$$result.memcpy$25110.4$3$: int, inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLGetDebugLevel$456$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$40$: int, inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$571$myNondetVar_0: int, inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$650$myNondetVar_0: int, inline$BDLGetDebugLevel$724$myNondetVar_0: int, inline$BDLGetDebugLevel$164$myNondetVar_0: int, inline$BDLGetDebugLevel$393$myNondetVar_0: int, inline$BDLGetDebugLevel$376$myNondetVar_0: int, inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$9$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$18$myVar_1: int, inline$IoGetCurrentIrpStackLocation$18$myVar_0: int, inline$BDLGetDebugLevel$549$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$myNondetVar_0: int, inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$388$myNondetVar_0: int, inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0: int, inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$91$myVar_0: int, inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$138$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$277$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$4$myVar_0: int, inline$IoSetNextIrpStackLocation$10$havoc_stringTemp: int, inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$77$myVar_0: int, inline$IoGetCurrentIrpStackLocation$77$myVar_1: int, inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1: int, inline$IoGetCurrentIrpStackLocation$135$myVar_0: int, inline$IoGetCurrentIrpStackLocation$135$myVar_1: int, inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$49$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$285$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$93$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$IoGetNextIrpStackLocation$8$myVar_0: int, inline$BDLGetDebugLevel$672$myNondetVar_0: int, inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$4$: int, inline$IoSetNextIrpStackLocation$6$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$26$myNondetVar_0: int, inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLGetDebugLevel$727$myNondetVar_0: int, inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8: int, inline$BDLGetDebugLevel$304$myNondetVar_0: int, inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelStop$0$havoc_stringTemp: int, inline$BDLGetDebugLevel$612$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$130$myVar_0: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$: int, inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPStop$0$$result.DbgPrint$2243.0$44$: int, inline$storm_getThreadID$4$tid: int, inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$522$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$30$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$543$myNondetVar_0: int, inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$436$myNondetVar_0: int, inline$BDLGetDebugLevel$390$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$22$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoSetNextIrpStackLocation$14$tempBoogie0: int, inline$IoGetCurrentIrpStackLocation$37$myVar_0: int, inline$IoGetCurrentIrpStackLocation$37$myVar_1: int, inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$0$$result.IoSetDeviceInterfaceState$2406.29$14$: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$0$myNondetVar_0: int, inline$BDLGetDebugLevel$84$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$51$myNondetVar_0: int, inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$: int, inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$: int, inline$BDLGetDebugLevel$462$myNondetVar_0: int, inline$BDLGetDebugLevel$32$myNondetVar_0: int, inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1: int, inline$BDLCleanupNotificationStruct$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$15$: int, inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$: int, inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp: int, inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16: int, inline$BDLGetDebugLevel$631$myNondetVar_0: int, inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLDevicePowerIoCompletion$10$$result.memset$1683.8$14$: int, inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$336$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$358$myNondetVar_0: int, inline$BDLGetDebugLevel$406$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$: int, inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$46$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$290$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0: int, inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$: int, inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp: int, inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp: int, inline$BDLPnPStop$0$$result.DbgPrint$2190.0$8$: int, inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$23$: int, inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$: int, inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4: int, inline$BDLGetDebugLevel$658$myNondetVar_0: int, inline$BDLGetDebugLevel$30$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$: int, inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$219$myNondetVar_0: int, inline$BDLGetFirstHandle$0$myNondetVar_1: int, inline$BDLGetFirstHandle$0$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$520$myNondetVar_0: int, inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$508$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$2$myVar_1: int, inline$IoGetCurrentIrpStackLocation$2$myVar_0: int, inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$: int, inline$storm_getThreadID$1$tid: int, inline$BDLGetDebugLevel$559$myNondetVar_0: int, inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$474$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLGetDebugLevel$124$myNondetVar_0: int, inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$660$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$103$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$271$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$: int, inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$: int, inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$78$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$39$myVar_0: int, inline$IoGetCurrentIrpStackLocation$39$myVar_1: int, inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$: int, inline$IoGetCurrentIrpStackLocation$27$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$117$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$459$myNondetVar_0: int, inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$: int, inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$9$: int, inline$BDLGetDebugLevel$114$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp: int, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$: int, inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$: int, inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$: int, inline$IoGetCurrentIrpStackLocation$34$myVar_1: int, inline$IoGetCurrentIrpStackLocation$34$myVar_0: int, inline$IoGetCurrentIrpStackLocation$7$myNondetVar_0: int, inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0: int, inline$BDLGetDebugLevel$655$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$133$myVar_0: int, inline$IoGetCurrentIrpStackLocation$133$myVar_1: int, inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp: int, inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$684$myNondetVar_0: int, inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$703$myNondetVar_0: int, inline$BDLGetDebugLevel$212$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLDevicePowerIoCompletion$13$myNondetVar_0: int, inline$BDLGetDebugLevel$213$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$479$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$745$myNondetVar_0: int, inline$BDLGetDebugLevel$443$myNondetVar_0: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$: int, inline$IoGetCurrentIrpStackLocation$47$myVar_1: int, inline$IoGetCurrentIrpStackLocation$47$myVar_0: int, inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$4$$result.memset$1683.8$14$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp: int, inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0: int, inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$4$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$: int, inline$IoGetCurrentIrpStackLocation$87$myVar_1: int, inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$91$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$58$myVar_1: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$34$: int, inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$37$: int, inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$298$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$95$myVar_0: int, inline$IoGetCurrentIrpStackLocation$95$myVar_1: int, inline$BDLGetDebugLevel$693$myNondetVar_0: int, inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$68$myNondetVar_0: int, inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$267$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp: int, inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1: int, inline$BDLDevicePowerIoCompletion$4$$callresult.$1687.68$15$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$: int, inline$IoGetCurrentIrpStackLocation$128$myNondetVar_0: int, inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$221$myNondetVar_0: int, inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8: int, inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$: int, inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12: int, inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$3$: int, inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$: int, inline$BDLGetDebugLevel$625$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$29$myVar_1: int, inline$IoGetCurrentIrpStackLocation$29$myVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$20$: int, inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$24$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$691$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8: int, inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$: int, inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetNextIrpStackLocation$0$havoc_stringTemp: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$295$myNondetVar_0: int, inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$72$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$34$: int, inline$IoSetNextIrpStackLocation$6$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$4$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$558$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$244$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetNextIrpStackLocation$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$4$: int, inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$: int, inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp: int, inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$34$: int, inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp: int, inline$BDLPnPStart$0$$result.DbgPrint$2005.0$33$: int, inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$385$myNondetVar_0: int, inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1: int, inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp: int, inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$627$myNondetVar_0: int, inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$5$myVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$0$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$myNondetVar_1: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$: int, inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$: int, inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp: int, inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$330$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8: int, inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$4$: int, inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$29$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$15$: int, inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$IoGetNextIrpStackLocation$4$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$253$myNondetVar_0: int, inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$: int, inline$BDLGetDebugLevel$85$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$: int, inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$: int, inline$IoGetCurrentIrpStackLocation$26$myVar_0: int, inline$IoGetCurrentIrpStackLocation$26$myVar_1: int, inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$15$: int, inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$653$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$4$: int, inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4: int, inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$: int, inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$642$myNondetVar_0: int, inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12: int, inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$: int, inline$IoGetCurrentIrpStackLocation$56$myVar_1: int, inline$IoGetCurrentIrpStackLocation$56$myVar_0: int, inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLHandleRemove$1$$callresult.$2419.71$15$: int, inline$BDLGetDebugLevel$418$myNondetVar_0: int, inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$106$myVar_0: int, inline$IoGetCurrentIrpStackLocation$106$myVar_1: int, inline$BDLGetDebugLevel$452$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$45$: int, inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp: int, inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$: int, inline$BDLGetDebugLevel$251$myNondetVar_0: int, inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$29$: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$: int, inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24: int, inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$26$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$: int, inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp: int, inline$BDLGetDebugLevel$449$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$3$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$5$havoc_stringTemp: int, inline$BDLGetDebugLevel$383$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$: int, inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoCopyCurrentIrpStackLocationToNext$4$$result.memcpy$25110.4$3$: int, inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$4$: int, inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4: int, inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$795$myNondetVar_0: int, inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$17$: int, inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$: int, inline$IoGetCurrentIrpStackLocation$87$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$48$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$117$myVar_0: int, inline$IoGetCurrentIrpStackLocation$117$myVar_1: int, inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$: int, inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$701$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myVar_0: int, inline$IoGetCurrentIrpStackLocation$142$myVar_1: int, inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$57$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$123$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$4$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$29$: int, inline$IoGetCurrentIrpStackLocation$15$myNondetVar_0: int, inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$545$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$: int, inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLHandleRemove$1$$callresult.$2437.63$27$: int, inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$19$myVar_0: int, inline$IoGetCurrentIrpStackLocation$19$myVar_1: int, inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$5$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$60$myVar_0: int, inline$IoGetCurrentIrpStackLocation$60$myVar_1: int, inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$: int, inline$IoSetNextIrpStackLocation$1$myVar_0: int, inline$BDLGetDebugLevel$674$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$695$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$27$myVar_1: int, inline$IoGetCurrentIrpStackLocation$27$myVar_0: int, inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$293$myNondetVar_0: int, inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4: int, inline$IoGetCurrentIrpStackLocation$95$myNondetVar_0: int, inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$482$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$685$myNondetVar_0: int, inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLGetDebugLevel$524$myNondetVar_0: int, inline$BDLGetDebugLevel$282$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$15$: int, inline$BDLCleanupNotificationStruct$1$$callresult.$3172.84$15$: int, inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$220$myNondetVar_0: int, inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$111$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$: int, inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$123$myVar_1: int, inline$IoGetCurrentIrpStackLocation$123$myVar_0: int, inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1: int, inline$BDLGetDebugLevel$300$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLPnPStop$0$$result.IoSetDeviceInterfaceState$2199.29$13$: int, $irp$1$96.7$storm_main$0: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$: int, inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$18$: int, inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$341$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$137$myVar_0: int, inline$IoGetCurrentIrpStackLocation$137$myVar_1: int, inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4: int, inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetNextIrpStackLocation$11$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$90$myNondetVar_0: int, inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$156$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp: int, inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$: int, inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$IsListEmpty$1$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$20$: int, inline$BDLGetDebugLevel$677$myNondetVar_0: int, inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$32$myVar_1: int, inline$IoGetCurrentIrpStackLocation$32$myVar_0: int, inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$270$myNondetVar_0: int, inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$: int, inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$: int, inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$18$: int, inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$IoGetCurrentIrpStackLocation$16$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myVar_0: int, inline$IoGetCurrentIrpStackLocation$97$myVar_1: int, inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$18$: int, inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$IoSetNextIrpStackLocation$12$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$34$: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$8$: int, inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoSetNextIrpStackLocation$0$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLGetDebugLevel$88$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$: int, inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$: int, inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$: int, inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$496$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$45$: int, inline$BDLGetDebugLevel$621$myNondetVar_0: int, inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$: int, inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4: int, inline$BDLPnP$0$myVar_0: int, inline$BDLGetDebugLevel$255$myNondetVar_0: int, inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$413$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$10$myVar_0: int, inline$BDLGetDebugLevel$333$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$592$myNondetVar_0: int, inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$: int, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1: int, inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$484$myNondetVar_0: int, inline$BDLGetDebugLevel$245$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$113$myVar_0: int, inline$IoGetCurrentIrpStackLocation$113$myVar_1: int, inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$: int, inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$719$myNondetVar_0: int, inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$CallCompletionRoutine$13$myNondetVar_0: int, inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$: int, inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$: int, inline$BDLGetDebugLevel$552$myNondetVar_0: int, inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$29$: int, inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$: int, inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$: int, inline$IoGetCurrentIrpStackLocation$6$myVar_1: int, inline$IoGetCurrentIrpStackLocation$6$myVar_0: int, inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLGetDebugLevel$600$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$15$: int, inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$: int, inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4: int, inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$661$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp: int, inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12: int, inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$622$myNondetVar_0: int, inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$: int, inline$IoSkipCurrentIrpStackLocation$1$myVar_0: int, inline$IoSkipCurrentIrpStackLocation$1$myVar_1: int, inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4: int, inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp: int, inline$BDLGetDebugLevel$411$myNondetVar_0: int, inline$BDLGetDebugLevel$526$myNondetVar_0: int, inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4: int, inline$IoSetNextIrpStackLocation$9$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$9$: int, inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$: int, inline$IoGetCurrentIrpStackLocation$8$myVar_1: int, inline$IoGetCurrentIrpStackLocation$8$myVar_0: int, inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp: int, inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$18$: int, inline$BDLGetDebugLevel$15$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$: int, inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12: int, inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$721$myNondetVar_0: int, inline$BDLGetDebugLevel$802$myNondetVar_0: int, inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$IoGetCurrentIrpStackLocation$76$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$89$myNondetVar_0: int, inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$: int, inline$BDLSystemPowerIoCompletion$18$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8: int, inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$: int, inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1: int, inline$IoGetCurrentIrpStackLocation$11$myVar_0: int, inline$BDLGetDebugLevel$608$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4: int, inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$454$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$: int, inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$storm_IoAllocateIrp$0$$result.malloc$279.0$4$: int, inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$: int, inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1: int, inline$BDLGetDebugLevel$215$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$23$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$: int, inline$BDLGetDebugLevel$741$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$738$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$34$: int, inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$173$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$29$: int, inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$9$: int, inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$: int, inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12: int, inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$34$: int, inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$757$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$: int, inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$: int, inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8: int, inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$705$myNondetVar_0: int, inline$BDLGetDebugLevel$778$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLSystemPowerIoCompletion$13$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$744$myNondetVar_0: int, inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$711$myNondetVar_0: int, inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8: int, inline$BDLGetDebugLevel$226$myNondetVar_0: int, inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4: int, inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$46$: int, inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$554$myNondetVar_0: int, inline$BDLGetDebugLevel$594$myNondetVar_0: int, inline$BDLGetDebugLevel$12$myNondetVar_0: int, inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$: int, inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$29$: int, inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$15$: int, inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$21$: int, inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12: int, inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$: int, inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$34$: int, inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$134$myVar_1: int, inline$IoGetCurrentIrpStackLocation$134$myVar_0: int, inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$52$: int, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$: int, inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$82$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$: int, inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$: int, inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$: int, inline$IoGetCurrentIrpStackLocation$4$myNondetVar_0: int, inline$BDLPnPStart$0$$result.DbgPrint$1966.0$8$: int, inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLGetDebugLevel$152$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$: int, inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$23$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$590$myNondetVar_0: int, inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$40$myNondetVar_0: int, inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$646$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$: int, inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$34$: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$: int, inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp: int, inline$CallCompletionRoutine$16$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$: int, inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$21$$callresult.$1687.68$15$: int, inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$: int, inline$BDLGetDebugLevel$688$myNondetVar_0: int, inline$BDLGetDebugLevel$42$myNondetVar_0: int, inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$: int, inline$BDLGetDebugLevel$682$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp: int, inline$CallCompletionRoutine$29$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$2$: int, inline$BDLGetDebugLevel$381$myNondetVar_0: int, inline$BDLGetDebugLevel$760$myNondetVar_0: int, inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$: int, inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp: int, inline$RemoveHeadList$4$myNondetVar_0: int, inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$18$: int, inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1: int, inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$40$: int, inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.memset$1683.8$14$: int, inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$74$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.memset$1683.8$14$: int, inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8: int, inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$: int, inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$40$: int, inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$: int, inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$: int, inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$7$$callresult.$1687.68$15$: int, inline$BDLGetDebugLevel$97$myNondetVar_0: int, inline$IoSetNextIrpStackLocation$3$myNondetVar_0: int, inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12: int, inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$45$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$9$: int, inline$BDLGetDebugLevel$566$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$: int, inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1: int, inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$773$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$: int, inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$199$myNondetVar_0: int, inline$BDLGetDebugLevel$365$myNondetVar_0: int, inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$32$: int, inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp: int, inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$23$: int, inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8: int, inline$BDLGetDebugLevel$265$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$: int, inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp: int, inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$34$: int, inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLGetDebugLevel$781$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$45$: int, inline$IoGetCurrentIrpStackLocation$9$myNondetVar_0: int, inline$BDLGetDebugLevel$55$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$29$: int, inline$BDLGetDebugLevel$373$myNondetVar_0: int, inline$BDLCleanupDataHandles$1$myNondetVar_0: int, inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLGetDebugLevel$466$myNondetVar_0: int, inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$34$: int, inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$: int, inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$23$: int, inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$23$: int, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$: int, inline$IoSetNextIrpStackLocation$13$havoc_stringTemp: int, inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$7$: int, inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$: int, inline$IoGetCurrentIrpStackLocation$1$myVar_0: int, inline$IoGetCurrentIrpStackLocation$1$myVar_1: int, inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4: int, inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4: int, inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$758$myNondetVar_0: int, inline$BDLPnPStart$0$$result.DbgPrint$1979.0$15$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetCurrentIrpStackLocation$45$myVar_1: int, inline$IoGetCurrentIrpStackLocation$45$myVar_0: int, inline$BDLDevicePowerIoCompletion$18$$callresult.$1687.68$15$: int, inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$: int, inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLGetDebugLevel$507$myNondetVar_0: int, inline$BDLGetDebugLevel$286$myNondetVar_0: int, inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$87$myVar_0: int, inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$: int, inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1: int, inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$4$myVar_1: int, inline$IoGetCurrentIrpStackLocation$4$myVar_0: int, inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$3$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0: int, inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$248$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$29$: int, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$: int, inline$BDLGetDebugLevel$242$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$: int, inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$154$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_0: int, inline$storm_IoCancelIrp$0$myNondetVar_1: int, inline$CallCompletionRoutine$22$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$7$myVar_1: int, inline$BDLGetDebugLevel$337$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$40$: int, inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$797$myNondetVar_0: int, inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$20$: int, inline$IoGetNextIrpStackLocation$7$myVar_0: int, inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4: int, inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$: int, inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4: int, inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$: int, inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$: int, inline$IoGetNextIrpStackLocation$12$myVar_0: int, inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$137$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1: int, inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4: int, inline$BDLGetDebugLevel$321$myNondetVar_0: int, inline$BDLGetDebugLevel$518$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12: int, inline$BDLGetDebugLevel$536$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$25$myNondetVar_0: int, inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp: int, inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1: int, inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$108$myNondetVar_0: int, inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$: int, inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$: int, inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$0$$callresult.$1687.68$15$: int, inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$: int, inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$: int, inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12: int, inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$: int, inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$IoGetCurrentIrpStackLocation$29$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$40$: int, inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp: int, inline$IoSetNextIrpStackLocation$7$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLGetDebugLevel$178$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$11$$callresult.$1687.68$15$: int, inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1: int, inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$9$: int, inline$IoGetCurrentIrpStackLocation$121$myVar_1: int, inline$IoGetCurrentIrpStackLocation$121$myVar_0: int, inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1: int, inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$IoGetCurrentIrpStackLocation$149$myVar_1: int, inline$IoGetCurrentIrpStackLocation$149$myVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$4$: int, inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1: int, inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0: int, inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$159$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$777$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1: int, inline$BDLGetDebugLevel$431$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$15$: int, inline$CallCompletionRoutine$10$myNondetVar_0: int, inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$: int, inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$: int, inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$: int, inline$BDLGetDebugLevel$148$myNondetVar_0: int, inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLGetDebugLevel$534$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$9$: int, inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp: int, inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1: int, inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$: int, inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$: int, inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$: int, inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4: int, inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12: int, inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp: int, inline$BDLGetDebugLevel$134$myNondetVar_0: int, inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0: int, inline$BDLGetDebugLevel$706$myNondetVar_0: int, inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$398$myNondetVar_0: int, inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1: int, inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$: int, inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$: int, inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp: int, inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$: int, inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$: int, inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$: int, inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$: int, inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$: int, inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4: int, inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$: int, inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$: int, inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4: int, inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$IoGetCurrentIrpStackLocation$34$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp: int, inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLReleaseHandleList$0$myNondetVar_1: int, inline$BDLReleaseHandleList$0$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$: int, inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$: int, inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12: int, inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8: int, inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12: int, inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$40$: int, inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$34$: int, inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$: int, inline$BDLGetDebugLevel$517$myNondetVar_0: int, inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1: int, inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1: int, inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$4$: int, inline$BDLGetDebugLevel$181$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$: int, inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12: int, inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$9$: int, inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$: int, inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12: int, inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12: int, inline$BDLGetDebugLevel$357$myNondetVar_0: int, inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1: int, inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1: int, inline$BDLPnP$0$$result.DbgPrint$1023.0$54$: int, inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$: int, inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$: int, inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$32$: int, inline$BDLGetDebugLevel$644$myNondetVar_0: int, inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$: int, inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$: int, inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12: int, inline$IoGetCurrentIrpStackLocation$7$myVar_0: int, inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$: int, inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$29$: int, inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp: int, inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$: int, inline$IoGetCurrentIrpStackLocation$141$myNondetVar_0: int, inline$BDLGetDebugLevel$488$myNondetVar_0: int, inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1: int, inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20: int, inline$BDLGetDebugLevel$468$myNondetVar_0: int, inline$BDLGetDebugLevel$1$myNondetVar_0: int, inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4: int, inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$: int, inline$BDLSystemPowerIoCompletion$22$myNondetVar_0: int, inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$23$: int, inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8: int, inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$: int, inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8: int, inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$: int, inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1: int, inline$BDLDevicePowerIoCompletion$14$$result.memset$1683.8$14$: int, inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$9$: int;
+
+ start#1:
+ __storm_thread_done_1 := false;
+ __storm_thread_done_2 := false;
+ __storm_thread_done_3 := false;
+ __storm_thread_done_4 := false;
+ k := 0;
+ errorReached := false;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto label_3#1;
+
+ label_3#1:
+ goto label_4#1;
+
+ label_4#1:
+ goto label_5#1;
+
+ label_5#1:
+ __storm_atomic := true;
+ __storm_init := true;
+ goto label_8#1;
+
+ label_8#1:
+ cancelLockStatus_0 := 0;
+ call contextSwitch();
+ goto label_9#1;
+
+ label_9#1:
+ created_irp_0 := 0;
+ call contextSwitch();
+ goto label_10#1;
+
+ label_10#1:
+ goto inline$storm_IoAllocateIrp$0$Entry#1;
+
+ inline$storm_IoAllocateIrp$0$Entry#1:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1 := 2;
+ goto inline$storm_IoAllocateIrp$0$start#1;
+
+ inline$storm_IoAllocateIrp$0$start#1:
+ inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8 := inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8_.1;
+ goto inline$storm_IoAllocateIrp$0$label_3#1;
+
+ inline$storm_IoAllocateIrp$0$label_3#1:
+ goto inline$storm_IoAllocateIrp$0$label_4#1;
+
+ inline$storm_IoAllocateIrp$0$label_4#1:
+ goto inline$storm_IoAllocateIrp$0$label_5#1;
+
+ inline$storm_IoAllocateIrp$0$label_5#1:
+ call inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ := storm_nondet();
+ goto inline$storm_IoAllocateIrp$0$label_8#1;
+
+ inline$storm_IoAllocateIrp$0$label_8#1:
+ goto inline$storm_IoAllocateIrp$0$label_8_case_0#1, inline$storm_IoAllocateIrp$0$label_8_case_1#1;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_1#1:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ == 0;
+ goto inline$storm_IoAllocateIrp$0$label_10#1;
+
+ inline$storm_IoAllocateIrp$0$label_10#1:
+ __storm_atomic := true;
+ goto inline$storm_IoAllocateIrp$0$label_13#1;
+
+ inline$storm_IoAllocateIrp$0$label_13#1:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$ := __HAVOC_malloc(112);
+ goto inline$storm_IoAllocateIrp$0$label_16#1;
+
+ inline$storm_IoAllocateIrp$0$label_16#1:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := inline$storm_IoAllocateIrp$0$$result.malloc$279.0$3$;
+ goto inline$storm_IoAllocateIrp$0$label_17#1;
+
+ inline$storm_IoAllocateIrp$0$label_17#1:
+ goto inline$storm_IoAllocateIrp$0$label_18#1;
+
+ inline$storm_IoAllocateIrp$0$label_18#1:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8) := 0];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_19#1;
+
+ inline$storm_IoAllocateIrp$0$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := 0];
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_20#1;
+
+ inline$storm_IoAllocateIrp$0$label_20#1:
+ havoc raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon6_Then#1, inline$storm_IoAllocateIrp$0$anon6_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon1#1;
+
+ inline$storm_IoAllocateIrp$0$anon1#1:
+ assume INT_LT(0, inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8);
+ goto inline$storm_IoAllocateIrp$0$label_21#1;
+
+ inline$storm_IoAllocateIrp$0$label_21#1:
+ inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$ := MULT(inline$storm_IoAllocateIrp$0$$StackSize$1$271.11$storm_IoAllocateIrp$8, 36);
+ goto inline$storm_IoAllocateIrp$0$label_22#1;
+
+ inline$storm_IoAllocateIrp$0$label_22#1:
+ call inline$storm_IoAllocateIrp$0$$result.malloc$279.0$4$ := __HAVOC_malloc(inline$storm_IoAllocateIrp$0$$malloc.arg.1$5$);
+ goto inline$storm_IoAllocateIrp$0$label_25#1;
+
+ inline$storm_IoAllocateIrp$0$label_25#1:
+ goto inline$storm_IoAllocateIrp$0$label_26#1;
+
+ inline$storm_IoAllocateIrp$0$label_26#1:
+ goto inline$storm_IoAllocateIrp$0$label_27#1;
+
+ inline$storm_IoAllocateIrp$0$label_27#1:
+ goto inline$IoGetNextIrpStackLocation$0$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$0$Entry#1:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8;
+ goto inline$IoGetNextIrpStackLocation$0$start#1;
+
+ inline$IoGetNextIrpStackLocation$0$start#1:
+ inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$0$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$0$label_3_true#1, inline$IoGetNextIrpStackLocation$0$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$0$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$0$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_4#1:
+ call inline$IoGetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$0$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_6#1:
+ call inline$IoGetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$0$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$0$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$0$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$0$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$0$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$0$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$0$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$0$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$0$Return#1;
+
+ inline$IoGetNextIrpStackLocation$0$Return#1:
+ goto inline$storm_IoAllocateIrp$0$label_27$1#1;
+
+ inline$storm_IoAllocateIrp$0$label_27$1#1:
+ goto inline$storm_IoAllocateIrp$0$anon7_Then#1, inline$storm_IoAllocateIrp$0$anon7_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoAllocateIrp$0$anon3#1;
+
+ inline$storm_IoAllocateIrp$0$anon3#1:
+ goto inline$storm_IoAllocateIrp$0$label_30#1;
+
+ inline$storm_IoAllocateIrp$0$label_30#1:
+ goto inline$storm_IoAllocateIrp$0$label_31#1;
+
+ inline$storm_IoAllocateIrp$0$label_31#1:
+ goto inline$storm_IoAllocateIrp$0$label_32#1;
+
+ inline$storm_IoAllocateIrp$0$label_32#1:
+ goto inline$storm_IoAllocateIrp$0$label_33#1;
+
+ inline$storm_IoAllocateIrp$0$label_33#1:
+ goto inline$storm_IoAllocateIrp$0$anon8_Then#1, inline$storm_IoAllocateIrp$0$anon8_Else#1;
+
+ inline$storm_IoAllocateIrp$0$anon8_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoAllocateIrp$0$anon5#1;
+
+ inline$storm_IoAllocateIrp$0$anon8_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAllocateIrp$0$anon5#1;
+
+ inline$storm_IoAllocateIrp$0$anon5#1:
+ call contextSwitch();
+ goto inline$storm_IoAllocateIrp$0$label_36#1;
+
+ inline$storm_IoAllocateIrp$0$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#1;
+
+ inline$storm_IoAllocateIrp$0$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoAllocateIrp$0$Return#1;
+
+ inline$storm_IoAllocateIrp$0$label_8_case_0#1:
+ assume inline$storm_IoAllocateIrp$0$$result.storm_nondet$277.22$2$ != 0;
+ goto inline$storm_IoAllocateIrp$0$label_9#1;
+
+ inline$storm_IoAllocateIrp$0$label_9#1:
+ inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8 := 0;
+ goto inline$storm_IoAllocateIrp$0$label_36#1;
+
+ inline$storm_IoAllocateIrp$0$label_36#1:
+ inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$ := inline$storm_IoAllocateIrp$0$$createdIrp$3$275.7$storm_IoAllocateIrp$8;
+ goto inline$storm_IoAllocateIrp$0$label_1#1;
+
+ inline$storm_IoAllocateIrp$0$label_1#1:
+ goto inline$storm_IoAllocateIrp$0$Return#1;
+
+ inline$storm_IoAllocateIrp$0$Return#1:
+ $result.storm_IoAllocateIrp$100.0$1$ := inline$storm_IoAllocateIrp$0$$result.storm_IoAllocateIrp$270.0$1$;
+ goto label_10$1#1;
+
+ label_10$1#1:
+ goto anon10_Then#1, anon10_Else#1;
+
+ anon10_Else#1:
+ assume !raiseException;
+ goto anon1#1;
+
+ anon1#1:
+ goto label_13#1;
+
+ label_13#1:
+ $irp$1$96.7$storm_main$0 := $result.storm_IoAllocateIrp$100.0$1$;
+ goto label_14#1;
+
+ label_14#1:
+ havoc raiseException;
+ goto anon11_Then#1, anon11_Else#1;
+
+ anon11_Else#1:
+ assume !raiseException;
+ goto anon3#1;
+
+ anon3#1:
+ assume INT_NEQ($irp$1$96.7$storm_main$0, 0);
+ goto label_15#1;
+
+ label_15#1:
+ goto inline$IoSetNextIrpStackLocation$0$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$0$Entry#1:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := $irp$1$96.7$storm_main$0;
+ goto inline$IoSetNextIrpStackLocation$0$start#1;
+
+ inline$IoSetNextIrpStackLocation$0$start#1:
+ inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$0$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$0$label_3_true#1, inline$IoSetNextIrpStackLocation$0$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$0$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$0$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_4#1:
+ call inline$IoSetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$0$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_7#1:
+ call inline$IoSetNextIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$0$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$0$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$0$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$0$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_5#1:
+ inline$IoSetNextIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$0$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$0$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$0$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$0$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$0$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$0$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$0$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$0$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$0$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$0$Return#1;
+
+ inline$IoSetNextIrpStackLocation$0$Return#1:
+ goto label_15$1#1;
+
+ label_15$1#1:
+ goto anon12_Then#1, anon12_Else#1;
+
+ anon12_Else#1:
+ assume !raiseException;
+ goto anon5#1;
+
+ anon5#1:
+ goto label_18#1;
+
+ label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := $irp$1$96.7$storm_main$0;
+ goto inline$IoGetCurrentIrpStackLocation$0$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$start#1:
+ inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$label_3_true#1, inline$IoGetCurrentIrpStackLocation$0$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$0$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$0$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$0$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$0$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$0$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$0$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$0$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$0$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$0$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$0$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$0$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$0$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$0$Return#1:
+ goto label_18$1#1;
+
+ label_18$1#1:
+ goto anon13_Then#1, anon13_Else#1;
+
+ anon13_Else#1:
+ assume !raiseException;
+ goto anon7#1;
+
+ anon7#1:
+ goto label_21#1;
+
+ label_21#1:
+ goto label_22#1;
+
+ label_22#1:
+ goto label_23#1;
+
+ label_23#1:
+ goto inline$myInitDriver$0$Entry#1;
+
+ inline$myInitDriver$0$Entry#1:
+ goto inline$myInitDriver$0$start#1;
+
+ inline$myInitDriver$0$start#1:
+ goto inline$myInitDriver$0$label_3#1;
+
+ inline$myInitDriver$0$label_3#1:
+ goto inline$myInitDriver$0$label_4#1;
+
+ inline$myInitDriver$0$label_4#1:
+ inline$myInitDriver$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(hdevobj)];
+ call contextSwitch();
+ inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4 := inline$myInitDriver$0$myVar_0;
+ goto inline$myInitDriver$0$label_5#1;
+
+ inline$myInitDriver$0$label_5#1:
+ goto inline$myInitDriver$0$label_6#1;
+
+ inline$myInitDriver$0$label_6#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$0$Entry#1;
+
+ inline$storm_KeInitializeSpinLock$0$Entry#1:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4);
+ goto inline$storm_KeInitializeSpinLock$0$start#1;
+
+ inline$storm_KeInitializeSpinLock$0$start#1:
+ inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
+ goto inline$storm_KeInitializeSpinLock$0$label_3#1;
+
+ inline$storm_KeInitializeSpinLock$0$label_3#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$0$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$0$label_1#1;
+
+ inline$storm_KeInitializeSpinLock$0$label_1#1:
+ goto inline$storm_KeInitializeSpinLock$0$Return#1;
+
+ inline$storm_KeInitializeSpinLock$0$Return#1:
+ goto inline$myInitDriver$0$label_6$1#1;
+
+ inline$myInitDriver$0$label_6$1#1:
+ goto inline$myInitDriver$0$anon8_Then#1, inline$myInitDriver$0$anon8_Else#1;
+
+ inline$myInitDriver$0$anon8_Else#1:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon1#1;
+
+ inline$myInitDriver$0$anon1#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_9#1;
+
+ inline$myInitDriver$0$label_9#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$1$Entry#1;
+
+ inline$storm_KeInitializeSpinLock$1$Entry#1:
+ inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := ISRControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4));
+ goto inline$storm_KeInitializeSpinLock$1$start#1;
+
+ inline$storm_KeInitializeSpinLock$1$start#1:
+ inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
+ goto inline$storm_KeInitializeSpinLock$1$label_3#1;
+
+ inline$storm_KeInitializeSpinLock$1$label_3#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$1$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$1$label_1#1;
+
+ inline$storm_KeInitializeSpinLock$1$label_1#1:
+ goto inline$storm_KeInitializeSpinLock$1$Return#1;
+
+ inline$storm_KeInitializeSpinLock$1$Return#1:
+ goto inline$myInitDriver$0$label_9$1#1;
+
+ inline$myInitDriver$0$label_9$1#1:
+ goto inline$myInitDriver$0$anon9_Then#1, inline$myInitDriver$0$anon9_Else#1;
+
+ inline$myInitDriver$0$anon9_Else#1:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon3#1;
+
+ inline$myInitDriver$0$anon3#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_12#1;
+
+ inline$myInitDriver$0$label_12#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$2$Entry#1;
+
+ inline$storm_KeInitializeSpinLock$2$Entry#1:
+ inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4));
+ goto inline$storm_KeInitializeSpinLock$2$start#1;
+
+ inline$storm_KeInitializeSpinLock$2$start#1:
+ inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
+ goto inline$storm_KeInitializeSpinLock$2$label_3#1;
+
+ inline$storm_KeInitializeSpinLock$2$label_3#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$2$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$2$label_1#1;
+
+ inline$storm_KeInitializeSpinLock$2$label_1#1:
+ goto inline$storm_KeInitializeSpinLock$2$Return#1;
+
+ inline$storm_KeInitializeSpinLock$2$Return#1:
+ goto inline$myInitDriver$0$label_12$1#1;
+
+ inline$myInitDriver$0$label_12$1#1:
+ goto inline$myInitDriver$0$anon10_Then#1, inline$myInitDriver$0$anon10_Else#1;
+
+ inline$myInitDriver$0$anon10_Else#1:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon5#1;
+
+ inline$myInitDriver$0$anon5#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_15#1;
+
+ inline$myInitDriver$0$label_15#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ havoc inline$myInitDriver$0$myNondetVar_1;
+ assume inline$myInitDriver$0$myNondetVar_0 == inline$myInitDriver$0$myNondetVar_1;
+ goto inline$storm_KeInitializeSpinLock$3$Entry#1;
+
+ inline$storm_KeInitializeSpinLock$3$Entry#1:
+ inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$myInitDriver$0$$pBDLExtension$2$5.33$myInitDriver$4);
+ goto inline$storm_KeInitializeSpinLock$3$start#1;
+
+ inline$storm_KeInitializeSpinLock$3$start#1:
+ inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4_.1;
+ goto inline$storm_KeInitializeSpinLock$3$label_3#1;
+
+ inline$storm_KeInitializeSpinLock$3$label_3#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeInitializeSpinLock$3$$SpinLock$1$116.17$storm_KeInitializeSpinLock$4 := 0];
+ call contextSwitch();
+ goto inline$storm_KeInitializeSpinLock$3$label_1#1;
+
+ inline$storm_KeInitializeSpinLock$3$label_1#1:
+ goto inline$storm_KeInitializeSpinLock$3$Return#1;
+
+ inline$storm_KeInitializeSpinLock$3$Return#1:
+ goto inline$myInitDriver$0$label_15$1#1;
+
+ inline$myInitDriver$0$label_15$1#1:
+ goto inline$myInitDriver$0$anon11_Then#1, inline$myInitDriver$0$anon11_Else#1;
+
+ inline$myInitDriver$0$anon11_Else#1:
+ assume !raiseException;
+ goto inline$myInitDriver$0$anon7#1;
+
+ inline$myInitDriver$0$anon7#1:
+ havoc inline$myInitDriver$0$myNondetVar_0;
+ goto inline$myInitDriver$0$label_1#1;
+
+ inline$myInitDriver$0$label_1#1:
+ goto inline$myInitDriver$0$Return#1;
+
+ inline$myInitDriver$0$anon11_Then#1:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#1;
+
+ inline$myInitDriver$0$anon10_Then#1:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#1;
+
+ inline$myInitDriver$0$anon9_Then#1:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#1;
+
+ inline$myInitDriver$0$anon8_Then#1:
+ assume raiseException;
+ goto inline$myInitDriver$0$Return#1;
+
+ inline$myInitDriver$0$Return#1:
+ goto label_23$1#1;
+
+ label_23$1#1:
+ goto anon14_Then#1, anon14_Else#1;
+
+ anon14_Else#1:
+ assume !raiseException;
+ goto anon9#1;
+
+ anon9#1:
+ goto label_26#1;
+
+ label_26#1:
+ __storm_atomic := false;
+ __storm_init := false;
+ goto label_29#1;
+
+ label_29#1:
+ goto inline$storm_thread_dispatch$0$Entry#1;
+
+ inline$storm_thread_dispatch$0$Entry#1:
+ inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1 := $irp$1$96.7$storm_main$0;
+ goto inline$storm_thread_dispatch$0$start#1;
+
+ inline$storm_thread_dispatch$0$start#1:
+ k := 0;
+ raiseException := false;
+ __storm_thread_id := 3;
+ call contextSwitch();
+ inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4_.1;
+ goto inline$storm_thread_dispatch$0$label_3#1;
+
+ inline$storm_thread_dispatch$0$label_3#1:
+ goto inline$storm_thread_dispatch$0$label_4#1;
+
+ inline$storm_thread_dispatch$0$label_4#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4;
+ goto inline$IoGetCurrentIrpStackLocation$1$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$start#1:
+ inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$1$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$label_3_true#1, inline$IoGetCurrentIrpStackLocation$1$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$1$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$1$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$1$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$1$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$1$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$1$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$1$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$1$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$1$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$1$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$1$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$1$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$1$Return#1:
+ goto inline$storm_thread_dispatch$0$label_4$1#1;
+
+ inline$storm_thread_dispatch$0$label_4$1#1:
+ goto inline$storm_thread_dispatch$0$anon4_Then#1, inline$storm_thread_dispatch$0$anon4_Else#1;
+
+ inline$storm_thread_dispatch$0$anon4_Else#1:
+ assume !raiseException;
+ goto inline$storm_thread_dispatch$0$anon1#1;
+
+ inline$storm_thread_dispatch$0$anon1#1:
+ goto inline$storm_thread_dispatch$0$label_7#1;
+
+ inline$storm_thread_dispatch$0$label_7#1:
+ goto inline$storm_thread_dispatch$0$label_8#1;
+
+ inline$storm_thread_dispatch$0$label_8#1:
+ goto inline$BDLPnP$0$Entry#1;
+
+ inline$BDLPnP$0$Entry#1:
+ inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1 := hdevobj;
+ inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1 := inline$storm_thread_dispatch$0$$Irp$1$8.5$storm_thread_dispatch$4;
+ goto inline$BDLPnP$0$start#1;
+
+ inline$BDLPnP$0$start#1:
+ inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8 := inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8_.1;
+ inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8_.1;
+ goto inline$BDLPnP$0$label_3#1;
+
+ inline$BDLPnP$0$label_3#1:
+ goto inline$BDLPnP$0$label_4#1;
+
+ inline$BDLPnP$0$label_4#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := 0;
+ goto inline$BDLPnP$0$label_5#1;
+
+ inline$BDLPnP$0$label_5#1:
+ goto inline$BDLPnP$0$label_6#1;
+
+ inline$BDLPnP$0$label_6#1:
+ inline$BDLPnP$0$myVar_0 := Mem_0_T.DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8)];
+ call contextSwitch();
+ inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8 := inline$BDLPnP$0$myVar_0;
+ goto inline$BDLPnP$0$label_7#1;
+
+ inline$BDLPnP$0$label_7#1:
+ goto inline$BDLPnP$0$label_8#1;
+
+ inline$BDLPnP$0$label_8#1:
+ goto inline$BDLPnP$0$label_9#1;
+
+ inline$BDLPnP$0$label_9#1:
+ goto inline$BDLPnP$0$label_10#1;
+
+ inline$BDLPnP$0$label_10#1:
+ inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8 := 0;
+ goto inline$BDLPnP$0$label_11#1;
+
+ inline$BDLPnP$0$label_11#1:
+ goto inline$BDLPnP$0$label_12#1;
+
+ inline$BDLPnP$0$label_12#1:
+ inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 1;
+ goto inline$BDLPnP$0$label_13#1;
+
+ inline$BDLPnP$0$label_13#1:
+ goto inline$BDLGetDebugLevel$0$Entry#1;
+
+ inline$BDLGetDebugLevel$0$Entry#1:
+ goto inline$BDLGetDebugLevel$0$start#1;
+
+ inline$BDLGetDebugLevel$0$start#1:
+ goto inline$BDLGetDebugLevel$0$label_3#1;
+
+ inline$BDLGetDebugLevel$0$label_3#1:
+ havoc inline$BDLGetDebugLevel$0$myNondetVar_0;
+ inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$0$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$0$label_1#1;
+
+ inline$BDLGetDebugLevel$0$label_1#1:
+ goto inline$BDLGetDebugLevel$0$Return#1;
+
+ inline$BDLGetDebugLevel$0$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$ := inline$BDLGetDebugLevel$0$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_13$1#1;
+
+ inline$BDLPnP$0$label_13$1#1:
+ goto inline$BDLPnP$0$anon54_Then#1, inline$BDLPnP$0$anon54_Else#1;
+
+ inline$BDLPnP$0$anon54_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon1#1;
+
+ inline$BDLPnP$0$anon1#1:
+ goto inline$BDLPnP$0$label_16#1;
+
+ inline$BDLPnP$0$label_16#1:
+ goto inline$BDLPnP$0$label_16_true#1, inline$BDLPnP$0$label_16_false#1;
+
+ inline$BDLPnP$0$label_16_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$) == 0;
+ goto inline$BDLPnP$0$label_17#1;
+
+ inline$BDLPnP$0$label_16_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$2$) != 0;
+ goto inline$BDLPnP$0$label_20#1;
+
+ inline$BDLPnP$0$label_20#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_21#1;
+
+ inline$BDLPnP$0$label_21#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_22#1;
+
+ inline$BDLPnP$0$label_22#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_23#1;
+
+ inline$BDLPnP$0$label_23#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$865.0$3$;
+ goto inline$BDLPnP$0$label_17#1;
+
+ inline$BDLPnP$0$label_17#1:
+ goto inline$BDLGetDebugLevel$1$Entry#1;
+
+ inline$BDLGetDebugLevel$1$Entry#1:
+ goto inline$BDLGetDebugLevel$1$start#1;
+
+ inline$BDLGetDebugLevel$1$start#1:
+ goto inline$BDLGetDebugLevel$1$label_3#1;
+
+ inline$BDLGetDebugLevel$1$label_3#1:
+ havoc inline$BDLGetDebugLevel$1$myNondetVar_0;
+ inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$1$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$1$label_1#1;
+
+ inline$BDLGetDebugLevel$1$label_1#1:
+ goto inline$BDLGetDebugLevel$1$Return#1;
+
+ inline$BDLGetDebugLevel$1$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$ := inline$BDLGetDebugLevel$1$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_17$1#1;
+
+ inline$BDLPnP$0$label_17$1#1:
+ goto inline$BDLPnP$0$anon55_Then#1, inline$BDLPnP$0$anon55_Else#1;
+
+ inline$BDLPnP$0$anon55_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon3#1;
+
+ inline$BDLPnP$0$anon3#1:
+ goto inline$BDLPnP$0$label_26#1;
+
+ inline$BDLPnP$0$label_26#1:
+ goto inline$BDLPnP$0$label_26_true#1, inline$BDLPnP$0$label_26_false#1;
+
+ inline$BDLPnP$0$label_26_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$) == 0;
+ goto inline$BDLPnP$0$label_27#1;
+
+ inline$BDLPnP$0$label_26_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$7$) != 0;
+ goto inline$BDLPnP$0$label_30#1;
+
+ inline$BDLPnP$0$label_30#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_31#1;
+
+ inline$BDLPnP$0$label_31#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_32#1;
+
+ inline$BDLPnP$0$label_32#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_33#1;
+
+ inline$BDLPnP$0$label_33#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$865.0$8$;
+ goto inline$BDLPnP$0$label_27#1;
+
+ inline$BDLPnP$0$label_27#1:
+ goto inline$BDLGetDebugLevel$2$Entry#1;
+
+ inline$BDLGetDebugLevel$2$Entry#1:
+ goto inline$BDLGetDebugLevel$2$start#1;
+
+ inline$BDLGetDebugLevel$2$start#1:
+ goto inline$BDLGetDebugLevel$2$label_3#1;
+
+ inline$BDLGetDebugLevel$2$label_3#1:
+ havoc inline$BDLGetDebugLevel$2$myNondetVar_0;
+ inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$2$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$2$label_1#1;
+
+ inline$BDLGetDebugLevel$2$label_1#1:
+ goto inline$BDLGetDebugLevel$2$Return#1;
+
+ inline$BDLGetDebugLevel$2$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$ := inline$BDLGetDebugLevel$2$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_27$1#1;
+
+ inline$BDLPnP$0$label_27$1#1:
+ goto inline$BDLPnP$0$anon56_Then#1, inline$BDLPnP$0$anon56_Else#1;
+
+ inline$BDLPnP$0$anon56_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon5#1;
+
+ inline$BDLPnP$0$anon5#1:
+ goto inline$BDLPnP$0$label_36#1;
+
+ inline$BDLPnP$0$label_36#1:
+ goto inline$BDLPnP$0$label_36_true#1, inline$BDLPnP$0$label_36_false#1;
+
+ inline$BDLPnP$0$label_36_false#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$, 4) == 0;
+ goto inline$BDLPnP$0$label_37#1;
+
+ inline$BDLPnP$0$label_36_true#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$865.0$12$, 4) != 0;
+ goto inline$BDLPnP$0$label_38#1;
+
+ inline$BDLPnP$0$label_38#1:
+ goto inline$BDLPnP$0$label_37#1;
+
+ inline$BDLPnP$0$label_37#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8 := inline$BDLPnP$0$myNondetVar_0;
+ goto inline$BDLPnP$0$label_39#1;
+
+ inline$BDLPnP$0$label_39#1:
+ goto inline$IoGetCurrentIrpStackLocation$2$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$IoGetCurrentIrpStackLocation$2$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$start#1:
+ inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$2$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$2$label_3_true#1, inline$IoGetCurrentIrpStackLocation$2$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$2$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$2$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$2$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$2$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$2$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$2$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$2$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$2$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$2$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$2$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$2$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$2$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$2$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$2$Return#1:
+ goto inline$BDLPnP$0$label_39$1#1;
+
+ inline$BDLPnP$0$label_39$1#1:
+ goto inline$BDLPnP$0$anon57_Then#1, inline$BDLPnP$0$anon57_Else#1;
+
+ inline$BDLPnP$0$anon57_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon7#1;
+
+ inline$BDLPnP$0$anon7#1:
+ goto inline$BDLPnP$0$label_42#1;
+
+ inline$BDLPnP$0$label_42#1:
+ goto inline$BDLPnP$0$label_43#1;
+
+ inline$BDLPnP$0$label_43#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$ := inline$BDLPnP$0$havoc_stringTemp;
+ goto inline$BDLPnP$0$label_44#1;
+
+ inline$BDLPnP$0$label_44#1:
+ goto inline$BDLPnP$0$label_44_true#1, inline$BDLPnP$0$label_44_false#1;
+
+ inline$BDLPnP$0$label_44_false#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume !INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
+ goto inline$BDLPnP$0$label_45#1;
+
+ inline$BDLPnP$0$label_45#1:
+ inline$BDLPnP$0$$result.question.16$ := 544632146;
+ goto inline$BDLPnP$0$label_47#1;
+
+ inline$BDLPnP$0$label_44_true#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
+ goto inline$BDLPnP$0$label_46#1;
+
+ inline$BDLPnP$0$label_46#1:
+ inline$BDLPnP$0$$result.question.16$ := 542142032;
+ goto inline$BDLPnP$0$label_47#1;
+
+ inline$BDLPnP$0$label_47#1:
+ call inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$ := IoAcquireRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8), inline$BDLPnP$0$$result.question.16$, inline$BDLPnP$0$$IoAcquireRemoveLockEx.arg.3$15$, 882, 88);
+ goto inline$BDLPnP$0$anon58_Then#1, inline$BDLPnP$0$anon58_Else#1;
+
+ inline$BDLPnP$0$anon58_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon9#1;
+
+ inline$BDLPnP$0$anon9#1:
+ goto inline$BDLPnP$0$label_50#1;
+
+ inline$BDLPnP$0$label_50#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.IoAcquireRemoveLockEx$879.0$14$;
+ goto inline$BDLPnP$0$label_51#1;
+
+ inline$BDLPnP$0$label_51#1:
+ goto inline$BDLPnP$0$label_51_true#1, inline$BDLPnP$0$label_51_false#1;
+
+ inline$BDLPnP$0$label_51_false#1:
+ assume inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 == 0;
+ goto inline$BDLPnP$0$label_52#1;
+
+ inline$BDLPnP$0$label_52#1:
+ goto inline$BDLPnP$0$label_52_case_0#1, inline$BDLPnP$0$label_52_case_1#1, inline$BDLPnP$0$label_52_case_2#1, inline$BDLPnP$0$label_52_case_3#1, inline$BDLPnP$0$label_52_case_4#1, inline$BDLPnP$0$label_52_case_5#1, inline$BDLPnP$0$label_52_case_6#1, inline$BDLPnP$0$label_52_case_7#1, inline$BDLPnP$0$label_52_case_8#1;
+
+ inline$BDLPnP$0$label_52_case_8#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 23;
+ goto inline$BDLPnP$0$label_134#1;
+
+ inline$BDLPnP$0$label_134#1:
+ goto inline$BDLPnPSurpriseRemoval$0$Entry#1;
+
+ inline$BDLPnPSurpriseRemoval$0$Entry#1:
+ inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPSurpriseRemoval$0$start#1;
+
+ inline$BDLPnPSurpriseRemoval$0$start#1:
+ inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12 := inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12_.1;
+ inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12 := inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12_.1;
+ goto inline$BDLPnPSurpriseRemoval$0$label_3#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_3#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_4#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_4#1:
+ inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12 := 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_5#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_5#1:
+ goto inline$BDLGetDebugLevel$658$Entry#1;
+
+ inline$BDLGetDebugLevel$658$Entry#1:
+ goto inline$BDLGetDebugLevel$658$start#1;
+
+ inline$BDLGetDebugLevel$658$start#1:
+ goto inline$BDLGetDebugLevel$658$label_3#1;
+
+ inline$BDLGetDebugLevel$658$label_3#1:
+ havoc inline$BDLGetDebugLevel$658$myNondetVar_0;
+ inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$658$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$658$label_1#1;
+
+ inline$BDLGetDebugLevel$658$label_1#1:
+ goto inline$BDLGetDebugLevel$658$Return#1;
+
+ inline$BDLGetDebugLevel$658$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$ := inline$BDLGetDebugLevel$658$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_5$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_5$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon14_Then#1, inline$BDLPnPSurpriseRemoval$0$anon14_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon14_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_8#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_8#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_8_true#1, inline$BDLPnPSurpriseRemoval$0$label_8_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_8_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_9#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_8_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$2$) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_12#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_12#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_13#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_13#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_14#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_14#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_15#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_15#1:
+ havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$3$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_9#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_9#1:
+ goto inline$BDLGetDebugLevel$659$Entry#1;
+
+ inline$BDLGetDebugLevel$659$Entry#1:
+ goto inline$BDLGetDebugLevel$659$start#1;
+
+ inline$BDLGetDebugLevel$659$start#1:
+ goto inline$BDLGetDebugLevel$659$label_3#1;
+
+ inline$BDLGetDebugLevel$659$label_3#1:
+ havoc inline$BDLGetDebugLevel$659$myNondetVar_0;
+ inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$659$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$659$label_1#1;
+
+ inline$BDLGetDebugLevel$659$label_1#1:
+ goto inline$BDLGetDebugLevel$659$Return#1;
+
+ inline$BDLGetDebugLevel$659$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$ := inline$BDLGetDebugLevel$659$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_9$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_9$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon15_Then#1, inline$BDLPnPSurpriseRemoval$0$anon15_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon15_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon3#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon3#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_18#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_18#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_18_true#1, inline$BDLPnPSurpriseRemoval$0$label_18_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_19#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$7$) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_22#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_22#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_23#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_23#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_24#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_24#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_25#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_25#1:
+ havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2536.0$8$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_19#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_19#1:
+ goto inline$BDLGetDebugLevel$660$Entry#1;
+
+ inline$BDLGetDebugLevel$660$Entry#1:
+ goto inline$BDLGetDebugLevel$660$start#1;
+
+ inline$BDLGetDebugLevel$660$start#1:
+ goto inline$BDLGetDebugLevel$660$label_3#1;
+
+ inline$BDLGetDebugLevel$660$label_3#1:
+ havoc inline$BDLGetDebugLevel$660$myNondetVar_0;
+ inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$660$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$660$label_1#1;
+
+ inline$BDLGetDebugLevel$660$label_1#1:
+ goto inline$BDLGetDebugLevel$660$Return#1;
+
+ inline$BDLGetDebugLevel$660$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$ := inline$BDLGetDebugLevel$660$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_19$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_19$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon16_Then#1, inline$BDLPnPSurpriseRemoval$0$anon16_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon5#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon5#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_28#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_28#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_28_true#1, inline$BDLPnPSurpriseRemoval$0$label_28_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_28_false#1:
+ assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$, 4) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_29#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_28_true#1:
+ assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2536.0$12$, 4) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_30#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_30#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_29#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_29#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_31#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_31#1:
+ goto inline$BDLHandleRemove$1$Entry#1;
+
+ inline$BDLHandleRemove$1$Entry#1:
+ inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1 := inline$BDLPnPSurpriseRemoval$0$$pBDLExtension$1$2529.40$BDLPnPSurpriseRemoval$12;
+ inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1 := inline$BDLPnPSurpriseRemoval$0$$pIrp$3$2531.40$BDLPnPSurpriseRemoval$12;
+ goto inline$BDLHandleRemove$1$start#1;
+
+ inline$BDLHandleRemove$1$start#1:
+ inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1;
+ inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12_.1;
+ goto inline$BDLHandleRemove$1$label_3#1;
+
+ inline$BDLHandleRemove$1$label_3#1:
+ goto inline$BDLHandleRemove$1$label_4#1;
+
+ inline$BDLHandleRemove$1$label_4#1:
+ inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := 0;
+ goto inline$BDLHandleRemove$1$label_5#1;
+
+ inline$BDLHandleRemove$1$label_5#1:
+ goto inline$BDLHandleRemove$1$label_6#1;
+
+ inline$BDLHandleRemove$1$label_6#1:
+ havoc inline$BDLHandleRemove$1$myNondetVar_0;
+ goto inline$BDLHandleRemove$1$label_7#1;
+
+ inline$BDLHandleRemove$1$label_7#1:
+ goto inline$BDLGetDebugLevel$661$Entry#1;
+
+ inline$BDLGetDebugLevel$661$Entry#1:
+ goto inline$BDLGetDebugLevel$661$start#1;
+
+ inline$BDLGetDebugLevel$661$start#1:
+ goto inline$BDLGetDebugLevel$661$label_3#1;
+
+ inline$BDLGetDebugLevel$661$label_3#1:
+ havoc inline$BDLGetDebugLevel$661$myNondetVar_0;
+ inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$661$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$661$label_1#1;
+
+ inline$BDLGetDebugLevel$661$label_1#1:
+ goto inline$BDLGetDebugLevel$661$Return#1;
+
+ inline$BDLGetDebugLevel$661$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$ := inline$BDLGetDebugLevel$661$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_7$1#1;
+
+ inline$BDLHandleRemove$1$label_7$1#1:
+ goto inline$BDLHandleRemove$1$anon42_Then#1, inline$BDLHandleRemove$1$anon42_Else#1;
+
+ inline$BDLHandleRemove$1$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon1#1;
+
+ inline$BDLHandleRemove$1$anon1#1:
+ goto inline$BDLHandleRemove$1$label_10#1;
+
+ inline$BDLHandleRemove$1$label_10#1:
+ goto inline$BDLHandleRemove$1$label_10_true#1, inline$BDLHandleRemove$1$label_10_false#1;
+
+ inline$BDLHandleRemove$1$label_10_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$) == 0;
+ goto inline$BDLHandleRemove$1$label_11#1;
+
+ inline$BDLHandleRemove$1$label_10_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$2$) != 0;
+ goto inline$BDLHandleRemove$1$label_14#1;
+
+ inline$BDLHandleRemove$1$label_14#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_15#1;
+
+ inline$BDLHandleRemove$1$label_15#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_16#1;
+
+ inline$BDLHandleRemove$1$label_16#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_17#1;
+
+ inline$BDLHandleRemove$1$label_17#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$3$;
+ goto inline$BDLHandleRemove$1$label_11#1;
+
+ inline$BDLHandleRemove$1$label_11#1:
+ goto inline$BDLGetDebugLevel$662$Entry#1;
+
+ inline$BDLGetDebugLevel$662$Entry#1:
+ goto inline$BDLGetDebugLevel$662$start#1;
+
+ inline$BDLGetDebugLevel$662$start#1:
+ goto inline$BDLGetDebugLevel$662$label_3#1;
+
+ inline$BDLGetDebugLevel$662$label_3#1:
+ havoc inline$BDLGetDebugLevel$662$myNondetVar_0;
+ inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$662$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$662$label_1#1;
+
+ inline$BDLGetDebugLevel$662$label_1#1:
+ goto inline$BDLGetDebugLevel$662$Return#1;
+
+ inline$BDLGetDebugLevel$662$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$ := inline$BDLGetDebugLevel$662$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_11$1#1;
+
+ inline$BDLHandleRemove$1$label_11$1#1:
+ goto inline$BDLHandleRemove$1$anon43_Then#1, inline$BDLHandleRemove$1$anon43_Else#1;
+
+ inline$BDLHandleRemove$1$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon3#1;
+
+ inline$BDLHandleRemove$1$anon3#1:
+ goto inline$BDLHandleRemove$1$label_20#1;
+
+ inline$BDLHandleRemove$1$label_20#1:
+ goto inline$BDLHandleRemove$1$label_20_true#1, inline$BDLHandleRemove$1$label_20_false#1;
+
+ inline$BDLHandleRemove$1$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$) == 0;
+ goto inline$BDLHandleRemove$1$label_21#1;
+
+ inline$BDLHandleRemove$1$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$7$) != 0;
+ goto inline$BDLHandleRemove$1$label_24#1;
+
+ inline$BDLHandleRemove$1$label_24#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_25#1;
+
+ inline$BDLHandleRemove$1$label_25#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_26#1;
+
+ inline$BDLHandleRemove$1$label_26#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_27#1;
+
+ inline$BDLHandleRemove$1$label_27#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2386.0$8$;
+ goto inline$BDLHandleRemove$1$label_21#1;
+
+ inline$BDLHandleRemove$1$label_21#1:
+ goto inline$BDLGetDebugLevel$663$Entry#1;
+
+ inline$BDLGetDebugLevel$663$Entry#1:
+ goto inline$BDLGetDebugLevel$663$start#1;
+
+ inline$BDLGetDebugLevel$663$start#1:
+ goto inline$BDLGetDebugLevel$663$label_3#1;
+
+ inline$BDLGetDebugLevel$663$label_3#1:
+ havoc inline$BDLGetDebugLevel$663$myNondetVar_0;
+ inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$663$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$663$label_1#1;
+
+ inline$BDLGetDebugLevel$663$label_1#1:
+ goto inline$BDLGetDebugLevel$663$Return#1;
+
+ inline$BDLGetDebugLevel$663$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$ := inline$BDLGetDebugLevel$663$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_21$1#1;
+
+ inline$BDLHandleRemove$1$label_21$1#1:
+ goto inline$BDLHandleRemove$1$anon44_Then#1, inline$BDLHandleRemove$1$anon44_Else#1;
+
+ inline$BDLHandleRemove$1$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon5#1;
+
+ inline$BDLHandleRemove$1$anon5#1:
+ goto inline$BDLHandleRemove$1$label_30#1;
+
+ inline$BDLHandleRemove$1$label_30#1:
+ goto inline$BDLHandleRemove$1$label_30_true#1, inline$BDLHandleRemove$1$label_30_false#1;
+
+ inline$BDLHandleRemove$1$label_30_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$, 4) == 0;
+ goto inline$BDLHandleRemove$1$label_31#1;
+
+ inline$BDLHandleRemove$1$label_30_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2386.0$12$, 4) != 0;
+ goto inline$BDLHandleRemove$1$label_34#1;
+
+ inline$BDLHandleRemove$1$label_34#1:
+ goto inline$BDLHandleRemove$1$label_31#1;
+
+ inline$BDLHandleRemove$1$label_31#1:
+ goto inline$storm_KeSetEvent$29$Entry#1;
+
+ inline$storm_KeSetEvent$29$Entry#1:
+ inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12);
+ goto inline$storm_KeSetEvent$29$start#1;
+
+ inline$storm_KeSetEvent$29$start#1:
+ inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$29$label_3#1;
+
+ inline$storm_KeSetEvent$29$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$29$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$29$label_1#1;
+
+ inline$storm_KeSetEvent$29$label_1#1:
+ goto inline$storm_KeSetEvent$29$Return#1;
+
+ inline$storm_KeSetEvent$29$Return#1:
+ goto inline$BDLHandleRemove$1$label_31$1#1;
+
+ inline$BDLHandleRemove$1$label_31$1#1:
+ goto inline$BDLHandleRemove$1$anon45_Then#1, inline$BDLHandleRemove$1$anon45_Else#1;
+
+ inline$BDLHandleRemove$1$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon7#1;
+
+ inline$BDLHandleRemove$1$anon7#1:
+ goto inline$BDLHandleRemove$1$label_35#1;
+
+ inline$BDLHandleRemove$1$label_35#1:
+ call inline$BDLHandleRemove$1$$result.IoSetDeviceInterfaceState$2406.29$14$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12), 0);
+ goto inline$BDLHandleRemove$1$anon46_Then#1, inline$BDLHandleRemove$1$anon46_Else#1;
+
+ inline$BDLHandleRemove$1$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon9#1;
+
+ inline$BDLHandleRemove$1$anon9#1:
+ goto inline$BDLHandleRemove$1$label_38#1;
+
+ inline$BDLHandleRemove$1$label_38#1:
+ goto inline$BDLCleanupNotificationStruct$1$Entry#1;
+
+ inline$BDLCleanupNotificationStruct$1$Entry#1:
+ inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
+ goto inline$BDLCleanupNotificationStruct$1$start#1;
+
+ inline$BDLCleanupNotificationStruct$1$start#1:
+ call inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(20);
+ call inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(1);
+ inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1;
+ goto inline$BDLCleanupNotificationStruct$1$label_3#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_3#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_4#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_4#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_5#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_5#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_6#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_6#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_7#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_7#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_8#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_8#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_9#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_9#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_10#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_10#1:
+ inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_11#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_11#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_12#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_12#1:
+ inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_13#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_13#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_14#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_14#1:
+ inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_15#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_15#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_16#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_16#1:
+ inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_17#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_17#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_18#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_18#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_19#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_19#1:
+ goto inline$BDLGetDebugLevel$664$Entry#1;
+
+ inline$BDLGetDebugLevel$664$Entry#1:
+ goto inline$BDLGetDebugLevel$664$start#1;
+
+ inline$BDLGetDebugLevel$664$start#1:
+ goto inline$BDLGetDebugLevel$664$label_3#1;
+
+ inline$BDLGetDebugLevel$664$label_3#1:
+ havoc inline$BDLGetDebugLevel$664$myNondetVar_0;
+ inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$664$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$664$label_1#1;
+
+ inline$BDLGetDebugLevel$664$label_1#1:
+ goto inline$BDLGetDebugLevel$664$Return#1;
+
+ inline$BDLGetDebugLevel$664$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$ := inline$BDLGetDebugLevel$664$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_19$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_19$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon46_Then#1, inline$BDLCleanupNotificationStruct$1$anon46_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon1#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon1#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_22#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_22#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_22_true#1, inline$BDLCleanupNotificationStruct$1$label_22_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_22_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_23#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_22_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$1$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_26#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_26#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_27#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_27#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_28#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_28#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_29#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_29#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$2$;
+ goto inline$BDLCleanupNotificationStruct$1$label_23#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_23#1:
+ goto inline$BDLGetDebugLevel$665$Entry#1;
+
+ inline$BDLGetDebugLevel$665$Entry#1:
+ goto inline$BDLGetDebugLevel$665$start#1;
+
+ inline$BDLGetDebugLevel$665$start#1:
+ goto inline$BDLGetDebugLevel$665$label_3#1;
+
+ inline$BDLGetDebugLevel$665$label_3#1:
+ havoc inline$BDLGetDebugLevel$665$myNondetVar_0;
+ inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$665$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$665$label_1#1;
+
+ inline$BDLGetDebugLevel$665$label_1#1:
+ goto inline$BDLGetDebugLevel$665$Return#1;
+
+ inline$BDLGetDebugLevel$665$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$ := inline$BDLGetDebugLevel$665$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_23$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_23$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon47_Then#1, inline$BDLCleanupNotificationStruct$1$anon47_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon3#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon3#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_32#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_32#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_32_true#1, inline$BDLCleanupNotificationStruct$1$label_32_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_32_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_33#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_32_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$6$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_36#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_36#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_37#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_37#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_38#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_38#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_39#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_39#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3123.0$7$;
+ goto inline$BDLCleanupNotificationStruct$1$label_33#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_33#1:
+ goto inline$BDLGetDebugLevel$666$Entry#1;
+
+ inline$BDLGetDebugLevel$666$Entry#1:
+ goto inline$BDLGetDebugLevel$666$start#1;
+
+ inline$BDLGetDebugLevel$666$start#1:
+ goto inline$BDLGetDebugLevel$666$label_3#1;
+
+ inline$BDLGetDebugLevel$666$label_3#1:
+ havoc inline$BDLGetDebugLevel$666$myNondetVar_0;
+ inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$666$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$666$label_1#1;
+
+ inline$BDLGetDebugLevel$666$label_1#1:
+ goto inline$BDLGetDebugLevel$666$Return#1;
+
+ inline$BDLGetDebugLevel$666$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$ := inline$BDLGetDebugLevel$666$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_33$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_33$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon48_Then#1, inline$BDLCleanupNotificationStruct$1$anon48_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon5#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon5#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_42#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_42#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_42_true#1, inline$BDLCleanupNotificationStruct$1$label_42_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_42_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_43#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_42_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3123.0$11$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_44#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_44#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_43#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_43#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_45#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_45#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_45_head#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_45_head#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_45_true#1, inline$BDLCleanupNotificationStruct$1$label_45_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_45_false#1:
+ assume 1 == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_46#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_45_true#1:
+ assume 1 != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_49#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_49#1:
+ call inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$ := KfRaiseIrql(2);
+ goto inline$BDLCleanupNotificationStruct$1$anon50_Then#1, inline$BDLCleanupNotificationStruct$1$anon50_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon9#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon9#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_52#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_52#1:
+ inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.KfRaiseIrql$3141.8$12$;
+ goto inline$BDLCleanupNotificationStruct$1$label_53#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_53#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$3$Entry#1;
+
+ inline$storm_KeAcquireSpinLock$3$Entry#1:
+ inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeAcquireSpinLock$3$start#1;
+
+ inline$storm_KeAcquireSpinLock$3$start#1:
+ inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
+ goto inline$storm_KeAcquireSpinLock$3$label_3#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_3#1:
+ goto inline$storm_KeAcquireSpinLock$3$label_4#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_4#1:
+ goto inline$storm_getThreadID$8$Entry#1;
+
+ inline$storm_getThreadID$8$Entry#1:
+ goto inline$storm_getThreadID$8$anon0#1;
+
+ inline$storm_getThreadID$8$anon0#1:
+ inline$storm_getThreadID$8$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$8$Return#1;
+
+ inline$storm_getThreadID$8$Return#1:
+ inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$8$tid;
+ goto inline$storm_KeAcquireSpinLock$3$label_4$1#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_4$1#1:
+ goto inline$storm_KeAcquireSpinLock$3$label_7#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_7#1:
+ inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$3$label_8#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_8#1:
+ goto inline$storm_KeAcquireSpinLock$3$label_9#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_9#1:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$3$label_12#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_12#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$anon7_Then#1, inline$storm_KeAcquireSpinLock$3$anon7_Else#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$anon1#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$3$label_13#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_13#1:
+ goto inline$storm_KeAcquireSpinLock$3$label_13_true#1, inline$storm_KeAcquireSpinLock$3$label_13_false#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_13_false#1:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$3$label_14#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_14#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$3$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_13_true#1:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$3$label_17#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_17#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$anon8_Then#1, inline$storm_KeAcquireSpinLock$3$anon8_Else#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$anon4#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon4#1:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$3$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
+ goto inline$storm_KeAcquireSpinLock$3$label_18#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_18#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$3$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$3$$tid$3$128.6$storm_KeAcquireSpinLock$8];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$3$label_19#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_19#1:
+ goto inline$storm_KeAcquireSpinLock$3$anon9_Then#1, inline$storm_KeAcquireSpinLock$3$anon9_Else#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$3$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$3$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon6#1:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$3$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$3$label_1#1:
+ goto inline$storm_KeAcquireSpinLock$3$Return#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$Return#1;
+
+ inline$storm_KeAcquireSpinLock$3$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$3$Return#1;
+
+ inline$storm_KeAcquireSpinLock$3$Return#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_53$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_53$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon51_Then#1, inline$BDLCleanupNotificationStruct$1$anon51_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon11#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon11#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_56#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_56#1:
+ goto inline$IsListEmpty$4$Entry#1;
+
+ inline$IsListEmpty$4$Entry#1:
+ inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1 := ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$4$start#1;
+
+ inline$IsListEmpty$4$start#1:
+ inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$4$label_3#1;
+
+ inline$IsListEmpty$4$label_3#1:
+ havoc inline$IsListEmpty$4$myNondetVar_0;
+ inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$4$myNondetVar_0, inline$IsListEmpty$4$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$4$label_1#1;
+
+ inline$IsListEmpty$4$label_1#1:
+ goto inline$IsListEmpty$4$Return#1;
+
+ inline$IsListEmpty$4$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ := inline$IsListEmpty$4$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_56$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_56$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon52_Then#1, inline$BDLCleanupNotificationStruct$1$anon52_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon13#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon13#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_59#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_59#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_59_true#1, inline$BDLCleanupNotificationStruct$1$label_59_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_59_false#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_60#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_60#1:
+ goto inline$RemoveHeadList$3$Entry#1;
+
+ inline$RemoveHeadList$3$Entry#1:
+ goto inline$RemoveHeadList$3$start#1;
+
+ inline$RemoveHeadList$3$start#1:
+ goto inline$RemoveHeadList$3$label_3#1;
+
+ inline$RemoveHeadList$3$label_3#1:
+ goto inline$RemoveHeadList$3$label_4#1;
+
+ inline$RemoveHeadList$3$label_4#1:
+ goto inline$RemoveHeadList$3$label_5#1;
+
+ inline$RemoveHeadList$3$label_5#1:
+ havoc inline$RemoveHeadList$3$myNondetVar_0;
+ inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$3$myNondetVar_0;
+ goto inline$RemoveHeadList$3$label_6#1;
+
+ inline$RemoveHeadList$3$label_6#1:
+ havoc inline$RemoveHeadList$3$myNondetVar_0;
+ goto inline$RemoveHeadList$3$label_7#1;
+
+ inline$RemoveHeadList$3$label_7#1:
+ goto inline$RemoveHeadList$3$label_8#1;
+
+ inline$RemoveHeadList$3$label_8#1:
+ goto inline$RemoveHeadList$3$label_9#1;
+
+ inline$RemoveHeadList$3$label_9#1:
+ inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$3$$Entry$3$8126.16$RemoveHeadList$4;
+ goto inline$RemoveHeadList$3$label_1#1;
+
+ inline$RemoveHeadList$3$label_1#1:
+ goto inline$RemoveHeadList$3$Return#1;
+
+ inline$RemoveHeadList$3$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$ := inline$RemoveHeadList$3$$result.RemoveHeadList$8121.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_60$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_60$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon53_Then#1, inline$BDLCleanupNotificationStruct$1$anon53_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon15#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon15#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_63#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_63#1:
+ inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3153.26$14$;
+ goto inline$BDLCleanupNotificationStruct$1$label_64#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_64#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$5$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$5$Entry#1:
+ inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeReleaseSpinLock$5$start#1;
+
+ inline$storm_KeReleaseSpinLock$5$start#1:
+ inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$5$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$5$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$5$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$5$anon5_Then#1, inline$storm_KeReleaseSpinLock$5$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$5$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$5$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_8#1:
+ goto inline$storm_getThreadID$9$Entry#1;
+
+ inline$storm_getThreadID$9$Entry#1:
+ goto inline$storm_getThreadID$9$anon0#1;
+
+ inline$storm_getThreadID$9$anon0#1:
+ inline$storm_getThreadID$9$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$9$Return#1;
+
+ inline$storm_getThreadID$9$Return#1:
+ inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$9$tid;
+ goto inline$storm_KeReleaseSpinLock$5$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$5$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$5$label_11_true#1, inline$storm_KeReleaseSpinLock$5$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$5$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$5$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$5$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$5$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$5$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$5$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$5$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$5$anon6_Then#1, inline$storm_KeReleaseSpinLock$5$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$5$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$5$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$5$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$5$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$5$Return#1;
+
+ inline$storm_KeReleaseSpinLock$5$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$5$Return#1;
+
+ inline$storm_KeReleaseSpinLock$5$Return#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_64$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_64$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon54_Then#1, inline$BDLCleanupNotificationStruct$1$anon54_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon54_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon17#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon17#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_67#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_67#1:
+ call KfLowerIrql(inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$1$anon55_Then#1, inline$BDLCleanupNotificationStruct$1$anon55_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon55_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon19#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon19#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_70#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_70#1:
+ inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$1$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4, 1, 12);
+ goto inline$BDLCleanupNotificationStruct$1$label_71#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_71#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_72#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_72#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_73#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_73#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_74#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_74#1:
+ call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$1$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4, 541869122);
+ goto inline$BDLCleanupNotificationStruct$1$anon56_Then#1, inline$BDLCleanupNotificationStruct$1$anon56_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon56_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon21#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon21#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_77#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_77#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_80#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_80#1:
+ inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$callresult.$3172.84$15$;
+ goto inline$BDLCleanupNotificationStruct$1$label_81#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_81#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_81_true#1, inline$BDLCleanupNotificationStruct$1$label_81_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_81_false#1:
+ assume inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$1$label_81_true#1:
+ assume inline$BDLCleanupNotificationStruct$1$$status$11$3121.36$BDLCleanupNotificationStruct$4 != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_82#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_82#1:
+ goto inline$BDLGetDebugLevel$667$Entry#1;
+
+ inline$BDLGetDebugLevel$667$Entry#1:
+ goto inline$BDLGetDebugLevel$667$start#1;
+
+ inline$BDLGetDebugLevel$667$start#1:
+ goto inline$BDLGetDebugLevel$667$label_3#1;
+
+ inline$BDLGetDebugLevel$667$label_3#1:
+ havoc inline$BDLGetDebugLevel$667$myNondetVar_0;
+ inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$667$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$667$label_1#1;
+
+ inline$BDLGetDebugLevel$667$label_1#1:
+ goto inline$BDLGetDebugLevel$667$Return#1;
+
+ inline$BDLGetDebugLevel$667$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$ := inline$BDLGetDebugLevel$667$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_82$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_82$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon57_Then#1, inline$BDLCleanupNotificationStruct$1$anon57_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon57_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon23#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon23#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_85#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_85#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_85_true#1, inline$BDLCleanupNotificationStruct$1$label_85_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_85_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_86#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_85_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$16$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_89#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_89#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_90#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_90#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_91#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_91#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_92#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_92#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$17$;
+ goto inline$BDLCleanupNotificationStruct$1$label_86#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_86#1:
+ goto inline$BDLGetDebugLevel$668$Entry#1;
+
+ inline$BDLGetDebugLevel$668$Entry#1:
+ goto inline$BDLGetDebugLevel$668$start#1;
+
+ inline$BDLGetDebugLevel$668$start#1:
+ goto inline$BDLGetDebugLevel$668$label_3#1;
+
+ inline$BDLGetDebugLevel$668$label_3#1:
+ havoc inline$BDLGetDebugLevel$668$myNondetVar_0;
+ inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$668$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$668$label_1#1;
+
+ inline$BDLGetDebugLevel$668$label_1#1:
+ goto inline$BDLGetDebugLevel$668$Return#1;
+
+ inline$BDLGetDebugLevel$668$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$ := inline$BDLGetDebugLevel$668$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_86$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_86$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon58_Then#1, inline$BDLCleanupNotificationStruct$1$anon58_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon58_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon25#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon25#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_95#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_95#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_95_true#1, inline$BDLCleanupNotificationStruct$1$label_95_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_95_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_96#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_95_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$21$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_99#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_99#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_100#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_100#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_101#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_101#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_102#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_102#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3178.0$22$;
+ goto inline$BDLCleanupNotificationStruct$1$label_96#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_96#1:
+ goto inline$BDLGetDebugLevel$669$Entry#1;
+
+ inline$BDLGetDebugLevel$669$Entry#1:
+ goto inline$BDLGetDebugLevel$669$start#1;
+
+ inline$BDLGetDebugLevel$669$start#1:
+ goto inline$BDLGetDebugLevel$669$label_3#1;
+
+ inline$BDLGetDebugLevel$669$label_3#1:
+ havoc inline$BDLGetDebugLevel$669$myNondetVar_0;
+ inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$669$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$669$label_1#1;
+
+ inline$BDLGetDebugLevel$669$label_1#1:
+ goto inline$BDLGetDebugLevel$669$Return#1;
+
+ inline$BDLGetDebugLevel$669$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$ := inline$BDLGetDebugLevel$669$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_96$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_96$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon59_Then#1, inline$BDLCleanupNotificationStruct$1$anon59_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon59_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon27#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon27#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_105#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_105#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_105_true#1, inline$BDLCleanupNotificationStruct$1$label_105_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_105_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$1$label_105_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3178.0$26$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_106#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_106#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$1$label_45_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$1$anon59_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon58_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon57_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon56_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon55_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon54_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_59_true#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3144.23$13$ != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_46#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_46#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_46_head#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_46_head#1:
+ goto inline$IsListEmpty$3$Entry#1;
+
+ inline$IsListEmpty$3$Entry#1:
+ inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1 := ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$3$start#1;
+
+ inline$IsListEmpty$3$start#1:
+ inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$3$label_3#1;
+
+ inline$IsListEmpty$3$label_3#1:
+ havoc inline$IsListEmpty$3$myNondetVar_0;
+ inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$3$myNondetVar_0, inline$IsListEmpty$3$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$3$label_1#1;
+
+ inline$IsListEmpty$3$label_1#1:
+ goto inline$IsListEmpty$3$Return#1;
+
+ inline$IsListEmpty$3$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ := inline$IsListEmpty$3$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_46_head$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_46_head$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon49_Then#1, inline$BDLCleanupNotificationStruct$1$anon49_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon7#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon7#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_107#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_107#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_107_true#1, inline$BDLCleanupNotificationStruct$1$label_107_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_107_false#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_108#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_108#1:
+ goto inline$RemoveHeadList$4$Entry#1;
+
+ inline$RemoveHeadList$4$Entry#1:
+ goto inline$RemoveHeadList$4$start#1;
+
+ inline$RemoveHeadList$4$start#1:
+ goto inline$RemoveHeadList$4$label_3#1;
+
+ inline$RemoveHeadList$4$label_3#1:
+ goto inline$RemoveHeadList$4$label_4#1;
+
+ inline$RemoveHeadList$4$label_4#1:
+ goto inline$RemoveHeadList$4$label_5#1;
+
+ inline$RemoveHeadList$4$label_5#1:
+ havoc inline$RemoveHeadList$4$myNondetVar_0;
+ goto inline$RemoveHeadList$4$label_6#1;
+
+ inline$RemoveHeadList$4$label_6#1:
+ havoc inline$RemoveHeadList$4$myNondetVar_0;
+ goto inline$RemoveHeadList$4$label_7#1;
+
+ inline$RemoveHeadList$4$label_7#1:
+ goto inline$RemoveHeadList$4$label_8#1;
+
+ inline$RemoveHeadList$4$label_8#1:
+ goto inline$RemoveHeadList$4$label_9#1;
+
+ inline$RemoveHeadList$4$label_9#1:
+ goto inline$RemoveHeadList$4$label_1#1;
+
+ inline$RemoveHeadList$4$label_1#1:
+ goto inline$RemoveHeadList$4$Return#1;
+
+ inline$RemoveHeadList$4$Return#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_108$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_108$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon60_Then#1, inline$BDLCleanupNotificationStruct$1$anon60_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon60_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon29#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon29#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_154#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_154#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_155#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_155#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_156#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_156#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_46_head#0;
+
+ inline$BDLCleanupNotificationStruct$1$label_46_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$1$anon60_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_107_true#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3199.23$27$ != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_111#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_111#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_111_head#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_111_head#1:
+ goto inline$IsListEmpty$5$Entry#1;
+
+ inline$IsListEmpty$5$Entry#1:
+ inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1 := IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$5$start#1;
+
+ inline$IsListEmpty$5$start#1:
+ inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$5$label_3#1;
+
+ inline$IsListEmpty$5$label_3#1:
+ havoc inline$IsListEmpty$5$myNondetVar_0;
+ inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$5$myNondetVar_0, inline$IsListEmpty$5$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$5$label_1#1;
+
+ inline$IsListEmpty$5$label_1#1:
+ goto inline$IsListEmpty$5$Return#1;
+
+ inline$IsListEmpty$5$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ := inline$IsListEmpty$5$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_111_head$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_111_head$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon61_Then#1, inline$BDLCleanupNotificationStruct$1$anon61_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon61_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon31#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon31#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_114#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_114#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_114_true#1, inline$BDLCleanupNotificationStruct$1$label_114_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_114_false#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_115#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_115#1:
+ goto inline$RemoveHeadList$5$Entry#1;
+
+ inline$RemoveHeadList$5$Entry#1:
+ goto inline$RemoveHeadList$5$start#1;
+
+ inline$RemoveHeadList$5$start#1:
+ goto inline$RemoveHeadList$5$label_3#1;
+
+ inline$RemoveHeadList$5$label_3#1:
+ goto inline$RemoveHeadList$5$label_4#1;
+
+ inline$RemoveHeadList$5$label_4#1:
+ goto inline$RemoveHeadList$5$label_5#1;
+
+ inline$RemoveHeadList$5$label_5#1:
+ havoc inline$RemoveHeadList$5$myNondetVar_0;
+ inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$5$myNondetVar_0;
+ goto inline$RemoveHeadList$5$label_6#1;
+
+ inline$RemoveHeadList$5$label_6#1:
+ havoc inline$RemoveHeadList$5$myNondetVar_0;
+ goto inline$RemoveHeadList$5$label_7#1;
+
+ inline$RemoveHeadList$5$label_7#1:
+ goto inline$RemoveHeadList$5$label_8#1;
+
+ inline$RemoveHeadList$5$label_8#1:
+ goto inline$RemoveHeadList$5$label_9#1;
+
+ inline$RemoveHeadList$5$label_9#1:
+ inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$5$$Entry$3$8126.16$RemoveHeadList$4;
+ goto inline$RemoveHeadList$5$label_1#1;
+
+ inline$RemoveHeadList$5$label_1#1:
+ goto inline$RemoveHeadList$5$Return#1;
+
+ inline$RemoveHeadList$5$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$ := inline$RemoveHeadList$5$$result.RemoveHeadList$8121.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_115$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_115$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon62_Then#1, inline$BDLCleanupNotificationStruct$1$anon62_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon62_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon33#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon33#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_149#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_149#1:
+ inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$1$$result.RemoveHeadList$3218.26$30$;
+ goto inline$BDLCleanupNotificationStruct$1$label_150#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_150#1:
+ inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4, 1, 16);
+ goto inline$BDLCleanupNotificationStruct$1$label_151#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_151#1:
+ call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$1$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4, 541869122);
+ goto inline$BDLCleanupNotificationStruct$1$anon68_Then#1, inline$BDLCleanupNotificationStruct$1$anon68_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon68_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon45#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon45#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_111_head#0;
+
+ inline$BDLCleanupNotificationStruct$1$label_111_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$1$anon68_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon62_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_114_true#1:
+ assume inline$BDLCleanupNotificationStruct$1$$result.IsListEmpty$3215.23$29$ != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_118#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_118#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$1$myNondetVar_0 == inline$BDLCleanupNotificationStruct$1$myNondetVar_1;
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$6$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$6$Entry#1:
+ inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$1$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeReleaseSpinLock$6$start#1;
+
+ inline$storm_KeReleaseSpinLock$6$start#1:
+ inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$6$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$6$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$6$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$6$anon5_Then#1, inline$storm_KeReleaseSpinLock$6$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$6$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$6$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_8#1:
+ goto inline$storm_getThreadID$10$Entry#1;
+
+ inline$storm_getThreadID$10$Entry#1:
+ goto inline$storm_getThreadID$10$anon0#1;
+
+ inline$storm_getThreadID$10$anon0#1:
+ inline$storm_getThreadID$10$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$10$Return#1;
+
+ inline$storm_getThreadID$10$Return#1:
+ inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$10$tid;
+ goto inline$storm_KeReleaseSpinLock$6$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$6$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$6$label_11_true#1, inline$storm_KeReleaseSpinLock$6$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$6$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$6$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$6$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$6$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$6$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$6$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$6$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$6$anon6_Then#1, inline$storm_KeReleaseSpinLock$6$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$6$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$6$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$6$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$6$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$6$Return#1;
+
+ inline$storm_KeReleaseSpinLock$6$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$6$Return#1;
+
+ inline$storm_KeReleaseSpinLock$6$Return#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_118$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_118$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon63_Then#1, inline$BDLCleanupNotificationStruct$1$anon63_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon63_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon35#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon35#1:
+ havoc inline$BDLCleanupNotificationStruct$1$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$1$label_121#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_121#1:
+ call KfLowerIrql(inline$BDLCleanupNotificationStruct$1$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$1$anon64_Then#1, inline$BDLCleanupNotificationStruct$1$anon64_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon64_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon37#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon37#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_124#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_124#1:
+ goto inline$BDLGetDebugLevel$670$Entry#1;
+
+ inline$BDLGetDebugLevel$670$Entry#1:
+ goto inline$BDLGetDebugLevel$670$start#1;
+
+ inline$BDLGetDebugLevel$670$start#1:
+ goto inline$BDLGetDebugLevel$670$label_3#1;
+
+ inline$BDLGetDebugLevel$670$label_3#1:
+ havoc inline$BDLGetDebugLevel$670$myNondetVar_0;
+ inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$670$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$670$label_1#1;
+
+ inline$BDLGetDebugLevel$670$label_1#1:
+ goto inline$BDLGetDebugLevel$670$Return#1;
+
+ inline$BDLGetDebugLevel$670$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$ := inline$BDLGetDebugLevel$670$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_124$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_124$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon65_Then#1, inline$BDLCleanupNotificationStruct$1$anon65_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon65_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon39#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon39#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_127#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_127#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_127_true#1, inline$BDLCleanupNotificationStruct$1$label_127_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_127_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_128#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_127_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$31$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_131#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_131#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_132#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_132#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_133#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_133#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_134#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_134#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$32$;
+ goto inline$BDLCleanupNotificationStruct$1$label_128#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_128#1:
+ goto inline$BDLGetDebugLevel$671$Entry#1;
+
+ inline$BDLGetDebugLevel$671$Entry#1:
+ goto inline$BDLGetDebugLevel$671$start#1;
+
+ inline$BDLGetDebugLevel$671$start#1:
+ goto inline$BDLGetDebugLevel$671$label_3#1;
+
+ inline$BDLGetDebugLevel$671$label_3#1:
+ havoc inline$BDLGetDebugLevel$671$myNondetVar_0;
+ inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$671$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$671$label_1#1;
+
+ inline$BDLGetDebugLevel$671$label_1#1:
+ goto inline$BDLGetDebugLevel$671$Return#1;
+
+ inline$BDLGetDebugLevel$671$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$ := inline$BDLGetDebugLevel$671$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_128$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_128$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon66_Then#1, inline$BDLCleanupNotificationStruct$1$anon66_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon66_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon41#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon41#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_137#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_137#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_137_true#1, inline$BDLCleanupNotificationStruct$1$label_137_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_137_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_138#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_137_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$36$) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_141#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_141#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_142#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_142#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_143#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_143#1:
+ call inline$BDLCleanupNotificationStruct$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$1$label_144#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_144#1:
+ havoc inline$BDLCleanupNotificationStruct$1$$result.DbgPrint$3231.0$37$;
+ goto inline$BDLCleanupNotificationStruct$1$label_138#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_138#1:
+ goto inline$BDLGetDebugLevel$672$Entry#1;
+
+ inline$BDLGetDebugLevel$672$Entry#1:
+ goto inline$BDLGetDebugLevel$672$start#1;
+
+ inline$BDLGetDebugLevel$672$start#1:
+ goto inline$BDLGetDebugLevel$672$label_3#1;
+
+ inline$BDLGetDebugLevel$672$label_3#1:
+ havoc inline$BDLGetDebugLevel$672$myNondetVar_0;
+ inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$672$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$672$label_1#1;
+
+ inline$BDLGetDebugLevel$672$label_1#1:
+ goto inline$BDLGetDebugLevel$672$Return#1;
+
+ inline$BDLGetDebugLevel$672$Return#1:
+ inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$ := inline$BDLGetDebugLevel$672$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$1$label_138$1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_138$1#1:
+ goto inline$BDLCleanupNotificationStruct$1$anon67_Then#1, inline$BDLCleanupNotificationStruct$1$anon67_Else#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon67_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$anon43#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon43#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_147#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_147#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_147_true#1, inline$BDLCleanupNotificationStruct$1$label_147_false#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_147_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_147_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$1$$result.BDLGetDebugLevel$3231.0$41$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$1$label_148#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_148#1:
+ goto inline$BDLCleanupNotificationStruct$1$label_1#1;
+
+ inline$BDLCleanupNotificationStruct$1$label_1#1:
+ call __HAVOC_free(inline$BDLCleanupNotificationStruct$1$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4);
+ call __HAVOC_free(inline$BDLCleanupNotificationStruct$1$$irql$3$3113.45$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon67_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon66_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon65_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon64_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon63_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon61_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$1$Return#1;
+
+ inline$BDLCleanupNotificationStruct$1$Return#1:
+ goto inline$BDLHandleRemove$1$label_38$1#1;
+
+ inline$BDLHandleRemove$1$label_38$1#1:
+ goto inline$BDLHandleRemove$1$anon47_Then#1, inline$BDLHandleRemove$1$anon47_Else#1;
+
+ inline$BDLHandleRemove$1$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon11#1;
+
+ inline$BDLHandleRemove$1$anon11#1:
+ goto inline$BDLHandleRemove$1$label_41#1;
+
+ inline$BDLHandleRemove$1$label_41#1:
+ goto inline$BDLCleanupDataHandles$1$Entry#1;
+
+ inline$BDLCleanupDataHandles$1$Entry#1:
+ inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1 := inline$BDLHandleRemove$1$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
+ goto inline$BDLCleanupDataHandles$1$start#1;
+
+ inline$BDLCleanupDataHandles$1$start#1:
+ call inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(4);
+ call inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(8);
+ call inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(1);
+ inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1;
+ goto inline$BDLCleanupDataHandles$1$label_3#1;
+
+ inline$BDLCleanupDataHandles$1$label_3#1:
+ goto inline$BDLCleanupDataHandles$1$label_4#1;
+
+ inline$BDLCleanupDataHandles$1$label_4#1:
+ goto inline$BDLCleanupDataHandles$1$label_5#1;
+
+ inline$BDLCleanupDataHandles$1$label_5#1:
+ inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := 0;
+ goto inline$BDLCleanupDataHandles$1$label_6#1;
+
+ inline$BDLCleanupDataHandles$1$label_6#1:
+ goto inline$BDLCleanupDataHandles$1$label_7#1;
+
+ inline$BDLCleanupDataHandles$1$label_7#1:
+ goto inline$BDLCleanupDataHandles$1$label_8#1;
+
+ inline$BDLCleanupDataHandles$1$label_8#1:
+ goto inline$BDLCleanupDataHandles$1$label_9#1;
+
+ inline$BDLCleanupDataHandles$1$label_9#1:
+ goto inline$BDLGetDebugLevel$673$Entry#1;
+
+ inline$BDLGetDebugLevel$673$Entry#1:
+ goto inline$BDLGetDebugLevel$673$start#1;
+
+ inline$BDLGetDebugLevel$673$start#1:
+ goto inline$BDLGetDebugLevel$673$label_3#1;
+
+ inline$BDLGetDebugLevel$673$label_3#1:
+ havoc inline$BDLGetDebugLevel$673$myNondetVar_0;
+ inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$673$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$673$label_1#1;
+
+ inline$BDLGetDebugLevel$673$label_1#1:
+ goto inline$BDLGetDebugLevel$673$Return#1;
+
+ inline$BDLGetDebugLevel$673$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$ := inline$BDLGetDebugLevel$673$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_9$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_9$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon30_Then#1, inline$BDLCleanupDataHandles$1$anon30_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon1#1;
+
+ inline$BDLCleanupDataHandles$1$anon1#1:
+ goto inline$BDLCleanupDataHandles$1$label_12#1;
+
+ inline$BDLCleanupDataHandles$1$label_12#1:
+ goto inline$BDLCleanupDataHandles$1$label_12_true#1, inline$BDLCleanupDataHandles$1$label_12_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_12_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_13#1;
+
+ inline$BDLCleanupDataHandles$1$label_12_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$1$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_16#1;
+
+ inline$BDLCleanupDataHandles$1$label_16#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_17#1;
+
+ inline$BDLCleanupDataHandles$1$label_17#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_18#1;
+
+ inline$BDLCleanupDataHandles$1$label_18#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_19#1;
+
+ inline$BDLCleanupDataHandles$1$label_19#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$2$;
+ goto inline$BDLCleanupDataHandles$1$label_13#1;
+
+ inline$BDLCleanupDataHandles$1$label_13#1:
+ goto inline$BDLGetDebugLevel$674$Entry#1;
+
+ inline$BDLGetDebugLevel$674$Entry#1:
+ goto inline$BDLGetDebugLevel$674$start#1;
+
+ inline$BDLGetDebugLevel$674$start#1:
+ goto inline$BDLGetDebugLevel$674$label_3#1;
+
+ inline$BDLGetDebugLevel$674$label_3#1:
+ havoc inline$BDLGetDebugLevel$674$myNondetVar_0;
+ inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$674$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$674$label_1#1;
+
+ inline$BDLGetDebugLevel$674$label_1#1:
+ goto inline$BDLGetDebugLevel$674$Return#1;
+
+ inline$BDLGetDebugLevel$674$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$ := inline$BDLGetDebugLevel$674$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_13$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_13$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon31_Then#1, inline$BDLCleanupDataHandles$1$anon31_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon3#1;
+
+ inline$BDLCleanupDataHandles$1$anon3#1:
+ goto inline$BDLCleanupDataHandles$1$label_22#1;
+
+ inline$BDLCleanupDataHandles$1$label_22#1:
+ goto inline$BDLCleanupDataHandles$1$label_22_true#1, inline$BDLCleanupDataHandles$1$label_22_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_22_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_23#1;
+
+ inline$BDLCleanupDataHandles$1$label_22_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$6$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_26#1;
+
+ inline$BDLCleanupDataHandles$1$label_26#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_27#1;
+
+ inline$BDLCleanupDataHandles$1$label_27#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_28#1;
+
+ inline$BDLCleanupDataHandles$1$label_28#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_29#1;
+
+ inline$BDLCleanupDataHandles$1$label_29#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3251.0$7$;
+ goto inline$BDLCleanupDataHandles$1$label_23#1;
+
+ inline$BDLCleanupDataHandles$1$label_23#1:
+ goto inline$BDLGetDebugLevel$675$Entry#1;
+
+ inline$BDLGetDebugLevel$675$Entry#1:
+ goto inline$BDLGetDebugLevel$675$start#1;
+
+ inline$BDLGetDebugLevel$675$start#1:
+ goto inline$BDLGetDebugLevel$675$label_3#1;
+
+ inline$BDLGetDebugLevel$675$label_3#1:
+ havoc inline$BDLGetDebugLevel$675$myNondetVar_0;
+ inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$675$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$675$label_1#1;
+
+ inline$BDLGetDebugLevel$675$label_1#1:
+ goto inline$BDLGetDebugLevel$675$Return#1;
+
+ inline$BDLGetDebugLevel$675$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$ := inline$BDLGetDebugLevel$675$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_23$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_23$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon32_Then#1, inline$BDLCleanupDataHandles$1$anon32_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon5#1;
+
+ inline$BDLCleanupDataHandles$1$anon5#1:
+ goto inline$BDLCleanupDataHandles$1$label_32#1;
+
+ inline$BDLCleanupDataHandles$1$label_32#1:
+ goto inline$BDLCleanupDataHandles$1$label_32_true#1, inline$BDLCleanupDataHandles$1$label_32_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_32_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_33#1;
+
+ inline$BDLCleanupDataHandles$1$label_32_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3251.0$11$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_34#1;
+
+ inline$BDLCleanupDataHandles$1$label_34#1:
+ goto inline$BDLCleanupDataHandles$1$label_33#1;
+
+ inline$BDLCleanupDataHandles$1$label_33#1:
+ goto inline$BDLCleanupDataHandles$1$label_35#1;
+
+ inline$BDLCleanupDataHandles$1$label_35#1:
+ goto inline$BDLLockHandleList$1$Entry#1;
+
+ inline$BDLLockHandleList$1$Entry#1:
+ inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
+ goto inline$BDLLockHandleList$1$start#1;
+
+ inline$BDLLockHandleList$1$start#1:
+ inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8 := inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1;
+ goto inline$BDLLockHandleList$1$label_3#1;
+
+ inline$BDLLockHandleList$1$label_3#1:
+ havoc inline$BDLLockHandleList$1$myNondetVar_0;
+ havoc inline$BDLLockHandleList$1$myNondetVar_1;
+ assume inline$BDLLockHandleList$1$myNondetVar_0 == inline$BDLLockHandleList$1$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$4$Entry#1;
+
+ inline$storm_KeAcquireSpinLock$4$Entry#1:
+ inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLLockHandleList$1$$pBDLExtension$1$134.40$BDLLockHandleList$8);
+ goto inline$storm_KeAcquireSpinLock$4$start#1;
+
+ inline$storm_KeAcquireSpinLock$4$start#1:
+ inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
+ goto inline$storm_KeAcquireSpinLock$4$label_3#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_3#1:
+ goto inline$storm_KeAcquireSpinLock$4$label_4#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_4#1:
+ goto inline$storm_getThreadID$11$Entry#1;
+
+ inline$storm_getThreadID$11$Entry#1:
+ goto inline$storm_getThreadID$11$anon0#1;
+
+ inline$storm_getThreadID$11$anon0#1:
+ inline$storm_getThreadID$11$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$11$Return#1;
+
+ inline$storm_getThreadID$11$Return#1:
+ inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$11$tid;
+ goto inline$storm_KeAcquireSpinLock$4$label_4$1#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_4$1#1:
+ goto inline$storm_KeAcquireSpinLock$4$label_7#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_7#1:
+ inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$4$label_8#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_8#1:
+ goto inline$storm_KeAcquireSpinLock$4$label_9#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_9#1:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$4$label_12#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_12#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$anon7_Then#1, inline$storm_KeAcquireSpinLock$4$anon7_Else#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$anon1#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$4$label_13#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_13#1:
+ goto inline$storm_KeAcquireSpinLock$4$label_13_true#1, inline$storm_KeAcquireSpinLock$4$label_13_false#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_13_false#1:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$4$label_14#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_14#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$4$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_13_true#1:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$4$label_17#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_17#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$anon8_Then#1, inline$storm_KeAcquireSpinLock$4$anon8_Else#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$anon4#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon4#1:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$4$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
+ goto inline$storm_KeAcquireSpinLock$4$label_18#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_18#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$4$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$4$$tid$3$128.6$storm_KeAcquireSpinLock$8];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$4$label_19#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_19#1:
+ goto inline$storm_KeAcquireSpinLock$4$anon9_Then#1, inline$storm_KeAcquireSpinLock$4$anon9_Else#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$4$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$4$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon6#1:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$4$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$4$label_1#1:
+ goto inline$storm_KeAcquireSpinLock$4$Return#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$Return#1;
+
+ inline$storm_KeAcquireSpinLock$4$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$4$Return#1;
+
+ inline$storm_KeAcquireSpinLock$4$Return#1:
+ goto inline$BDLLockHandleList$1$label_3$1#1;
+
+ inline$BDLLockHandleList$1$label_3$1#1:
+ goto inline$BDLLockHandleList$1$anon2_Then#1, inline$BDLLockHandleList$1$anon2_Else#1;
+
+ inline$BDLLockHandleList$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLLockHandleList$1$anon1#1;
+
+ inline$BDLLockHandleList$1$anon1#1:
+ havoc inline$BDLLockHandleList$1$myNondetVar_0;
+ goto inline$BDLLockHandleList$1$label_1#1;
+
+ inline$BDLLockHandleList$1$label_1#1:
+ goto inline$BDLLockHandleList$1$Return#1;
+
+ inline$BDLLockHandleList$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLLockHandleList$1$Return#1;
+
+ inline$BDLLockHandleList$1$Return#1:
+ goto inline$BDLCleanupDataHandles$1$label_35$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_35$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon33_Then#1, inline$BDLCleanupDataHandles$1$anon33_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon7#1;
+
+ inline$BDLCleanupDataHandles$1$anon7#1:
+ goto inline$BDLCleanupDataHandles$1$label_38#1;
+
+ inline$BDLCleanupDataHandles$1$label_38#1:
+ goto inline$BDLCleanupDataHandles$1$label_38_head#1;
+
+ inline$BDLCleanupDataHandles$1$label_38_head#1:
+ goto inline$BDLGetFirstHandle$1$Entry#1;
+
+ inline$BDLGetFirstHandle$1$Entry#1:
+ goto inline$BDLGetFirstHandle$1$start#1;
+
+ inline$BDLGetFirstHandle$1$start#1:
+ goto inline$BDLGetFirstHandle$1$label_3#1;
+
+ inline$BDLGetFirstHandle$1$label_3#1:
+ goto inline$BDLGetFirstHandle$1$label_3_true#1, inline$BDLGetFirstHandle$1$label_3_false#1;
+
+ inline$BDLGetFirstHandle$1$label_3_false#1:
+ havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
+ assume inline$BDLGetFirstHandle$1$myNondetVar_0 == 0;
+ goto inline$BDLGetFirstHandle$1$label_4#1;
+
+ inline$BDLGetFirstHandle$1$label_4#1:
+ inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$ := 0;
+ goto inline$BDLGetFirstHandle$1$label_1#1;
+
+ inline$BDLGetFirstHandle$1$label_3_true#1:
+ havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
+ assume inline$BDLGetFirstHandle$1$myNondetVar_0 != 0;
+ goto inline$BDLGetFirstHandle$1$label_5#1;
+
+ inline$BDLGetFirstHandle$1$label_5#1:
+ havoc inline$BDLGetFirstHandle$1$myNondetVar_0;
+ havoc inline$BDLGetFirstHandle$1$myNondetVar_1;
+ goto inline$BDLGetFirstHandle$1$label_6#1;
+
+ inline$BDLGetFirstHandle$1$label_6#1:
+ inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$ := 1;
+ goto inline$BDLGetFirstHandle$1$label_1#1;
+
+ inline$BDLGetFirstHandle$1$label_1#1:
+ goto inline$BDLGetFirstHandle$1$Return#1;
+
+ inline$BDLGetFirstHandle$1$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$ := inline$BDLGetFirstHandle$1$$result.BDLGetFirstHandle$276.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_38_head$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_38_head$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon34_Then#1, inline$BDLCleanupDataHandles$1$anon34_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon9#1;
+
+ inline$BDLCleanupDataHandles$1$anon9#1:
+ goto inline$BDLCleanupDataHandles$1$label_41#1;
+
+ inline$BDLCleanupDataHandles$1$label_41#1:
+ goto inline$BDLCleanupDataHandles$1$label_41_true#1, inline$BDLCleanupDataHandles$1$label_41_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_41_false#1:
+ assume !INT_EQ(inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$, 1);
+ goto inline$BDLCleanupDataHandles$1$label_42#1;
+
+ inline$BDLCleanupDataHandles$1$label_42#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$BDLReleaseHandleList$1$Entry#1;
+
+ inline$BDLReleaseHandleList$1$Entry#1:
+ inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1 := inline$BDLCleanupDataHandles$1$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
+ goto inline$BDLReleaseHandleList$1$start#1;
+
+ inline$BDLReleaseHandleList$1$start#1:
+ inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8 := inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1;
+ goto inline$BDLReleaseHandleList$1$label_3#1;
+
+ inline$BDLReleaseHandleList$1$label_3#1:
+ havoc inline$BDLReleaseHandleList$1$myNondetVar_0;
+ havoc inline$BDLReleaseHandleList$1$myNondetVar_1;
+ assume inline$BDLReleaseHandleList$1$myNondetVar_0 == inline$BDLReleaseHandleList$1$myNondetVar_1;
+ goto inline$storm_KeReleaseSpinLock$7$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$7$Entry#1:
+ inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLReleaseHandleList$1$$pBDLExtension$1$145.40$BDLReleaseHandleList$8);
+ goto inline$storm_KeReleaseSpinLock$7$start#1;
+
+ inline$storm_KeReleaseSpinLock$7$start#1:
+ inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$7$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$7$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$7$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$7$anon5_Then#1, inline$storm_KeReleaseSpinLock$7$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$7$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$7$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_8#1:
+ goto inline$storm_getThreadID$12$Entry#1;
+
+ inline$storm_getThreadID$12$Entry#1:
+ goto inline$storm_getThreadID$12$anon0#1;
+
+ inline$storm_getThreadID$12$anon0#1:
+ inline$storm_getThreadID$12$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$12$Return#1;
+
+ inline$storm_getThreadID$12$Return#1:
+ inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$12$tid;
+ goto inline$storm_KeReleaseSpinLock$7$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$7$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$7$label_11_true#1, inline$storm_KeReleaseSpinLock$7$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$7$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$7$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$7$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$7$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$7$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$7$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$7$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$7$anon6_Then#1, inline$storm_KeReleaseSpinLock$7$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$7$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$7$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$7$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$7$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$7$Return#1;
+
+ inline$storm_KeReleaseSpinLock$7$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$7$Return#1;
+
+ inline$storm_KeReleaseSpinLock$7$Return#1:
+ goto inline$BDLReleaseHandleList$1$label_3$1#1;
+
+ inline$BDLReleaseHandleList$1$label_3$1#1:
+ goto inline$BDLReleaseHandleList$1$anon2_Then#1, inline$BDLReleaseHandleList$1$anon2_Else#1;
+
+ inline$BDLReleaseHandleList$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLReleaseHandleList$1$anon1#1;
+
+ inline$BDLReleaseHandleList$1$anon1#1:
+ havoc inline$BDLReleaseHandleList$1$myNondetVar_0;
+ goto inline$BDLReleaseHandleList$1$label_1#1;
+
+ inline$BDLReleaseHandleList$1$label_1#1:
+ goto inline$BDLReleaseHandleList$1$Return#1;
+
+ inline$BDLReleaseHandleList$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLReleaseHandleList$1$Return#1;
+
+ inline$BDLReleaseHandleList$1$Return#1:
+ goto inline$BDLCleanupDataHandles$1$label_42$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_42$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon35_Then#1, inline$BDLCleanupDataHandles$1$anon35_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon11#1;
+
+ inline$BDLCleanupDataHandles$1$anon11#1:
+ goto inline$BDLCleanupDataHandles$1$label_87#1;
+
+ inline$BDLCleanupDataHandles$1$label_87#1:
+ goto inline$BDLGetDebugLevel$679$Entry#1;
+
+ inline$BDLGetDebugLevel$679$Entry#1:
+ goto inline$BDLGetDebugLevel$679$start#1;
+
+ inline$BDLGetDebugLevel$679$start#1:
+ goto inline$BDLGetDebugLevel$679$label_3#1;
+
+ inline$BDLGetDebugLevel$679$label_3#1:
+ havoc inline$BDLGetDebugLevel$679$myNondetVar_0;
+ inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$679$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$679$label_1#1;
+
+ inline$BDLGetDebugLevel$679$label_1#1:
+ goto inline$BDLGetDebugLevel$679$Return#1;
+
+ inline$BDLGetDebugLevel$679$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$ := inline$BDLGetDebugLevel$679$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_87$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_87$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon42_Then#1, inline$BDLCleanupDataHandles$1$anon42_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon25#1;
+
+ inline$BDLCleanupDataHandles$1$anon25#1:
+ goto inline$BDLCleanupDataHandles$1$label_90#1;
+
+ inline$BDLCleanupDataHandles$1$label_90#1:
+ goto inline$BDLCleanupDataHandles$1$label_90_true#1, inline$BDLCleanupDataHandles$1$label_90_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_90_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_91#1;
+
+ inline$BDLCleanupDataHandles$1$label_90_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$26$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_94#1;
+
+ inline$BDLCleanupDataHandles$1$label_94#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_95#1;
+
+ inline$BDLCleanupDataHandles$1$label_95#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_96#1;
+
+ inline$BDLCleanupDataHandles$1$label_96#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_97#1;
+
+ inline$BDLCleanupDataHandles$1$label_97#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$27$;
+ goto inline$BDLCleanupDataHandles$1$label_91#1;
+
+ inline$BDLCleanupDataHandles$1$label_91#1:
+ goto inline$BDLGetDebugLevel$680$Entry#1;
+
+ inline$BDLGetDebugLevel$680$Entry#1:
+ goto inline$BDLGetDebugLevel$680$start#1;
+
+ inline$BDLGetDebugLevel$680$start#1:
+ goto inline$BDLGetDebugLevel$680$label_3#1;
+
+ inline$BDLGetDebugLevel$680$label_3#1:
+ havoc inline$BDLGetDebugLevel$680$myNondetVar_0;
+ inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$680$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$680$label_1#1;
+
+ inline$BDLGetDebugLevel$680$label_1#1:
+ goto inline$BDLGetDebugLevel$680$Return#1;
+
+ inline$BDLGetDebugLevel$680$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$ := inline$BDLGetDebugLevel$680$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_91$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_91$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon43_Then#1, inline$BDLCleanupDataHandles$1$anon43_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon27#1;
+
+ inline$BDLCleanupDataHandles$1$anon27#1:
+ goto inline$BDLCleanupDataHandles$1$label_100#1;
+
+ inline$BDLCleanupDataHandles$1$label_100#1:
+ goto inline$BDLCleanupDataHandles$1$label_100_true#1, inline$BDLCleanupDataHandles$1$label_100_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_100_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_101#1;
+
+ inline$BDLCleanupDataHandles$1$label_100_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$31$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_104#1;
+
+ inline$BDLCleanupDataHandles$1$label_104#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_105#1;
+
+ inline$BDLCleanupDataHandles$1$label_105#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_106#1;
+
+ inline$BDLCleanupDataHandles$1$label_106#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_107#1;
+
+ inline$BDLCleanupDataHandles$1$label_107#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3308.0$32$;
+ goto inline$BDLCleanupDataHandles$1$label_101#1;
+
+ inline$BDLCleanupDataHandles$1$label_101#1:
+ goto inline$BDLGetDebugLevel$681$Entry#1;
+
+ inline$BDLGetDebugLevel$681$Entry#1:
+ goto inline$BDLGetDebugLevel$681$start#1;
+
+ inline$BDLGetDebugLevel$681$start#1:
+ goto inline$BDLGetDebugLevel$681$label_3#1;
+
+ inline$BDLGetDebugLevel$681$label_3#1:
+ havoc inline$BDLGetDebugLevel$681$myNondetVar_0;
+ inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$681$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$681$label_1#1;
+
+ inline$BDLGetDebugLevel$681$label_1#1:
+ goto inline$BDLGetDebugLevel$681$Return#1;
+
+ inline$BDLGetDebugLevel$681$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$ := inline$BDLGetDebugLevel$681$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_101$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_101$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon44_Then#1, inline$BDLCleanupDataHandles$1$anon44_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon29#1;
+
+ inline$BDLCleanupDataHandles$1$anon29#1:
+ goto inline$BDLCleanupDataHandles$1$label_110#1;
+
+ inline$BDLCleanupDataHandles$1$label_110#1:
+ goto inline$BDLCleanupDataHandles$1$label_110_true#1, inline$BDLCleanupDataHandles$1$label_110_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_110_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_1#1;
+
+ inline$BDLCleanupDataHandles$1$label_110_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3308.0$36$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_111#1;
+
+ inline$BDLCleanupDataHandles$1$label_111#1:
+ goto inline$BDLCleanupDataHandles$1$label_1#1;
+
+ inline$BDLCleanupDataHandles$1$label_1#1:
+ call __HAVOC_free(inline$BDLCleanupDataHandles$1$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4);
+ call __HAVOC_free(inline$BDLCleanupDataHandles$1$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4);
+ call __HAVOC_free(inline$BDLCleanupDataHandles$1$$irql$6$3249.28$BDLCleanupDataHandles$4);
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$label_41_true#1:
+ assume INT_EQ(inline$BDLCleanupDataHandles$1$$result.BDLGetFirstHandle$3264.27$12$, 1);
+ goto inline$BDLCleanupDataHandles$1$label_45#1;
+
+ inline$BDLCleanupDataHandles$1$label_45#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$Entry#1;
+
+ inline$BDLRemoveHandleFromList$1$Entry#1:
+ inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$start#1;
+
+ inline$BDLRemoveHandleFromList$1$start#1:
+ inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8_.1;
+ goto inline$BDLRemoveHandleFromList$1$label_3#1;
+
+ inline$BDLRemoveHandleFromList$1$label_3#1:
+ goto inline$BDLRemoveHandleFromList$1$label_4#1;
+
+ inline$BDLRemoveHandleFromList$1$label_4#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_5#1;
+
+ inline$BDLRemoveHandleFromList$1$label_5#1:
+ goto inline$BDLRemoveHandleFromList$1$label_6#1;
+
+ inline$BDLRemoveHandleFromList$1$label_6#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_7#1;
+
+ inline$BDLRemoveHandleFromList$1$label_7#1:
+ goto inline$BDLRemoveHandleFromList$1$label_7_true#1, inline$BDLRemoveHandleFromList$1$label_7_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_7_false#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$1$label_8#1;
+
+ inline$BDLRemoveHandleFromList$1$label_8#1:
+ goto inline$BDLRemoveHandleFromList$1$label_1#1;
+
+ inline$BDLRemoveHandleFromList$1$label_7_true#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$1$label_9#1;
+
+ inline$BDLRemoveHandleFromList$1$label_9#1:
+ goto inline$BDLRemoveHandleFromList$1$label_9_true#1, inline$BDLRemoveHandleFromList$1$label_9_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_9_false#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_10#1;
+
+ inline$BDLRemoveHandleFromList$1$label_10#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_20#1;
+
+ inline$BDLRemoveHandleFromList$1$label_20#1:
+ goto inline$BDLRemoveHandleFromList$1$label_20_head#1;
+
+ inline$BDLRemoveHandleFromList$1$label_20_head#1:
+ goto inline$BDLRemoveHandleFromList$1$label_20_true#1, inline$BDLRemoveHandleFromList$1$label_20_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_20_false#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$1$label_21#1;
+
+ inline$BDLRemoveHandleFromList$1$label_20_true#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$1$label_22#1;
+
+ inline$BDLRemoveHandleFromList$1$label_22#1:
+ goto inline$BDLRemoveHandleFromList$1$label_22_true#1, inline$BDLRemoveHandleFromList$1$label_22_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_22_false#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume !INT_NEQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_21#1;
+
+ inline$BDLRemoveHandleFromList$1$label_21#1:
+ goto inline$BDLRemoveHandleFromList$1$label_21_true#1, inline$BDLRemoveHandleFromList$1$label_21_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_21_false#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$1$label_25#1;
+
+ inline$BDLRemoveHandleFromList$1$label_25#1:
+ goto inline$BDLRemoveHandleFromList$1$label_1#1;
+
+ inline$BDLRemoveHandleFromList$1$label_21_true#1:
+ assume inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$1$label_26#1;
+
+ inline$BDLRemoveHandleFromList$1$label_26#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_27#1;
+
+ inline$BDLRemoveHandleFromList$1$label_27#1:
+ goto inline$BDLRemoveHandleFromList$1$label_27_true#1, inline$BDLRemoveHandleFromList$1$label_27_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_27_false#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_15#1;
+
+ inline$BDLRemoveHandleFromList$1$label_27_true#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_28#1;
+
+ inline$BDLRemoveHandleFromList$1$label_28#1:
+ goto inline$BDLRemoveHandleFromList$1$label_15#1;
+
+ inline$BDLRemoveHandleFromList$1$label_22_true#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume INT_NEQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_23#1;
+
+ inline$BDLRemoveHandleFromList$1$label_23#1:
+ goto inline$BDLRemoveHandleFromList$1$label_24#1;
+
+ inline$BDLRemoveHandleFromList$1$label_24#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_20_head#0;
+
+ inline$BDLRemoveHandleFromList$1$label_20_head#0:
+ assume false;
+ return;
+
+ inline$BDLRemoveHandleFromList$1$label_9_true#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$1$label_11#1;
+
+ inline$BDLRemoveHandleFromList$1$label_11#1:
+ goto inline$BDLRemoveHandleFromList$1$label_11_true#1, inline$BDLRemoveHandleFromList$1$label_11_false#1;
+
+ inline$BDLRemoveHandleFromList$1$label_11_false#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_1;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$myNondetVar_1);
+ goto inline$BDLRemoveHandleFromList$1$label_12#1;
+
+ inline$BDLRemoveHandleFromList$1$label_12#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_15#1;
+
+ inline$BDLRemoveHandleFromList$1$label_11_true#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_1;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$1$myNondetVar_0, inline$BDLRemoveHandleFromList$1$myNondetVar_1);
+ goto inline$BDLRemoveHandleFromList$1$label_13#1;
+
+ inline$BDLRemoveHandleFromList$1$label_13#1:
+ goto inline$BDLRemoveHandleFromList$1$label_14#1;
+
+ inline$BDLRemoveHandleFromList$1$label_14#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_15#1;
+
+ inline$BDLRemoveHandleFromList$1$label_15#1:
+ havoc inline$BDLRemoveHandleFromList$1$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$1$label_16#1;
+
+ inline$BDLRemoveHandleFromList$1$label_16#1:
+ call ExFreePoolWithTag(inline$BDLRemoveHandleFromList$1$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8, 1280066626);
+ goto inline$BDLRemoveHandleFromList$1$anon2_Then#1, inline$BDLRemoveHandleFromList$1$anon2_Else#1;
+
+ inline$BDLRemoveHandleFromList$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLRemoveHandleFromList$1$anon1#1;
+
+ inline$BDLRemoveHandleFromList$1$anon1#1:
+ goto inline$BDLRemoveHandleFromList$1$label_19#1;
+
+ inline$BDLRemoveHandleFromList$1$label_19#1:
+ goto inline$BDLRemoveHandleFromList$1$label_1#1;
+
+ inline$BDLRemoveHandleFromList$1$label_1#1:
+ goto inline$BDLRemoveHandleFromList$1$Return#1;
+
+ inline$BDLRemoveHandleFromList$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLRemoveHandleFromList$1$Return#1;
+
+ inline$BDLRemoveHandleFromList$1$Return#1:
+ goto inline$BDLCleanupDataHandles$1$label_45$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_45$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon36_Then#1, inline$BDLCleanupDataHandles$1$anon36_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon13#1;
+
+ inline$BDLCleanupDataHandles$1$anon13#1:
+ goto inline$BDLCleanupDataHandles$1$label_48#1;
+
+ inline$BDLCleanupDataHandles$1$label_48#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$BDLCleanupDataHandles$1$label_49#1;
+
+ inline$BDLCleanupDataHandles$1$label_49#1:
+ goto inline$BDLCleanupDataHandles$1$label_49_true#1, inline$BDLCleanupDataHandles$1$label_49_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_49_false#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCleanupDataHandles$1$myNondetVar_0, 2);
+ goto inline$BDLCleanupDataHandles$1$label_50#1;
+
+ inline$BDLCleanupDataHandles$1$label_50#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$BDLCleanupDataHandles$1$label_57#1;
+
+ inline$BDLCleanupDataHandles$1$label_57#1:
+ goto inline$BDLCleanupDataHandles$1$label_60#1;
+
+ inline$BDLCleanupDataHandles$1$label_60#1:
+ inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$1$$callresult.$3284.85$14$;
+ goto inline$BDLCleanupDataHandles$1$label_61#1;
+
+ inline$BDLCleanupDataHandles$1$label_61#1:
+ goto inline$BDLCleanupDataHandles$1$label_61_true#1, inline$BDLCleanupDataHandles$1$label_61_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_61_false#1:
+ assume inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 == 0;
+ goto inline$BDLCleanupDataHandles$1$label_54#1;
+
+ inline$BDLCleanupDataHandles$1$label_61_true#1:
+ assume inline$BDLCleanupDataHandles$1$$status$2$3245.28$BDLCleanupDataHandles$4 != 0;
+ goto inline$BDLCleanupDataHandles$1$label_62#1;
+
+ inline$BDLCleanupDataHandles$1$label_62#1:
+ goto inline$BDLGetDebugLevel$676$Entry#1;
+
+ inline$BDLGetDebugLevel$676$Entry#1:
+ goto inline$BDLGetDebugLevel$676$start#1;
+
+ inline$BDLGetDebugLevel$676$start#1:
+ goto inline$BDLGetDebugLevel$676$label_3#1;
+
+ inline$BDLGetDebugLevel$676$label_3#1:
+ havoc inline$BDLGetDebugLevel$676$myNondetVar_0;
+ inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$676$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$676$label_1#1;
+
+ inline$BDLGetDebugLevel$676$label_1#1:
+ goto inline$BDLGetDebugLevel$676$Return#1;
+
+ inline$BDLGetDebugLevel$676$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$ := inline$BDLGetDebugLevel$676$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_62$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_62$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon39_Then#1, inline$BDLCleanupDataHandles$1$anon39_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon19#1;
+
+ inline$BDLCleanupDataHandles$1$anon19#1:
+ goto inline$BDLCleanupDataHandles$1$label_65#1;
+
+ inline$BDLCleanupDataHandles$1$label_65#1:
+ goto inline$BDLCleanupDataHandles$1$label_65_true#1, inline$BDLCleanupDataHandles$1$label_65_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_65_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_66#1;
+
+ inline$BDLCleanupDataHandles$1$label_65_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$15$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_69#1;
+
+ inline$BDLCleanupDataHandles$1$label_69#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_70#1;
+
+ inline$BDLCleanupDataHandles$1$label_70#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_71#1;
+
+ inline$BDLCleanupDataHandles$1$label_71#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_72#1;
+
+ inline$BDLCleanupDataHandles$1$label_72#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$16$;
+ goto inline$BDLCleanupDataHandles$1$label_66#1;
+
+ inline$BDLCleanupDataHandles$1$label_66#1:
+ goto inline$BDLGetDebugLevel$677$Entry#1;
+
+ inline$BDLGetDebugLevel$677$Entry#1:
+ goto inline$BDLGetDebugLevel$677$start#1;
+
+ inline$BDLGetDebugLevel$677$start#1:
+ goto inline$BDLGetDebugLevel$677$label_3#1;
+
+ inline$BDLGetDebugLevel$677$label_3#1:
+ havoc inline$BDLGetDebugLevel$677$myNondetVar_0;
+ inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$677$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$677$label_1#1;
+
+ inline$BDLGetDebugLevel$677$label_1#1:
+ goto inline$BDLGetDebugLevel$677$Return#1;
+
+ inline$BDLGetDebugLevel$677$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$ := inline$BDLGetDebugLevel$677$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_66$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_66$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon40_Then#1, inline$BDLCleanupDataHandles$1$anon40_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon21#1;
+
+ inline$BDLCleanupDataHandles$1$anon21#1:
+ goto inline$BDLCleanupDataHandles$1$label_75#1;
+
+ inline$BDLCleanupDataHandles$1$label_75#1:
+ goto inline$BDLCleanupDataHandles$1$label_75_true#1, inline$BDLCleanupDataHandles$1$label_75_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_75_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_76#1;
+
+ inline$BDLCleanupDataHandles$1$label_75_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$20$) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_79#1;
+
+ inline$BDLCleanupDataHandles$1$label_79#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_80#1;
+
+ inline$BDLCleanupDataHandles$1$label_80#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_81#1;
+
+ inline$BDLCleanupDataHandles$1$label_81#1:
+ call inline$BDLCleanupDataHandles$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$1$label_82#1;
+
+ inline$BDLCleanupDataHandles$1$label_82#1:
+ havoc inline$BDLCleanupDataHandles$1$$result.DbgPrint$3290.0$21$;
+ goto inline$BDLCleanupDataHandles$1$label_76#1;
+
+ inline$BDLCleanupDataHandles$1$label_76#1:
+ goto inline$BDLGetDebugLevel$678$Entry#1;
+
+ inline$BDLGetDebugLevel$678$Entry#1:
+ goto inline$BDLGetDebugLevel$678$start#1;
+
+ inline$BDLGetDebugLevel$678$start#1:
+ goto inline$BDLGetDebugLevel$678$label_3#1;
+
+ inline$BDLGetDebugLevel$678$label_3#1:
+ havoc inline$BDLGetDebugLevel$678$myNondetVar_0;
+ inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$678$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$678$label_1#1;
+
+ inline$BDLGetDebugLevel$678$label_1#1:
+ goto inline$BDLGetDebugLevel$678$Return#1;
+
+ inline$BDLGetDebugLevel$678$Return#1:
+ inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$ := inline$BDLGetDebugLevel$678$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$1$label_76$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_76$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon41_Then#1, inline$BDLCleanupDataHandles$1$anon41_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon23#1;
+
+ inline$BDLCleanupDataHandles$1$anon23#1:
+ goto inline$BDLCleanupDataHandles$1$label_85#1;
+
+ inline$BDLCleanupDataHandles$1$label_85#1:
+ goto inline$BDLCleanupDataHandles$1$label_85_true#1, inline$BDLCleanupDataHandles$1$label_85_false#1;
+
+ inline$BDLCleanupDataHandles$1$label_85_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$1$label_54#1;
+
+ inline$BDLCleanupDataHandles$1$label_85_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$1$$result.BDLGetDebugLevel$3290.0$25$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$1$label_86#1;
+
+ inline$BDLCleanupDataHandles$1$label_86#1:
+ goto inline$BDLCleanupDataHandles$1$label_54#1;
+
+ inline$BDLCleanupDataHandles$1$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$label_49_true#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLCleanupDataHandles$1$myNondetVar_0, 2);
+ goto inline$BDLCleanupDataHandles$1$label_51#1;
+
+ inline$BDLCleanupDataHandles$1$label_51#1:
+ havoc inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$bdliFree$1$Entry#1;
+
+ inline$bdliFree$1$Entry#1:
+ inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1 := inline$BDLCleanupDataHandles$1$myNondetVar_0;
+ goto inline$bdliFree$1$start#1;
+
+ inline$bdliFree$1$start#1:
+ inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4 := inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4_.1;
+ goto inline$bdliFree$1$label_3#1;
+
+ inline$bdliFree$1$label_3#1:
+ call ExFreePoolWithTag(inline$bdliFree$1$$pvBlock$1$2740.28$bdliFree$4, 1229734978);
+ goto inline$bdliFree$1$anon2_Then#1, inline$bdliFree$1$anon2_Else#1;
+
+ inline$bdliFree$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$bdliFree$1$anon1#1;
+
+ inline$bdliFree$1$anon1#1:
+ goto inline$bdliFree$1$label_1#1;
+
+ inline$bdliFree$1$label_1#1:
+ goto inline$bdliFree$1$Return#1;
+
+ inline$bdliFree$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$bdliFree$1$Return#1;
+
+ inline$bdliFree$1$Return#1:
+ goto inline$BDLCleanupDataHandles$1$label_51$1#1;
+
+ inline$BDLCleanupDataHandles$1$label_51$1#1:
+ goto inline$BDLCleanupDataHandles$1$anon37_Then#1, inline$BDLCleanupDataHandles$1$anon37_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon15#1;
+
+ inline$BDLCleanupDataHandles$1$anon15#1:
+ goto inline$BDLCleanupDataHandles$1$label_54#1;
+
+ inline$BDLCleanupDataHandles$1$label_54#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDataHandles$1$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4, 541869122);
+ goto inline$BDLCleanupDataHandles$1$anon38_Then#1, inline$BDLCleanupDataHandles$1$anon38_Else#1;
+
+ inline$BDLCleanupDataHandles$1$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$1$anon17#1;
+
+ inline$BDLCleanupDataHandles$1$anon17#1:
+ goto inline$BDLCleanupDataHandles$1$label_38_head#0;
+
+ inline$BDLCleanupDataHandles$1$label_38_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupDataHandles$1$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$1$Return#1;
+
+ inline$BDLCleanupDataHandles$1$Return#1:
+ goto inline$BDLHandleRemove$1$label_41$1#1;
+
+ inline$BDLHandleRemove$1$label_41$1#1:
+ goto inline$BDLHandleRemove$1$anon48_Then#1, inline$BDLHandleRemove$1$anon48_Else#1;
+
+ inline$BDLHandleRemove$1$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon13#1;
+
+ inline$BDLHandleRemove$1$anon13#1:
+ goto inline$BDLHandleRemove$1$label_44#1;
+
+ inline$BDLHandleRemove$1$label_44#1:
+ goto inline$BDLHandleRemove$1$label_44_true#1, inline$BDLHandleRemove$1$label_44_false#1;
+
+ inline$BDLHandleRemove$1$label_44_false#1:
+ havoc inline$BDLHandleRemove$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLHandleRemove$1$myNondetVar_0, 1);
+ goto inline$BDLHandleRemove$1$label_45#1;
+
+ inline$BDLHandleRemove$1$label_44_true#1:
+ havoc inline$BDLHandleRemove$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLHandleRemove$1$myNondetVar_0, 1);
+ goto inline$BDLHandleRemove$1$label_48#1;
+
+ inline$BDLHandleRemove$1$label_48#1:
+ goto inline$BDLHandleRemove$1$label_51#1;
+
+ inline$BDLHandleRemove$1$label_51#1:
+ inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$callresult.$2419.71$15$;
+ goto inline$BDLHandleRemove$1$label_52#1;
+
+ inline$BDLHandleRemove$1$label_52#1:
+ goto inline$BDLHandleRemove$1$label_52_true#1, inline$BDLHandleRemove$1$label_52_false#1;
+
+ inline$BDLHandleRemove$1$label_52_false#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$1$label_53#1;
+
+ inline$BDLHandleRemove$1$label_52_true#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$1$label_54#1;
+
+ inline$BDLHandleRemove$1$label_54#1:
+ goto inline$BDLGetDebugLevel$682$Entry#1;
+
+ inline$BDLGetDebugLevel$682$Entry#1:
+ goto inline$BDLGetDebugLevel$682$start#1;
+
+ inline$BDLGetDebugLevel$682$start#1:
+ goto inline$BDLGetDebugLevel$682$label_3#1;
+
+ inline$BDLGetDebugLevel$682$label_3#1:
+ havoc inline$BDLGetDebugLevel$682$myNondetVar_0;
+ inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$682$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$682$label_1#1;
+
+ inline$BDLGetDebugLevel$682$label_1#1:
+ goto inline$BDLGetDebugLevel$682$Return#1;
+
+ inline$BDLGetDebugLevel$682$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$ := inline$BDLGetDebugLevel$682$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_54$1#1;
+
+ inline$BDLHandleRemove$1$label_54$1#1:
+ goto inline$BDLHandleRemove$1$anon49_Then#1, inline$BDLHandleRemove$1$anon49_Else#1;
+
+ inline$BDLHandleRemove$1$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon15#1;
+
+ inline$BDLHandleRemove$1$anon15#1:
+ goto inline$BDLHandleRemove$1$label_57#1;
+
+ inline$BDLHandleRemove$1$label_57#1:
+ goto inline$BDLHandleRemove$1$label_57_true#1, inline$BDLHandleRemove$1$label_57_false#1;
+
+ inline$BDLHandleRemove$1$label_57_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$) == 0;
+ goto inline$BDLHandleRemove$1$label_58#1;
+
+ inline$BDLHandleRemove$1$label_57_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$16$) != 0;
+ goto inline$BDLHandleRemove$1$label_61#1;
+
+ inline$BDLHandleRemove$1$label_61#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_62#1;
+
+ inline$BDLHandleRemove$1$label_62#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_63#1;
+
+ inline$BDLHandleRemove$1$label_63#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_64#1;
+
+ inline$BDLHandleRemove$1$label_64#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$17$;
+ goto inline$BDLHandleRemove$1$label_58#1;
+
+ inline$BDLHandleRemove$1$label_58#1:
+ goto inline$BDLGetDebugLevel$683$Entry#1;
+
+ inline$BDLGetDebugLevel$683$Entry#1:
+ goto inline$BDLGetDebugLevel$683$start#1;
+
+ inline$BDLGetDebugLevel$683$start#1:
+ goto inline$BDLGetDebugLevel$683$label_3#1;
+
+ inline$BDLGetDebugLevel$683$label_3#1:
+ havoc inline$BDLGetDebugLevel$683$myNondetVar_0;
+ inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$683$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$683$label_1#1;
+
+ inline$BDLGetDebugLevel$683$label_1#1:
+ goto inline$BDLGetDebugLevel$683$Return#1;
+
+ inline$BDLGetDebugLevel$683$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$ := inline$BDLGetDebugLevel$683$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_58$1#1;
+
+ inline$BDLHandleRemove$1$label_58$1#1:
+ goto inline$BDLHandleRemove$1$anon50_Then#1, inline$BDLHandleRemove$1$anon50_Else#1;
+
+ inline$BDLHandleRemove$1$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon17#1;
+
+ inline$BDLHandleRemove$1$anon17#1:
+ goto inline$BDLHandleRemove$1$label_67#1;
+
+ inline$BDLHandleRemove$1$label_67#1:
+ goto inline$BDLHandleRemove$1$label_67_true#1, inline$BDLHandleRemove$1$label_67_false#1;
+
+ inline$BDLHandleRemove$1$label_67_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$) == 0;
+ goto inline$BDLHandleRemove$1$label_68#1;
+
+ inline$BDLHandleRemove$1$label_67_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$21$) != 0;
+ goto inline$BDLHandleRemove$1$label_71#1;
+
+ inline$BDLHandleRemove$1$label_71#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_72#1;
+
+ inline$BDLHandleRemove$1$label_72#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_73#1;
+
+ inline$BDLHandleRemove$1$label_73#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_74#1;
+
+ inline$BDLHandleRemove$1$label_74#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2423.0$22$;
+ goto inline$BDLHandleRemove$1$label_68#1;
+
+ inline$BDLHandleRemove$1$label_68#1:
+ goto inline$BDLGetDebugLevel$684$Entry#1;
+
+ inline$BDLGetDebugLevel$684$Entry#1:
+ goto inline$BDLGetDebugLevel$684$start#1;
+
+ inline$BDLGetDebugLevel$684$start#1:
+ goto inline$BDLGetDebugLevel$684$label_3#1;
+
+ inline$BDLGetDebugLevel$684$label_3#1:
+ havoc inline$BDLGetDebugLevel$684$myNondetVar_0;
+ inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$684$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$684$label_1#1;
+
+ inline$BDLGetDebugLevel$684$label_1#1:
+ goto inline$BDLGetDebugLevel$684$Return#1;
+
+ inline$BDLGetDebugLevel$684$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$ := inline$BDLGetDebugLevel$684$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_68$1#1;
+
+ inline$BDLHandleRemove$1$label_68$1#1:
+ goto inline$BDLHandleRemove$1$anon51_Then#1, inline$BDLHandleRemove$1$anon51_Else#1;
+
+ inline$BDLHandleRemove$1$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon19#1;
+
+ inline$BDLHandleRemove$1$anon19#1:
+ goto inline$BDLHandleRemove$1$label_77#1;
+
+ inline$BDLHandleRemove$1$label_77#1:
+ goto inline$BDLHandleRemove$1$label_77_true#1, inline$BDLHandleRemove$1$label_77_false#1;
+
+ inline$BDLHandleRemove$1$label_77_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$, 4) == 0;
+ goto inline$BDLHandleRemove$1$label_53#1;
+
+ inline$BDLHandleRemove$1$label_77_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2423.0$26$, 4) != 0;
+ goto inline$BDLHandleRemove$1$label_78#1;
+
+ inline$BDLHandleRemove$1$label_78#1:
+ goto inline$BDLHandleRemove$1$label_53#1;
+
+ inline$BDLHandleRemove$1$label_53#1:
+ goto inline$BDLHandleRemove$1$label_45#1;
+
+ inline$BDLHandleRemove$1$label_45#1:
+ goto inline$BDLHandleRemove$1$label_79#1;
+
+ inline$BDLHandleRemove$1$label_79#1:
+ inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$callresult.$2437.63$27$;
+ goto inline$BDLHandleRemove$1$label_80#1;
+
+ inline$BDLHandleRemove$1$label_80#1:
+ goto inline$BDLHandleRemove$1$label_80_true#1, inline$BDLHandleRemove$1$label_80_false#1;
+
+ inline$BDLHandleRemove$1$label_80_false#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$1$label_81#1;
+
+ inline$BDLHandleRemove$1$label_80_true#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$1$label_84#1;
+
+ inline$BDLHandleRemove$1$label_84#1:
+ goto inline$BDLGetDebugLevel$685$Entry#1;
+
+ inline$BDLGetDebugLevel$685$Entry#1:
+ goto inline$BDLGetDebugLevel$685$start#1;
+
+ inline$BDLGetDebugLevel$685$start#1:
+ goto inline$BDLGetDebugLevel$685$label_3#1;
+
+ inline$BDLGetDebugLevel$685$label_3#1:
+ havoc inline$BDLGetDebugLevel$685$myNondetVar_0;
+ inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$685$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$685$label_1#1;
+
+ inline$BDLGetDebugLevel$685$label_1#1:
+ goto inline$BDLGetDebugLevel$685$Return#1;
+
+ inline$BDLGetDebugLevel$685$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$ := inline$BDLGetDebugLevel$685$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_84$1#1;
+
+ inline$BDLHandleRemove$1$label_84$1#1:
+ goto inline$BDLHandleRemove$1$anon53_Then#1, inline$BDLHandleRemove$1$anon53_Else#1;
+
+ inline$BDLHandleRemove$1$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon23#1;
+
+ inline$BDLHandleRemove$1$anon23#1:
+ goto inline$BDLHandleRemove$1$label_87#1;
+
+ inline$BDLHandleRemove$1$label_87#1:
+ goto inline$BDLHandleRemove$1$label_87_true#1, inline$BDLHandleRemove$1$label_87_false#1;
+
+ inline$BDLHandleRemove$1$label_87_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$) == 0;
+ goto inline$BDLHandleRemove$1$label_88#1;
+
+ inline$BDLHandleRemove$1$label_87_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$28$) != 0;
+ goto inline$BDLHandleRemove$1$label_91#1;
+
+ inline$BDLHandleRemove$1$label_91#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_92#1;
+
+ inline$BDLHandleRemove$1$label_92#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_93#1;
+
+ inline$BDLHandleRemove$1$label_93#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_94#1;
+
+ inline$BDLHandleRemove$1$label_94#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$29$;
+ goto inline$BDLHandleRemove$1$label_88#1;
+
+ inline$BDLHandleRemove$1$label_88#1:
+ goto inline$BDLGetDebugLevel$686$Entry#1;
+
+ inline$BDLGetDebugLevel$686$Entry#1:
+ goto inline$BDLGetDebugLevel$686$start#1;
+
+ inline$BDLGetDebugLevel$686$start#1:
+ goto inline$BDLGetDebugLevel$686$label_3#1;
+
+ inline$BDLGetDebugLevel$686$label_3#1:
+ havoc inline$BDLGetDebugLevel$686$myNondetVar_0;
+ inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$686$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$686$label_1#1;
+
+ inline$BDLGetDebugLevel$686$label_1#1:
+ goto inline$BDLGetDebugLevel$686$Return#1;
+
+ inline$BDLGetDebugLevel$686$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$ := inline$BDLGetDebugLevel$686$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_88$1#1;
+
+ inline$BDLHandleRemove$1$label_88$1#1:
+ goto inline$BDLHandleRemove$1$anon54_Then#1, inline$BDLHandleRemove$1$anon54_Else#1;
+
+ inline$BDLHandleRemove$1$anon54_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon25#1;
+
+ inline$BDLHandleRemove$1$anon25#1:
+ goto inline$BDLHandleRemove$1$label_97#1;
+
+ inline$BDLHandleRemove$1$label_97#1:
+ goto inline$BDLHandleRemove$1$label_97_true#1, inline$BDLHandleRemove$1$label_97_false#1;
+
+ inline$BDLHandleRemove$1$label_97_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$) == 0;
+ goto inline$BDLHandleRemove$1$label_98#1;
+
+ inline$BDLHandleRemove$1$label_97_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$33$) != 0;
+ goto inline$BDLHandleRemove$1$label_101#1;
+
+ inline$BDLHandleRemove$1$label_101#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_102#1;
+
+ inline$BDLHandleRemove$1$label_102#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_103#1;
+
+ inline$BDLHandleRemove$1$label_103#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_104#1;
+
+ inline$BDLHandleRemove$1$label_104#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2441.0$34$;
+ goto inline$BDLHandleRemove$1$label_98#1;
+
+ inline$BDLHandleRemove$1$label_98#1:
+ goto inline$BDLGetDebugLevel$687$Entry#1;
+
+ inline$BDLGetDebugLevel$687$Entry#1:
+ goto inline$BDLGetDebugLevel$687$start#1;
+
+ inline$BDLGetDebugLevel$687$start#1:
+ goto inline$BDLGetDebugLevel$687$label_3#1;
+
+ inline$BDLGetDebugLevel$687$label_3#1:
+ havoc inline$BDLGetDebugLevel$687$myNondetVar_0;
+ inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$687$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$687$label_1#1;
+
+ inline$BDLGetDebugLevel$687$label_1#1:
+ goto inline$BDLGetDebugLevel$687$Return#1;
+
+ inline$BDLGetDebugLevel$687$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$ := inline$BDLGetDebugLevel$687$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_98$1#1;
+
+ inline$BDLHandleRemove$1$label_98$1#1:
+ goto inline$BDLHandleRemove$1$anon55_Then#1, inline$BDLHandleRemove$1$anon55_Else#1;
+
+ inline$BDLHandleRemove$1$anon55_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon27#1;
+
+ inline$BDLHandleRemove$1$anon27#1:
+ goto inline$BDLHandleRemove$1$label_107#1;
+
+ inline$BDLHandleRemove$1$label_107#1:
+ goto inline$BDLHandleRemove$1$label_107_true#1, inline$BDLHandleRemove$1$label_107_false#1;
+
+ inline$BDLHandleRemove$1$label_107_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$, 4) == 0;
+ goto inline$BDLHandleRemove$1$label_81#1;
+
+ inline$BDLHandleRemove$1$label_107_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2441.0$38$, 4) != 0;
+ goto inline$BDLHandleRemove$1$label_108#1;
+
+ inline$BDLHandleRemove$1$label_108#1:
+ goto inline$BDLHandleRemove$1$label_81#1;
+
+ inline$BDLHandleRemove$1$label_81#1:
+ goto inline$IoSkipCurrentIrpStackLocation$1$Entry#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$Entry#1:
+ inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12;
+ goto inline$IoSkipCurrentIrpStackLocation$1$start#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$start#1:
+ inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_3#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_3#1:
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$1$label_3_false#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_3_false#1:
+ inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, inline$IoSkipCurrentIrpStackLocation$1$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_4#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_4#1:
+ call inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_7#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_7#1:
+ call inline$IoSkipCurrentIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_8#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_8#1:
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_3_true#1:
+ inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$1$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, inline$IoSkipCurrentIrpStackLocation$1$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_5#1:
+ inline$IoSkipCurrentIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$1$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$1$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_6#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_6#1:
+ havoc inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0;
+ inline$IoSkipCurrentIrpStackLocation$1$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$1$myNondetVar_0, 36, 1);
+ goto inline$IoSkipCurrentIrpStackLocation$1$label_1#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$label_1#1:
+ goto inline$IoSkipCurrentIrpStackLocation$1$Return#1;
+
+ inline$IoSkipCurrentIrpStackLocation$1$Return#1:
+ goto inline$BDLHandleRemove$1$label_81$1#1;
+
+ inline$BDLHandleRemove$1$label_81$1#1:
+ goto inline$BDLHandleRemove$1$anon52_Then#1, inline$BDLHandleRemove$1$anon52_Else#1;
+
+ inline$BDLHandleRemove$1$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon21#1;
+
+ inline$BDLHandleRemove$1$anon21#1:
+ goto inline$BDLHandleRemove$1$label_109#1;
+
+ inline$BDLHandleRemove$1$label_109#1:
+ goto inline$storm_IoCallDriver$13$Entry#1;
+
+ inline$storm_IoCallDriver$13$Entry#1:
+ inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLHandleRemove$1$$pIrp$3$2380.40$BDLHandleRemove$12;
+ goto inline$storm_IoCallDriver$13$start#1;
+
+ inline$storm_IoCallDriver$13$start#1:
+ inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$13$label_3#1;
+
+ inline$storm_IoCallDriver$13$label_3#1:
+ goto inline$storm_IoCallDriver$13$label_4#1;
+
+ inline$storm_IoCallDriver$13$label_4#1:
+ goto inline$storm_IoCallDriver$13$label_5#1;
+
+ inline$storm_IoCallDriver$13$label_5#1:
+ goto inline$storm_IoCallDriver$13$label_6#1;
+
+ inline$storm_IoCallDriver$13$label_6#1:
+ call inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$13$label_9#1;
+
+ inline$storm_IoCallDriver$13$label_9#1:
+ goto inline$storm_IoCallDriver$13$label_9_true#1, inline$storm_IoCallDriver$13$label_9_false#1;
+
+ inline$storm_IoCallDriver$13$label_9_false#1:
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$13$label_10#1;
+
+ inline$storm_IoCallDriver$13$label_9_true#1:
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$13$label_13#1;
+
+ inline$storm_IoCallDriver$13$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$13$anon12_Then#1, inline$storm_IoCallDriver$13$anon12_Else#1;
+
+ inline$storm_IoCallDriver$13$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$13$anon3#1;
+
+ inline$storm_IoCallDriver$13$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$13$label_14#1;
+
+ inline$storm_IoCallDriver$13$label_14#1:
+ goto inline$storm_IoCallDriver$13$label_14_true#1, inline$storm_IoCallDriver$13$label_14_false#1;
+
+ inline$storm_IoCallDriver$13$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$13$label_15#1;
+
+ inline$storm_IoCallDriver$13$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$13$label_1#1;
+
+ inline$storm_IoCallDriver$13$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$13$label_10#1;
+
+ inline$storm_IoCallDriver$13$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$14$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$14$Entry#1:
+ inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$14$start#1;
+
+ inline$IoSetNextIrpStackLocation$14$start#1:
+ inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$14$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$14$label_3_true#1, inline$IoSetNextIrpStackLocation$14$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$14$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$14$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_4#1:
+ call inline$IoSetNextIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$14$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_7#1:
+ call inline$IoSetNextIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$14$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$14$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$14$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$14$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_5#1:
+ inline$IoSetNextIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$14$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$14$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$14$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$14$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$14$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$14$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$14$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$14$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$14$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$14$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$14$Return#1;
+
+ inline$IoSetNextIrpStackLocation$14$Return#1:
+ goto inline$storm_IoCallDriver$13$label_10$1#1;
+
+ inline$storm_IoCallDriver$13$label_10$1#1:
+ goto inline$storm_IoCallDriver$13$anon11_Then#1, inline$storm_IoCallDriver$13$anon11_Else#1;
+
+ inline$storm_IoCallDriver$13$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$13$anon1#1;
+
+ inline$storm_IoCallDriver$13$anon1#1:
+ goto inline$storm_IoCallDriver$13$label_18#1;
+
+ inline$storm_IoCallDriver$13$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$132$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$132$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$start#1:
+ inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$132$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$132$label_3_true#1, inline$IoGetCurrentIrpStackLocation$132$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$132$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$132$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$132$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$132$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$132$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$132$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$132$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$132$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$132$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$132$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$132$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$132$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$132$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$132$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$132$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$132$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$132$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$132$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$132$Return#1:
+ goto inline$storm_IoCallDriver$13$label_18$1#1;
+
+ inline$storm_IoCallDriver$13$label_18$1#1:
+ goto inline$storm_IoCallDriver$13$anon13_Then#1, inline$storm_IoCallDriver$13$anon13_Else#1;
+
+ inline$storm_IoCallDriver$13$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$13$anon6#1;
+
+ inline$storm_IoCallDriver$13$anon6#1:
+ goto inline$storm_IoCallDriver$13$label_21#1;
+
+ inline$storm_IoCallDriver$13$label_21#1:
+ goto inline$storm_IoCallDriver$13$label_22#1;
+
+ inline$storm_IoCallDriver$13$label_22#1:
+ goto inline$storm_IoCallDriver$13$label_23#1;
+
+ inline$storm_IoCallDriver$13$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$13$label_24#1;
+
+ inline$storm_IoCallDriver$13$label_24#1:
+ call inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$13$label_27#1;
+
+ inline$storm_IoCallDriver$13$label_27#1:
+ goto inline$storm_IoCallDriver$13$label_27_case_0#1, inline$storm_IoCallDriver$13$label_27_case_1#1, inline$storm_IoCallDriver$13$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$13$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$13$label_30#1;
+
+ inline$storm_IoCallDriver$13$label_30#1:
+ inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$13$label_31#1;
+
+ inline$storm_IoCallDriver$13$label_31#1:
+ goto inline$storm_IoCallDriver$13$label_32#1;
+
+ inline$storm_IoCallDriver$13$label_32#1:
+ goto inline$storm_IoCallDriver$13$label_33#1;
+
+ inline$storm_IoCallDriver$13$label_33#1:
+ goto inline$CallCompletionRoutine$26$Entry#1;
+
+ inline$CallCompletionRoutine$26$Entry#1:
+ inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$26$start#1;
+
+ inline$CallCompletionRoutine$26$start#1:
+ inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$26$label_3#1;
+
+ inline$CallCompletionRoutine$26$label_3#1:
+ goto inline$CallCompletionRoutine$26$label_4#1;
+
+ inline$CallCompletionRoutine$26$label_4#1:
+ goto inline$CallCompletionRoutine$26$label_5#1;
+
+ inline$CallCompletionRoutine$26$label_5#1:
+ goto inline$CallCompletionRoutine$26$label_6#1;
+
+ inline$CallCompletionRoutine$26$label_6#1:
+ goto inline$CallCompletionRoutine$26$label_7#1;
+
+ inline$CallCompletionRoutine$26$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$133$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$133$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$start#1:
+ inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$133$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$133$label_3_true#1, inline$IoGetCurrentIrpStackLocation$133$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$133$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$133$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$133$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$133$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$133$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$133$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$133$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$133$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$133$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$133$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$133$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$133$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$133$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$133$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$133$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$133$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$133$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$133$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$133$Return#1:
+ goto inline$CallCompletionRoutine$26$label_7$1#1;
+
+ inline$CallCompletionRoutine$26$label_7$1#1:
+ goto inline$CallCompletionRoutine$26$anon10_Then#1, inline$CallCompletionRoutine$26$anon10_Else#1;
+
+ inline$CallCompletionRoutine$26$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$26$anon1#1;
+
+ inline$CallCompletionRoutine$26$anon1#1:
+ goto inline$CallCompletionRoutine$26$label_10#1;
+
+ inline$CallCompletionRoutine$26$label_10#1:
+ goto inline$CallCompletionRoutine$26$label_11#1;
+
+ inline$CallCompletionRoutine$26$label_11#1:
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$CallCompletionRoutine$26$label_12#1;
+
+ inline$CallCompletionRoutine$26$label_12#1:
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$CallCompletionRoutine$26$label_13#1;
+
+ inline$CallCompletionRoutine$26$label_13#1:
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$CallCompletionRoutine$26$label_14#1;
+
+ inline$CallCompletionRoutine$26$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$134$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$134$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$start#1:
+ inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$134$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$134$label_3_true#1, inline$IoGetCurrentIrpStackLocation$134$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$134$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$134$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$134$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$134$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$134$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$134$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$134$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$134$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$134$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$134$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$134$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$134$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$134$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$134$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$134$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$134$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$134$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$134$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$134$Return#1:
+ goto inline$CallCompletionRoutine$26$label_14$1#1;
+
+ inline$CallCompletionRoutine$26$label_14$1#1:
+ goto inline$CallCompletionRoutine$26$anon11_Then#1, inline$CallCompletionRoutine$26$anon11_Else#1;
+
+ inline$CallCompletionRoutine$26$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$26$anon3#1;
+
+ inline$CallCompletionRoutine$26$anon3#1:
+ goto inline$CallCompletionRoutine$26$label_17#1;
+
+ inline$CallCompletionRoutine$26$label_17#1:
+ goto inline$CallCompletionRoutine$26$label_18#1;
+
+ inline$CallCompletionRoutine$26$label_18#1:
+ goto inline$CallCompletionRoutine$26$label_18_true#1, inline$CallCompletionRoutine$26$label_18_false#1;
+
+ inline$CallCompletionRoutine$26$label_18_false#1:
+ assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$26$label_1#1;
+
+ inline$CallCompletionRoutine$26$label_18_true#1:
+ assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$26$label_19#1;
+
+ inline$CallCompletionRoutine$26$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$26$label_20#1;
+
+ inline$CallCompletionRoutine$26$label_20#1:
+ goto inline$CallCompletionRoutine$26$label_20_icall_1#1, inline$CallCompletionRoutine$26$label_20_icall_2#1, inline$CallCompletionRoutine$26$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$26$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$26$Entry#1:
+ inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$26$start#1;
+
+ inline$BDLSystemPowerIoCompletion$26$start#1:
+ call inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$26$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_4#1:
+ inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_6#1:
+ inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$26$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$136$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$136$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$start#1:
+ inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$136$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$136$label_3_true#1, inline$IoGetCurrentIrpStackLocation$136$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$136$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$136$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$136$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$136$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$136$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$136$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$136$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$136$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$136$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$136$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$136$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$136$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$136$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$136$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$136$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$136$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$136$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$136$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$136$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon36_Then#1, inline$BDLSystemPowerIoCompletion$26$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_15#1:
+ goto inline$BDLGetDebugLevel$697$Entry#1;
+
+ inline$BDLGetDebugLevel$697$Entry#1:
+ goto inline$BDLGetDebugLevel$697$start#1;
+
+ inline$BDLGetDebugLevel$697$start#1:
+ goto inline$BDLGetDebugLevel$697$label_3#1;
+
+ inline$BDLGetDebugLevel$697$label_3#1:
+ havoc inline$BDLGetDebugLevel$697$myNondetVar_0;
+ inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$697$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$697$label_1#1;
+
+ inline$BDLGetDebugLevel$697$label_1#1:
+ goto inline$BDLGetDebugLevel$697$Return#1;
+
+ inline$BDLGetDebugLevel$697$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$697$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon37_Then#1, inline$BDLSystemPowerIoCompletion$26$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_18_true#1, inline$BDLSystemPowerIoCompletion$26$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_19#1:
+ goto inline$BDLGetDebugLevel$698$Entry#1;
+
+ inline$BDLGetDebugLevel$698$Entry#1:
+ goto inline$BDLGetDebugLevel$698$start#1;
+
+ inline$BDLGetDebugLevel$698$start#1:
+ goto inline$BDLGetDebugLevel$698$label_3#1;
+
+ inline$BDLGetDebugLevel$698$label_3#1:
+ havoc inline$BDLGetDebugLevel$698$myNondetVar_0;
+ inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$698$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$698$label_1#1;
+
+ inline$BDLGetDebugLevel$698$label_1#1:
+ goto inline$BDLGetDebugLevel$698$Return#1;
+
+ inline$BDLGetDebugLevel$698$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$698$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon38_Then#1, inline$BDLSystemPowerIoCompletion$26$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_28_true#1, inline$BDLSystemPowerIoCompletion$26$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_29#1:
+ goto inline$BDLGetDebugLevel$699$Entry#1;
+
+ inline$BDLGetDebugLevel$699$Entry#1:
+ goto inline$BDLGetDebugLevel$699$start#1;
+
+ inline$BDLGetDebugLevel$699$start#1:
+ goto inline$BDLGetDebugLevel$699$label_3#1;
+
+ inline$BDLGetDebugLevel$699$label_3#1:
+ havoc inline$BDLGetDebugLevel$699$myNondetVar_0;
+ inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$699$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$699$label_1#1;
+
+ inline$BDLGetDebugLevel$699$label_1#1:
+ goto inline$BDLGetDebugLevel$699$Return#1;
+
+ inline$BDLGetDebugLevel$699$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$699$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon39_Then#1, inline$BDLSystemPowerIoCompletion$26$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_38_true#1, inline$BDLSystemPowerIoCompletion$26$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_39_true#1, inline$BDLSystemPowerIoCompletion$26$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$26$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$26$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$26$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$26$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$26$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_106_true#1, inline$BDLSystemPowerIoCompletion$26$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$26$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$26$myNondetVar_0, inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$26$anon50_Then#1, inline$BDLSystemPowerIoCompletion$26$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_112#1:
+ inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_113_true#1, inline$BDLSystemPowerIoCompletion$26$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$26$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_117#1:
+ goto inline$BDLGetDebugLevel$706$Entry#1;
+
+ inline$BDLGetDebugLevel$706$Entry#1:
+ goto inline$BDLGetDebugLevel$706$start#1;
+
+ inline$BDLGetDebugLevel$706$start#1:
+ goto inline$BDLGetDebugLevel$706$label_3#1;
+
+ inline$BDLGetDebugLevel$706$label_3#1:
+ havoc inline$BDLGetDebugLevel$706$myNondetVar_0;
+ inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$706$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$706$label_1#1;
+
+ inline$BDLGetDebugLevel$706$label_1#1:
+ goto inline$BDLGetDebugLevel$706$Return#1;
+
+ inline$BDLGetDebugLevel$706$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$706$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon51_Then#1, inline$BDLSystemPowerIoCompletion$26$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_120_true#1, inline$BDLSystemPowerIoCompletion$26$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_121#1:
+ goto inline$BDLGetDebugLevel$707$Entry#1;
+
+ inline$BDLGetDebugLevel$707$Entry#1:
+ goto inline$BDLGetDebugLevel$707$start#1;
+
+ inline$BDLGetDebugLevel$707$start#1:
+ goto inline$BDLGetDebugLevel$707$label_3#1;
+
+ inline$BDLGetDebugLevel$707$label_3#1:
+ havoc inline$BDLGetDebugLevel$707$myNondetVar_0;
+ inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$707$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$707$label_1#1;
+
+ inline$BDLGetDebugLevel$707$label_1#1:
+ goto inline$BDLGetDebugLevel$707$Return#1;
+
+ inline$BDLGetDebugLevel$707$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$707$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon52_Then#1, inline$BDLSystemPowerIoCompletion$26$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_130_true#1, inline$BDLSystemPowerIoCompletion$26$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_131#1:
+ goto inline$BDLGetDebugLevel$708$Entry#1;
+
+ inline$BDLGetDebugLevel$708$Entry#1:
+ goto inline$BDLGetDebugLevel$708$start#1;
+
+ inline$BDLGetDebugLevel$708$start#1:
+ goto inline$BDLGetDebugLevel$708$label_3#1;
+
+ inline$BDLGetDebugLevel$708$label_3#1:
+ havoc inline$BDLGetDebugLevel$708$myNondetVar_0;
+ inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$708$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$708$label_1#1;
+
+ inline$BDLGetDebugLevel$708$label_1#1:
+ goto inline$BDLGetDebugLevel$708$Return#1;
+
+ inline$BDLGetDebugLevel$708$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$708$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon53_Then#1, inline$BDLSystemPowerIoCompletion$26$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_140_true#1, inline$BDLSystemPowerIoCompletion$26$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$26$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_115#1:
+ inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$26$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$26$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$26$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_43#1:
+ goto inline$BDLGetDebugLevel$700$Entry#1;
+
+ inline$BDLGetDebugLevel$700$Entry#1:
+ goto inline$BDLGetDebugLevel$700$start#1;
+
+ inline$BDLGetDebugLevel$700$start#1:
+ goto inline$BDLGetDebugLevel$700$label_3#1;
+
+ inline$BDLGetDebugLevel$700$label_3#1:
+ havoc inline$BDLGetDebugLevel$700$myNondetVar_0;
+ inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$700$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$700$label_1#1;
+
+ inline$BDLGetDebugLevel$700$label_1#1:
+ goto inline$BDLGetDebugLevel$700$Return#1;
+
+ inline$BDLGetDebugLevel$700$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$700$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon40_Then#1, inline$BDLSystemPowerIoCompletion$26$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_46_true#1, inline$BDLSystemPowerIoCompletion$26$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_47#1:
+ goto inline$BDLGetDebugLevel$701$Entry#1;
+
+ inline$BDLGetDebugLevel$701$Entry#1:
+ goto inline$BDLGetDebugLevel$701$start#1;
+
+ inline$BDLGetDebugLevel$701$start#1:
+ goto inline$BDLGetDebugLevel$701$label_3#1;
+
+ inline$BDLGetDebugLevel$701$label_3#1:
+ havoc inline$BDLGetDebugLevel$701$myNondetVar_0;
+ inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$701$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$701$label_1#1;
+
+ inline$BDLGetDebugLevel$701$label_1#1:
+ goto inline$BDLGetDebugLevel$701$Return#1;
+
+ inline$BDLGetDebugLevel$701$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$701$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon41_Then#1, inline$BDLSystemPowerIoCompletion$26$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_56_true#1, inline$BDLSystemPowerIoCompletion$26$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_57#1:
+ goto inline$BDLGetDebugLevel$702$Entry#1;
+
+ inline$BDLGetDebugLevel$702$Entry#1:
+ goto inline$BDLGetDebugLevel$702$start#1;
+
+ inline$BDLGetDebugLevel$702$start#1:
+ goto inline$BDLGetDebugLevel$702$label_3#1;
+
+ inline$BDLGetDebugLevel$702$label_3#1:
+ havoc inline$BDLGetDebugLevel$702$myNondetVar_0;
+ inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$702$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$702$label_1#1;
+
+ inline$BDLGetDebugLevel$702$label_1#1:
+ goto inline$BDLGetDebugLevel$702$Return#1;
+
+ inline$BDLGetDebugLevel$702$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$702$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon42_Then#1, inline$BDLSystemPowerIoCompletion$26$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_66_true#1, inline$BDLSystemPowerIoCompletion$26$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$26$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$26$anon43_Then#1, inline$BDLSystemPowerIoCompletion$26$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$26$anon44_Then#1, inline$BDLSystemPowerIoCompletion$26$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_74#1:
+ goto inline$storm_IoCompleteRequest$54$Entry#1;
+
+ inline$storm_IoCompleteRequest$54$Entry#1:
+ inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$26$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$54$start#1;
+
+ inline$storm_IoCompleteRequest$54$start#1:
+ inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$54$label_3#1;
+
+ inline$storm_IoCompleteRequest$54$label_3#1:
+ call inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$54$label_6#1;
+
+ inline$storm_IoCompleteRequest$54$label_6#1:
+ goto inline$storm_IoCompleteRequest$54$label_6_true#1, inline$storm_IoCompleteRequest$54$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$54$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$54$label_7#1;
+
+ inline$storm_IoCompleteRequest$54$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$54$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$54$label_8#1;
+
+ inline$storm_IoCompleteRequest$54$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$54$anon3_Then#1, inline$storm_IoCompleteRequest$54$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$54$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$54$anon1#1;
+
+ inline$storm_IoCompleteRequest$54$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$54$label_9#1;
+
+ inline$storm_IoCompleteRequest$54$label_9#1:
+ goto inline$storm_IoCompleteRequest$54$label_9_true#1, inline$storm_IoCompleteRequest$54$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$54$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$54$label_10#1;
+
+ inline$storm_IoCompleteRequest$54$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$54$label_1#1;
+
+ inline$storm_IoCompleteRequest$54$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$54$label_7#1;
+
+ inline$storm_IoCompleteRequest$54$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$54$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$54$label_1#1;
+
+ inline$storm_IoCompleteRequest$54$label_1#1:
+ goto inline$storm_IoCompleteRequest$54$Return#1;
+
+ inline$storm_IoCompleteRequest$54$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$54$Return#1;
+
+ inline$storm_IoCompleteRequest$54$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon45_Then#1, inline$BDLSystemPowerIoCompletion$26$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$26$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$26$anon46_Then#1, inline$BDLSystemPowerIoCompletion$26$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_80#1:
+ goto inline$BDLGetDebugLevel$703$Entry#1;
+
+ inline$BDLGetDebugLevel$703$Entry#1:
+ goto inline$BDLGetDebugLevel$703$start#1;
+
+ inline$BDLGetDebugLevel$703$start#1:
+ goto inline$BDLGetDebugLevel$703$label_3#1;
+
+ inline$BDLGetDebugLevel$703$label_3#1:
+ havoc inline$BDLGetDebugLevel$703$myNondetVar_0;
+ inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$703$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$703$label_1#1;
+
+ inline$BDLGetDebugLevel$703$label_1#1:
+ goto inline$BDLGetDebugLevel$703$Return#1;
+
+ inline$BDLGetDebugLevel$703$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$703$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon47_Then#1, inline$BDLSystemPowerIoCompletion$26$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_83_true#1, inline$BDLSystemPowerIoCompletion$26$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_84#1:
+ goto inline$BDLGetDebugLevel$704$Entry#1;
+
+ inline$BDLGetDebugLevel$704$Entry#1:
+ goto inline$BDLGetDebugLevel$704$start#1;
+
+ inline$BDLGetDebugLevel$704$start#1:
+ goto inline$BDLGetDebugLevel$704$label_3#1;
+
+ inline$BDLGetDebugLevel$704$label_3#1:
+ havoc inline$BDLGetDebugLevel$704$myNondetVar_0;
+ inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$704$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$704$label_1#1;
+
+ inline$BDLGetDebugLevel$704$label_1#1:
+ goto inline$BDLGetDebugLevel$704$Return#1;
+
+ inline$BDLGetDebugLevel$704$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$704$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon48_Then#1, inline$BDLSystemPowerIoCompletion$26$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_93_true#1, inline$BDLSystemPowerIoCompletion$26$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$26$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$26$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_94#1:
+ goto inline$BDLGetDebugLevel$705$Entry#1;
+
+ inline$BDLGetDebugLevel$705$Entry#1:
+ goto inline$BDLGetDebugLevel$705$start#1;
+
+ inline$BDLGetDebugLevel$705$start#1:
+ goto inline$BDLGetDebugLevel$705$label_3#1;
+
+ inline$BDLGetDebugLevel$705$label_3#1:
+ havoc inline$BDLGetDebugLevel$705$myNondetVar_0;
+ inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$705$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$705$label_1#1;
+
+ inline$BDLGetDebugLevel$705$label_1#1:
+ goto inline$BDLGetDebugLevel$705$Return#1;
+
+ inline$BDLGetDebugLevel$705$Return#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$705$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$26$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$26$anon49_Then#1, inline$BDLSystemPowerIoCompletion$26$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_103_true#1, inline$BDLSystemPowerIoCompletion$26$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$26$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$26$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$26$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_104#1:
+ inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$26$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$26$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$26$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$26$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$26$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$26$Return#1:
+ inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$26$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$26$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$26$anon14_Then#1, inline$CallCompletionRoutine$26$anon14_Else#1;
+
+ inline$CallCompletionRoutine$26$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$26$anon9#1;
+
+ inline$CallCompletionRoutine$26$anon9#1:
+ goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$26$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$26$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$26$Entry#1:
+ inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$26$start#1;
+
+ inline$BDLDevicePowerIoCompletion$26$start#1:
+ call inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$26$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_4#1:
+ inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_6#1:
+ inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$26$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$135$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$135$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$start#1:
+ inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$135$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$135$label_3_true#1, inline$IoGetCurrentIrpStackLocation$135$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$135$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$135$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$135$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$135$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$135$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$135$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$135$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$135$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$135$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$135$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$135$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$135$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$135$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$135$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$135$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$135$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$135$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$135$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$135$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$135$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon30_Then#1, inline$BDLDevicePowerIoCompletion$26$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_13#1:
+ inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_17#1:
+ goto inline$BDLGetDebugLevel$688$Entry#1;
+
+ inline$BDLGetDebugLevel$688$Entry#1:
+ goto inline$BDLGetDebugLevel$688$start#1;
+
+ inline$BDLGetDebugLevel$688$start#1:
+ goto inline$BDLGetDebugLevel$688$label_3#1;
+
+ inline$BDLGetDebugLevel$688$label_3#1:
+ havoc inline$BDLGetDebugLevel$688$myNondetVar_0;
+ inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$688$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$688$label_1#1;
+
+ inline$BDLGetDebugLevel$688$label_1#1:
+ goto inline$BDLGetDebugLevel$688$Return#1;
+
+ inline$BDLGetDebugLevel$688$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$688$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon31_Then#1, inline$BDLDevicePowerIoCompletion$26$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_20_true#1, inline$BDLDevicePowerIoCompletion$26$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_21#1:
+ goto inline$BDLGetDebugLevel$689$Entry#1;
+
+ inline$BDLGetDebugLevel$689$Entry#1:
+ goto inline$BDLGetDebugLevel$689$start#1;
+
+ inline$BDLGetDebugLevel$689$start#1:
+ goto inline$BDLGetDebugLevel$689$label_3#1;
+
+ inline$BDLGetDebugLevel$689$label_3#1:
+ havoc inline$BDLGetDebugLevel$689$myNondetVar_0;
+ inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$689$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$689$label_1#1;
+
+ inline$BDLGetDebugLevel$689$label_1#1:
+ goto inline$BDLGetDebugLevel$689$Return#1;
+
+ inline$BDLGetDebugLevel$689$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$689$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon32_Then#1, inline$BDLDevicePowerIoCompletion$26$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_30_true#1, inline$BDLDevicePowerIoCompletion$26$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_31#1:
+ goto inline$BDLGetDebugLevel$690$Entry#1;
+
+ inline$BDLGetDebugLevel$690$Entry#1:
+ goto inline$BDLGetDebugLevel$690$start#1;
+
+ inline$BDLGetDebugLevel$690$start#1:
+ goto inline$BDLGetDebugLevel$690$label_3#1;
+
+ inline$BDLGetDebugLevel$690$label_3#1:
+ havoc inline$BDLGetDebugLevel$690$myNondetVar_0;
+ inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$690$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$690$label_1#1;
+
+ inline$BDLGetDebugLevel$690$label_1#1:
+ goto inline$BDLGetDebugLevel$690$Return#1;
+
+ inline$BDLGetDebugLevel$690$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$690$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon33_Then#1, inline$BDLDevicePowerIoCompletion$26$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_40_true#1, inline$BDLDevicePowerIoCompletion$26$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_41_true#1, inline$BDLDevicePowerIoCompletion$26$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$26$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$26$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_44_true#1, inline$BDLDevicePowerIoCompletion$26$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_45_true#1, inline$BDLDevicePowerIoCompletion$26$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$26$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$26$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$26$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_54#1:
+ inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$26$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_55_true#1, inline$BDLDevicePowerIoCompletion$26$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$26$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$26$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$26$anon34_Then#1, inline$BDLDevicePowerIoCompletion$26$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_59#1:
+ goto inline$BDLGetDebugLevel$691$Entry#1;
+
+ inline$BDLGetDebugLevel$691$Entry#1:
+ goto inline$BDLGetDebugLevel$691$start#1;
+
+ inline$BDLGetDebugLevel$691$start#1:
+ goto inline$BDLGetDebugLevel$691$label_3#1;
+
+ inline$BDLGetDebugLevel$691$label_3#1:
+ havoc inline$BDLGetDebugLevel$691$myNondetVar_0;
+ inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$691$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$691$label_1#1;
+
+ inline$BDLGetDebugLevel$691$label_1#1:
+ goto inline$BDLGetDebugLevel$691$Return#1;
+
+ inline$BDLGetDebugLevel$691$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$691$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon35_Then#1, inline$BDLDevicePowerIoCompletion$26$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_62_true#1, inline$BDLDevicePowerIoCompletion$26$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_63#1:
+ goto inline$BDLGetDebugLevel$692$Entry#1;
+
+ inline$BDLGetDebugLevel$692$Entry#1:
+ goto inline$BDLGetDebugLevel$692$start#1;
+
+ inline$BDLGetDebugLevel$692$start#1:
+ goto inline$BDLGetDebugLevel$692$label_3#1;
+
+ inline$BDLGetDebugLevel$692$label_3#1:
+ havoc inline$BDLGetDebugLevel$692$myNondetVar_0;
+ inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$692$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$692$label_1#1;
+
+ inline$BDLGetDebugLevel$692$label_1#1:
+ goto inline$BDLGetDebugLevel$692$Return#1;
+
+ inline$BDLGetDebugLevel$692$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$692$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon36_Then#1, inline$BDLDevicePowerIoCompletion$26$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_72_true#1, inline$BDLDevicePowerIoCompletion$26$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_73#1:
+ goto inline$BDLGetDebugLevel$693$Entry#1;
+
+ inline$BDLGetDebugLevel$693$Entry#1:
+ goto inline$BDLGetDebugLevel$693$start#1;
+
+ inline$BDLGetDebugLevel$693$start#1:
+ goto inline$BDLGetDebugLevel$693$label_3#1;
+
+ inline$BDLGetDebugLevel$693$label_3#1:
+ havoc inline$BDLGetDebugLevel$693$myNondetVar_0;
+ inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$693$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$693$label_1#1;
+
+ inline$BDLGetDebugLevel$693$label_1#1:
+ goto inline$BDLGetDebugLevel$693$Return#1;
+
+ inline$BDLGetDebugLevel$693$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$693$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon37_Then#1, inline$BDLDevicePowerIoCompletion$26$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_82_true#1, inline$BDLDevicePowerIoCompletion$26$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$26$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$26$anon38_Then#1, inline$BDLDevicePowerIoCompletion$26$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$26$anon39_Then#1, inline$BDLDevicePowerIoCompletion$26$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_92#1:
+ goto inline$storm_IoCompleteRequest$53$Entry#1;
+
+ inline$storm_IoCompleteRequest$53$Entry#1:
+ inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$26$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$53$start#1;
+
+ inline$storm_IoCompleteRequest$53$start#1:
+ inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$53$label_3#1;
+
+ inline$storm_IoCompleteRequest$53$label_3#1:
+ call inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$53$label_6#1;
+
+ inline$storm_IoCompleteRequest$53$label_6#1:
+ goto inline$storm_IoCompleteRequest$53$label_6_true#1, inline$storm_IoCompleteRequest$53$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$53$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$53$label_7#1;
+
+ inline$storm_IoCompleteRequest$53$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$53$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$53$label_8#1;
+
+ inline$storm_IoCompleteRequest$53$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$53$anon3_Then#1, inline$storm_IoCompleteRequest$53$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$53$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$53$anon1#1;
+
+ inline$storm_IoCompleteRequest$53$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$53$label_9#1;
+
+ inline$storm_IoCompleteRequest$53$label_9#1:
+ goto inline$storm_IoCompleteRequest$53$label_9_true#1, inline$storm_IoCompleteRequest$53$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$53$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$53$label_10#1;
+
+ inline$storm_IoCompleteRequest$53$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$53$label_1#1;
+
+ inline$storm_IoCompleteRequest$53$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$53$label_7#1;
+
+ inline$storm_IoCompleteRequest$53$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$53$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$53$label_1#1;
+
+ inline$storm_IoCompleteRequest$53$label_1#1:
+ goto inline$storm_IoCompleteRequest$53$Return#1;
+
+ inline$storm_IoCompleteRequest$53$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$53$Return#1;
+
+ inline$storm_IoCompleteRequest$53$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon40_Then#1, inline$BDLDevicePowerIoCompletion$26$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$26$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$26$anon41_Then#1, inline$BDLDevicePowerIoCompletion$26$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_98#1:
+ goto inline$BDLGetDebugLevel$694$Entry#1;
+
+ inline$BDLGetDebugLevel$694$Entry#1:
+ goto inline$BDLGetDebugLevel$694$start#1;
+
+ inline$BDLGetDebugLevel$694$start#1:
+ goto inline$BDLGetDebugLevel$694$label_3#1;
+
+ inline$BDLGetDebugLevel$694$label_3#1:
+ havoc inline$BDLGetDebugLevel$694$myNondetVar_0;
+ inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$694$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$694$label_1#1;
+
+ inline$BDLGetDebugLevel$694$label_1#1:
+ goto inline$BDLGetDebugLevel$694$Return#1;
+
+ inline$BDLGetDebugLevel$694$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$694$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon42_Then#1, inline$BDLDevicePowerIoCompletion$26$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_101_true#1, inline$BDLDevicePowerIoCompletion$26$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_102#1:
+ goto inline$BDLGetDebugLevel$695$Entry#1;
+
+ inline$BDLGetDebugLevel$695$Entry#1:
+ goto inline$BDLGetDebugLevel$695$start#1;
+
+ inline$BDLGetDebugLevel$695$start#1:
+ goto inline$BDLGetDebugLevel$695$label_3#1;
+
+ inline$BDLGetDebugLevel$695$label_3#1:
+ havoc inline$BDLGetDebugLevel$695$myNondetVar_0;
+ inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$695$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$695$label_1#1;
+
+ inline$BDLGetDebugLevel$695$label_1#1:
+ goto inline$BDLGetDebugLevel$695$Return#1;
+
+ inline$BDLGetDebugLevel$695$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$695$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon43_Then#1, inline$BDLDevicePowerIoCompletion$26$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_111_true#1, inline$BDLDevicePowerIoCompletion$26$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$26$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$26$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_112#1:
+ goto inline$BDLGetDebugLevel$696$Entry#1;
+
+ inline$BDLGetDebugLevel$696$Entry#1:
+ goto inline$BDLGetDebugLevel$696$start#1;
+
+ inline$BDLGetDebugLevel$696$start#1:
+ goto inline$BDLGetDebugLevel$696$label_3#1;
+
+ inline$BDLGetDebugLevel$696$label_3#1:
+ havoc inline$BDLGetDebugLevel$696$myNondetVar_0;
+ inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$696$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$696$label_1#1;
+
+ inline$BDLGetDebugLevel$696$label_1#1:
+ goto inline$BDLGetDebugLevel$696$Return#1;
+
+ inline$BDLGetDebugLevel$696$Return#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$696$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$26$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$26$anon44_Then#1, inline$BDLDevicePowerIoCompletion$26$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_121_true#1, inline$BDLDevicePowerIoCompletion$26$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$26$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$26$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$26$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_122#1:
+ inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$26$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$26$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$26$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$26$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$26$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$26$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$26$Return#1:
+ inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$26$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$26$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$26$anon13_Then#1, inline$CallCompletionRoutine$26$anon13_Else#1;
+
+ inline$CallCompletionRoutine$26$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$26$anon7#1;
+
+ inline$CallCompletionRoutine$26$anon7#1:
+ goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$26$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$26$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$26$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$26$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$26$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$26$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$start#1:
+ inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$26$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$label_3_true#1, inline$BDLCallDriverCompletionRoutine$26$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$26$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$26$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$26$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_6#1:
+ goto inline$storm_KeSetEvent$30$Entry#1;
+
+ inline$storm_KeSetEvent$30$Entry#1:
+ inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$26$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$30$start#1;
+
+ inline$storm_KeSetEvent$30$start#1:
+ inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$30$label_3#1;
+
+ inline$storm_KeSetEvent$30$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$30$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$30$label_1#1;
+
+ inline$storm_KeSetEvent$30$label_1#1:
+ goto inline$storm_KeSetEvent$30$Return#1;
+
+ inline$storm_KeSetEvent$30$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$26$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$26$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$26$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$26$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$26$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$26$Return#1:
+ inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$26$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$26$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$26$anon12_Then#1, inline$CallCompletionRoutine$26$anon12_Else#1;
+
+ inline$CallCompletionRoutine$26$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$26$anon5#1;
+
+ inline$CallCompletionRoutine$26$anon5#1:
+ goto inline$CallCompletionRoutine$26$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$26$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$26$label_23#1;
+
+ inline$CallCompletionRoutine$26$label_23#1:
+ inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$26$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$26$label_24#1;
+
+ inline$CallCompletionRoutine$26$label_24#1:
+ goto inline$CallCompletionRoutine$26$label_24_true#1, inline$CallCompletionRoutine$26$label_24_false#1;
+
+ inline$CallCompletionRoutine$26$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$26$label_1#1;
+
+ inline$CallCompletionRoutine$26$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$26$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$26$label_25#1;
+
+ inline$CallCompletionRoutine$26$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$26$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$26$label_1#1;
+
+ inline$CallCompletionRoutine$26$label_1#1:
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$26$Return#1;
+
+ inline$CallCompletionRoutine$26$Return#1:
+ goto inline$storm_IoCallDriver$13$label_33$1#1;
+
+ inline$storm_IoCallDriver$13$label_33$1#1:
+ goto inline$storm_IoCallDriver$13$anon14_Then#1, inline$storm_IoCallDriver$13$anon14_Else#1;
+
+ inline$storm_IoCallDriver$13$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$13$anon8#1;
+
+ inline$storm_IoCallDriver$13$anon8#1:
+ goto inline$storm_IoCallDriver$13$label_36#1;
+
+ inline$storm_IoCallDriver$13$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$13$label_29#1;
+
+ inline$storm_IoCallDriver$13$label_29#1:
+ inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$13$label_37#1;
+
+ inline$storm_IoCallDriver$13$label_37#1:
+ goto inline$storm_IoCallDriver$13$label_38#1;
+
+ inline$storm_IoCallDriver$13$label_38#1:
+ goto inline$storm_IoCallDriver$13$label_39#1;
+
+ inline$storm_IoCallDriver$13$label_39#1:
+ goto inline$CallCompletionRoutine$27$Entry#1;
+
+ inline$CallCompletionRoutine$27$Entry#1:
+ inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$27$start#1;
+
+ inline$CallCompletionRoutine$27$start#1:
+ inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$27$label_3#1;
+
+ inline$CallCompletionRoutine$27$label_3#1:
+ goto inline$CallCompletionRoutine$27$label_4#1;
+
+ inline$CallCompletionRoutine$27$label_4#1:
+ goto inline$CallCompletionRoutine$27$label_5#1;
+
+ inline$CallCompletionRoutine$27$label_5#1:
+ goto inline$CallCompletionRoutine$27$label_6#1;
+
+ inline$CallCompletionRoutine$27$label_6#1:
+ goto inline$CallCompletionRoutine$27$label_7#1;
+
+ inline$CallCompletionRoutine$27$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$137$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$137$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$start#1:
+ inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$137$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$137$label_3_true#1, inline$IoGetCurrentIrpStackLocation$137$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$137$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$137$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$137$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$137$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$137$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$137$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$137$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$137$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$137$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$137$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$137$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$137$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$137$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$137$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$137$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$137$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$137$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$137$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$137$Return#1:
+ goto inline$CallCompletionRoutine$27$label_7$1#1;
+
+ inline$CallCompletionRoutine$27$label_7$1#1:
+ goto inline$CallCompletionRoutine$27$anon10_Then#1, inline$CallCompletionRoutine$27$anon10_Else#1;
+
+ inline$CallCompletionRoutine$27$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$27$anon1#1;
+
+ inline$CallCompletionRoutine$27$anon1#1:
+ goto inline$CallCompletionRoutine$27$label_10#1;
+
+ inline$CallCompletionRoutine$27$label_10#1:
+ goto inline$CallCompletionRoutine$27$label_11#1;
+
+ inline$CallCompletionRoutine$27$label_11#1:
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$CallCompletionRoutine$27$label_12#1;
+
+ inline$CallCompletionRoutine$27$label_12#1:
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$CallCompletionRoutine$27$label_13#1;
+
+ inline$CallCompletionRoutine$27$label_13#1:
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$CallCompletionRoutine$27$label_14#1;
+
+ inline$CallCompletionRoutine$27$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$138$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$138$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$start#1:
+ inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$138$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$138$label_3_true#1, inline$IoGetCurrentIrpStackLocation$138$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$138$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$138$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$138$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$138$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$138$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$138$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$138$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$138$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$138$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$138$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$138$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$138$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$138$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$138$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$138$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$138$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$138$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$138$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$138$Return#1:
+ goto inline$CallCompletionRoutine$27$label_14$1#1;
+
+ inline$CallCompletionRoutine$27$label_14$1#1:
+ goto inline$CallCompletionRoutine$27$anon11_Then#1, inline$CallCompletionRoutine$27$anon11_Else#1;
+
+ inline$CallCompletionRoutine$27$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$27$anon3#1;
+
+ inline$CallCompletionRoutine$27$anon3#1:
+ goto inline$CallCompletionRoutine$27$label_17#1;
+
+ inline$CallCompletionRoutine$27$label_17#1:
+ goto inline$CallCompletionRoutine$27$label_18#1;
+
+ inline$CallCompletionRoutine$27$label_18#1:
+ goto inline$CallCompletionRoutine$27$label_18_true#1, inline$CallCompletionRoutine$27$label_18_false#1;
+
+ inline$CallCompletionRoutine$27$label_18_false#1:
+ assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$27$label_1#1;
+
+ inline$CallCompletionRoutine$27$label_18_true#1:
+ assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$27$label_19#1;
+
+ inline$CallCompletionRoutine$27$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$27$label_20#1;
+
+ inline$CallCompletionRoutine$27$label_20#1:
+ goto inline$CallCompletionRoutine$27$label_20_icall_1#1, inline$CallCompletionRoutine$27$label_20_icall_2#1, inline$CallCompletionRoutine$27$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$27$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$27$Entry#1:
+ inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$27$start#1;
+
+ inline$BDLSystemPowerIoCompletion$27$start#1:
+ call inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$27$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_4#1:
+ inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_6#1:
+ inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$27$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$140$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$140$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$start#1:
+ inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$140$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$140$label_3_true#1, inline$IoGetCurrentIrpStackLocation$140$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$140$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$140$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$140$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$140$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$140$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$140$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$140$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$140$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$140$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$140$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$140$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$140$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$140$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$140$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$140$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$140$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$140$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$140$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$140$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon36_Then#1, inline$BDLSystemPowerIoCompletion$27$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_15#1:
+ goto inline$BDLGetDebugLevel$718$Entry#1;
+
+ inline$BDLGetDebugLevel$718$Entry#1:
+ goto inline$BDLGetDebugLevel$718$start#1;
+
+ inline$BDLGetDebugLevel$718$start#1:
+ goto inline$BDLGetDebugLevel$718$label_3#1;
+
+ inline$BDLGetDebugLevel$718$label_3#1:
+ havoc inline$BDLGetDebugLevel$718$myNondetVar_0;
+ inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$718$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$718$label_1#1;
+
+ inline$BDLGetDebugLevel$718$label_1#1:
+ goto inline$BDLGetDebugLevel$718$Return#1;
+
+ inline$BDLGetDebugLevel$718$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$718$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon37_Then#1, inline$BDLSystemPowerIoCompletion$27$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_18_true#1, inline$BDLSystemPowerIoCompletion$27$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_19#1:
+ goto inline$BDLGetDebugLevel$719$Entry#1;
+
+ inline$BDLGetDebugLevel$719$Entry#1:
+ goto inline$BDLGetDebugLevel$719$start#1;
+
+ inline$BDLGetDebugLevel$719$start#1:
+ goto inline$BDLGetDebugLevel$719$label_3#1;
+
+ inline$BDLGetDebugLevel$719$label_3#1:
+ havoc inline$BDLGetDebugLevel$719$myNondetVar_0;
+ inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$719$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$719$label_1#1;
+
+ inline$BDLGetDebugLevel$719$label_1#1:
+ goto inline$BDLGetDebugLevel$719$Return#1;
+
+ inline$BDLGetDebugLevel$719$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$719$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon38_Then#1, inline$BDLSystemPowerIoCompletion$27$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_28_true#1, inline$BDLSystemPowerIoCompletion$27$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_29#1:
+ goto inline$BDLGetDebugLevel$720$Entry#1;
+
+ inline$BDLGetDebugLevel$720$Entry#1:
+ goto inline$BDLGetDebugLevel$720$start#1;
+
+ inline$BDLGetDebugLevel$720$start#1:
+ goto inline$BDLGetDebugLevel$720$label_3#1;
+
+ inline$BDLGetDebugLevel$720$label_3#1:
+ havoc inline$BDLGetDebugLevel$720$myNondetVar_0;
+ inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$720$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$720$label_1#1;
+
+ inline$BDLGetDebugLevel$720$label_1#1:
+ goto inline$BDLGetDebugLevel$720$Return#1;
+
+ inline$BDLGetDebugLevel$720$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$720$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon39_Then#1, inline$BDLSystemPowerIoCompletion$27$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_38_true#1, inline$BDLSystemPowerIoCompletion$27$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_39_true#1, inline$BDLSystemPowerIoCompletion$27$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$27$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$27$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$27$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$27$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$27$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_106_true#1, inline$BDLSystemPowerIoCompletion$27$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$27$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$27$myNondetVar_0, inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$27$anon50_Then#1, inline$BDLSystemPowerIoCompletion$27$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_112#1:
+ inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_113_true#1, inline$BDLSystemPowerIoCompletion$27$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$27$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_117#1:
+ goto inline$BDLGetDebugLevel$727$Entry#1;
+
+ inline$BDLGetDebugLevel$727$Entry#1:
+ goto inline$BDLGetDebugLevel$727$start#1;
+
+ inline$BDLGetDebugLevel$727$start#1:
+ goto inline$BDLGetDebugLevel$727$label_3#1;
+
+ inline$BDLGetDebugLevel$727$label_3#1:
+ havoc inline$BDLGetDebugLevel$727$myNondetVar_0;
+ inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$727$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$727$label_1#1;
+
+ inline$BDLGetDebugLevel$727$label_1#1:
+ goto inline$BDLGetDebugLevel$727$Return#1;
+
+ inline$BDLGetDebugLevel$727$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$727$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon51_Then#1, inline$BDLSystemPowerIoCompletion$27$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_120_true#1, inline$BDLSystemPowerIoCompletion$27$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_121#1:
+ goto inline$BDLGetDebugLevel$728$Entry#1;
+
+ inline$BDLGetDebugLevel$728$Entry#1:
+ goto inline$BDLGetDebugLevel$728$start#1;
+
+ inline$BDLGetDebugLevel$728$start#1:
+ goto inline$BDLGetDebugLevel$728$label_3#1;
+
+ inline$BDLGetDebugLevel$728$label_3#1:
+ havoc inline$BDLGetDebugLevel$728$myNondetVar_0;
+ inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$728$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$728$label_1#1;
+
+ inline$BDLGetDebugLevel$728$label_1#1:
+ goto inline$BDLGetDebugLevel$728$Return#1;
+
+ inline$BDLGetDebugLevel$728$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$728$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon52_Then#1, inline$BDLSystemPowerIoCompletion$27$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_130_true#1, inline$BDLSystemPowerIoCompletion$27$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_131#1:
+ goto inline$BDLGetDebugLevel$729$Entry#1;
+
+ inline$BDLGetDebugLevel$729$Entry#1:
+ goto inline$BDLGetDebugLevel$729$start#1;
+
+ inline$BDLGetDebugLevel$729$start#1:
+ goto inline$BDLGetDebugLevel$729$label_3#1;
+
+ inline$BDLGetDebugLevel$729$label_3#1:
+ havoc inline$BDLGetDebugLevel$729$myNondetVar_0;
+ inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$729$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$729$label_1#1;
+
+ inline$BDLGetDebugLevel$729$label_1#1:
+ goto inline$BDLGetDebugLevel$729$Return#1;
+
+ inline$BDLGetDebugLevel$729$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$729$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon53_Then#1, inline$BDLSystemPowerIoCompletion$27$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_140_true#1, inline$BDLSystemPowerIoCompletion$27$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$27$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_115#1:
+ inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$27$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$27$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$27$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_43#1:
+ goto inline$BDLGetDebugLevel$721$Entry#1;
+
+ inline$BDLGetDebugLevel$721$Entry#1:
+ goto inline$BDLGetDebugLevel$721$start#1;
+
+ inline$BDLGetDebugLevel$721$start#1:
+ goto inline$BDLGetDebugLevel$721$label_3#1;
+
+ inline$BDLGetDebugLevel$721$label_3#1:
+ havoc inline$BDLGetDebugLevel$721$myNondetVar_0;
+ inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$721$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$721$label_1#1;
+
+ inline$BDLGetDebugLevel$721$label_1#1:
+ goto inline$BDLGetDebugLevel$721$Return#1;
+
+ inline$BDLGetDebugLevel$721$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$721$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon40_Then#1, inline$BDLSystemPowerIoCompletion$27$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_46_true#1, inline$BDLSystemPowerIoCompletion$27$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_47#1:
+ goto inline$BDLGetDebugLevel$722$Entry#1;
+
+ inline$BDLGetDebugLevel$722$Entry#1:
+ goto inline$BDLGetDebugLevel$722$start#1;
+
+ inline$BDLGetDebugLevel$722$start#1:
+ goto inline$BDLGetDebugLevel$722$label_3#1;
+
+ inline$BDLGetDebugLevel$722$label_3#1:
+ havoc inline$BDLGetDebugLevel$722$myNondetVar_0;
+ inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$722$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$722$label_1#1;
+
+ inline$BDLGetDebugLevel$722$label_1#1:
+ goto inline$BDLGetDebugLevel$722$Return#1;
+
+ inline$BDLGetDebugLevel$722$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$722$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon41_Then#1, inline$BDLSystemPowerIoCompletion$27$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_56_true#1, inline$BDLSystemPowerIoCompletion$27$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_57#1:
+ goto inline$BDLGetDebugLevel$723$Entry#1;
+
+ inline$BDLGetDebugLevel$723$Entry#1:
+ goto inline$BDLGetDebugLevel$723$start#1;
+
+ inline$BDLGetDebugLevel$723$start#1:
+ goto inline$BDLGetDebugLevel$723$label_3#1;
+
+ inline$BDLGetDebugLevel$723$label_3#1:
+ havoc inline$BDLGetDebugLevel$723$myNondetVar_0;
+ inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$723$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$723$label_1#1;
+
+ inline$BDLGetDebugLevel$723$label_1#1:
+ goto inline$BDLGetDebugLevel$723$Return#1;
+
+ inline$BDLGetDebugLevel$723$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$723$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon42_Then#1, inline$BDLSystemPowerIoCompletion$27$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_66_true#1, inline$BDLSystemPowerIoCompletion$27$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$27$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$27$anon43_Then#1, inline$BDLSystemPowerIoCompletion$27$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$27$anon44_Then#1, inline$BDLSystemPowerIoCompletion$27$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_74#1:
+ goto inline$storm_IoCompleteRequest$56$Entry#1;
+
+ inline$storm_IoCompleteRequest$56$Entry#1:
+ inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$27$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$56$start#1;
+
+ inline$storm_IoCompleteRequest$56$start#1:
+ inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$56$label_3#1;
+
+ inline$storm_IoCompleteRequest$56$label_3#1:
+ call inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$56$label_6#1;
+
+ inline$storm_IoCompleteRequest$56$label_6#1:
+ goto inline$storm_IoCompleteRequest$56$label_6_true#1, inline$storm_IoCompleteRequest$56$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$56$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$56$label_7#1;
+
+ inline$storm_IoCompleteRequest$56$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$56$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$56$label_8#1;
+
+ inline$storm_IoCompleteRequest$56$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$56$anon3_Then#1, inline$storm_IoCompleteRequest$56$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$56$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$56$anon1#1;
+
+ inline$storm_IoCompleteRequest$56$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$56$label_9#1;
+
+ inline$storm_IoCompleteRequest$56$label_9#1:
+ goto inline$storm_IoCompleteRequest$56$label_9_true#1, inline$storm_IoCompleteRequest$56$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$56$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$56$label_10#1;
+
+ inline$storm_IoCompleteRequest$56$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$56$label_1#1;
+
+ inline$storm_IoCompleteRequest$56$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$56$label_7#1;
+
+ inline$storm_IoCompleteRequest$56$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$56$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$56$label_1#1;
+
+ inline$storm_IoCompleteRequest$56$label_1#1:
+ goto inline$storm_IoCompleteRequest$56$Return#1;
+
+ inline$storm_IoCompleteRequest$56$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$56$Return#1;
+
+ inline$storm_IoCompleteRequest$56$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon45_Then#1, inline$BDLSystemPowerIoCompletion$27$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$27$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$27$anon46_Then#1, inline$BDLSystemPowerIoCompletion$27$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_80#1:
+ goto inline$BDLGetDebugLevel$724$Entry#1;
+
+ inline$BDLGetDebugLevel$724$Entry#1:
+ goto inline$BDLGetDebugLevel$724$start#1;
+
+ inline$BDLGetDebugLevel$724$start#1:
+ goto inline$BDLGetDebugLevel$724$label_3#1;
+
+ inline$BDLGetDebugLevel$724$label_3#1:
+ havoc inline$BDLGetDebugLevel$724$myNondetVar_0;
+ inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$724$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$724$label_1#1;
+
+ inline$BDLGetDebugLevel$724$label_1#1:
+ goto inline$BDLGetDebugLevel$724$Return#1;
+
+ inline$BDLGetDebugLevel$724$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$724$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon47_Then#1, inline$BDLSystemPowerIoCompletion$27$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_83_true#1, inline$BDLSystemPowerIoCompletion$27$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_84#1:
+ goto inline$BDLGetDebugLevel$725$Entry#1;
+
+ inline$BDLGetDebugLevel$725$Entry#1:
+ goto inline$BDLGetDebugLevel$725$start#1;
+
+ inline$BDLGetDebugLevel$725$start#1:
+ goto inline$BDLGetDebugLevel$725$label_3#1;
+
+ inline$BDLGetDebugLevel$725$label_3#1:
+ havoc inline$BDLGetDebugLevel$725$myNondetVar_0;
+ inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$725$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$725$label_1#1;
+
+ inline$BDLGetDebugLevel$725$label_1#1:
+ goto inline$BDLGetDebugLevel$725$Return#1;
+
+ inline$BDLGetDebugLevel$725$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$725$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon48_Then#1, inline$BDLSystemPowerIoCompletion$27$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_93_true#1, inline$BDLSystemPowerIoCompletion$27$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$27$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$27$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_94#1:
+ goto inline$BDLGetDebugLevel$726$Entry#1;
+
+ inline$BDLGetDebugLevel$726$Entry#1:
+ goto inline$BDLGetDebugLevel$726$start#1;
+
+ inline$BDLGetDebugLevel$726$start#1:
+ goto inline$BDLGetDebugLevel$726$label_3#1;
+
+ inline$BDLGetDebugLevel$726$label_3#1:
+ havoc inline$BDLGetDebugLevel$726$myNondetVar_0;
+ inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$726$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$726$label_1#1;
+
+ inline$BDLGetDebugLevel$726$label_1#1:
+ goto inline$BDLGetDebugLevel$726$Return#1;
+
+ inline$BDLGetDebugLevel$726$Return#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$726$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$27$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$27$anon49_Then#1, inline$BDLSystemPowerIoCompletion$27$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_103_true#1, inline$BDLSystemPowerIoCompletion$27$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$27$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$27$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$27$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_104#1:
+ inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$27$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$27$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$27$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$27$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$27$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$27$Return#1:
+ inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$27$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$27$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$27$anon14_Then#1, inline$CallCompletionRoutine$27$anon14_Else#1;
+
+ inline$CallCompletionRoutine$27$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$27$anon9#1;
+
+ inline$CallCompletionRoutine$27$anon9#1:
+ goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$27$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$27$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$27$Entry#1:
+ inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$27$start#1;
+
+ inline$BDLDevicePowerIoCompletion$27$start#1:
+ call inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$27$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_4#1:
+ inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_6#1:
+ inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$27$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$139$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$139$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$start#1:
+ inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$139$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$139$label_3_true#1, inline$IoGetCurrentIrpStackLocation$139$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$139$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$139$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$139$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$139$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$139$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$139$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$139$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$139$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$139$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$139$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$139$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$139$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$139$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$139$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$139$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$139$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$139$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$139$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$139$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$139$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon30_Then#1, inline$BDLDevicePowerIoCompletion$27$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_13#1:
+ inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_17#1:
+ goto inline$BDLGetDebugLevel$709$Entry#1;
+
+ inline$BDLGetDebugLevel$709$Entry#1:
+ goto inline$BDLGetDebugLevel$709$start#1;
+
+ inline$BDLGetDebugLevel$709$start#1:
+ goto inline$BDLGetDebugLevel$709$label_3#1;
+
+ inline$BDLGetDebugLevel$709$label_3#1:
+ havoc inline$BDLGetDebugLevel$709$myNondetVar_0;
+ inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$709$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$709$label_1#1;
+
+ inline$BDLGetDebugLevel$709$label_1#1:
+ goto inline$BDLGetDebugLevel$709$Return#1;
+
+ inline$BDLGetDebugLevel$709$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$709$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon31_Then#1, inline$BDLDevicePowerIoCompletion$27$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_20_true#1, inline$BDLDevicePowerIoCompletion$27$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_21#1:
+ goto inline$BDLGetDebugLevel$710$Entry#1;
+
+ inline$BDLGetDebugLevel$710$Entry#1:
+ goto inline$BDLGetDebugLevel$710$start#1;
+
+ inline$BDLGetDebugLevel$710$start#1:
+ goto inline$BDLGetDebugLevel$710$label_3#1;
+
+ inline$BDLGetDebugLevel$710$label_3#1:
+ havoc inline$BDLGetDebugLevel$710$myNondetVar_0;
+ inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$710$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$710$label_1#1;
+
+ inline$BDLGetDebugLevel$710$label_1#1:
+ goto inline$BDLGetDebugLevel$710$Return#1;
+
+ inline$BDLGetDebugLevel$710$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$710$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon32_Then#1, inline$BDLDevicePowerIoCompletion$27$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_30_true#1, inline$BDLDevicePowerIoCompletion$27$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_31#1:
+ goto inline$BDLGetDebugLevel$711$Entry#1;
+
+ inline$BDLGetDebugLevel$711$Entry#1:
+ goto inline$BDLGetDebugLevel$711$start#1;
+
+ inline$BDLGetDebugLevel$711$start#1:
+ goto inline$BDLGetDebugLevel$711$label_3#1;
+
+ inline$BDLGetDebugLevel$711$label_3#1:
+ havoc inline$BDLGetDebugLevel$711$myNondetVar_0;
+ inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$711$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$711$label_1#1;
+
+ inline$BDLGetDebugLevel$711$label_1#1:
+ goto inline$BDLGetDebugLevel$711$Return#1;
+
+ inline$BDLGetDebugLevel$711$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$711$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon33_Then#1, inline$BDLDevicePowerIoCompletion$27$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_40_true#1, inline$BDLDevicePowerIoCompletion$27$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_41_true#1, inline$BDLDevicePowerIoCompletion$27$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$27$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$27$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_44_true#1, inline$BDLDevicePowerIoCompletion$27$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_45_true#1, inline$BDLDevicePowerIoCompletion$27$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$27$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$27$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$27$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_54#1:
+ inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$27$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_55_true#1, inline$BDLDevicePowerIoCompletion$27$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$27$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$27$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$27$anon34_Then#1, inline$BDLDevicePowerIoCompletion$27$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_59#1:
+ goto inline$BDLGetDebugLevel$712$Entry#1;
+
+ inline$BDLGetDebugLevel$712$Entry#1:
+ goto inline$BDLGetDebugLevel$712$start#1;
+
+ inline$BDLGetDebugLevel$712$start#1:
+ goto inline$BDLGetDebugLevel$712$label_3#1;
+
+ inline$BDLGetDebugLevel$712$label_3#1:
+ havoc inline$BDLGetDebugLevel$712$myNondetVar_0;
+ inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$712$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$712$label_1#1;
+
+ inline$BDLGetDebugLevel$712$label_1#1:
+ goto inline$BDLGetDebugLevel$712$Return#1;
+
+ inline$BDLGetDebugLevel$712$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$712$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon35_Then#1, inline$BDLDevicePowerIoCompletion$27$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_62_true#1, inline$BDLDevicePowerIoCompletion$27$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_63#1:
+ goto inline$BDLGetDebugLevel$713$Entry#1;
+
+ inline$BDLGetDebugLevel$713$Entry#1:
+ goto inline$BDLGetDebugLevel$713$start#1;
+
+ inline$BDLGetDebugLevel$713$start#1:
+ goto inline$BDLGetDebugLevel$713$label_3#1;
+
+ inline$BDLGetDebugLevel$713$label_3#1:
+ havoc inline$BDLGetDebugLevel$713$myNondetVar_0;
+ inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$713$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$713$label_1#1;
+
+ inline$BDLGetDebugLevel$713$label_1#1:
+ goto inline$BDLGetDebugLevel$713$Return#1;
+
+ inline$BDLGetDebugLevel$713$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$713$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon36_Then#1, inline$BDLDevicePowerIoCompletion$27$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_72_true#1, inline$BDLDevicePowerIoCompletion$27$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_73#1:
+ goto inline$BDLGetDebugLevel$714$Entry#1;
+
+ inline$BDLGetDebugLevel$714$Entry#1:
+ goto inline$BDLGetDebugLevel$714$start#1;
+
+ inline$BDLGetDebugLevel$714$start#1:
+ goto inline$BDLGetDebugLevel$714$label_3#1;
+
+ inline$BDLGetDebugLevel$714$label_3#1:
+ havoc inline$BDLGetDebugLevel$714$myNondetVar_0;
+ inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$714$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$714$label_1#1;
+
+ inline$BDLGetDebugLevel$714$label_1#1:
+ goto inline$BDLGetDebugLevel$714$Return#1;
+
+ inline$BDLGetDebugLevel$714$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$714$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon37_Then#1, inline$BDLDevicePowerIoCompletion$27$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_82_true#1, inline$BDLDevicePowerIoCompletion$27$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$27$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$27$anon38_Then#1, inline$BDLDevicePowerIoCompletion$27$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$27$anon39_Then#1, inline$BDLDevicePowerIoCompletion$27$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_92#1:
+ goto inline$storm_IoCompleteRequest$55$Entry#1;
+
+ inline$storm_IoCompleteRequest$55$Entry#1:
+ inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$27$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$55$start#1;
+
+ inline$storm_IoCompleteRequest$55$start#1:
+ inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$55$label_3#1;
+
+ inline$storm_IoCompleteRequest$55$label_3#1:
+ call inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$55$label_6#1;
+
+ inline$storm_IoCompleteRequest$55$label_6#1:
+ goto inline$storm_IoCompleteRequest$55$label_6_true#1, inline$storm_IoCompleteRequest$55$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$55$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$55$label_7#1;
+
+ inline$storm_IoCompleteRequest$55$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$55$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$55$label_8#1;
+
+ inline$storm_IoCompleteRequest$55$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$55$anon3_Then#1, inline$storm_IoCompleteRequest$55$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$55$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$55$anon1#1;
+
+ inline$storm_IoCompleteRequest$55$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$55$label_9#1;
+
+ inline$storm_IoCompleteRequest$55$label_9#1:
+ goto inline$storm_IoCompleteRequest$55$label_9_true#1, inline$storm_IoCompleteRequest$55$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$55$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$55$label_10#1;
+
+ inline$storm_IoCompleteRequest$55$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$55$label_1#1;
+
+ inline$storm_IoCompleteRequest$55$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$55$label_7#1;
+
+ inline$storm_IoCompleteRequest$55$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$55$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$55$label_1#1;
+
+ inline$storm_IoCompleteRequest$55$label_1#1:
+ goto inline$storm_IoCompleteRequest$55$Return#1;
+
+ inline$storm_IoCompleteRequest$55$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$55$Return#1;
+
+ inline$storm_IoCompleteRequest$55$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon40_Then#1, inline$BDLDevicePowerIoCompletion$27$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$27$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$27$anon41_Then#1, inline$BDLDevicePowerIoCompletion$27$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_98#1:
+ goto inline$BDLGetDebugLevel$715$Entry#1;
+
+ inline$BDLGetDebugLevel$715$Entry#1:
+ goto inline$BDLGetDebugLevel$715$start#1;
+
+ inline$BDLGetDebugLevel$715$start#1:
+ goto inline$BDLGetDebugLevel$715$label_3#1;
+
+ inline$BDLGetDebugLevel$715$label_3#1:
+ havoc inline$BDLGetDebugLevel$715$myNondetVar_0;
+ inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$715$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$715$label_1#1;
+
+ inline$BDLGetDebugLevel$715$label_1#1:
+ goto inline$BDLGetDebugLevel$715$Return#1;
+
+ inline$BDLGetDebugLevel$715$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$715$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon42_Then#1, inline$BDLDevicePowerIoCompletion$27$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_101_true#1, inline$BDLDevicePowerIoCompletion$27$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_102#1:
+ goto inline$BDLGetDebugLevel$716$Entry#1;
+
+ inline$BDLGetDebugLevel$716$Entry#1:
+ goto inline$BDLGetDebugLevel$716$start#1;
+
+ inline$BDLGetDebugLevel$716$start#1:
+ goto inline$BDLGetDebugLevel$716$label_3#1;
+
+ inline$BDLGetDebugLevel$716$label_3#1:
+ havoc inline$BDLGetDebugLevel$716$myNondetVar_0;
+ inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$716$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$716$label_1#1;
+
+ inline$BDLGetDebugLevel$716$label_1#1:
+ goto inline$BDLGetDebugLevel$716$Return#1;
+
+ inline$BDLGetDebugLevel$716$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$716$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon43_Then#1, inline$BDLDevicePowerIoCompletion$27$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_111_true#1, inline$BDLDevicePowerIoCompletion$27$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$27$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$27$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_112#1:
+ goto inline$BDLGetDebugLevel$717$Entry#1;
+
+ inline$BDLGetDebugLevel$717$Entry#1:
+ goto inline$BDLGetDebugLevel$717$start#1;
+
+ inline$BDLGetDebugLevel$717$start#1:
+ goto inline$BDLGetDebugLevel$717$label_3#1;
+
+ inline$BDLGetDebugLevel$717$label_3#1:
+ havoc inline$BDLGetDebugLevel$717$myNondetVar_0;
+ inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$717$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$717$label_1#1;
+
+ inline$BDLGetDebugLevel$717$label_1#1:
+ goto inline$BDLGetDebugLevel$717$Return#1;
+
+ inline$BDLGetDebugLevel$717$Return#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$717$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$27$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$27$anon44_Then#1, inline$BDLDevicePowerIoCompletion$27$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_121_true#1, inline$BDLDevicePowerIoCompletion$27$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$27$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$27$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$27$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_122#1:
+ inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$27$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$27$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$27$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$27$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$27$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$27$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$27$Return#1:
+ inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$27$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$27$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$27$anon13_Then#1, inline$CallCompletionRoutine$27$anon13_Else#1;
+
+ inline$CallCompletionRoutine$27$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$27$anon7#1;
+
+ inline$CallCompletionRoutine$27$anon7#1:
+ goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$27$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$27$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$27$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$27$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$27$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$27$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$start#1:
+ inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$27$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$label_3_true#1, inline$BDLCallDriverCompletionRoutine$27$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$27$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$27$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$27$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_6#1:
+ goto inline$storm_KeSetEvent$31$Entry#1;
+
+ inline$storm_KeSetEvent$31$Entry#1:
+ inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$27$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$31$start#1;
+
+ inline$storm_KeSetEvent$31$start#1:
+ inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$31$label_3#1;
+
+ inline$storm_KeSetEvent$31$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$31$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$31$label_1#1;
+
+ inline$storm_KeSetEvent$31$label_1#1:
+ goto inline$storm_KeSetEvent$31$Return#1;
+
+ inline$storm_KeSetEvent$31$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$27$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$27$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$27$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$27$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$27$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$27$Return#1:
+ inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$27$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$27$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$27$anon12_Then#1, inline$CallCompletionRoutine$27$anon12_Else#1;
+
+ inline$CallCompletionRoutine$27$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$27$anon5#1;
+
+ inline$CallCompletionRoutine$27$anon5#1:
+ goto inline$CallCompletionRoutine$27$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$27$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$27$label_23#1;
+
+ inline$CallCompletionRoutine$27$label_23#1:
+ inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$27$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$27$label_24#1;
+
+ inline$CallCompletionRoutine$27$label_24#1:
+ goto inline$CallCompletionRoutine$27$label_24_true#1, inline$CallCompletionRoutine$27$label_24_false#1;
+
+ inline$CallCompletionRoutine$27$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$27$label_1#1;
+
+ inline$CallCompletionRoutine$27$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$27$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$27$label_25#1;
+
+ inline$CallCompletionRoutine$27$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$27$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$27$label_1#1;
+
+ inline$CallCompletionRoutine$27$label_1#1:
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$27$Return#1;
+
+ inline$CallCompletionRoutine$27$Return#1:
+ goto inline$storm_IoCallDriver$13$label_39$1#1;
+
+ inline$storm_IoCallDriver$13$label_39$1#1:
+ goto inline$storm_IoCallDriver$13$anon15_Then#1, inline$storm_IoCallDriver$13$anon15_Else#1;
+
+ inline$storm_IoCallDriver$13$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$13$anon10#1;
+
+ inline$storm_IoCallDriver$13$anon10#1:
+ goto inline$storm_IoCallDriver$13$label_36#1;
+
+ inline$storm_IoCallDriver$13$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$13$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$13$label_28#1;
+
+ inline$storm_IoCallDriver$13$label_28#1:
+ inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$13$label_42#1;
+
+ inline$storm_IoCallDriver$13$label_42#1:
+ goto inline$storm_IoCallDriver$13$label_43#1;
+
+ inline$storm_IoCallDriver$13$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$13$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$13$label_36#1;
+
+ inline$storm_IoCallDriver$13$label_36#1:
+ inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$13$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$13$label_1#1;
+
+ inline$storm_IoCallDriver$13$label_1#1:
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$13$Return#1;
+
+ inline$storm_IoCallDriver$13$Return#1:
+ inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$ := inline$storm_IoCallDriver$13$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLHandleRemove$1$label_109$1#1;
+
+ inline$BDLHandleRemove$1$label_109$1#1:
+ goto inline$BDLHandleRemove$1$anon56_Then#1, inline$BDLHandleRemove$1$anon56_Else#1;
+
+ inline$BDLHandleRemove$1$anon56_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon29#1;
+
+ inline$BDLHandleRemove$1$anon29#1:
+ goto inline$BDLHandleRemove$1$label_112#1;
+
+ inline$BDLHandleRemove$1$label_112#1:
+ inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$1$$result.storm_IoCallDriver$2453.25$39$;
+ goto inline$BDLHandleRemove$1$label_113#1;
+
+ inline$BDLHandleRemove$1$label_113#1:
+ goto inline$BDLHandleRemove$1$label_113_true#1, inline$BDLHandleRemove$1$label_113_false#1;
+
+ inline$BDLHandleRemove$1$label_113_false#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$1$label_114#1;
+
+ inline$BDLHandleRemove$1$label_113_true#1:
+ assume inline$BDLHandleRemove$1$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$1$label_117#1;
+
+ inline$BDLHandleRemove$1$label_117#1:
+ goto inline$BDLGetDebugLevel$731$Entry#1;
+
+ inline$BDLGetDebugLevel$731$Entry#1:
+ goto inline$BDLGetDebugLevel$731$start#1;
+
+ inline$BDLGetDebugLevel$731$start#1:
+ goto inline$BDLGetDebugLevel$731$label_3#1;
+
+ inline$BDLGetDebugLevel$731$label_3#1:
+ havoc inline$BDLGetDebugLevel$731$myNondetVar_0;
+ inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$731$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$731$label_1#1;
+
+ inline$BDLGetDebugLevel$731$label_1#1:
+ goto inline$BDLGetDebugLevel$731$Return#1;
+
+ inline$BDLGetDebugLevel$731$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$ := inline$BDLGetDebugLevel$731$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_117$1#1;
+
+ inline$BDLHandleRemove$1$label_117$1#1:
+ goto inline$BDLHandleRemove$1$anon58_Then#1, inline$BDLHandleRemove$1$anon58_Else#1;
+
+ inline$BDLHandleRemove$1$anon58_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon33#1;
+
+ inline$BDLHandleRemove$1$anon33#1:
+ goto inline$BDLHandleRemove$1$label_120#1;
+
+ inline$BDLHandleRemove$1$label_120#1:
+ goto inline$BDLHandleRemove$1$label_120_true#1, inline$BDLHandleRemove$1$label_120_false#1;
+
+ inline$BDLHandleRemove$1$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$) == 0;
+ goto inline$BDLHandleRemove$1$label_121#1;
+
+ inline$BDLHandleRemove$1$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$40$) != 0;
+ goto inline$BDLHandleRemove$1$label_124#1;
+
+ inline$BDLHandleRemove$1$label_124#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_125#1;
+
+ inline$BDLHandleRemove$1$label_125#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_126#1;
+
+ inline$BDLHandleRemove$1$label_126#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_127#1;
+
+ inline$BDLHandleRemove$1$label_127#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$41$;
+ goto inline$BDLHandleRemove$1$label_121#1;
+
+ inline$BDLHandleRemove$1$label_121#1:
+ goto inline$BDLGetDebugLevel$732$Entry#1;
+
+ inline$BDLGetDebugLevel$732$Entry#1:
+ goto inline$BDLGetDebugLevel$732$start#1;
+
+ inline$BDLGetDebugLevel$732$start#1:
+ goto inline$BDLGetDebugLevel$732$label_3#1;
+
+ inline$BDLGetDebugLevel$732$label_3#1:
+ havoc inline$BDLGetDebugLevel$732$myNondetVar_0;
+ inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$732$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$732$label_1#1;
+
+ inline$BDLGetDebugLevel$732$label_1#1:
+ goto inline$BDLGetDebugLevel$732$Return#1;
+
+ inline$BDLGetDebugLevel$732$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$ := inline$BDLGetDebugLevel$732$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_121$1#1;
+
+ inline$BDLHandleRemove$1$label_121$1#1:
+ goto inline$BDLHandleRemove$1$anon59_Then#1, inline$BDLHandleRemove$1$anon59_Else#1;
+
+ inline$BDLHandleRemove$1$anon59_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon35#1;
+
+ inline$BDLHandleRemove$1$anon35#1:
+ goto inline$BDLHandleRemove$1$label_130#1;
+
+ inline$BDLHandleRemove$1$label_130#1:
+ goto inline$BDLHandleRemove$1$label_130_true#1, inline$BDLHandleRemove$1$label_130_false#1;
+
+ inline$BDLHandleRemove$1$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$) == 0;
+ goto inline$BDLHandleRemove$1$label_131#1;
+
+ inline$BDLHandleRemove$1$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$45$) != 0;
+ goto inline$BDLHandleRemove$1$label_134#1;
+
+ inline$BDLHandleRemove$1$label_134#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_135#1;
+
+ inline$BDLHandleRemove$1$label_135#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_136#1;
+
+ inline$BDLHandleRemove$1$label_136#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_137#1;
+
+ inline$BDLHandleRemove$1$label_137#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2457.0$46$;
+ goto inline$BDLHandleRemove$1$label_131#1;
+
+ inline$BDLHandleRemove$1$label_131#1:
+ goto inline$BDLGetDebugLevel$733$Entry#1;
+
+ inline$BDLGetDebugLevel$733$Entry#1:
+ goto inline$BDLGetDebugLevel$733$start#1;
+
+ inline$BDLGetDebugLevel$733$start#1:
+ goto inline$BDLGetDebugLevel$733$label_3#1;
+
+ inline$BDLGetDebugLevel$733$label_3#1:
+ havoc inline$BDLGetDebugLevel$733$myNondetVar_0;
+ inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$733$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$733$label_1#1;
+
+ inline$BDLGetDebugLevel$733$label_1#1:
+ goto inline$BDLGetDebugLevel$733$Return#1;
+
+ inline$BDLGetDebugLevel$733$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$ := inline$BDLGetDebugLevel$733$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_131$1#1;
+
+ inline$BDLHandleRemove$1$label_131$1#1:
+ goto inline$BDLHandleRemove$1$anon60_Then#1, inline$BDLHandleRemove$1$anon60_Else#1;
+
+ inline$BDLHandleRemove$1$anon60_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon37#1;
+
+ inline$BDLHandleRemove$1$anon37#1:
+ goto inline$BDLHandleRemove$1$label_140#1;
+
+ inline$BDLHandleRemove$1$label_140#1:
+ goto inline$BDLHandleRemove$1$label_140_true#1, inline$BDLHandleRemove$1$label_140_false#1;
+
+ inline$BDLHandleRemove$1$label_140_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$, 4) == 0;
+ goto inline$BDLHandleRemove$1$label_114#1;
+
+ inline$BDLHandleRemove$1$label_140_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2457.0$50$, 4) != 0;
+ goto inline$BDLHandleRemove$1$label_141#1;
+
+ inline$BDLHandleRemove$1$label_141#1:
+ goto inline$BDLHandleRemove$1$label_114#1;
+
+ inline$BDLHandleRemove$1$label_114#1:
+ goto inline$BDLGetDebugLevel$730$Entry#1;
+
+ inline$BDLGetDebugLevel$730$Entry#1:
+ goto inline$BDLGetDebugLevel$730$start#1;
+
+ inline$BDLGetDebugLevel$730$start#1:
+ goto inline$BDLGetDebugLevel$730$label_3#1;
+
+ inline$BDLGetDebugLevel$730$label_3#1:
+ havoc inline$BDLGetDebugLevel$730$myNondetVar_0;
+ inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$730$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$730$label_1#1;
+
+ inline$BDLGetDebugLevel$730$label_1#1:
+ goto inline$BDLGetDebugLevel$730$Return#1;
+
+ inline$BDLGetDebugLevel$730$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$ := inline$BDLGetDebugLevel$730$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_114$1#1;
+
+ inline$BDLHandleRemove$1$label_114$1#1:
+ goto inline$BDLHandleRemove$1$anon57_Then#1, inline$BDLHandleRemove$1$anon57_Else#1;
+
+ inline$BDLHandleRemove$1$anon57_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon31#1;
+
+ inline$BDLHandleRemove$1$anon31#1:
+ goto inline$BDLHandleRemove$1$label_142#1;
+
+ inline$BDLHandleRemove$1$label_142#1:
+ goto inline$BDLHandleRemove$1$label_142_true#1, inline$BDLHandleRemove$1$label_142_false#1;
+
+ inline$BDLHandleRemove$1$label_142_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$) == 0;
+ goto inline$BDLHandleRemove$1$label_143#1;
+
+ inline$BDLHandleRemove$1$label_142_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$51$) != 0;
+ goto inline$BDLHandleRemove$1$label_146#1;
+
+ inline$BDLHandleRemove$1$label_146#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_147#1;
+
+ inline$BDLHandleRemove$1$label_147#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_148#1;
+
+ inline$BDLHandleRemove$1$label_148#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_149#1;
+
+ inline$BDLHandleRemove$1$label_149#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$52$;
+ goto inline$BDLHandleRemove$1$label_143#1;
+
+ inline$BDLHandleRemove$1$label_143#1:
+ goto inline$BDLGetDebugLevel$734$Entry#1;
+
+ inline$BDLGetDebugLevel$734$Entry#1:
+ goto inline$BDLGetDebugLevel$734$start#1;
+
+ inline$BDLGetDebugLevel$734$start#1:
+ goto inline$BDLGetDebugLevel$734$label_3#1;
+
+ inline$BDLGetDebugLevel$734$label_3#1:
+ havoc inline$BDLGetDebugLevel$734$myNondetVar_0;
+ inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$734$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$734$label_1#1;
+
+ inline$BDLGetDebugLevel$734$label_1#1:
+ goto inline$BDLGetDebugLevel$734$Return#1;
+
+ inline$BDLGetDebugLevel$734$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$ := inline$BDLGetDebugLevel$734$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_143$1#1;
+
+ inline$BDLHandleRemove$1$label_143$1#1:
+ goto inline$BDLHandleRemove$1$anon61_Then#1, inline$BDLHandleRemove$1$anon61_Else#1;
+
+ inline$BDLHandleRemove$1$anon61_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon39#1;
+
+ inline$BDLHandleRemove$1$anon39#1:
+ goto inline$BDLHandleRemove$1$label_152#1;
+
+ inline$BDLHandleRemove$1$label_152#1:
+ goto inline$BDLHandleRemove$1$label_152_true#1, inline$BDLHandleRemove$1$label_152_false#1;
+
+ inline$BDLHandleRemove$1$label_152_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$) == 0;
+ goto inline$BDLHandleRemove$1$label_153#1;
+
+ inline$BDLHandleRemove$1$label_152_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$56$) != 0;
+ goto inline$BDLHandleRemove$1$label_156#1;
+
+ inline$BDLHandleRemove$1$label_156#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_157#1;
+
+ inline$BDLHandleRemove$1$label_157#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_158#1;
+
+ inline$BDLHandleRemove$1$label_158#1:
+ call inline$BDLHandleRemove$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$1$label_159#1;
+
+ inline$BDLHandleRemove$1$label_159#1:
+ havoc inline$BDLHandleRemove$1$$result.DbgPrint$2465.0$57$;
+ goto inline$BDLHandleRemove$1$label_153#1;
+
+ inline$BDLHandleRemove$1$label_153#1:
+ goto inline$BDLGetDebugLevel$735$Entry#1;
+
+ inline$BDLGetDebugLevel$735$Entry#1:
+ goto inline$BDLGetDebugLevel$735$start#1;
+
+ inline$BDLGetDebugLevel$735$start#1:
+ goto inline$BDLGetDebugLevel$735$label_3#1;
+
+ inline$BDLGetDebugLevel$735$label_3#1:
+ havoc inline$BDLGetDebugLevel$735$myNondetVar_0;
+ inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$735$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$735$label_1#1;
+
+ inline$BDLGetDebugLevel$735$label_1#1:
+ goto inline$BDLGetDebugLevel$735$Return#1;
+
+ inline$BDLGetDebugLevel$735$Return#1:
+ inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$ := inline$BDLGetDebugLevel$735$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$1$label_153$1#1;
+
+ inline$BDLHandleRemove$1$label_153$1#1:
+ goto inline$BDLHandleRemove$1$anon62_Then#1, inline$BDLHandleRemove$1$anon62_Else#1;
+
+ inline$BDLHandleRemove$1$anon62_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$1$anon41#1;
+
+ inline$BDLHandleRemove$1$anon41#1:
+ goto inline$BDLHandleRemove$1$label_162#1;
+
+ inline$BDLHandleRemove$1$label_162#1:
+ goto inline$BDLHandleRemove$1$label_162_true#1, inline$BDLHandleRemove$1$label_162_false#1;
+
+ inline$BDLHandleRemove$1$label_162_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$, 4) == 0;
+ goto inline$BDLHandleRemove$1$label_163#1;
+
+ inline$BDLHandleRemove$1$label_162_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$1$$result.BDLGetDebugLevel$2465.0$61$, 4) != 0;
+ goto inline$BDLHandleRemove$1$label_164#1;
+
+ inline$BDLHandleRemove$1$label_164#1:
+ goto inline$BDLHandleRemove$1$label_163#1;
+
+ inline$BDLHandleRemove$1$label_163#1:
+ goto inline$BDLHandleRemove$1$label_1#1;
+
+ inline$BDLHandleRemove$1$label_1#1:
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon62_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon61_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon57_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon60_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon59_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon58_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon56_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon55_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon54_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$1$Return#1;
+
+ inline$BDLHandleRemove$1$Return#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_31$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_31$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon17_Then#1, inline$BDLPnPSurpriseRemoval$0$anon17_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon7#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon7#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_34#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_34#1:
+ goto inline$BDLGetDebugLevel$736$Entry#1;
+
+ inline$BDLGetDebugLevel$736$Entry#1:
+ goto inline$BDLGetDebugLevel$736$start#1;
+
+ inline$BDLGetDebugLevel$736$start#1:
+ goto inline$BDLGetDebugLevel$736$label_3#1;
+
+ inline$BDLGetDebugLevel$736$label_3#1:
+ havoc inline$BDLGetDebugLevel$736$myNondetVar_0;
+ inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$736$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$736$label_1#1;
+
+ inline$BDLGetDebugLevel$736$label_1#1:
+ goto inline$BDLGetDebugLevel$736$Return#1;
+
+ inline$BDLGetDebugLevel$736$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$ := inline$BDLGetDebugLevel$736$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_34$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_34$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon18_Then#1, inline$BDLPnPSurpriseRemoval$0$anon18_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon9#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon9#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_37#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_37#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_37_true#1, inline$BDLPnPSurpriseRemoval$0$label_37_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_37_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_38#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_37_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$14$) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_41#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_41#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_42#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_42#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_43#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_43#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_44#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_44#1:
+ havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$15$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_38#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_38#1:
+ goto inline$BDLGetDebugLevel$737$Entry#1;
+
+ inline$BDLGetDebugLevel$737$Entry#1:
+ goto inline$BDLGetDebugLevel$737$start#1;
+
+ inline$BDLGetDebugLevel$737$start#1:
+ goto inline$BDLGetDebugLevel$737$label_3#1;
+
+ inline$BDLGetDebugLevel$737$label_3#1:
+ havoc inline$BDLGetDebugLevel$737$myNondetVar_0;
+ inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$737$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$737$label_1#1;
+
+ inline$BDLGetDebugLevel$737$label_1#1:
+ goto inline$BDLGetDebugLevel$737$Return#1;
+
+ inline$BDLGetDebugLevel$737$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$ := inline$BDLGetDebugLevel$737$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_38$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_38$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon19_Then#1, inline$BDLPnPSurpriseRemoval$0$anon19_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon11#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon11#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_47#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_47#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_47_true#1, inline$BDLPnPSurpriseRemoval$0$label_47_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_47_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_48#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_47_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$19$) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_51#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_51#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_52#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_52#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_53#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_53#1:
+ call inline$BDLPnPSurpriseRemoval$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPSurpriseRemoval$0$label_54#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_54#1:
+ havoc inline$BDLPnPSurpriseRemoval$0$$result.DbgPrint$2549.0$20$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_48#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_48#1:
+ goto inline$BDLGetDebugLevel$738$Entry#1;
+
+ inline$BDLGetDebugLevel$738$Entry#1:
+ goto inline$BDLGetDebugLevel$738$start#1;
+
+ inline$BDLGetDebugLevel$738$start#1:
+ goto inline$BDLGetDebugLevel$738$label_3#1;
+
+ inline$BDLGetDebugLevel$738$label_3#1:
+ havoc inline$BDLGetDebugLevel$738$myNondetVar_0;
+ inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$738$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$738$label_1#1;
+
+ inline$BDLGetDebugLevel$738$label_1#1:
+ goto inline$BDLGetDebugLevel$738$Return#1;
+
+ inline$BDLGetDebugLevel$738$Return#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$ := inline$BDLGetDebugLevel$738$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPSurpriseRemoval$0$label_48$1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_48$1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$anon20_Then#1, inline$BDLPnPSurpriseRemoval$0$anon20_Else#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$anon13#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon13#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_57#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_57#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_57_true#1, inline$BDLPnPSurpriseRemoval$0$label_57_false#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_57_false#1:
+ assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$, 4) == 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_58#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_57_true#1:
+ assume BIT_BAND(inline$BDLPnPSurpriseRemoval$0$$result.BDLGetDebugLevel$2549.0$24$, 4) != 0;
+ goto inline$BDLPnPSurpriseRemoval$0$label_59#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_59#1:
+ goto inline$BDLPnPSurpriseRemoval$0$label_58#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_58#1:
+ inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$ := inline$BDLPnPSurpriseRemoval$0$$status$4$2534.16$BDLPnPSurpriseRemoval$12;
+ goto inline$BDLPnPSurpriseRemoval$0$label_1#1;
+
+ inline$BDLPnPSurpriseRemoval$0$label_1#1:
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon15_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$anon14_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPSurpriseRemoval$0$Return#1;
+
+ inline$BDLPnPSurpriseRemoval$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$ := inline$BDLPnPSurpriseRemoval$0$$result.BDLPnPSurpriseRemoval$2527.0$1$;
+ goto inline$BDLPnP$0$label_134$1#1;
+
+ inline$BDLPnP$0$label_134$1#1:
+ goto inline$BDLPnP$0$anon74_Then#1, inline$BDLPnP$0$anon74_Else#1;
+
+ inline$BDLPnP$0$anon74_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon41#1;
+
+ inline$BDLPnP$0$anon41#1:
+ goto inline$BDLPnP$0$label_137#1;
+
+ inline$BDLPnP$0$label_137#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPSurpriseRemoval$973.38$35$;
+ goto inline$BDLPnP$0$label_138#1;
+
+ inline$BDLPnP$0$label_138#1:
+ inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon74_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_7#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 6;
+ goto inline$BDLPnP$0$label_131#1;
+
+ inline$BDLPnP$0$label_131#1:
+ goto inline$BDLPnPCancelStop$0$Entry#1;
+
+ inline$BDLPnPCancelStop$0$Entry#1:
+ inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPCancelStop$0$start#1;
+
+ inline$BDLPnPCancelStop$0$start#1:
+ inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12_.1;
+ inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12_.1;
+ goto inline$BDLPnPCancelStop$0$label_3#1;
+
+ inline$BDLPnPCancelStop$0$label_3#1:
+ goto inline$BDLPnPCancelStop$0$label_4#1;
+
+ inline$BDLPnPCancelStop$0$label_4#1:
+ inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 := 0;
+ goto inline$BDLPnPCancelStop$0$label_5#1;
+
+ inline$BDLPnPCancelStop$0$label_5#1:
+ goto inline$BDLGetDebugLevel$565$Entry#1;
+
+ inline$BDLGetDebugLevel$565$Entry#1:
+ goto inline$BDLGetDebugLevel$565$start#1;
+
+ inline$BDLGetDebugLevel$565$start#1:
+ goto inline$BDLGetDebugLevel$565$label_3#1;
+
+ inline$BDLGetDebugLevel$565$label_3#1:
+ havoc inline$BDLGetDebugLevel$565$myNondetVar_0;
+ inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$565$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$565$label_1#1;
+
+ inline$BDLGetDebugLevel$565$label_1#1:
+ goto inline$BDLGetDebugLevel$565$Return#1;
+
+ inline$BDLGetDebugLevel$565$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$ := inline$BDLGetDebugLevel$565$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_5$1#1;
+
+ inline$BDLPnPCancelStop$0$label_5$1#1:
+ goto inline$BDLPnPCancelStop$0$anon22_Then#1, inline$BDLPnPCancelStop$0$anon22_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon1#1;
+
+ inline$BDLPnPCancelStop$0$anon1#1:
+ goto inline$BDLPnPCancelStop$0$label_8#1;
+
+ inline$BDLPnPCancelStop$0$label_8#1:
+ goto inline$BDLPnPCancelStop$0$label_8_true#1, inline$BDLPnPCancelStop$0$label_8_false#1;
+
+ inline$BDLPnPCancelStop$0$label_8_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_9#1;
+
+ inline$BDLPnPCancelStop$0$label_8_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$2$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_12#1;
+
+ inline$BDLPnPCancelStop$0$label_12#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_13#1;
+
+ inline$BDLPnPCancelStop$0$label_13#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_14#1;
+
+ inline$BDLPnPCancelStop$0$label_14#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_15#1;
+
+ inline$BDLPnPCancelStop$0$label_15#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$3$;
+ goto inline$BDLPnPCancelStop$0$label_9#1;
+
+ inline$BDLPnPCancelStop$0$label_9#1:
+ goto inline$BDLGetDebugLevel$566$Entry#1;
+
+ inline$BDLGetDebugLevel$566$Entry#1:
+ goto inline$BDLGetDebugLevel$566$start#1;
+
+ inline$BDLGetDebugLevel$566$start#1:
+ goto inline$BDLGetDebugLevel$566$label_3#1;
+
+ inline$BDLGetDebugLevel$566$label_3#1:
+ havoc inline$BDLGetDebugLevel$566$myNondetVar_0;
+ inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$566$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$566$label_1#1;
+
+ inline$BDLGetDebugLevel$566$label_1#1:
+ goto inline$BDLGetDebugLevel$566$Return#1;
+
+ inline$BDLGetDebugLevel$566$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$ := inline$BDLGetDebugLevel$566$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_9$1#1;
+
+ inline$BDLPnPCancelStop$0$label_9$1#1:
+ goto inline$BDLPnPCancelStop$0$anon23_Then#1, inline$BDLPnPCancelStop$0$anon23_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon3#1;
+
+ inline$BDLPnPCancelStop$0$anon3#1:
+ goto inline$BDLPnPCancelStop$0$label_18#1;
+
+ inline$BDLPnPCancelStop$0$label_18#1:
+ goto inline$BDLPnPCancelStop$0$label_18_true#1, inline$BDLPnPCancelStop$0$label_18_false#1;
+
+ inline$BDLPnPCancelStop$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_19#1;
+
+ inline$BDLPnPCancelStop$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$7$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_22#1;
+
+ inline$BDLPnPCancelStop$0$label_22#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_23#1;
+
+ inline$BDLPnPCancelStop$0$label_23#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_24#1;
+
+ inline$BDLPnPCancelStop$0$label_24#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_25#1;
+
+ inline$BDLPnPCancelStop$0$label_25#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2139.0$8$;
+ goto inline$BDLPnPCancelStop$0$label_19#1;
+
+ inline$BDLPnPCancelStop$0$label_19#1:
+ goto inline$BDLGetDebugLevel$567$Entry#1;
+
+ inline$BDLGetDebugLevel$567$Entry#1:
+ goto inline$BDLGetDebugLevel$567$start#1;
+
+ inline$BDLGetDebugLevel$567$start#1:
+ goto inline$BDLGetDebugLevel$567$label_3#1;
+
+ inline$BDLGetDebugLevel$567$label_3#1:
+ havoc inline$BDLGetDebugLevel$567$myNondetVar_0;
+ inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$567$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$567$label_1#1;
+
+ inline$BDLGetDebugLevel$567$label_1#1:
+ goto inline$BDLGetDebugLevel$567$Return#1;
+
+ inline$BDLGetDebugLevel$567$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$ := inline$BDLGetDebugLevel$567$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_19$1#1;
+
+ inline$BDLPnPCancelStop$0$label_19$1#1:
+ goto inline$BDLPnPCancelStop$0$anon24_Then#1, inline$BDLPnPCancelStop$0$anon24_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon24_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon5#1;
+
+ inline$BDLPnPCancelStop$0$anon5#1:
+ goto inline$BDLPnPCancelStop$0$label_28#1;
+
+ inline$BDLPnPCancelStop$0$label_28#1:
+ goto inline$BDLPnPCancelStop$0$label_28_true#1, inline$BDLPnPCancelStop$0$label_28_false#1;
+
+ inline$BDLPnPCancelStop$0$label_28_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$, 4) == 0;
+ goto inline$BDLPnPCancelStop$0$label_29#1;
+
+ inline$BDLPnPCancelStop$0$label_28_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2139.0$12$, 4) != 0;
+ goto inline$BDLPnPCancelStop$0$label_32#1;
+
+ inline$BDLPnPCancelStop$0$label_32#1:
+ goto inline$BDLPnPCancelStop$0$label_29#1;
+
+ inline$BDLPnPCancelStop$0$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPCancelStop$0$$pIrp$3$2134.40$BDLPnPCancelStop$12;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$112$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$112$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$start#1:
+ inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$112$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$112$label_3_true#1, inline$IoGetCurrentIrpStackLocation$112$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$112$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$112$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$112$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$112$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$112$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$112$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$112$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$112$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$112$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$112$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$112$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$112$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$112$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$112$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$112$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$112$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$112$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$112$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$112$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$11$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$11$Entry#1:
+ inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$5$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$11$start#1;
+
+ inline$IoGetNextIrpStackLocation$11$start#1:
+ inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$11$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$11$label_3_true#1, inline$IoGetNextIrpStackLocation$11$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$11$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$11$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_4#1:
+ call inline$IoGetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$11$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_6#1:
+ call inline$IoGetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$11$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$11$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$11$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$11$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$11$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$11$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$11$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$11$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$11$Return#1;
+
+ inline$IoGetNextIrpStackLocation$11$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$5$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$5$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_9#1:
+ goto inline$storm_KeInitializeEvent$5$Entry#1;
+
+ inline$storm_KeInitializeEvent$5$Entry#1:
+ inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$5$start#1;
+
+ inline$storm_KeInitializeEvent$5$start#1:
+ inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$5$label_3#1;
+
+ inline$storm_KeInitializeEvent$5$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$5$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$5$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$5$label_1#1;
+
+ inline$storm_KeInitializeEvent$5$label_1#1:
+ goto inline$storm_KeInitializeEvent$5$Return#1;
+
+ inline$storm_KeInitializeEvent$5$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$5$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$5$Entry#1:
+ inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$5$start#1;
+
+ inline$storm_IoSetCompletionRoutine$5$start#1:
+ inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$5$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$5$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_7_true#1, inline$storm_IoSetCompletionRoutine$5$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$5$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$5$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$5$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$5$anon6_Then#1, inline$storm_IoSetCompletionRoutine$5$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$5$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$5$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_12_true#1, inline$storm_IoSetCompletionRoutine$5$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$5$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$5$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$5$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$12$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$12$Entry#1:
+ inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$5$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$12$start#1;
+
+ inline$IoGetNextIrpStackLocation$12$start#1:
+ inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$12$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$12$label_3_true#1, inline$IoGetNextIrpStackLocation$12$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$12$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$12$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_4#1:
+ call inline$IoGetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$12$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_6#1:
+ call inline$IoGetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$12$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$12$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$12$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$12$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$12$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$12$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$12$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$12$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$12$Return#1;
+
+ inline$IoGetNextIrpStackLocation$12$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$5$anon5_Then#1, inline$storm_IoSetCompletionRoutine$5$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$5$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$5$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$5$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$5$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$5$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$5$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$5$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$5$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$113$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$113$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$start#1:
+ inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$113$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$113$label_3_true#1, inline$IoGetCurrentIrpStackLocation$113$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$113$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$113$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$113$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$113$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$113$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$113$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$113$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$113$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$113$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$113$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$113$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$113$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$113$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$113$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$113$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$113$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$113$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$113$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$113$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_19#1:
+ goto inline$storm_IoCallDriver$11$Entry#1;
+
+ inline$storm_IoCallDriver$11$Entry#1:
+ inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$11$start#1;
+
+ inline$storm_IoCallDriver$11$start#1:
+ inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$11$label_3#1;
+
+ inline$storm_IoCallDriver$11$label_3#1:
+ goto inline$storm_IoCallDriver$11$label_4#1;
+
+ inline$storm_IoCallDriver$11$label_4#1:
+ goto inline$storm_IoCallDriver$11$label_5#1;
+
+ inline$storm_IoCallDriver$11$label_5#1:
+ goto inline$storm_IoCallDriver$11$label_6#1;
+
+ inline$storm_IoCallDriver$11$label_6#1:
+ call inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$11$label_9#1;
+
+ inline$storm_IoCallDriver$11$label_9#1:
+ goto inline$storm_IoCallDriver$11$label_9_true#1, inline$storm_IoCallDriver$11$label_9_false#1;
+
+ inline$storm_IoCallDriver$11$label_9_false#1:
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$11$label_10#1;
+
+ inline$storm_IoCallDriver$11$label_9_true#1:
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$11$label_13#1;
+
+ inline$storm_IoCallDriver$11$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$11$anon12_Then#1, inline$storm_IoCallDriver$11$anon12_Else#1;
+
+ inline$storm_IoCallDriver$11$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$11$anon3#1;
+
+ inline$storm_IoCallDriver$11$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$11$label_14#1;
+
+ inline$storm_IoCallDriver$11$label_14#1:
+ goto inline$storm_IoCallDriver$11$label_14_true#1, inline$storm_IoCallDriver$11$label_14_false#1;
+
+ inline$storm_IoCallDriver$11$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$11$label_15#1;
+
+ inline$storm_IoCallDriver$11$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$11$label_1#1;
+
+ inline$storm_IoCallDriver$11$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$11$label_10#1;
+
+ inline$storm_IoCallDriver$11$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$12$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$12$Entry#1:
+ inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$12$start#1;
+
+ inline$IoSetNextIrpStackLocation$12$start#1:
+ inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$12$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$12$label_3_true#1, inline$IoSetNextIrpStackLocation$12$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$12$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$12$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_4#1:
+ call inline$IoSetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$12$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_7#1:
+ call inline$IoSetNextIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$12$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$12$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$12$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$12$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_5#1:
+ inline$IoSetNextIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$12$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$12$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$12$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$12$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$12$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$12$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$12$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$12$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$12$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$12$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$12$Return#1;
+
+ inline$IoSetNextIrpStackLocation$12$Return#1:
+ goto inline$storm_IoCallDriver$11$label_10$1#1;
+
+ inline$storm_IoCallDriver$11$label_10$1#1:
+ goto inline$storm_IoCallDriver$11$anon11_Then#1, inline$storm_IoCallDriver$11$anon11_Else#1;
+
+ inline$storm_IoCallDriver$11$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$11$anon1#1;
+
+ inline$storm_IoCallDriver$11$anon1#1:
+ goto inline$storm_IoCallDriver$11$label_18#1;
+
+ inline$storm_IoCallDriver$11$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$114$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$114$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$start#1:
+ inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$114$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$114$label_3_true#1, inline$IoGetCurrentIrpStackLocation$114$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$114$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$114$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$114$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$114$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$114$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$114$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$114$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$114$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$114$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$114$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$114$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$114$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$114$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$114$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$114$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$114$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$114$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$114$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$114$Return#1:
+ goto inline$storm_IoCallDriver$11$label_18$1#1;
+
+ inline$storm_IoCallDriver$11$label_18$1#1:
+ goto inline$storm_IoCallDriver$11$anon13_Then#1, inline$storm_IoCallDriver$11$anon13_Else#1;
+
+ inline$storm_IoCallDriver$11$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$11$anon6#1;
+
+ inline$storm_IoCallDriver$11$anon6#1:
+ goto inline$storm_IoCallDriver$11$label_21#1;
+
+ inline$storm_IoCallDriver$11$label_21#1:
+ goto inline$storm_IoCallDriver$11$label_22#1;
+
+ inline$storm_IoCallDriver$11$label_22#1:
+ goto inline$storm_IoCallDriver$11$label_23#1;
+
+ inline$storm_IoCallDriver$11$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$11$label_24#1;
+
+ inline$storm_IoCallDriver$11$label_24#1:
+ call inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$11$label_27#1;
+
+ inline$storm_IoCallDriver$11$label_27#1:
+ goto inline$storm_IoCallDriver$11$label_27_case_0#1, inline$storm_IoCallDriver$11$label_27_case_1#1, inline$storm_IoCallDriver$11$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$11$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$11$label_30#1;
+
+ inline$storm_IoCallDriver$11$label_30#1:
+ inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$11$label_31#1;
+
+ inline$storm_IoCallDriver$11$label_31#1:
+ goto inline$storm_IoCallDriver$11$label_32#1;
+
+ inline$storm_IoCallDriver$11$label_32#1:
+ goto inline$storm_IoCallDriver$11$label_33#1;
+
+ inline$storm_IoCallDriver$11$label_33#1:
+ goto inline$CallCompletionRoutine$22$Entry#1;
+
+ inline$CallCompletionRoutine$22$Entry#1:
+ inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$22$start#1;
+
+ inline$CallCompletionRoutine$22$start#1:
+ inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$22$label_3#1;
+
+ inline$CallCompletionRoutine$22$label_3#1:
+ goto inline$CallCompletionRoutine$22$label_4#1;
+
+ inline$CallCompletionRoutine$22$label_4#1:
+ goto inline$CallCompletionRoutine$22$label_5#1;
+
+ inline$CallCompletionRoutine$22$label_5#1:
+ goto inline$CallCompletionRoutine$22$label_6#1;
+
+ inline$CallCompletionRoutine$22$label_6#1:
+ goto inline$CallCompletionRoutine$22$label_7#1;
+
+ inline$CallCompletionRoutine$22$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$115$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$115$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$start#1:
+ inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$115$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$115$label_3_true#1, inline$IoGetCurrentIrpStackLocation$115$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$115$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$115$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$115$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$115$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$115$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$115$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$115$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$115$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$115$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$115$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$115$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$115$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$115$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$115$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$115$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$115$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$115$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$115$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$115$Return#1:
+ goto inline$CallCompletionRoutine$22$label_7$1#1;
+
+ inline$CallCompletionRoutine$22$label_7$1#1:
+ goto inline$CallCompletionRoutine$22$anon10_Then#1, inline$CallCompletionRoutine$22$anon10_Else#1;
+
+ inline$CallCompletionRoutine$22$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$22$anon1#1;
+
+ inline$CallCompletionRoutine$22$anon1#1:
+ goto inline$CallCompletionRoutine$22$label_10#1;
+
+ inline$CallCompletionRoutine$22$label_10#1:
+ goto inline$CallCompletionRoutine$22$label_11#1;
+
+ inline$CallCompletionRoutine$22$label_11#1:
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$CallCompletionRoutine$22$label_12#1;
+
+ inline$CallCompletionRoutine$22$label_12#1:
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$CallCompletionRoutine$22$label_13#1;
+
+ inline$CallCompletionRoutine$22$label_13#1:
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$CallCompletionRoutine$22$label_14#1;
+
+ inline$CallCompletionRoutine$22$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$116$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$116$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$start#1:
+ inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$116$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$116$label_3_true#1, inline$IoGetCurrentIrpStackLocation$116$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$116$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$116$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$116$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$116$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$116$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$116$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$116$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$116$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$116$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$116$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$116$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$116$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$116$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$116$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$116$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$116$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$116$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$116$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$116$Return#1:
+ goto inline$CallCompletionRoutine$22$label_14$1#1;
+
+ inline$CallCompletionRoutine$22$label_14$1#1:
+ goto inline$CallCompletionRoutine$22$anon11_Then#1, inline$CallCompletionRoutine$22$anon11_Else#1;
+
+ inline$CallCompletionRoutine$22$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$22$anon3#1;
+
+ inline$CallCompletionRoutine$22$anon3#1:
+ goto inline$CallCompletionRoutine$22$label_17#1;
+
+ inline$CallCompletionRoutine$22$label_17#1:
+ goto inline$CallCompletionRoutine$22$label_18#1;
+
+ inline$CallCompletionRoutine$22$label_18#1:
+ goto inline$CallCompletionRoutine$22$label_18_true#1, inline$CallCompletionRoutine$22$label_18_false#1;
+
+ inline$CallCompletionRoutine$22$label_18_false#1:
+ assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$22$label_1#1;
+
+ inline$CallCompletionRoutine$22$label_18_true#1:
+ assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$22$label_19#1;
+
+ inline$CallCompletionRoutine$22$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$22$label_20#1;
+
+ inline$CallCompletionRoutine$22$label_20#1:
+ goto inline$CallCompletionRoutine$22$label_20_icall_1#1, inline$CallCompletionRoutine$22$label_20_icall_2#1, inline$CallCompletionRoutine$22$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$22$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$22$Entry#1:
+ inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$22$start#1;
+
+ inline$BDLSystemPowerIoCompletion$22$start#1:
+ call inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$22$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_4#1:
+ inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_6#1:
+ inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$22$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$118$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$118$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$start#1:
+ inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$118$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$118$label_3_true#1, inline$IoGetCurrentIrpStackLocation$118$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$118$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$118$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$118$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$118$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$118$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$118$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$118$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$118$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$118$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$118$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$118$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$118$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$118$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$118$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$118$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$118$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$118$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$118$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$118$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon36_Then#1, inline$BDLSystemPowerIoCompletion$22$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_15#1:
+ goto inline$BDLGetDebugLevel$577$Entry#1;
+
+ inline$BDLGetDebugLevel$577$Entry#1:
+ goto inline$BDLGetDebugLevel$577$start#1;
+
+ inline$BDLGetDebugLevel$577$start#1:
+ goto inline$BDLGetDebugLevel$577$label_3#1;
+
+ inline$BDLGetDebugLevel$577$label_3#1:
+ havoc inline$BDLGetDebugLevel$577$myNondetVar_0;
+ inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$577$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$577$label_1#1;
+
+ inline$BDLGetDebugLevel$577$label_1#1:
+ goto inline$BDLGetDebugLevel$577$Return#1;
+
+ inline$BDLGetDebugLevel$577$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$577$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon37_Then#1, inline$BDLSystemPowerIoCompletion$22$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_18_true#1, inline$BDLSystemPowerIoCompletion$22$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_19#1:
+ goto inline$BDLGetDebugLevel$578$Entry#1;
+
+ inline$BDLGetDebugLevel$578$Entry#1:
+ goto inline$BDLGetDebugLevel$578$start#1;
+
+ inline$BDLGetDebugLevel$578$start#1:
+ goto inline$BDLGetDebugLevel$578$label_3#1;
+
+ inline$BDLGetDebugLevel$578$label_3#1:
+ havoc inline$BDLGetDebugLevel$578$myNondetVar_0;
+ inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$578$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$578$label_1#1;
+
+ inline$BDLGetDebugLevel$578$label_1#1:
+ goto inline$BDLGetDebugLevel$578$Return#1;
+
+ inline$BDLGetDebugLevel$578$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$578$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon38_Then#1, inline$BDLSystemPowerIoCompletion$22$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_28_true#1, inline$BDLSystemPowerIoCompletion$22$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_29#1:
+ goto inline$BDLGetDebugLevel$579$Entry#1;
+
+ inline$BDLGetDebugLevel$579$Entry#1:
+ goto inline$BDLGetDebugLevel$579$start#1;
+
+ inline$BDLGetDebugLevel$579$start#1:
+ goto inline$BDLGetDebugLevel$579$label_3#1;
+
+ inline$BDLGetDebugLevel$579$label_3#1:
+ havoc inline$BDLGetDebugLevel$579$myNondetVar_0;
+ inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$579$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$579$label_1#1;
+
+ inline$BDLGetDebugLevel$579$label_1#1:
+ goto inline$BDLGetDebugLevel$579$Return#1;
+
+ inline$BDLGetDebugLevel$579$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$579$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon39_Then#1, inline$BDLSystemPowerIoCompletion$22$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_38_true#1, inline$BDLSystemPowerIoCompletion$22$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_39_true#1, inline$BDLSystemPowerIoCompletion$22$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$22$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$22$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$22$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$22$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$22$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_106_true#1, inline$BDLSystemPowerIoCompletion$22$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$22$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$22$myNondetVar_0, inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$22$anon50_Then#1, inline$BDLSystemPowerIoCompletion$22$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_112#1:
+ inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_113_true#1, inline$BDLSystemPowerIoCompletion$22$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$22$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_117#1:
+ goto inline$BDLGetDebugLevel$586$Entry#1;
+
+ inline$BDLGetDebugLevel$586$Entry#1:
+ goto inline$BDLGetDebugLevel$586$start#1;
+
+ inline$BDLGetDebugLevel$586$start#1:
+ goto inline$BDLGetDebugLevel$586$label_3#1;
+
+ inline$BDLGetDebugLevel$586$label_3#1:
+ havoc inline$BDLGetDebugLevel$586$myNondetVar_0;
+ inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$586$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$586$label_1#1;
+
+ inline$BDLGetDebugLevel$586$label_1#1:
+ goto inline$BDLGetDebugLevel$586$Return#1;
+
+ inline$BDLGetDebugLevel$586$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$586$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon51_Then#1, inline$BDLSystemPowerIoCompletion$22$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_120_true#1, inline$BDLSystemPowerIoCompletion$22$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_121#1:
+ goto inline$BDLGetDebugLevel$587$Entry#1;
+
+ inline$BDLGetDebugLevel$587$Entry#1:
+ goto inline$BDLGetDebugLevel$587$start#1;
+
+ inline$BDLGetDebugLevel$587$start#1:
+ goto inline$BDLGetDebugLevel$587$label_3#1;
+
+ inline$BDLGetDebugLevel$587$label_3#1:
+ havoc inline$BDLGetDebugLevel$587$myNondetVar_0;
+ inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$587$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$587$label_1#1;
+
+ inline$BDLGetDebugLevel$587$label_1#1:
+ goto inline$BDLGetDebugLevel$587$Return#1;
+
+ inline$BDLGetDebugLevel$587$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$587$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon52_Then#1, inline$BDLSystemPowerIoCompletion$22$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_130_true#1, inline$BDLSystemPowerIoCompletion$22$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_131#1:
+ goto inline$BDLGetDebugLevel$588$Entry#1;
+
+ inline$BDLGetDebugLevel$588$Entry#1:
+ goto inline$BDLGetDebugLevel$588$start#1;
+
+ inline$BDLGetDebugLevel$588$start#1:
+ goto inline$BDLGetDebugLevel$588$label_3#1;
+
+ inline$BDLGetDebugLevel$588$label_3#1:
+ havoc inline$BDLGetDebugLevel$588$myNondetVar_0;
+ inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$588$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$588$label_1#1;
+
+ inline$BDLGetDebugLevel$588$label_1#1:
+ goto inline$BDLGetDebugLevel$588$Return#1;
+
+ inline$BDLGetDebugLevel$588$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$588$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon53_Then#1, inline$BDLSystemPowerIoCompletion$22$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_140_true#1, inline$BDLSystemPowerIoCompletion$22$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$22$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_115#1:
+ inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$22$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$22$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$22$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_43#1:
+ goto inline$BDLGetDebugLevel$580$Entry#1;
+
+ inline$BDLGetDebugLevel$580$Entry#1:
+ goto inline$BDLGetDebugLevel$580$start#1;
+
+ inline$BDLGetDebugLevel$580$start#1:
+ goto inline$BDLGetDebugLevel$580$label_3#1;
+
+ inline$BDLGetDebugLevel$580$label_3#1:
+ havoc inline$BDLGetDebugLevel$580$myNondetVar_0;
+ inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$580$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$580$label_1#1;
+
+ inline$BDLGetDebugLevel$580$label_1#1:
+ goto inline$BDLGetDebugLevel$580$Return#1;
+
+ inline$BDLGetDebugLevel$580$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$580$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon40_Then#1, inline$BDLSystemPowerIoCompletion$22$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_46_true#1, inline$BDLSystemPowerIoCompletion$22$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_47#1:
+ goto inline$BDLGetDebugLevel$581$Entry#1;
+
+ inline$BDLGetDebugLevel$581$Entry#1:
+ goto inline$BDLGetDebugLevel$581$start#1;
+
+ inline$BDLGetDebugLevel$581$start#1:
+ goto inline$BDLGetDebugLevel$581$label_3#1;
+
+ inline$BDLGetDebugLevel$581$label_3#1:
+ havoc inline$BDLGetDebugLevel$581$myNondetVar_0;
+ inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$581$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$581$label_1#1;
+
+ inline$BDLGetDebugLevel$581$label_1#1:
+ goto inline$BDLGetDebugLevel$581$Return#1;
+
+ inline$BDLGetDebugLevel$581$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$581$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon41_Then#1, inline$BDLSystemPowerIoCompletion$22$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_56_true#1, inline$BDLSystemPowerIoCompletion$22$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_57#1:
+ goto inline$BDLGetDebugLevel$582$Entry#1;
+
+ inline$BDLGetDebugLevel$582$Entry#1:
+ goto inline$BDLGetDebugLevel$582$start#1;
+
+ inline$BDLGetDebugLevel$582$start#1:
+ goto inline$BDLGetDebugLevel$582$label_3#1;
+
+ inline$BDLGetDebugLevel$582$label_3#1:
+ havoc inline$BDLGetDebugLevel$582$myNondetVar_0;
+ inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$582$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$582$label_1#1;
+
+ inline$BDLGetDebugLevel$582$label_1#1:
+ goto inline$BDLGetDebugLevel$582$Return#1;
+
+ inline$BDLGetDebugLevel$582$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$582$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon42_Then#1, inline$BDLSystemPowerIoCompletion$22$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_66_true#1, inline$BDLSystemPowerIoCompletion$22$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$22$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$22$anon43_Then#1, inline$BDLSystemPowerIoCompletion$22$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$22$anon44_Then#1, inline$BDLSystemPowerIoCompletion$22$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_74#1:
+ goto inline$storm_IoCompleteRequest$46$Entry#1;
+
+ inline$storm_IoCompleteRequest$46$Entry#1:
+ inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$22$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$46$start#1;
+
+ inline$storm_IoCompleteRequest$46$start#1:
+ inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$46$label_3#1;
+
+ inline$storm_IoCompleteRequest$46$label_3#1:
+ call inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$46$label_6#1;
+
+ inline$storm_IoCompleteRequest$46$label_6#1:
+ goto inline$storm_IoCompleteRequest$46$label_6_true#1, inline$storm_IoCompleteRequest$46$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$46$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$46$label_7#1;
+
+ inline$storm_IoCompleteRequest$46$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$46$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$46$label_8#1;
+
+ inline$storm_IoCompleteRequest$46$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$46$anon3_Then#1, inline$storm_IoCompleteRequest$46$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$46$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$46$anon1#1;
+
+ inline$storm_IoCompleteRequest$46$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$46$label_9#1;
+
+ inline$storm_IoCompleteRequest$46$label_9#1:
+ goto inline$storm_IoCompleteRequest$46$label_9_true#1, inline$storm_IoCompleteRequest$46$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$46$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$46$label_10#1;
+
+ inline$storm_IoCompleteRequest$46$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$46$label_1#1;
+
+ inline$storm_IoCompleteRequest$46$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$46$label_7#1;
+
+ inline$storm_IoCompleteRequest$46$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$46$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$46$label_1#1;
+
+ inline$storm_IoCompleteRequest$46$label_1#1:
+ goto inline$storm_IoCompleteRequest$46$Return#1;
+
+ inline$storm_IoCompleteRequest$46$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$46$Return#1;
+
+ inline$storm_IoCompleteRequest$46$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon45_Then#1, inline$BDLSystemPowerIoCompletion$22$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$22$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$22$anon46_Then#1, inline$BDLSystemPowerIoCompletion$22$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_80#1:
+ goto inline$BDLGetDebugLevel$583$Entry#1;
+
+ inline$BDLGetDebugLevel$583$Entry#1:
+ goto inline$BDLGetDebugLevel$583$start#1;
+
+ inline$BDLGetDebugLevel$583$start#1:
+ goto inline$BDLGetDebugLevel$583$label_3#1;
+
+ inline$BDLGetDebugLevel$583$label_3#1:
+ havoc inline$BDLGetDebugLevel$583$myNondetVar_0;
+ inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$583$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$583$label_1#1;
+
+ inline$BDLGetDebugLevel$583$label_1#1:
+ goto inline$BDLGetDebugLevel$583$Return#1;
+
+ inline$BDLGetDebugLevel$583$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$583$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon47_Then#1, inline$BDLSystemPowerIoCompletion$22$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_83_true#1, inline$BDLSystemPowerIoCompletion$22$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_84#1:
+ goto inline$BDLGetDebugLevel$584$Entry#1;
+
+ inline$BDLGetDebugLevel$584$Entry#1:
+ goto inline$BDLGetDebugLevel$584$start#1;
+
+ inline$BDLGetDebugLevel$584$start#1:
+ goto inline$BDLGetDebugLevel$584$label_3#1;
+
+ inline$BDLGetDebugLevel$584$label_3#1:
+ havoc inline$BDLGetDebugLevel$584$myNondetVar_0;
+ inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$584$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$584$label_1#1;
+
+ inline$BDLGetDebugLevel$584$label_1#1:
+ goto inline$BDLGetDebugLevel$584$Return#1;
+
+ inline$BDLGetDebugLevel$584$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$584$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon48_Then#1, inline$BDLSystemPowerIoCompletion$22$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_93_true#1, inline$BDLSystemPowerIoCompletion$22$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$22$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$22$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_94#1:
+ goto inline$BDLGetDebugLevel$585$Entry#1;
+
+ inline$BDLGetDebugLevel$585$Entry#1:
+ goto inline$BDLGetDebugLevel$585$start#1;
+
+ inline$BDLGetDebugLevel$585$start#1:
+ goto inline$BDLGetDebugLevel$585$label_3#1;
+
+ inline$BDLGetDebugLevel$585$label_3#1:
+ havoc inline$BDLGetDebugLevel$585$myNondetVar_0;
+ inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$585$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$585$label_1#1;
+
+ inline$BDLGetDebugLevel$585$label_1#1:
+ goto inline$BDLGetDebugLevel$585$Return#1;
+
+ inline$BDLGetDebugLevel$585$Return#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$585$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$22$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$22$anon49_Then#1, inline$BDLSystemPowerIoCompletion$22$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_103_true#1, inline$BDLSystemPowerIoCompletion$22$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$22$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$22$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$22$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_104#1:
+ inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$22$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$22$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$22$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$22$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$22$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$22$Return#1:
+ inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$22$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$22$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$22$anon14_Then#1, inline$CallCompletionRoutine$22$anon14_Else#1;
+
+ inline$CallCompletionRoutine$22$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$22$anon9#1;
+
+ inline$CallCompletionRoutine$22$anon9#1:
+ goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$22$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$22$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$22$Entry#1:
+ inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$22$start#1;
+
+ inline$BDLDevicePowerIoCompletion$22$start#1:
+ call inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$22$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_4#1:
+ inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_6#1:
+ inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$22$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$117$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$117$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$start#1:
+ inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$117$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$117$label_3_true#1, inline$IoGetCurrentIrpStackLocation$117$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$117$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$117$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$117$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$117$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$117$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$117$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$117$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$117$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$117$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$117$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$117$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$117$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$117$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$117$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$117$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$117$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$117$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$117$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$117$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$117$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon30_Then#1, inline$BDLDevicePowerIoCompletion$22$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_13#1:
+ inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_17#1:
+ goto inline$BDLGetDebugLevel$568$Entry#1;
+
+ inline$BDLGetDebugLevel$568$Entry#1:
+ goto inline$BDLGetDebugLevel$568$start#1;
+
+ inline$BDLGetDebugLevel$568$start#1:
+ goto inline$BDLGetDebugLevel$568$label_3#1;
+
+ inline$BDLGetDebugLevel$568$label_3#1:
+ havoc inline$BDLGetDebugLevel$568$myNondetVar_0;
+ inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$568$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$568$label_1#1;
+
+ inline$BDLGetDebugLevel$568$label_1#1:
+ goto inline$BDLGetDebugLevel$568$Return#1;
+
+ inline$BDLGetDebugLevel$568$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$568$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon31_Then#1, inline$BDLDevicePowerIoCompletion$22$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_20_true#1, inline$BDLDevicePowerIoCompletion$22$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_21#1:
+ goto inline$BDLGetDebugLevel$569$Entry#1;
+
+ inline$BDLGetDebugLevel$569$Entry#1:
+ goto inline$BDLGetDebugLevel$569$start#1;
+
+ inline$BDLGetDebugLevel$569$start#1:
+ goto inline$BDLGetDebugLevel$569$label_3#1;
+
+ inline$BDLGetDebugLevel$569$label_3#1:
+ havoc inline$BDLGetDebugLevel$569$myNondetVar_0;
+ inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$569$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$569$label_1#1;
+
+ inline$BDLGetDebugLevel$569$label_1#1:
+ goto inline$BDLGetDebugLevel$569$Return#1;
+
+ inline$BDLGetDebugLevel$569$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$569$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon32_Then#1, inline$BDLDevicePowerIoCompletion$22$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_30_true#1, inline$BDLDevicePowerIoCompletion$22$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_31#1:
+ goto inline$BDLGetDebugLevel$570$Entry#1;
+
+ inline$BDLGetDebugLevel$570$Entry#1:
+ goto inline$BDLGetDebugLevel$570$start#1;
+
+ inline$BDLGetDebugLevel$570$start#1:
+ goto inline$BDLGetDebugLevel$570$label_3#1;
+
+ inline$BDLGetDebugLevel$570$label_3#1:
+ havoc inline$BDLGetDebugLevel$570$myNondetVar_0;
+ inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$570$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$570$label_1#1;
+
+ inline$BDLGetDebugLevel$570$label_1#1:
+ goto inline$BDLGetDebugLevel$570$Return#1;
+
+ inline$BDLGetDebugLevel$570$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$570$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon33_Then#1, inline$BDLDevicePowerIoCompletion$22$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_40_true#1, inline$BDLDevicePowerIoCompletion$22$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_41_true#1, inline$BDLDevicePowerIoCompletion$22$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$22$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$22$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_44_true#1, inline$BDLDevicePowerIoCompletion$22$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_45_true#1, inline$BDLDevicePowerIoCompletion$22$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$22$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$22$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$22$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_54#1:
+ inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$22$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_55_true#1, inline$BDLDevicePowerIoCompletion$22$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$22$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$22$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$22$anon34_Then#1, inline$BDLDevicePowerIoCompletion$22$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_59#1:
+ goto inline$BDLGetDebugLevel$571$Entry#1;
+
+ inline$BDLGetDebugLevel$571$Entry#1:
+ goto inline$BDLGetDebugLevel$571$start#1;
+
+ inline$BDLGetDebugLevel$571$start#1:
+ goto inline$BDLGetDebugLevel$571$label_3#1;
+
+ inline$BDLGetDebugLevel$571$label_3#1:
+ havoc inline$BDLGetDebugLevel$571$myNondetVar_0;
+ inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$571$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$571$label_1#1;
+
+ inline$BDLGetDebugLevel$571$label_1#1:
+ goto inline$BDLGetDebugLevel$571$Return#1;
+
+ inline$BDLGetDebugLevel$571$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$571$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon35_Then#1, inline$BDLDevicePowerIoCompletion$22$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_62_true#1, inline$BDLDevicePowerIoCompletion$22$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_63#1:
+ goto inline$BDLGetDebugLevel$572$Entry#1;
+
+ inline$BDLGetDebugLevel$572$Entry#1:
+ goto inline$BDLGetDebugLevel$572$start#1;
+
+ inline$BDLGetDebugLevel$572$start#1:
+ goto inline$BDLGetDebugLevel$572$label_3#1;
+
+ inline$BDLGetDebugLevel$572$label_3#1:
+ havoc inline$BDLGetDebugLevel$572$myNondetVar_0;
+ inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$572$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$572$label_1#1;
+
+ inline$BDLGetDebugLevel$572$label_1#1:
+ goto inline$BDLGetDebugLevel$572$Return#1;
+
+ inline$BDLGetDebugLevel$572$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$572$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon36_Then#1, inline$BDLDevicePowerIoCompletion$22$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_72_true#1, inline$BDLDevicePowerIoCompletion$22$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_73#1:
+ goto inline$BDLGetDebugLevel$573$Entry#1;
+
+ inline$BDLGetDebugLevel$573$Entry#1:
+ goto inline$BDLGetDebugLevel$573$start#1;
+
+ inline$BDLGetDebugLevel$573$start#1:
+ goto inline$BDLGetDebugLevel$573$label_3#1;
+
+ inline$BDLGetDebugLevel$573$label_3#1:
+ havoc inline$BDLGetDebugLevel$573$myNondetVar_0;
+ inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$573$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$573$label_1#1;
+
+ inline$BDLGetDebugLevel$573$label_1#1:
+ goto inline$BDLGetDebugLevel$573$Return#1;
+
+ inline$BDLGetDebugLevel$573$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$573$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon37_Then#1, inline$BDLDevicePowerIoCompletion$22$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_82_true#1, inline$BDLDevicePowerIoCompletion$22$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$22$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$22$anon38_Then#1, inline$BDLDevicePowerIoCompletion$22$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$22$anon39_Then#1, inline$BDLDevicePowerIoCompletion$22$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_92#1:
+ goto inline$storm_IoCompleteRequest$45$Entry#1;
+
+ inline$storm_IoCompleteRequest$45$Entry#1:
+ inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$22$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$45$start#1;
+
+ inline$storm_IoCompleteRequest$45$start#1:
+ inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$45$label_3#1;
+
+ inline$storm_IoCompleteRequest$45$label_3#1:
+ call inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$45$label_6#1;
+
+ inline$storm_IoCompleteRequest$45$label_6#1:
+ goto inline$storm_IoCompleteRequest$45$label_6_true#1, inline$storm_IoCompleteRequest$45$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$45$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$45$label_7#1;
+
+ inline$storm_IoCompleteRequest$45$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$45$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$45$label_8#1;
+
+ inline$storm_IoCompleteRequest$45$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$45$anon3_Then#1, inline$storm_IoCompleteRequest$45$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$45$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$45$anon1#1;
+
+ inline$storm_IoCompleteRequest$45$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$45$label_9#1;
+
+ inline$storm_IoCompleteRequest$45$label_9#1:
+ goto inline$storm_IoCompleteRequest$45$label_9_true#1, inline$storm_IoCompleteRequest$45$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$45$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$45$label_10#1;
+
+ inline$storm_IoCompleteRequest$45$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$45$label_1#1;
+
+ inline$storm_IoCompleteRequest$45$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$45$label_7#1;
+
+ inline$storm_IoCompleteRequest$45$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$45$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$45$label_1#1;
+
+ inline$storm_IoCompleteRequest$45$label_1#1:
+ goto inline$storm_IoCompleteRequest$45$Return#1;
+
+ inline$storm_IoCompleteRequest$45$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$45$Return#1;
+
+ inline$storm_IoCompleteRequest$45$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon40_Then#1, inline$BDLDevicePowerIoCompletion$22$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$22$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$22$anon41_Then#1, inline$BDLDevicePowerIoCompletion$22$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_98#1:
+ goto inline$BDLGetDebugLevel$574$Entry#1;
+
+ inline$BDLGetDebugLevel$574$Entry#1:
+ goto inline$BDLGetDebugLevel$574$start#1;
+
+ inline$BDLGetDebugLevel$574$start#1:
+ goto inline$BDLGetDebugLevel$574$label_3#1;
+
+ inline$BDLGetDebugLevel$574$label_3#1:
+ havoc inline$BDLGetDebugLevel$574$myNondetVar_0;
+ inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$574$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$574$label_1#1;
+
+ inline$BDLGetDebugLevel$574$label_1#1:
+ goto inline$BDLGetDebugLevel$574$Return#1;
+
+ inline$BDLGetDebugLevel$574$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$574$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon42_Then#1, inline$BDLDevicePowerIoCompletion$22$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_101_true#1, inline$BDLDevicePowerIoCompletion$22$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_102#1:
+ goto inline$BDLGetDebugLevel$575$Entry#1;
+
+ inline$BDLGetDebugLevel$575$Entry#1:
+ goto inline$BDLGetDebugLevel$575$start#1;
+
+ inline$BDLGetDebugLevel$575$start#1:
+ goto inline$BDLGetDebugLevel$575$label_3#1;
+
+ inline$BDLGetDebugLevel$575$label_3#1:
+ havoc inline$BDLGetDebugLevel$575$myNondetVar_0;
+ inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$575$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$575$label_1#1;
+
+ inline$BDLGetDebugLevel$575$label_1#1:
+ goto inline$BDLGetDebugLevel$575$Return#1;
+
+ inline$BDLGetDebugLevel$575$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$575$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon43_Then#1, inline$BDLDevicePowerIoCompletion$22$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_111_true#1, inline$BDLDevicePowerIoCompletion$22$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$22$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$22$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_112#1:
+ goto inline$BDLGetDebugLevel$576$Entry#1;
+
+ inline$BDLGetDebugLevel$576$Entry#1:
+ goto inline$BDLGetDebugLevel$576$start#1;
+
+ inline$BDLGetDebugLevel$576$start#1:
+ goto inline$BDLGetDebugLevel$576$label_3#1;
+
+ inline$BDLGetDebugLevel$576$label_3#1:
+ havoc inline$BDLGetDebugLevel$576$myNondetVar_0;
+ inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$576$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$576$label_1#1;
+
+ inline$BDLGetDebugLevel$576$label_1#1:
+ goto inline$BDLGetDebugLevel$576$Return#1;
+
+ inline$BDLGetDebugLevel$576$Return#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$576$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$22$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$22$anon44_Then#1, inline$BDLDevicePowerIoCompletion$22$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_121_true#1, inline$BDLDevicePowerIoCompletion$22$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$22$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$22$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$22$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_122#1:
+ inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$22$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$22$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$22$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$22$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$22$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$22$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$22$Return#1:
+ inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$22$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$22$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$22$anon13_Then#1, inline$CallCompletionRoutine$22$anon13_Else#1;
+
+ inline$CallCompletionRoutine$22$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$22$anon7#1;
+
+ inline$CallCompletionRoutine$22$anon7#1:
+ goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$22$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$22$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$22$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$22$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$22$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$22$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$start#1:
+ inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$22$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$label_3_true#1, inline$BDLCallDriverCompletionRoutine$22$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$22$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$22$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$22$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_6#1:
+ goto inline$storm_KeSetEvent$24$Entry#1;
+
+ inline$storm_KeSetEvent$24$Entry#1:
+ inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$22$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$24$start#1;
+
+ inline$storm_KeSetEvent$24$start#1:
+ inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$24$label_3#1;
+
+ inline$storm_KeSetEvent$24$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$24$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$24$label_1#1;
+
+ inline$storm_KeSetEvent$24$label_1#1:
+ goto inline$storm_KeSetEvent$24$Return#1;
+
+ inline$storm_KeSetEvent$24$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$22$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$22$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$22$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$22$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$22$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$22$Return#1:
+ inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$22$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$22$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$22$anon12_Then#1, inline$CallCompletionRoutine$22$anon12_Else#1;
+
+ inline$CallCompletionRoutine$22$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$22$anon5#1;
+
+ inline$CallCompletionRoutine$22$anon5#1:
+ goto inline$CallCompletionRoutine$22$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$22$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$22$label_23#1;
+
+ inline$CallCompletionRoutine$22$label_23#1:
+ inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$22$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$22$label_24#1;
+
+ inline$CallCompletionRoutine$22$label_24#1:
+ goto inline$CallCompletionRoutine$22$label_24_true#1, inline$CallCompletionRoutine$22$label_24_false#1;
+
+ inline$CallCompletionRoutine$22$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$22$label_1#1;
+
+ inline$CallCompletionRoutine$22$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$22$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$22$label_25#1;
+
+ inline$CallCompletionRoutine$22$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$22$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$22$label_1#1;
+
+ inline$CallCompletionRoutine$22$label_1#1:
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$22$Return#1;
+
+ inline$CallCompletionRoutine$22$Return#1:
+ goto inline$storm_IoCallDriver$11$label_33$1#1;
+
+ inline$storm_IoCallDriver$11$label_33$1#1:
+ goto inline$storm_IoCallDriver$11$anon14_Then#1, inline$storm_IoCallDriver$11$anon14_Else#1;
+
+ inline$storm_IoCallDriver$11$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$11$anon8#1;
+
+ inline$storm_IoCallDriver$11$anon8#1:
+ goto inline$storm_IoCallDriver$11$label_36#1;
+
+ inline$storm_IoCallDriver$11$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$11$label_29#1;
+
+ inline$storm_IoCallDriver$11$label_29#1:
+ inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$11$label_37#1;
+
+ inline$storm_IoCallDriver$11$label_37#1:
+ goto inline$storm_IoCallDriver$11$label_38#1;
+
+ inline$storm_IoCallDriver$11$label_38#1:
+ goto inline$storm_IoCallDriver$11$label_39#1;
+
+ inline$storm_IoCallDriver$11$label_39#1:
+ goto inline$CallCompletionRoutine$23$Entry#1;
+
+ inline$CallCompletionRoutine$23$Entry#1:
+ inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$23$start#1;
+
+ inline$CallCompletionRoutine$23$start#1:
+ inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$23$label_3#1;
+
+ inline$CallCompletionRoutine$23$label_3#1:
+ goto inline$CallCompletionRoutine$23$label_4#1;
+
+ inline$CallCompletionRoutine$23$label_4#1:
+ goto inline$CallCompletionRoutine$23$label_5#1;
+
+ inline$CallCompletionRoutine$23$label_5#1:
+ goto inline$CallCompletionRoutine$23$label_6#1;
+
+ inline$CallCompletionRoutine$23$label_6#1:
+ goto inline$CallCompletionRoutine$23$label_7#1;
+
+ inline$CallCompletionRoutine$23$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$119$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$119$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$start#1:
+ inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$119$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$119$label_3_true#1, inline$IoGetCurrentIrpStackLocation$119$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$119$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$119$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$119$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$119$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$119$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$119$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$119$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$119$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$119$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$119$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$119$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$119$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$119$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$119$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$119$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$119$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$119$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$119$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$119$Return#1:
+ goto inline$CallCompletionRoutine$23$label_7$1#1;
+
+ inline$CallCompletionRoutine$23$label_7$1#1:
+ goto inline$CallCompletionRoutine$23$anon10_Then#1, inline$CallCompletionRoutine$23$anon10_Else#1;
+
+ inline$CallCompletionRoutine$23$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$23$anon1#1;
+
+ inline$CallCompletionRoutine$23$anon1#1:
+ goto inline$CallCompletionRoutine$23$label_10#1;
+
+ inline$CallCompletionRoutine$23$label_10#1:
+ goto inline$CallCompletionRoutine$23$label_11#1;
+
+ inline$CallCompletionRoutine$23$label_11#1:
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$CallCompletionRoutine$23$label_12#1;
+
+ inline$CallCompletionRoutine$23$label_12#1:
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$CallCompletionRoutine$23$label_13#1;
+
+ inline$CallCompletionRoutine$23$label_13#1:
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$CallCompletionRoutine$23$label_14#1;
+
+ inline$CallCompletionRoutine$23$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$120$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$120$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$start#1:
+ inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$120$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$120$label_3_true#1, inline$IoGetCurrentIrpStackLocation$120$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$120$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$120$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$120$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$120$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$120$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$120$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$120$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$120$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$120$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$120$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$120$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$120$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$120$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$120$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$120$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$120$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$120$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$120$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$120$Return#1:
+ goto inline$CallCompletionRoutine$23$label_14$1#1;
+
+ inline$CallCompletionRoutine$23$label_14$1#1:
+ goto inline$CallCompletionRoutine$23$anon11_Then#1, inline$CallCompletionRoutine$23$anon11_Else#1;
+
+ inline$CallCompletionRoutine$23$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$23$anon3#1;
+
+ inline$CallCompletionRoutine$23$anon3#1:
+ goto inline$CallCompletionRoutine$23$label_17#1;
+
+ inline$CallCompletionRoutine$23$label_17#1:
+ goto inline$CallCompletionRoutine$23$label_18#1;
+
+ inline$CallCompletionRoutine$23$label_18#1:
+ goto inline$CallCompletionRoutine$23$label_18_true#1, inline$CallCompletionRoutine$23$label_18_false#1;
+
+ inline$CallCompletionRoutine$23$label_18_false#1:
+ assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$23$label_1#1;
+
+ inline$CallCompletionRoutine$23$label_18_true#1:
+ assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$23$label_19#1;
+
+ inline$CallCompletionRoutine$23$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$23$label_20#1;
+
+ inline$CallCompletionRoutine$23$label_20#1:
+ goto inline$CallCompletionRoutine$23$label_20_icall_1#1, inline$CallCompletionRoutine$23$label_20_icall_2#1, inline$CallCompletionRoutine$23$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$23$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$23$Entry#1:
+ inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$23$start#1;
+
+ inline$BDLSystemPowerIoCompletion$23$start#1:
+ call inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$23$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_4#1:
+ inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_6#1:
+ inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$23$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$122$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$122$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$start#1:
+ inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$122$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$122$label_3_true#1, inline$IoGetCurrentIrpStackLocation$122$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$122$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$122$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$122$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$122$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$122$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$122$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$122$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$122$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$122$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$122$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$122$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$122$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$122$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$122$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$122$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$122$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$122$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$122$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$122$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon36_Then#1, inline$BDLSystemPowerIoCompletion$23$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_15#1:
+ goto inline$BDLGetDebugLevel$598$Entry#1;
+
+ inline$BDLGetDebugLevel$598$Entry#1:
+ goto inline$BDLGetDebugLevel$598$start#1;
+
+ inline$BDLGetDebugLevel$598$start#1:
+ goto inline$BDLGetDebugLevel$598$label_3#1;
+
+ inline$BDLGetDebugLevel$598$label_3#1:
+ havoc inline$BDLGetDebugLevel$598$myNondetVar_0;
+ inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$598$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$598$label_1#1;
+
+ inline$BDLGetDebugLevel$598$label_1#1:
+ goto inline$BDLGetDebugLevel$598$Return#1;
+
+ inline$BDLGetDebugLevel$598$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$598$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon37_Then#1, inline$BDLSystemPowerIoCompletion$23$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_18_true#1, inline$BDLSystemPowerIoCompletion$23$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_19#1:
+ goto inline$BDLGetDebugLevel$599$Entry#1;
+
+ inline$BDLGetDebugLevel$599$Entry#1:
+ goto inline$BDLGetDebugLevel$599$start#1;
+
+ inline$BDLGetDebugLevel$599$start#1:
+ goto inline$BDLGetDebugLevel$599$label_3#1;
+
+ inline$BDLGetDebugLevel$599$label_3#1:
+ havoc inline$BDLGetDebugLevel$599$myNondetVar_0;
+ inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$599$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$599$label_1#1;
+
+ inline$BDLGetDebugLevel$599$label_1#1:
+ goto inline$BDLGetDebugLevel$599$Return#1;
+
+ inline$BDLGetDebugLevel$599$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$599$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon38_Then#1, inline$BDLSystemPowerIoCompletion$23$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_28_true#1, inline$BDLSystemPowerIoCompletion$23$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_29#1:
+ goto inline$BDLGetDebugLevel$600$Entry#1;
+
+ inline$BDLGetDebugLevel$600$Entry#1:
+ goto inline$BDLGetDebugLevel$600$start#1;
+
+ inline$BDLGetDebugLevel$600$start#1:
+ goto inline$BDLGetDebugLevel$600$label_3#1;
+
+ inline$BDLGetDebugLevel$600$label_3#1:
+ havoc inline$BDLGetDebugLevel$600$myNondetVar_0;
+ inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$600$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$600$label_1#1;
+
+ inline$BDLGetDebugLevel$600$label_1#1:
+ goto inline$BDLGetDebugLevel$600$Return#1;
+
+ inline$BDLGetDebugLevel$600$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$600$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon39_Then#1, inline$BDLSystemPowerIoCompletion$23$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_38_true#1, inline$BDLSystemPowerIoCompletion$23$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_39_true#1, inline$BDLSystemPowerIoCompletion$23$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$23$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$23$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$23$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$23$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$23$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_106_true#1, inline$BDLSystemPowerIoCompletion$23$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$23$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$23$myNondetVar_0, inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$23$anon50_Then#1, inline$BDLSystemPowerIoCompletion$23$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_112#1:
+ inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_113_true#1, inline$BDLSystemPowerIoCompletion$23$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$23$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_117#1:
+ goto inline$BDLGetDebugLevel$607$Entry#1;
+
+ inline$BDLGetDebugLevel$607$Entry#1:
+ goto inline$BDLGetDebugLevel$607$start#1;
+
+ inline$BDLGetDebugLevel$607$start#1:
+ goto inline$BDLGetDebugLevel$607$label_3#1;
+
+ inline$BDLGetDebugLevel$607$label_3#1:
+ havoc inline$BDLGetDebugLevel$607$myNondetVar_0;
+ inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$607$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$607$label_1#1;
+
+ inline$BDLGetDebugLevel$607$label_1#1:
+ goto inline$BDLGetDebugLevel$607$Return#1;
+
+ inline$BDLGetDebugLevel$607$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$607$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon51_Then#1, inline$BDLSystemPowerIoCompletion$23$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_120_true#1, inline$BDLSystemPowerIoCompletion$23$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_121#1:
+ goto inline$BDLGetDebugLevel$608$Entry#1;
+
+ inline$BDLGetDebugLevel$608$Entry#1:
+ goto inline$BDLGetDebugLevel$608$start#1;
+
+ inline$BDLGetDebugLevel$608$start#1:
+ goto inline$BDLGetDebugLevel$608$label_3#1;
+
+ inline$BDLGetDebugLevel$608$label_3#1:
+ havoc inline$BDLGetDebugLevel$608$myNondetVar_0;
+ inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$608$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$608$label_1#1;
+
+ inline$BDLGetDebugLevel$608$label_1#1:
+ goto inline$BDLGetDebugLevel$608$Return#1;
+
+ inline$BDLGetDebugLevel$608$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$608$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon52_Then#1, inline$BDLSystemPowerIoCompletion$23$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_130_true#1, inline$BDLSystemPowerIoCompletion$23$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_131#1:
+ goto inline$BDLGetDebugLevel$609$Entry#1;
+
+ inline$BDLGetDebugLevel$609$Entry#1:
+ goto inline$BDLGetDebugLevel$609$start#1;
+
+ inline$BDLGetDebugLevel$609$start#1:
+ goto inline$BDLGetDebugLevel$609$label_3#1;
+
+ inline$BDLGetDebugLevel$609$label_3#1:
+ havoc inline$BDLGetDebugLevel$609$myNondetVar_0;
+ inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$609$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$609$label_1#1;
+
+ inline$BDLGetDebugLevel$609$label_1#1:
+ goto inline$BDLGetDebugLevel$609$Return#1;
+
+ inline$BDLGetDebugLevel$609$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$609$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon53_Then#1, inline$BDLSystemPowerIoCompletion$23$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_140_true#1, inline$BDLSystemPowerIoCompletion$23$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$23$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_115#1:
+ inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$23$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$23$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$23$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_43#1:
+ goto inline$BDLGetDebugLevel$601$Entry#1;
+
+ inline$BDLGetDebugLevel$601$Entry#1:
+ goto inline$BDLGetDebugLevel$601$start#1;
+
+ inline$BDLGetDebugLevel$601$start#1:
+ goto inline$BDLGetDebugLevel$601$label_3#1;
+
+ inline$BDLGetDebugLevel$601$label_3#1:
+ havoc inline$BDLGetDebugLevel$601$myNondetVar_0;
+ inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$601$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$601$label_1#1;
+
+ inline$BDLGetDebugLevel$601$label_1#1:
+ goto inline$BDLGetDebugLevel$601$Return#1;
+
+ inline$BDLGetDebugLevel$601$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$601$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon40_Then#1, inline$BDLSystemPowerIoCompletion$23$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_46_true#1, inline$BDLSystemPowerIoCompletion$23$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_47#1:
+ goto inline$BDLGetDebugLevel$602$Entry#1;
+
+ inline$BDLGetDebugLevel$602$Entry#1:
+ goto inline$BDLGetDebugLevel$602$start#1;
+
+ inline$BDLGetDebugLevel$602$start#1:
+ goto inline$BDLGetDebugLevel$602$label_3#1;
+
+ inline$BDLGetDebugLevel$602$label_3#1:
+ havoc inline$BDLGetDebugLevel$602$myNondetVar_0;
+ inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$602$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$602$label_1#1;
+
+ inline$BDLGetDebugLevel$602$label_1#1:
+ goto inline$BDLGetDebugLevel$602$Return#1;
+
+ inline$BDLGetDebugLevel$602$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$602$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon41_Then#1, inline$BDLSystemPowerIoCompletion$23$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_56_true#1, inline$BDLSystemPowerIoCompletion$23$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_57#1:
+ goto inline$BDLGetDebugLevel$603$Entry#1;
+
+ inline$BDLGetDebugLevel$603$Entry#1:
+ goto inline$BDLGetDebugLevel$603$start#1;
+
+ inline$BDLGetDebugLevel$603$start#1:
+ goto inline$BDLGetDebugLevel$603$label_3#1;
+
+ inline$BDLGetDebugLevel$603$label_3#1:
+ havoc inline$BDLGetDebugLevel$603$myNondetVar_0;
+ inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$603$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$603$label_1#1;
+
+ inline$BDLGetDebugLevel$603$label_1#1:
+ goto inline$BDLGetDebugLevel$603$Return#1;
+
+ inline$BDLGetDebugLevel$603$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$603$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon42_Then#1, inline$BDLSystemPowerIoCompletion$23$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_66_true#1, inline$BDLSystemPowerIoCompletion$23$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$23$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$23$anon43_Then#1, inline$BDLSystemPowerIoCompletion$23$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$23$anon44_Then#1, inline$BDLSystemPowerIoCompletion$23$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_74#1:
+ goto inline$storm_IoCompleteRequest$48$Entry#1;
+
+ inline$storm_IoCompleteRequest$48$Entry#1:
+ inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$23$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$48$start#1;
+
+ inline$storm_IoCompleteRequest$48$start#1:
+ inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$48$label_3#1;
+
+ inline$storm_IoCompleteRequest$48$label_3#1:
+ call inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$48$label_6#1;
+
+ inline$storm_IoCompleteRequest$48$label_6#1:
+ goto inline$storm_IoCompleteRequest$48$label_6_true#1, inline$storm_IoCompleteRequest$48$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$48$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$48$label_7#1;
+
+ inline$storm_IoCompleteRequest$48$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$48$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$48$label_8#1;
+
+ inline$storm_IoCompleteRequest$48$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$48$anon3_Then#1, inline$storm_IoCompleteRequest$48$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$48$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$48$anon1#1;
+
+ inline$storm_IoCompleteRequest$48$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$48$label_9#1;
+
+ inline$storm_IoCompleteRequest$48$label_9#1:
+ goto inline$storm_IoCompleteRequest$48$label_9_true#1, inline$storm_IoCompleteRequest$48$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$48$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$48$label_10#1;
+
+ inline$storm_IoCompleteRequest$48$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$48$label_1#1;
+
+ inline$storm_IoCompleteRequest$48$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$48$label_7#1;
+
+ inline$storm_IoCompleteRequest$48$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$48$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$48$label_1#1;
+
+ inline$storm_IoCompleteRequest$48$label_1#1:
+ goto inline$storm_IoCompleteRequest$48$Return#1;
+
+ inline$storm_IoCompleteRequest$48$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$48$Return#1;
+
+ inline$storm_IoCompleteRequest$48$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon45_Then#1, inline$BDLSystemPowerIoCompletion$23$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$23$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$23$anon46_Then#1, inline$BDLSystemPowerIoCompletion$23$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_80#1:
+ goto inline$BDLGetDebugLevel$604$Entry#1;
+
+ inline$BDLGetDebugLevel$604$Entry#1:
+ goto inline$BDLGetDebugLevel$604$start#1;
+
+ inline$BDLGetDebugLevel$604$start#1:
+ goto inline$BDLGetDebugLevel$604$label_3#1;
+
+ inline$BDLGetDebugLevel$604$label_3#1:
+ havoc inline$BDLGetDebugLevel$604$myNondetVar_0;
+ inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$604$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$604$label_1#1;
+
+ inline$BDLGetDebugLevel$604$label_1#1:
+ goto inline$BDLGetDebugLevel$604$Return#1;
+
+ inline$BDLGetDebugLevel$604$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$604$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon47_Then#1, inline$BDLSystemPowerIoCompletion$23$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_83_true#1, inline$BDLSystemPowerIoCompletion$23$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_84#1:
+ goto inline$BDLGetDebugLevel$605$Entry#1;
+
+ inline$BDLGetDebugLevel$605$Entry#1:
+ goto inline$BDLGetDebugLevel$605$start#1;
+
+ inline$BDLGetDebugLevel$605$start#1:
+ goto inline$BDLGetDebugLevel$605$label_3#1;
+
+ inline$BDLGetDebugLevel$605$label_3#1:
+ havoc inline$BDLGetDebugLevel$605$myNondetVar_0;
+ inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$605$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$605$label_1#1;
+
+ inline$BDLGetDebugLevel$605$label_1#1:
+ goto inline$BDLGetDebugLevel$605$Return#1;
+
+ inline$BDLGetDebugLevel$605$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$605$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon48_Then#1, inline$BDLSystemPowerIoCompletion$23$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_93_true#1, inline$BDLSystemPowerIoCompletion$23$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$23$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$23$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_94#1:
+ goto inline$BDLGetDebugLevel$606$Entry#1;
+
+ inline$BDLGetDebugLevel$606$Entry#1:
+ goto inline$BDLGetDebugLevel$606$start#1;
+
+ inline$BDLGetDebugLevel$606$start#1:
+ goto inline$BDLGetDebugLevel$606$label_3#1;
+
+ inline$BDLGetDebugLevel$606$label_3#1:
+ havoc inline$BDLGetDebugLevel$606$myNondetVar_0;
+ inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$606$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$606$label_1#1;
+
+ inline$BDLGetDebugLevel$606$label_1#1:
+ goto inline$BDLGetDebugLevel$606$Return#1;
+
+ inline$BDLGetDebugLevel$606$Return#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$606$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$23$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$23$anon49_Then#1, inline$BDLSystemPowerIoCompletion$23$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_103_true#1, inline$BDLSystemPowerIoCompletion$23$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$23$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$23$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$23$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_104#1:
+ inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$23$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$23$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$23$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$23$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$23$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$23$Return#1:
+ inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$23$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$23$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$23$anon14_Then#1, inline$CallCompletionRoutine$23$anon14_Else#1;
+
+ inline$CallCompletionRoutine$23$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$23$anon9#1;
+
+ inline$CallCompletionRoutine$23$anon9#1:
+ goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$23$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$23$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$23$Entry#1:
+ inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$23$start#1;
+
+ inline$BDLDevicePowerIoCompletion$23$start#1:
+ call inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$23$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_4#1:
+ inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_6#1:
+ inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$23$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$121$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$121$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$start#1:
+ inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$121$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$121$label_3_true#1, inline$IoGetCurrentIrpStackLocation$121$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$121$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$121$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$121$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$121$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$121$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$121$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$121$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$121$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$121$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$121$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$121$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$121$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$121$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$121$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$121$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$121$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$121$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$121$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$121$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$121$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon30_Then#1, inline$BDLDevicePowerIoCompletion$23$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_13#1:
+ inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_17#1:
+ goto inline$BDLGetDebugLevel$589$Entry#1;
+
+ inline$BDLGetDebugLevel$589$Entry#1:
+ goto inline$BDLGetDebugLevel$589$start#1;
+
+ inline$BDLGetDebugLevel$589$start#1:
+ goto inline$BDLGetDebugLevel$589$label_3#1;
+
+ inline$BDLGetDebugLevel$589$label_3#1:
+ havoc inline$BDLGetDebugLevel$589$myNondetVar_0;
+ inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$589$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$589$label_1#1;
+
+ inline$BDLGetDebugLevel$589$label_1#1:
+ goto inline$BDLGetDebugLevel$589$Return#1;
+
+ inline$BDLGetDebugLevel$589$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$589$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon31_Then#1, inline$BDLDevicePowerIoCompletion$23$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_20_true#1, inline$BDLDevicePowerIoCompletion$23$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_21#1:
+ goto inline$BDLGetDebugLevel$590$Entry#1;
+
+ inline$BDLGetDebugLevel$590$Entry#1:
+ goto inline$BDLGetDebugLevel$590$start#1;
+
+ inline$BDLGetDebugLevel$590$start#1:
+ goto inline$BDLGetDebugLevel$590$label_3#1;
+
+ inline$BDLGetDebugLevel$590$label_3#1:
+ havoc inline$BDLGetDebugLevel$590$myNondetVar_0;
+ inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$590$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$590$label_1#1;
+
+ inline$BDLGetDebugLevel$590$label_1#1:
+ goto inline$BDLGetDebugLevel$590$Return#1;
+
+ inline$BDLGetDebugLevel$590$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$590$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon32_Then#1, inline$BDLDevicePowerIoCompletion$23$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_30_true#1, inline$BDLDevicePowerIoCompletion$23$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_31#1:
+ goto inline$BDLGetDebugLevel$591$Entry#1;
+
+ inline$BDLGetDebugLevel$591$Entry#1:
+ goto inline$BDLGetDebugLevel$591$start#1;
+
+ inline$BDLGetDebugLevel$591$start#1:
+ goto inline$BDLGetDebugLevel$591$label_3#1;
+
+ inline$BDLGetDebugLevel$591$label_3#1:
+ havoc inline$BDLGetDebugLevel$591$myNondetVar_0;
+ inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$591$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$591$label_1#1;
+
+ inline$BDLGetDebugLevel$591$label_1#1:
+ goto inline$BDLGetDebugLevel$591$Return#1;
+
+ inline$BDLGetDebugLevel$591$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$591$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon33_Then#1, inline$BDLDevicePowerIoCompletion$23$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_40_true#1, inline$BDLDevicePowerIoCompletion$23$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_41_true#1, inline$BDLDevicePowerIoCompletion$23$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$23$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$23$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_44_true#1, inline$BDLDevicePowerIoCompletion$23$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_45_true#1, inline$BDLDevicePowerIoCompletion$23$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$23$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$23$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$23$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_54#1:
+ inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$23$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_55_true#1, inline$BDLDevicePowerIoCompletion$23$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$23$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$23$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$23$anon34_Then#1, inline$BDLDevicePowerIoCompletion$23$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_59#1:
+ goto inline$BDLGetDebugLevel$592$Entry#1;
+
+ inline$BDLGetDebugLevel$592$Entry#1:
+ goto inline$BDLGetDebugLevel$592$start#1;
+
+ inline$BDLGetDebugLevel$592$start#1:
+ goto inline$BDLGetDebugLevel$592$label_3#1;
+
+ inline$BDLGetDebugLevel$592$label_3#1:
+ havoc inline$BDLGetDebugLevel$592$myNondetVar_0;
+ inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$592$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$592$label_1#1;
+
+ inline$BDLGetDebugLevel$592$label_1#1:
+ goto inline$BDLGetDebugLevel$592$Return#1;
+
+ inline$BDLGetDebugLevel$592$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$592$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon35_Then#1, inline$BDLDevicePowerIoCompletion$23$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_62_true#1, inline$BDLDevicePowerIoCompletion$23$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_63#1:
+ goto inline$BDLGetDebugLevel$593$Entry#1;
+
+ inline$BDLGetDebugLevel$593$Entry#1:
+ goto inline$BDLGetDebugLevel$593$start#1;
+
+ inline$BDLGetDebugLevel$593$start#1:
+ goto inline$BDLGetDebugLevel$593$label_3#1;
+
+ inline$BDLGetDebugLevel$593$label_3#1:
+ havoc inline$BDLGetDebugLevel$593$myNondetVar_0;
+ inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$593$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$593$label_1#1;
+
+ inline$BDLGetDebugLevel$593$label_1#1:
+ goto inline$BDLGetDebugLevel$593$Return#1;
+
+ inline$BDLGetDebugLevel$593$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$593$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon36_Then#1, inline$BDLDevicePowerIoCompletion$23$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_72_true#1, inline$BDLDevicePowerIoCompletion$23$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_73#1:
+ goto inline$BDLGetDebugLevel$594$Entry#1;
+
+ inline$BDLGetDebugLevel$594$Entry#1:
+ goto inline$BDLGetDebugLevel$594$start#1;
+
+ inline$BDLGetDebugLevel$594$start#1:
+ goto inline$BDLGetDebugLevel$594$label_3#1;
+
+ inline$BDLGetDebugLevel$594$label_3#1:
+ havoc inline$BDLGetDebugLevel$594$myNondetVar_0;
+ inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$594$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$594$label_1#1;
+
+ inline$BDLGetDebugLevel$594$label_1#1:
+ goto inline$BDLGetDebugLevel$594$Return#1;
+
+ inline$BDLGetDebugLevel$594$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$594$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon37_Then#1, inline$BDLDevicePowerIoCompletion$23$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_82_true#1, inline$BDLDevicePowerIoCompletion$23$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$23$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$23$anon38_Then#1, inline$BDLDevicePowerIoCompletion$23$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$23$anon39_Then#1, inline$BDLDevicePowerIoCompletion$23$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_92#1:
+ goto inline$storm_IoCompleteRequest$47$Entry#1;
+
+ inline$storm_IoCompleteRequest$47$Entry#1:
+ inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$23$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$47$start#1;
+
+ inline$storm_IoCompleteRequest$47$start#1:
+ inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$47$label_3#1;
+
+ inline$storm_IoCompleteRequest$47$label_3#1:
+ call inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$47$label_6#1;
+
+ inline$storm_IoCompleteRequest$47$label_6#1:
+ goto inline$storm_IoCompleteRequest$47$label_6_true#1, inline$storm_IoCompleteRequest$47$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$47$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$47$label_7#1;
+
+ inline$storm_IoCompleteRequest$47$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$47$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$47$label_8#1;
+
+ inline$storm_IoCompleteRequest$47$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$47$anon3_Then#1, inline$storm_IoCompleteRequest$47$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$47$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$47$anon1#1;
+
+ inline$storm_IoCompleteRequest$47$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$47$label_9#1;
+
+ inline$storm_IoCompleteRequest$47$label_9#1:
+ goto inline$storm_IoCompleteRequest$47$label_9_true#1, inline$storm_IoCompleteRequest$47$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$47$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$47$label_10#1;
+
+ inline$storm_IoCompleteRequest$47$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$47$label_1#1;
+
+ inline$storm_IoCompleteRequest$47$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$47$label_7#1;
+
+ inline$storm_IoCompleteRequest$47$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$47$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$47$label_1#1;
+
+ inline$storm_IoCompleteRequest$47$label_1#1:
+ goto inline$storm_IoCompleteRequest$47$Return#1;
+
+ inline$storm_IoCompleteRequest$47$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$47$Return#1;
+
+ inline$storm_IoCompleteRequest$47$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon40_Then#1, inline$BDLDevicePowerIoCompletion$23$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$23$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$23$anon41_Then#1, inline$BDLDevicePowerIoCompletion$23$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_98#1:
+ goto inline$BDLGetDebugLevel$595$Entry#1;
+
+ inline$BDLGetDebugLevel$595$Entry#1:
+ goto inline$BDLGetDebugLevel$595$start#1;
+
+ inline$BDLGetDebugLevel$595$start#1:
+ goto inline$BDLGetDebugLevel$595$label_3#1;
+
+ inline$BDLGetDebugLevel$595$label_3#1:
+ havoc inline$BDLGetDebugLevel$595$myNondetVar_0;
+ inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$595$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$595$label_1#1;
+
+ inline$BDLGetDebugLevel$595$label_1#1:
+ goto inline$BDLGetDebugLevel$595$Return#1;
+
+ inline$BDLGetDebugLevel$595$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$595$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon42_Then#1, inline$BDLDevicePowerIoCompletion$23$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_101_true#1, inline$BDLDevicePowerIoCompletion$23$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_102#1:
+ goto inline$BDLGetDebugLevel$596$Entry#1;
+
+ inline$BDLGetDebugLevel$596$Entry#1:
+ goto inline$BDLGetDebugLevel$596$start#1;
+
+ inline$BDLGetDebugLevel$596$start#1:
+ goto inline$BDLGetDebugLevel$596$label_3#1;
+
+ inline$BDLGetDebugLevel$596$label_3#1:
+ havoc inline$BDLGetDebugLevel$596$myNondetVar_0;
+ inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$596$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$596$label_1#1;
+
+ inline$BDLGetDebugLevel$596$label_1#1:
+ goto inline$BDLGetDebugLevel$596$Return#1;
+
+ inline$BDLGetDebugLevel$596$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$596$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon43_Then#1, inline$BDLDevicePowerIoCompletion$23$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_111_true#1, inline$BDLDevicePowerIoCompletion$23$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$23$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$23$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_112#1:
+ goto inline$BDLGetDebugLevel$597$Entry#1;
+
+ inline$BDLGetDebugLevel$597$Entry#1:
+ goto inline$BDLGetDebugLevel$597$start#1;
+
+ inline$BDLGetDebugLevel$597$start#1:
+ goto inline$BDLGetDebugLevel$597$label_3#1;
+
+ inline$BDLGetDebugLevel$597$label_3#1:
+ havoc inline$BDLGetDebugLevel$597$myNondetVar_0;
+ inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$597$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$597$label_1#1;
+
+ inline$BDLGetDebugLevel$597$label_1#1:
+ goto inline$BDLGetDebugLevel$597$Return#1;
+
+ inline$BDLGetDebugLevel$597$Return#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$597$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$23$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$23$anon44_Then#1, inline$BDLDevicePowerIoCompletion$23$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_121_true#1, inline$BDLDevicePowerIoCompletion$23$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$23$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$23$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$23$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_122#1:
+ inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$23$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$23$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$23$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$23$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$23$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$23$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$23$Return#1:
+ inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$23$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$23$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$23$anon13_Then#1, inline$CallCompletionRoutine$23$anon13_Else#1;
+
+ inline$CallCompletionRoutine$23$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$23$anon7#1;
+
+ inline$CallCompletionRoutine$23$anon7#1:
+ goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$23$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$23$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$23$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$23$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$23$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$23$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$start#1:
+ inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$23$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$label_3_true#1, inline$BDLCallDriverCompletionRoutine$23$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$23$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$23$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$23$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_6#1:
+ goto inline$storm_KeSetEvent$25$Entry#1;
+
+ inline$storm_KeSetEvent$25$Entry#1:
+ inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$23$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$25$start#1;
+
+ inline$storm_KeSetEvent$25$start#1:
+ inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$25$label_3#1;
+
+ inline$storm_KeSetEvent$25$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$25$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$25$label_1#1;
+
+ inline$storm_KeSetEvent$25$label_1#1:
+ goto inline$storm_KeSetEvent$25$Return#1;
+
+ inline$storm_KeSetEvent$25$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$23$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$23$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$23$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$23$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$23$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$23$Return#1:
+ inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$23$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$23$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$23$anon12_Then#1, inline$CallCompletionRoutine$23$anon12_Else#1;
+
+ inline$CallCompletionRoutine$23$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$23$anon5#1;
+
+ inline$CallCompletionRoutine$23$anon5#1:
+ goto inline$CallCompletionRoutine$23$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$23$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$23$label_23#1;
+
+ inline$CallCompletionRoutine$23$label_23#1:
+ inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$23$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$23$label_24#1;
+
+ inline$CallCompletionRoutine$23$label_24#1:
+ goto inline$CallCompletionRoutine$23$label_24_true#1, inline$CallCompletionRoutine$23$label_24_false#1;
+
+ inline$CallCompletionRoutine$23$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$23$label_1#1;
+
+ inline$CallCompletionRoutine$23$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$23$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$23$label_25#1;
+
+ inline$CallCompletionRoutine$23$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$23$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$23$label_1#1;
+
+ inline$CallCompletionRoutine$23$label_1#1:
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$23$Return#1;
+
+ inline$CallCompletionRoutine$23$Return#1:
+ goto inline$storm_IoCallDriver$11$label_39$1#1;
+
+ inline$storm_IoCallDriver$11$label_39$1#1:
+ goto inline$storm_IoCallDriver$11$anon15_Then#1, inline$storm_IoCallDriver$11$anon15_Else#1;
+
+ inline$storm_IoCallDriver$11$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$11$anon10#1;
+
+ inline$storm_IoCallDriver$11$anon10#1:
+ goto inline$storm_IoCallDriver$11$label_36#1;
+
+ inline$storm_IoCallDriver$11$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$11$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$11$label_28#1;
+
+ inline$storm_IoCallDriver$11$label_28#1:
+ inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$11$label_42#1;
+
+ inline$storm_IoCallDriver$11$label_42#1:
+ goto inline$storm_IoCallDriver$11$label_43#1;
+
+ inline$storm_IoCallDriver$11$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$11$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$11$label_36#1;
+
+ inline$storm_IoCallDriver$11$label_36#1:
+ inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$11$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$11$label_1#1;
+
+ inline$storm_IoCallDriver$11$label_1#1:
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$11$Return#1;
+
+ inline$storm_IoCallDriver$11$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$11$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_25#1:
+ goto inline$storm_PoCallDriver$5$Entry#1;
+
+ inline$storm_PoCallDriver$5$Entry#1:
+ inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$5$start#1;
+
+ inline$storm_PoCallDriver$5$start#1:
+ inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$5$label_3#1;
+
+ inline$storm_PoCallDriver$5$label_3#1:
+ goto inline$storm_IoCallDriver$12$Entry#1;
+
+ inline$storm_IoCallDriver$12$Entry#1:
+ inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$5$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$12$start#1;
+
+ inline$storm_IoCallDriver$12$start#1:
+ inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$12$label_3#1;
+
+ inline$storm_IoCallDriver$12$label_3#1:
+ goto inline$storm_IoCallDriver$12$label_4#1;
+
+ inline$storm_IoCallDriver$12$label_4#1:
+ goto inline$storm_IoCallDriver$12$label_5#1;
+
+ inline$storm_IoCallDriver$12$label_5#1:
+ goto inline$storm_IoCallDriver$12$label_6#1;
+
+ inline$storm_IoCallDriver$12$label_6#1:
+ call inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$12$label_9#1;
+
+ inline$storm_IoCallDriver$12$label_9#1:
+ goto inline$storm_IoCallDriver$12$label_9_true#1, inline$storm_IoCallDriver$12$label_9_false#1;
+
+ inline$storm_IoCallDriver$12$label_9_false#1:
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$12$label_10#1;
+
+ inline$storm_IoCallDriver$12$label_9_true#1:
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$12$label_13#1;
+
+ inline$storm_IoCallDriver$12$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$12$anon12_Then#1, inline$storm_IoCallDriver$12$anon12_Else#1;
+
+ inline$storm_IoCallDriver$12$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$12$anon3#1;
+
+ inline$storm_IoCallDriver$12$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$12$label_14#1;
+
+ inline$storm_IoCallDriver$12$label_14#1:
+ goto inline$storm_IoCallDriver$12$label_14_true#1, inline$storm_IoCallDriver$12$label_14_false#1;
+
+ inline$storm_IoCallDriver$12$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$12$label_15#1;
+
+ inline$storm_IoCallDriver$12$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$12$label_1#1;
+
+ inline$storm_IoCallDriver$12$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$12$label_10#1;
+
+ inline$storm_IoCallDriver$12$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$13$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$13$Entry#1:
+ inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$13$start#1;
+
+ inline$IoSetNextIrpStackLocation$13$start#1:
+ inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$13$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$13$label_3_true#1, inline$IoSetNextIrpStackLocation$13$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$13$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$13$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_4#1:
+ call inline$IoSetNextIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$13$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_7#1:
+ call inline$IoSetNextIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$13$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$13$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$13$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$13$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_5#1:
+ inline$IoSetNextIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$13$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$13$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$13$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$13$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$13$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$13$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$13$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$13$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$13$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$13$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$13$Return#1;
+
+ inline$IoSetNextIrpStackLocation$13$Return#1:
+ goto inline$storm_IoCallDriver$12$label_10$1#1;
+
+ inline$storm_IoCallDriver$12$label_10$1#1:
+ goto inline$storm_IoCallDriver$12$anon11_Then#1, inline$storm_IoCallDriver$12$anon11_Else#1;
+
+ inline$storm_IoCallDriver$12$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$12$anon1#1;
+
+ inline$storm_IoCallDriver$12$anon1#1:
+ goto inline$storm_IoCallDriver$12$label_18#1;
+
+ inline$storm_IoCallDriver$12$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$123$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$123$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$start#1:
+ inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$123$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$123$label_3_true#1, inline$IoGetCurrentIrpStackLocation$123$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$123$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$123$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$123$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$123$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$123$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$123$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$123$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$123$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$123$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$123$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$123$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$123$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$123$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$123$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$123$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$123$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$123$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$123$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$123$Return#1:
+ goto inline$storm_IoCallDriver$12$label_18$1#1;
+
+ inline$storm_IoCallDriver$12$label_18$1#1:
+ goto inline$storm_IoCallDriver$12$anon13_Then#1, inline$storm_IoCallDriver$12$anon13_Else#1;
+
+ inline$storm_IoCallDriver$12$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$12$anon6#1;
+
+ inline$storm_IoCallDriver$12$anon6#1:
+ goto inline$storm_IoCallDriver$12$label_21#1;
+
+ inline$storm_IoCallDriver$12$label_21#1:
+ goto inline$storm_IoCallDriver$12$label_22#1;
+
+ inline$storm_IoCallDriver$12$label_22#1:
+ goto inline$storm_IoCallDriver$12$label_23#1;
+
+ inline$storm_IoCallDriver$12$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$12$label_24#1;
+
+ inline$storm_IoCallDriver$12$label_24#1:
+ call inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$12$label_27#1;
+
+ inline$storm_IoCallDriver$12$label_27#1:
+ goto inline$storm_IoCallDriver$12$label_27_case_0#1, inline$storm_IoCallDriver$12$label_27_case_1#1, inline$storm_IoCallDriver$12$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$12$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$12$label_30#1;
+
+ inline$storm_IoCallDriver$12$label_30#1:
+ inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$12$label_31#1;
+
+ inline$storm_IoCallDriver$12$label_31#1:
+ goto inline$storm_IoCallDriver$12$label_32#1;
+
+ inline$storm_IoCallDriver$12$label_32#1:
+ goto inline$storm_IoCallDriver$12$label_33#1;
+
+ inline$storm_IoCallDriver$12$label_33#1:
+ goto inline$CallCompletionRoutine$24$Entry#1;
+
+ inline$CallCompletionRoutine$24$Entry#1:
+ inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$24$start#1;
+
+ inline$CallCompletionRoutine$24$start#1:
+ inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$24$label_3#1;
+
+ inline$CallCompletionRoutine$24$label_3#1:
+ goto inline$CallCompletionRoutine$24$label_4#1;
+
+ inline$CallCompletionRoutine$24$label_4#1:
+ goto inline$CallCompletionRoutine$24$label_5#1;
+
+ inline$CallCompletionRoutine$24$label_5#1:
+ goto inline$CallCompletionRoutine$24$label_6#1;
+
+ inline$CallCompletionRoutine$24$label_6#1:
+ goto inline$CallCompletionRoutine$24$label_7#1;
+
+ inline$CallCompletionRoutine$24$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$124$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$124$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$start#1:
+ inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$124$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$124$label_3_true#1, inline$IoGetCurrentIrpStackLocation$124$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$124$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$124$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$124$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$124$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$124$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$124$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$124$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$124$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$124$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$124$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$124$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$124$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$124$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$124$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$124$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$124$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$124$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$124$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$124$Return#1:
+ goto inline$CallCompletionRoutine$24$label_7$1#1;
+
+ inline$CallCompletionRoutine$24$label_7$1#1:
+ goto inline$CallCompletionRoutine$24$anon10_Then#1, inline$CallCompletionRoutine$24$anon10_Else#1;
+
+ inline$CallCompletionRoutine$24$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$24$anon1#1;
+
+ inline$CallCompletionRoutine$24$anon1#1:
+ goto inline$CallCompletionRoutine$24$label_10#1;
+
+ inline$CallCompletionRoutine$24$label_10#1:
+ goto inline$CallCompletionRoutine$24$label_11#1;
+
+ inline$CallCompletionRoutine$24$label_11#1:
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$CallCompletionRoutine$24$label_12#1;
+
+ inline$CallCompletionRoutine$24$label_12#1:
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$CallCompletionRoutine$24$label_13#1;
+
+ inline$CallCompletionRoutine$24$label_13#1:
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$CallCompletionRoutine$24$label_14#1;
+
+ inline$CallCompletionRoutine$24$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$125$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$125$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$start#1:
+ inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$125$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$125$label_3_true#1, inline$IoGetCurrentIrpStackLocation$125$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$125$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$125$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$125$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$125$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$125$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$125$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$125$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$125$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$125$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$125$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$125$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$125$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$125$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$125$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$125$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$125$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$125$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$125$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$125$Return#1:
+ goto inline$CallCompletionRoutine$24$label_14$1#1;
+
+ inline$CallCompletionRoutine$24$label_14$1#1:
+ goto inline$CallCompletionRoutine$24$anon11_Then#1, inline$CallCompletionRoutine$24$anon11_Else#1;
+
+ inline$CallCompletionRoutine$24$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$24$anon3#1;
+
+ inline$CallCompletionRoutine$24$anon3#1:
+ goto inline$CallCompletionRoutine$24$label_17#1;
+
+ inline$CallCompletionRoutine$24$label_17#1:
+ goto inline$CallCompletionRoutine$24$label_18#1;
+
+ inline$CallCompletionRoutine$24$label_18#1:
+ goto inline$CallCompletionRoutine$24$label_18_true#1, inline$CallCompletionRoutine$24$label_18_false#1;
+
+ inline$CallCompletionRoutine$24$label_18_false#1:
+ assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$24$label_1#1;
+
+ inline$CallCompletionRoutine$24$label_18_true#1:
+ assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$24$label_19#1;
+
+ inline$CallCompletionRoutine$24$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$24$label_20#1;
+
+ inline$CallCompletionRoutine$24$label_20#1:
+ goto inline$CallCompletionRoutine$24$label_20_icall_1#1, inline$CallCompletionRoutine$24$label_20_icall_2#1, inline$CallCompletionRoutine$24$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$24$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$24$Entry#1:
+ inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$24$start#1;
+
+ inline$BDLSystemPowerIoCompletion$24$start#1:
+ call inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$24$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_4#1:
+ inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_6#1:
+ inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$24$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$127$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$127$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$start#1:
+ inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$127$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$127$label_3_true#1, inline$IoGetCurrentIrpStackLocation$127$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$127$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$127$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$127$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$127$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$127$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$127$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$127$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$127$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$127$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$127$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$127$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$127$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$127$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$127$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$127$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$127$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$127$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$127$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$127$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon36_Then#1, inline$BDLSystemPowerIoCompletion$24$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_15#1:
+ goto inline$BDLGetDebugLevel$619$Entry#1;
+
+ inline$BDLGetDebugLevel$619$Entry#1:
+ goto inline$BDLGetDebugLevel$619$start#1;
+
+ inline$BDLGetDebugLevel$619$start#1:
+ goto inline$BDLGetDebugLevel$619$label_3#1;
+
+ inline$BDLGetDebugLevel$619$label_3#1:
+ havoc inline$BDLGetDebugLevel$619$myNondetVar_0;
+ inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$619$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$619$label_1#1;
+
+ inline$BDLGetDebugLevel$619$label_1#1:
+ goto inline$BDLGetDebugLevel$619$Return#1;
+
+ inline$BDLGetDebugLevel$619$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$619$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon37_Then#1, inline$BDLSystemPowerIoCompletion$24$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_18_true#1, inline$BDLSystemPowerIoCompletion$24$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_19#1:
+ goto inline$BDLGetDebugLevel$620$Entry#1;
+
+ inline$BDLGetDebugLevel$620$Entry#1:
+ goto inline$BDLGetDebugLevel$620$start#1;
+
+ inline$BDLGetDebugLevel$620$start#1:
+ goto inline$BDLGetDebugLevel$620$label_3#1;
+
+ inline$BDLGetDebugLevel$620$label_3#1:
+ havoc inline$BDLGetDebugLevel$620$myNondetVar_0;
+ inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$620$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$620$label_1#1;
+
+ inline$BDLGetDebugLevel$620$label_1#1:
+ goto inline$BDLGetDebugLevel$620$Return#1;
+
+ inline$BDLGetDebugLevel$620$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$620$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon38_Then#1, inline$BDLSystemPowerIoCompletion$24$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_28_true#1, inline$BDLSystemPowerIoCompletion$24$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_29#1:
+ goto inline$BDLGetDebugLevel$621$Entry#1;
+
+ inline$BDLGetDebugLevel$621$Entry#1:
+ goto inline$BDLGetDebugLevel$621$start#1;
+
+ inline$BDLGetDebugLevel$621$start#1:
+ goto inline$BDLGetDebugLevel$621$label_3#1;
+
+ inline$BDLGetDebugLevel$621$label_3#1:
+ havoc inline$BDLGetDebugLevel$621$myNondetVar_0;
+ inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$621$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$621$label_1#1;
+
+ inline$BDLGetDebugLevel$621$label_1#1:
+ goto inline$BDLGetDebugLevel$621$Return#1;
+
+ inline$BDLGetDebugLevel$621$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$621$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon39_Then#1, inline$BDLSystemPowerIoCompletion$24$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_38_true#1, inline$BDLSystemPowerIoCompletion$24$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_39_true#1, inline$BDLSystemPowerIoCompletion$24$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$24$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$24$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$24$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$24$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$24$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_106_true#1, inline$BDLSystemPowerIoCompletion$24$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$24$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$24$myNondetVar_0, inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$24$anon50_Then#1, inline$BDLSystemPowerIoCompletion$24$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_112#1:
+ inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_113_true#1, inline$BDLSystemPowerIoCompletion$24$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$24$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_117#1:
+ goto inline$BDLGetDebugLevel$628$Entry#1;
+
+ inline$BDLGetDebugLevel$628$Entry#1:
+ goto inline$BDLGetDebugLevel$628$start#1;
+
+ inline$BDLGetDebugLevel$628$start#1:
+ goto inline$BDLGetDebugLevel$628$label_3#1;
+
+ inline$BDLGetDebugLevel$628$label_3#1:
+ havoc inline$BDLGetDebugLevel$628$myNondetVar_0;
+ inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$628$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$628$label_1#1;
+
+ inline$BDLGetDebugLevel$628$label_1#1:
+ goto inline$BDLGetDebugLevel$628$Return#1;
+
+ inline$BDLGetDebugLevel$628$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$628$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon51_Then#1, inline$BDLSystemPowerIoCompletion$24$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_120_true#1, inline$BDLSystemPowerIoCompletion$24$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_121#1:
+ goto inline$BDLGetDebugLevel$629$Entry#1;
+
+ inline$BDLGetDebugLevel$629$Entry#1:
+ goto inline$BDLGetDebugLevel$629$start#1;
+
+ inline$BDLGetDebugLevel$629$start#1:
+ goto inline$BDLGetDebugLevel$629$label_3#1;
+
+ inline$BDLGetDebugLevel$629$label_3#1:
+ havoc inline$BDLGetDebugLevel$629$myNondetVar_0;
+ inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$629$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$629$label_1#1;
+
+ inline$BDLGetDebugLevel$629$label_1#1:
+ goto inline$BDLGetDebugLevel$629$Return#1;
+
+ inline$BDLGetDebugLevel$629$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$629$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon52_Then#1, inline$BDLSystemPowerIoCompletion$24$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_130_true#1, inline$BDLSystemPowerIoCompletion$24$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_131#1:
+ goto inline$BDLGetDebugLevel$630$Entry#1;
+
+ inline$BDLGetDebugLevel$630$Entry#1:
+ goto inline$BDLGetDebugLevel$630$start#1;
+
+ inline$BDLGetDebugLevel$630$start#1:
+ goto inline$BDLGetDebugLevel$630$label_3#1;
+
+ inline$BDLGetDebugLevel$630$label_3#1:
+ havoc inline$BDLGetDebugLevel$630$myNondetVar_0;
+ inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$630$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$630$label_1#1;
+
+ inline$BDLGetDebugLevel$630$label_1#1:
+ goto inline$BDLGetDebugLevel$630$Return#1;
+
+ inline$BDLGetDebugLevel$630$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$630$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon53_Then#1, inline$BDLSystemPowerIoCompletion$24$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_140_true#1, inline$BDLSystemPowerIoCompletion$24$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$24$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_115#1:
+ inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$24$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$24$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$24$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_43#1:
+ goto inline$BDLGetDebugLevel$622$Entry#1;
+
+ inline$BDLGetDebugLevel$622$Entry#1:
+ goto inline$BDLGetDebugLevel$622$start#1;
+
+ inline$BDLGetDebugLevel$622$start#1:
+ goto inline$BDLGetDebugLevel$622$label_3#1;
+
+ inline$BDLGetDebugLevel$622$label_3#1:
+ havoc inline$BDLGetDebugLevel$622$myNondetVar_0;
+ inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$622$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$622$label_1#1;
+
+ inline$BDLGetDebugLevel$622$label_1#1:
+ goto inline$BDLGetDebugLevel$622$Return#1;
+
+ inline$BDLGetDebugLevel$622$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$622$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon40_Then#1, inline$BDLSystemPowerIoCompletion$24$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_46_true#1, inline$BDLSystemPowerIoCompletion$24$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_47#1:
+ goto inline$BDLGetDebugLevel$623$Entry#1;
+
+ inline$BDLGetDebugLevel$623$Entry#1:
+ goto inline$BDLGetDebugLevel$623$start#1;
+
+ inline$BDLGetDebugLevel$623$start#1:
+ goto inline$BDLGetDebugLevel$623$label_3#1;
+
+ inline$BDLGetDebugLevel$623$label_3#1:
+ havoc inline$BDLGetDebugLevel$623$myNondetVar_0;
+ inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$623$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$623$label_1#1;
+
+ inline$BDLGetDebugLevel$623$label_1#1:
+ goto inline$BDLGetDebugLevel$623$Return#1;
+
+ inline$BDLGetDebugLevel$623$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$623$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon41_Then#1, inline$BDLSystemPowerIoCompletion$24$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_56_true#1, inline$BDLSystemPowerIoCompletion$24$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_57#1:
+ goto inline$BDLGetDebugLevel$624$Entry#1;
+
+ inline$BDLGetDebugLevel$624$Entry#1:
+ goto inline$BDLGetDebugLevel$624$start#1;
+
+ inline$BDLGetDebugLevel$624$start#1:
+ goto inline$BDLGetDebugLevel$624$label_3#1;
+
+ inline$BDLGetDebugLevel$624$label_3#1:
+ havoc inline$BDLGetDebugLevel$624$myNondetVar_0;
+ inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$624$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$624$label_1#1;
+
+ inline$BDLGetDebugLevel$624$label_1#1:
+ goto inline$BDLGetDebugLevel$624$Return#1;
+
+ inline$BDLGetDebugLevel$624$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$624$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon42_Then#1, inline$BDLSystemPowerIoCompletion$24$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_66_true#1, inline$BDLSystemPowerIoCompletion$24$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$24$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$24$anon43_Then#1, inline$BDLSystemPowerIoCompletion$24$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$24$anon44_Then#1, inline$BDLSystemPowerIoCompletion$24$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_74#1:
+ goto inline$storm_IoCompleteRequest$50$Entry#1;
+
+ inline$storm_IoCompleteRequest$50$Entry#1:
+ inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$24$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$50$start#1;
+
+ inline$storm_IoCompleteRequest$50$start#1:
+ inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$50$label_3#1;
+
+ inline$storm_IoCompleteRequest$50$label_3#1:
+ call inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$50$label_6#1;
+
+ inline$storm_IoCompleteRequest$50$label_6#1:
+ goto inline$storm_IoCompleteRequest$50$label_6_true#1, inline$storm_IoCompleteRequest$50$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$50$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$50$label_7#1;
+
+ inline$storm_IoCompleteRequest$50$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$50$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$50$label_8#1;
+
+ inline$storm_IoCompleteRequest$50$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$50$anon3_Then#1, inline$storm_IoCompleteRequest$50$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$50$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$50$anon1#1;
+
+ inline$storm_IoCompleteRequest$50$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$50$label_9#1;
+
+ inline$storm_IoCompleteRequest$50$label_9#1:
+ goto inline$storm_IoCompleteRequest$50$label_9_true#1, inline$storm_IoCompleteRequest$50$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$50$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$50$label_10#1;
+
+ inline$storm_IoCompleteRequest$50$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$50$label_1#1;
+
+ inline$storm_IoCompleteRequest$50$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$50$label_7#1;
+
+ inline$storm_IoCompleteRequest$50$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$50$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$50$label_1#1;
+
+ inline$storm_IoCompleteRequest$50$label_1#1:
+ goto inline$storm_IoCompleteRequest$50$Return#1;
+
+ inline$storm_IoCompleteRequest$50$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$50$Return#1;
+
+ inline$storm_IoCompleteRequest$50$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon45_Then#1, inline$BDLSystemPowerIoCompletion$24$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$24$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$24$anon46_Then#1, inline$BDLSystemPowerIoCompletion$24$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_80#1:
+ goto inline$BDLGetDebugLevel$625$Entry#1;
+
+ inline$BDLGetDebugLevel$625$Entry#1:
+ goto inline$BDLGetDebugLevel$625$start#1;
+
+ inline$BDLGetDebugLevel$625$start#1:
+ goto inline$BDLGetDebugLevel$625$label_3#1;
+
+ inline$BDLGetDebugLevel$625$label_3#1:
+ havoc inline$BDLGetDebugLevel$625$myNondetVar_0;
+ inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$625$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$625$label_1#1;
+
+ inline$BDLGetDebugLevel$625$label_1#1:
+ goto inline$BDLGetDebugLevel$625$Return#1;
+
+ inline$BDLGetDebugLevel$625$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$625$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon47_Then#1, inline$BDLSystemPowerIoCompletion$24$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_83_true#1, inline$BDLSystemPowerIoCompletion$24$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_84#1:
+ goto inline$BDLGetDebugLevel$626$Entry#1;
+
+ inline$BDLGetDebugLevel$626$Entry#1:
+ goto inline$BDLGetDebugLevel$626$start#1;
+
+ inline$BDLGetDebugLevel$626$start#1:
+ goto inline$BDLGetDebugLevel$626$label_3#1;
+
+ inline$BDLGetDebugLevel$626$label_3#1:
+ havoc inline$BDLGetDebugLevel$626$myNondetVar_0;
+ inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$626$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$626$label_1#1;
+
+ inline$BDLGetDebugLevel$626$label_1#1:
+ goto inline$BDLGetDebugLevel$626$Return#1;
+
+ inline$BDLGetDebugLevel$626$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$626$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon48_Then#1, inline$BDLSystemPowerIoCompletion$24$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_93_true#1, inline$BDLSystemPowerIoCompletion$24$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$24$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$24$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_94#1:
+ goto inline$BDLGetDebugLevel$627$Entry#1;
+
+ inline$BDLGetDebugLevel$627$Entry#1:
+ goto inline$BDLGetDebugLevel$627$start#1;
+
+ inline$BDLGetDebugLevel$627$start#1:
+ goto inline$BDLGetDebugLevel$627$label_3#1;
+
+ inline$BDLGetDebugLevel$627$label_3#1:
+ havoc inline$BDLGetDebugLevel$627$myNondetVar_0;
+ inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$627$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$627$label_1#1;
+
+ inline$BDLGetDebugLevel$627$label_1#1:
+ goto inline$BDLGetDebugLevel$627$Return#1;
+
+ inline$BDLGetDebugLevel$627$Return#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$627$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$24$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$24$anon49_Then#1, inline$BDLSystemPowerIoCompletion$24$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_103_true#1, inline$BDLSystemPowerIoCompletion$24$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$24$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$24$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$24$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_104#1:
+ inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$24$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$24$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$24$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$24$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$24$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$24$Return#1:
+ inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$24$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$24$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$24$anon14_Then#1, inline$CallCompletionRoutine$24$anon14_Else#1;
+
+ inline$CallCompletionRoutine$24$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$24$anon9#1;
+
+ inline$CallCompletionRoutine$24$anon9#1:
+ goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$24$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$24$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$24$Entry#1:
+ inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$24$start#1;
+
+ inline$BDLDevicePowerIoCompletion$24$start#1:
+ call inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$24$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_4#1:
+ inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_6#1:
+ inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$24$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$126$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$126$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$start#1:
+ inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$126$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$126$label_3_true#1, inline$IoGetCurrentIrpStackLocation$126$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$126$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$126$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$126$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$126$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$126$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$126$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$126$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$126$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$126$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$126$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$126$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$126$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$126$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$126$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$126$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$126$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$126$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$126$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$126$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$126$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon30_Then#1, inline$BDLDevicePowerIoCompletion$24$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_13#1:
+ inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_17#1:
+ goto inline$BDLGetDebugLevel$610$Entry#1;
+
+ inline$BDLGetDebugLevel$610$Entry#1:
+ goto inline$BDLGetDebugLevel$610$start#1;
+
+ inline$BDLGetDebugLevel$610$start#1:
+ goto inline$BDLGetDebugLevel$610$label_3#1;
+
+ inline$BDLGetDebugLevel$610$label_3#1:
+ havoc inline$BDLGetDebugLevel$610$myNondetVar_0;
+ inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$610$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$610$label_1#1;
+
+ inline$BDLGetDebugLevel$610$label_1#1:
+ goto inline$BDLGetDebugLevel$610$Return#1;
+
+ inline$BDLGetDebugLevel$610$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$610$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon31_Then#1, inline$BDLDevicePowerIoCompletion$24$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_20_true#1, inline$BDLDevicePowerIoCompletion$24$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_21#1:
+ goto inline$BDLGetDebugLevel$611$Entry#1;
+
+ inline$BDLGetDebugLevel$611$Entry#1:
+ goto inline$BDLGetDebugLevel$611$start#1;
+
+ inline$BDLGetDebugLevel$611$start#1:
+ goto inline$BDLGetDebugLevel$611$label_3#1;
+
+ inline$BDLGetDebugLevel$611$label_3#1:
+ havoc inline$BDLGetDebugLevel$611$myNondetVar_0;
+ inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$611$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$611$label_1#1;
+
+ inline$BDLGetDebugLevel$611$label_1#1:
+ goto inline$BDLGetDebugLevel$611$Return#1;
+
+ inline$BDLGetDebugLevel$611$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$611$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon32_Then#1, inline$BDLDevicePowerIoCompletion$24$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_30_true#1, inline$BDLDevicePowerIoCompletion$24$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_31#1:
+ goto inline$BDLGetDebugLevel$612$Entry#1;
+
+ inline$BDLGetDebugLevel$612$Entry#1:
+ goto inline$BDLGetDebugLevel$612$start#1;
+
+ inline$BDLGetDebugLevel$612$start#1:
+ goto inline$BDLGetDebugLevel$612$label_3#1;
+
+ inline$BDLGetDebugLevel$612$label_3#1:
+ havoc inline$BDLGetDebugLevel$612$myNondetVar_0;
+ inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$612$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$612$label_1#1;
+
+ inline$BDLGetDebugLevel$612$label_1#1:
+ goto inline$BDLGetDebugLevel$612$Return#1;
+
+ inline$BDLGetDebugLevel$612$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$612$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon33_Then#1, inline$BDLDevicePowerIoCompletion$24$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_40_true#1, inline$BDLDevicePowerIoCompletion$24$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_41_true#1, inline$BDLDevicePowerIoCompletion$24$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$24$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$24$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_44_true#1, inline$BDLDevicePowerIoCompletion$24$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_45_true#1, inline$BDLDevicePowerIoCompletion$24$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$24$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$24$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$24$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_54#1:
+ inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$24$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_55_true#1, inline$BDLDevicePowerIoCompletion$24$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$24$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$24$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$24$anon34_Then#1, inline$BDLDevicePowerIoCompletion$24$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_59#1:
+ goto inline$BDLGetDebugLevel$613$Entry#1;
+
+ inline$BDLGetDebugLevel$613$Entry#1:
+ goto inline$BDLGetDebugLevel$613$start#1;
+
+ inline$BDLGetDebugLevel$613$start#1:
+ goto inline$BDLGetDebugLevel$613$label_3#1;
+
+ inline$BDLGetDebugLevel$613$label_3#1:
+ havoc inline$BDLGetDebugLevel$613$myNondetVar_0;
+ inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$613$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$613$label_1#1;
+
+ inline$BDLGetDebugLevel$613$label_1#1:
+ goto inline$BDLGetDebugLevel$613$Return#1;
+
+ inline$BDLGetDebugLevel$613$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$613$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon35_Then#1, inline$BDLDevicePowerIoCompletion$24$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_62_true#1, inline$BDLDevicePowerIoCompletion$24$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_63#1:
+ goto inline$BDLGetDebugLevel$614$Entry#1;
+
+ inline$BDLGetDebugLevel$614$Entry#1:
+ goto inline$BDLGetDebugLevel$614$start#1;
+
+ inline$BDLGetDebugLevel$614$start#1:
+ goto inline$BDLGetDebugLevel$614$label_3#1;
+
+ inline$BDLGetDebugLevel$614$label_3#1:
+ havoc inline$BDLGetDebugLevel$614$myNondetVar_0;
+ inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$614$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$614$label_1#1;
+
+ inline$BDLGetDebugLevel$614$label_1#1:
+ goto inline$BDLGetDebugLevel$614$Return#1;
+
+ inline$BDLGetDebugLevel$614$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$614$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon36_Then#1, inline$BDLDevicePowerIoCompletion$24$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_72_true#1, inline$BDLDevicePowerIoCompletion$24$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_73#1:
+ goto inline$BDLGetDebugLevel$615$Entry#1;
+
+ inline$BDLGetDebugLevel$615$Entry#1:
+ goto inline$BDLGetDebugLevel$615$start#1;
+
+ inline$BDLGetDebugLevel$615$start#1:
+ goto inline$BDLGetDebugLevel$615$label_3#1;
+
+ inline$BDLGetDebugLevel$615$label_3#1:
+ havoc inline$BDLGetDebugLevel$615$myNondetVar_0;
+ inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$615$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$615$label_1#1;
+
+ inline$BDLGetDebugLevel$615$label_1#1:
+ goto inline$BDLGetDebugLevel$615$Return#1;
+
+ inline$BDLGetDebugLevel$615$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$615$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon37_Then#1, inline$BDLDevicePowerIoCompletion$24$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_82_true#1, inline$BDLDevicePowerIoCompletion$24$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$24$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$24$anon38_Then#1, inline$BDLDevicePowerIoCompletion$24$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$24$anon39_Then#1, inline$BDLDevicePowerIoCompletion$24$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_92#1:
+ goto inline$storm_IoCompleteRequest$49$Entry#1;
+
+ inline$storm_IoCompleteRequest$49$Entry#1:
+ inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$24$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$49$start#1;
+
+ inline$storm_IoCompleteRequest$49$start#1:
+ inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$49$label_3#1;
+
+ inline$storm_IoCompleteRequest$49$label_3#1:
+ call inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$49$label_6#1;
+
+ inline$storm_IoCompleteRequest$49$label_6#1:
+ goto inline$storm_IoCompleteRequest$49$label_6_true#1, inline$storm_IoCompleteRequest$49$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$49$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$49$label_7#1;
+
+ inline$storm_IoCompleteRequest$49$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$49$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$49$label_8#1;
+
+ inline$storm_IoCompleteRequest$49$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$49$anon3_Then#1, inline$storm_IoCompleteRequest$49$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$49$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$49$anon1#1;
+
+ inline$storm_IoCompleteRequest$49$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$49$label_9#1;
+
+ inline$storm_IoCompleteRequest$49$label_9#1:
+ goto inline$storm_IoCompleteRequest$49$label_9_true#1, inline$storm_IoCompleteRequest$49$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$49$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$49$label_10#1;
+
+ inline$storm_IoCompleteRequest$49$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$49$label_1#1;
+
+ inline$storm_IoCompleteRequest$49$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$49$label_7#1;
+
+ inline$storm_IoCompleteRequest$49$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$49$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$49$label_1#1;
+
+ inline$storm_IoCompleteRequest$49$label_1#1:
+ goto inline$storm_IoCompleteRequest$49$Return#1;
+
+ inline$storm_IoCompleteRequest$49$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$49$Return#1;
+
+ inline$storm_IoCompleteRequest$49$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon40_Then#1, inline$BDLDevicePowerIoCompletion$24$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$24$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$24$anon41_Then#1, inline$BDLDevicePowerIoCompletion$24$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_98#1:
+ goto inline$BDLGetDebugLevel$616$Entry#1;
+
+ inline$BDLGetDebugLevel$616$Entry#1:
+ goto inline$BDLGetDebugLevel$616$start#1;
+
+ inline$BDLGetDebugLevel$616$start#1:
+ goto inline$BDLGetDebugLevel$616$label_3#1;
+
+ inline$BDLGetDebugLevel$616$label_3#1:
+ havoc inline$BDLGetDebugLevel$616$myNondetVar_0;
+ inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$616$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$616$label_1#1;
+
+ inline$BDLGetDebugLevel$616$label_1#1:
+ goto inline$BDLGetDebugLevel$616$Return#1;
+
+ inline$BDLGetDebugLevel$616$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$616$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon42_Then#1, inline$BDLDevicePowerIoCompletion$24$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_101_true#1, inline$BDLDevicePowerIoCompletion$24$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_102#1:
+ goto inline$BDLGetDebugLevel$617$Entry#1;
+
+ inline$BDLGetDebugLevel$617$Entry#1:
+ goto inline$BDLGetDebugLevel$617$start#1;
+
+ inline$BDLGetDebugLevel$617$start#1:
+ goto inline$BDLGetDebugLevel$617$label_3#1;
+
+ inline$BDLGetDebugLevel$617$label_3#1:
+ havoc inline$BDLGetDebugLevel$617$myNondetVar_0;
+ inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$617$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$617$label_1#1;
+
+ inline$BDLGetDebugLevel$617$label_1#1:
+ goto inline$BDLGetDebugLevel$617$Return#1;
+
+ inline$BDLGetDebugLevel$617$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$617$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon43_Then#1, inline$BDLDevicePowerIoCompletion$24$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_111_true#1, inline$BDLDevicePowerIoCompletion$24$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$24$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$24$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_112#1:
+ goto inline$BDLGetDebugLevel$618$Entry#1;
+
+ inline$BDLGetDebugLevel$618$Entry#1:
+ goto inline$BDLGetDebugLevel$618$start#1;
+
+ inline$BDLGetDebugLevel$618$start#1:
+ goto inline$BDLGetDebugLevel$618$label_3#1;
+
+ inline$BDLGetDebugLevel$618$label_3#1:
+ havoc inline$BDLGetDebugLevel$618$myNondetVar_0;
+ inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$618$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$618$label_1#1;
+
+ inline$BDLGetDebugLevel$618$label_1#1:
+ goto inline$BDLGetDebugLevel$618$Return#1;
+
+ inline$BDLGetDebugLevel$618$Return#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$618$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$24$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$24$anon44_Then#1, inline$BDLDevicePowerIoCompletion$24$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_121_true#1, inline$BDLDevicePowerIoCompletion$24$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$24$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$24$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$24$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_122#1:
+ inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$24$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$24$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$24$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$24$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$24$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$24$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$24$Return#1:
+ inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$24$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$24$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$24$anon13_Then#1, inline$CallCompletionRoutine$24$anon13_Else#1;
+
+ inline$CallCompletionRoutine$24$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$24$anon7#1;
+
+ inline$CallCompletionRoutine$24$anon7#1:
+ goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$24$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$24$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$24$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$24$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$24$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$24$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$start#1:
+ inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$24$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$label_3_true#1, inline$BDLCallDriverCompletionRoutine$24$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$24$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$24$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$24$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_6#1:
+ goto inline$storm_KeSetEvent$26$Entry#1;
+
+ inline$storm_KeSetEvent$26$Entry#1:
+ inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$24$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$26$start#1;
+
+ inline$storm_KeSetEvent$26$start#1:
+ inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$26$label_3#1;
+
+ inline$storm_KeSetEvent$26$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$26$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$26$label_1#1;
+
+ inline$storm_KeSetEvent$26$label_1#1:
+ goto inline$storm_KeSetEvent$26$Return#1;
+
+ inline$storm_KeSetEvent$26$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$24$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$24$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$24$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$24$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$24$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$24$Return#1:
+ inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$24$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$24$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$24$anon12_Then#1, inline$CallCompletionRoutine$24$anon12_Else#1;
+
+ inline$CallCompletionRoutine$24$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$24$anon5#1;
+
+ inline$CallCompletionRoutine$24$anon5#1:
+ goto inline$CallCompletionRoutine$24$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$24$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$24$label_23#1;
+
+ inline$CallCompletionRoutine$24$label_23#1:
+ inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$24$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$24$label_24#1;
+
+ inline$CallCompletionRoutine$24$label_24#1:
+ goto inline$CallCompletionRoutine$24$label_24_true#1, inline$CallCompletionRoutine$24$label_24_false#1;
+
+ inline$CallCompletionRoutine$24$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$24$label_1#1;
+
+ inline$CallCompletionRoutine$24$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$24$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$24$label_25#1;
+
+ inline$CallCompletionRoutine$24$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$24$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$24$label_1#1;
+
+ inline$CallCompletionRoutine$24$label_1#1:
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$24$Return#1;
+
+ inline$CallCompletionRoutine$24$Return#1:
+ goto inline$storm_IoCallDriver$12$label_33$1#1;
+
+ inline$storm_IoCallDriver$12$label_33$1#1:
+ goto inline$storm_IoCallDriver$12$anon14_Then#1, inline$storm_IoCallDriver$12$anon14_Else#1;
+
+ inline$storm_IoCallDriver$12$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$12$anon8#1;
+
+ inline$storm_IoCallDriver$12$anon8#1:
+ goto inline$storm_IoCallDriver$12$label_36#1;
+
+ inline$storm_IoCallDriver$12$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$12$label_29#1;
+
+ inline$storm_IoCallDriver$12$label_29#1:
+ inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$12$label_37#1;
+
+ inline$storm_IoCallDriver$12$label_37#1:
+ goto inline$storm_IoCallDriver$12$label_38#1;
+
+ inline$storm_IoCallDriver$12$label_38#1:
+ goto inline$storm_IoCallDriver$12$label_39#1;
+
+ inline$storm_IoCallDriver$12$label_39#1:
+ goto inline$CallCompletionRoutine$25$Entry#1;
+
+ inline$CallCompletionRoutine$25$Entry#1:
+ inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$25$start#1;
+
+ inline$CallCompletionRoutine$25$start#1:
+ inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$25$label_3#1;
+
+ inline$CallCompletionRoutine$25$label_3#1:
+ goto inline$CallCompletionRoutine$25$label_4#1;
+
+ inline$CallCompletionRoutine$25$label_4#1:
+ goto inline$CallCompletionRoutine$25$label_5#1;
+
+ inline$CallCompletionRoutine$25$label_5#1:
+ goto inline$CallCompletionRoutine$25$label_6#1;
+
+ inline$CallCompletionRoutine$25$label_6#1:
+ goto inline$CallCompletionRoutine$25$label_7#1;
+
+ inline$CallCompletionRoutine$25$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$128$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$128$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$start#1:
+ inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$128$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$128$label_3_true#1, inline$IoGetCurrentIrpStackLocation$128$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$128$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$128$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$128$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$128$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$128$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$128$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$128$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$128$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$128$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$128$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$128$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$128$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$128$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$128$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$128$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$128$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$128$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$128$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$128$Return#1:
+ goto inline$CallCompletionRoutine$25$label_7$1#1;
+
+ inline$CallCompletionRoutine$25$label_7$1#1:
+ goto inline$CallCompletionRoutine$25$anon10_Then#1, inline$CallCompletionRoutine$25$anon10_Else#1;
+
+ inline$CallCompletionRoutine$25$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$25$anon1#1;
+
+ inline$CallCompletionRoutine$25$anon1#1:
+ goto inline$CallCompletionRoutine$25$label_10#1;
+
+ inline$CallCompletionRoutine$25$label_10#1:
+ goto inline$CallCompletionRoutine$25$label_11#1;
+
+ inline$CallCompletionRoutine$25$label_11#1:
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$CallCompletionRoutine$25$label_12#1;
+
+ inline$CallCompletionRoutine$25$label_12#1:
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$CallCompletionRoutine$25$label_13#1;
+
+ inline$CallCompletionRoutine$25$label_13#1:
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$CallCompletionRoutine$25$label_14#1;
+
+ inline$CallCompletionRoutine$25$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$129$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$129$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$start#1:
+ inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$129$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$129$label_3_true#1, inline$IoGetCurrentIrpStackLocation$129$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$129$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$129$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$129$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$129$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$129$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$129$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$129$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$129$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$129$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$129$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$129$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$129$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$129$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$129$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$129$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$129$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$129$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$129$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$129$Return#1:
+ goto inline$CallCompletionRoutine$25$label_14$1#1;
+
+ inline$CallCompletionRoutine$25$label_14$1#1:
+ goto inline$CallCompletionRoutine$25$anon11_Then#1, inline$CallCompletionRoutine$25$anon11_Else#1;
+
+ inline$CallCompletionRoutine$25$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$25$anon3#1;
+
+ inline$CallCompletionRoutine$25$anon3#1:
+ goto inline$CallCompletionRoutine$25$label_17#1;
+
+ inline$CallCompletionRoutine$25$label_17#1:
+ goto inline$CallCompletionRoutine$25$label_18#1;
+
+ inline$CallCompletionRoutine$25$label_18#1:
+ goto inline$CallCompletionRoutine$25$label_18_true#1, inline$CallCompletionRoutine$25$label_18_false#1;
+
+ inline$CallCompletionRoutine$25$label_18_false#1:
+ assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$25$label_1#1;
+
+ inline$CallCompletionRoutine$25$label_18_true#1:
+ assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$25$label_19#1;
+
+ inline$CallCompletionRoutine$25$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$25$label_20#1;
+
+ inline$CallCompletionRoutine$25$label_20#1:
+ goto inline$CallCompletionRoutine$25$label_20_icall_1#1, inline$CallCompletionRoutine$25$label_20_icall_2#1, inline$CallCompletionRoutine$25$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$25$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$25$Entry#1:
+ inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$25$start#1;
+
+ inline$BDLSystemPowerIoCompletion$25$start#1:
+ call inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$25$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_4#1:
+ inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_6#1:
+ inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$25$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$131$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$131$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$start#1:
+ inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$131$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$131$label_3_true#1, inline$IoGetCurrentIrpStackLocation$131$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$131$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$131$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$131$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$131$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$131$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$131$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$131$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$131$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$131$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$131$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$131$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$131$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$131$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$131$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$131$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$131$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$131$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$131$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$131$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon36_Then#1, inline$BDLSystemPowerIoCompletion$25$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_15#1:
+ goto inline$BDLGetDebugLevel$640$Entry#1;
+
+ inline$BDLGetDebugLevel$640$Entry#1:
+ goto inline$BDLGetDebugLevel$640$start#1;
+
+ inline$BDLGetDebugLevel$640$start#1:
+ goto inline$BDLGetDebugLevel$640$label_3#1;
+
+ inline$BDLGetDebugLevel$640$label_3#1:
+ havoc inline$BDLGetDebugLevel$640$myNondetVar_0;
+ inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$640$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$640$label_1#1;
+
+ inline$BDLGetDebugLevel$640$label_1#1:
+ goto inline$BDLGetDebugLevel$640$Return#1;
+
+ inline$BDLGetDebugLevel$640$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$640$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon37_Then#1, inline$BDLSystemPowerIoCompletion$25$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_18_true#1, inline$BDLSystemPowerIoCompletion$25$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_19#1:
+ goto inline$BDLGetDebugLevel$641$Entry#1;
+
+ inline$BDLGetDebugLevel$641$Entry#1:
+ goto inline$BDLGetDebugLevel$641$start#1;
+
+ inline$BDLGetDebugLevel$641$start#1:
+ goto inline$BDLGetDebugLevel$641$label_3#1;
+
+ inline$BDLGetDebugLevel$641$label_3#1:
+ havoc inline$BDLGetDebugLevel$641$myNondetVar_0;
+ inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$641$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$641$label_1#1;
+
+ inline$BDLGetDebugLevel$641$label_1#1:
+ goto inline$BDLGetDebugLevel$641$Return#1;
+
+ inline$BDLGetDebugLevel$641$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$641$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon38_Then#1, inline$BDLSystemPowerIoCompletion$25$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_28_true#1, inline$BDLSystemPowerIoCompletion$25$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_29#1:
+ goto inline$BDLGetDebugLevel$642$Entry#1;
+
+ inline$BDLGetDebugLevel$642$Entry#1:
+ goto inline$BDLGetDebugLevel$642$start#1;
+
+ inline$BDLGetDebugLevel$642$start#1:
+ goto inline$BDLGetDebugLevel$642$label_3#1;
+
+ inline$BDLGetDebugLevel$642$label_3#1:
+ havoc inline$BDLGetDebugLevel$642$myNondetVar_0;
+ inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$642$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$642$label_1#1;
+
+ inline$BDLGetDebugLevel$642$label_1#1:
+ goto inline$BDLGetDebugLevel$642$Return#1;
+
+ inline$BDLGetDebugLevel$642$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$642$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon39_Then#1, inline$BDLSystemPowerIoCompletion$25$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_38_true#1, inline$BDLSystemPowerIoCompletion$25$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_39_true#1, inline$BDLSystemPowerIoCompletion$25$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$25$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$25$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$25$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$25$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$25$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_106_true#1, inline$BDLSystemPowerIoCompletion$25$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$25$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$25$myNondetVar_0, inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$25$anon50_Then#1, inline$BDLSystemPowerIoCompletion$25$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_112#1:
+ inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_113_true#1, inline$BDLSystemPowerIoCompletion$25$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$25$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_117#1:
+ goto inline$BDLGetDebugLevel$649$Entry#1;
+
+ inline$BDLGetDebugLevel$649$Entry#1:
+ goto inline$BDLGetDebugLevel$649$start#1;
+
+ inline$BDLGetDebugLevel$649$start#1:
+ goto inline$BDLGetDebugLevel$649$label_3#1;
+
+ inline$BDLGetDebugLevel$649$label_3#1:
+ havoc inline$BDLGetDebugLevel$649$myNondetVar_0;
+ inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$649$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$649$label_1#1;
+
+ inline$BDLGetDebugLevel$649$label_1#1:
+ goto inline$BDLGetDebugLevel$649$Return#1;
+
+ inline$BDLGetDebugLevel$649$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$649$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon51_Then#1, inline$BDLSystemPowerIoCompletion$25$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_120_true#1, inline$BDLSystemPowerIoCompletion$25$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_121#1:
+ goto inline$BDLGetDebugLevel$650$Entry#1;
+
+ inline$BDLGetDebugLevel$650$Entry#1:
+ goto inline$BDLGetDebugLevel$650$start#1;
+
+ inline$BDLGetDebugLevel$650$start#1:
+ goto inline$BDLGetDebugLevel$650$label_3#1;
+
+ inline$BDLGetDebugLevel$650$label_3#1:
+ havoc inline$BDLGetDebugLevel$650$myNondetVar_0;
+ inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$650$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$650$label_1#1;
+
+ inline$BDLGetDebugLevel$650$label_1#1:
+ goto inline$BDLGetDebugLevel$650$Return#1;
+
+ inline$BDLGetDebugLevel$650$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$650$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon52_Then#1, inline$BDLSystemPowerIoCompletion$25$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_130_true#1, inline$BDLSystemPowerIoCompletion$25$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_131#1:
+ goto inline$BDLGetDebugLevel$651$Entry#1;
+
+ inline$BDLGetDebugLevel$651$Entry#1:
+ goto inline$BDLGetDebugLevel$651$start#1;
+
+ inline$BDLGetDebugLevel$651$start#1:
+ goto inline$BDLGetDebugLevel$651$label_3#1;
+
+ inline$BDLGetDebugLevel$651$label_3#1:
+ havoc inline$BDLGetDebugLevel$651$myNondetVar_0;
+ inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$651$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$651$label_1#1;
+
+ inline$BDLGetDebugLevel$651$label_1#1:
+ goto inline$BDLGetDebugLevel$651$Return#1;
+
+ inline$BDLGetDebugLevel$651$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$651$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon53_Then#1, inline$BDLSystemPowerIoCompletion$25$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_140_true#1, inline$BDLSystemPowerIoCompletion$25$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$25$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_115#1:
+ inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$25$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$25$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$25$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_43#1:
+ goto inline$BDLGetDebugLevel$643$Entry#1;
+
+ inline$BDLGetDebugLevel$643$Entry#1:
+ goto inline$BDLGetDebugLevel$643$start#1;
+
+ inline$BDLGetDebugLevel$643$start#1:
+ goto inline$BDLGetDebugLevel$643$label_3#1;
+
+ inline$BDLGetDebugLevel$643$label_3#1:
+ havoc inline$BDLGetDebugLevel$643$myNondetVar_0;
+ inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$643$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$643$label_1#1;
+
+ inline$BDLGetDebugLevel$643$label_1#1:
+ goto inline$BDLGetDebugLevel$643$Return#1;
+
+ inline$BDLGetDebugLevel$643$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$643$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon40_Then#1, inline$BDLSystemPowerIoCompletion$25$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_46_true#1, inline$BDLSystemPowerIoCompletion$25$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_47#1:
+ goto inline$BDLGetDebugLevel$644$Entry#1;
+
+ inline$BDLGetDebugLevel$644$Entry#1:
+ goto inline$BDLGetDebugLevel$644$start#1;
+
+ inline$BDLGetDebugLevel$644$start#1:
+ goto inline$BDLGetDebugLevel$644$label_3#1;
+
+ inline$BDLGetDebugLevel$644$label_3#1:
+ havoc inline$BDLGetDebugLevel$644$myNondetVar_0;
+ inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$644$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$644$label_1#1;
+
+ inline$BDLGetDebugLevel$644$label_1#1:
+ goto inline$BDLGetDebugLevel$644$Return#1;
+
+ inline$BDLGetDebugLevel$644$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$644$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon41_Then#1, inline$BDLSystemPowerIoCompletion$25$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_56_true#1, inline$BDLSystemPowerIoCompletion$25$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_57#1:
+ goto inline$BDLGetDebugLevel$645$Entry#1;
+
+ inline$BDLGetDebugLevel$645$Entry#1:
+ goto inline$BDLGetDebugLevel$645$start#1;
+
+ inline$BDLGetDebugLevel$645$start#1:
+ goto inline$BDLGetDebugLevel$645$label_3#1;
+
+ inline$BDLGetDebugLevel$645$label_3#1:
+ havoc inline$BDLGetDebugLevel$645$myNondetVar_0;
+ inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$645$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$645$label_1#1;
+
+ inline$BDLGetDebugLevel$645$label_1#1:
+ goto inline$BDLGetDebugLevel$645$Return#1;
+
+ inline$BDLGetDebugLevel$645$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$645$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon42_Then#1, inline$BDLSystemPowerIoCompletion$25$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_66_true#1, inline$BDLSystemPowerIoCompletion$25$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$25$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$25$anon43_Then#1, inline$BDLSystemPowerIoCompletion$25$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$25$anon44_Then#1, inline$BDLSystemPowerIoCompletion$25$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_74#1:
+ goto inline$storm_IoCompleteRequest$52$Entry#1;
+
+ inline$storm_IoCompleteRequest$52$Entry#1:
+ inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$25$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$52$start#1;
+
+ inline$storm_IoCompleteRequest$52$start#1:
+ inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$52$label_3#1;
+
+ inline$storm_IoCompleteRequest$52$label_3#1:
+ call inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$52$label_6#1;
+
+ inline$storm_IoCompleteRequest$52$label_6#1:
+ goto inline$storm_IoCompleteRequest$52$label_6_true#1, inline$storm_IoCompleteRequest$52$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$52$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$52$label_7#1;
+
+ inline$storm_IoCompleteRequest$52$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$52$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$52$label_8#1;
+
+ inline$storm_IoCompleteRequest$52$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$52$anon3_Then#1, inline$storm_IoCompleteRequest$52$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$52$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$52$anon1#1;
+
+ inline$storm_IoCompleteRequest$52$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$52$label_9#1;
+
+ inline$storm_IoCompleteRequest$52$label_9#1:
+ goto inline$storm_IoCompleteRequest$52$label_9_true#1, inline$storm_IoCompleteRequest$52$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$52$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$52$label_10#1;
+
+ inline$storm_IoCompleteRequest$52$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$52$label_1#1;
+
+ inline$storm_IoCompleteRequest$52$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$52$label_7#1;
+
+ inline$storm_IoCompleteRequest$52$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$52$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$52$label_1#1;
+
+ inline$storm_IoCompleteRequest$52$label_1#1:
+ goto inline$storm_IoCompleteRequest$52$Return#1;
+
+ inline$storm_IoCompleteRequest$52$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$52$Return#1;
+
+ inline$storm_IoCompleteRequest$52$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon45_Then#1, inline$BDLSystemPowerIoCompletion$25$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$25$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$25$anon46_Then#1, inline$BDLSystemPowerIoCompletion$25$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_80#1:
+ goto inline$BDLGetDebugLevel$646$Entry#1;
+
+ inline$BDLGetDebugLevel$646$Entry#1:
+ goto inline$BDLGetDebugLevel$646$start#1;
+
+ inline$BDLGetDebugLevel$646$start#1:
+ goto inline$BDLGetDebugLevel$646$label_3#1;
+
+ inline$BDLGetDebugLevel$646$label_3#1:
+ havoc inline$BDLGetDebugLevel$646$myNondetVar_0;
+ inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$646$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$646$label_1#1;
+
+ inline$BDLGetDebugLevel$646$label_1#1:
+ goto inline$BDLGetDebugLevel$646$Return#1;
+
+ inline$BDLGetDebugLevel$646$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$646$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon47_Then#1, inline$BDLSystemPowerIoCompletion$25$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_83_true#1, inline$BDLSystemPowerIoCompletion$25$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_84#1:
+ goto inline$BDLGetDebugLevel$647$Entry#1;
+
+ inline$BDLGetDebugLevel$647$Entry#1:
+ goto inline$BDLGetDebugLevel$647$start#1;
+
+ inline$BDLGetDebugLevel$647$start#1:
+ goto inline$BDLGetDebugLevel$647$label_3#1;
+
+ inline$BDLGetDebugLevel$647$label_3#1:
+ havoc inline$BDLGetDebugLevel$647$myNondetVar_0;
+ inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$647$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$647$label_1#1;
+
+ inline$BDLGetDebugLevel$647$label_1#1:
+ goto inline$BDLGetDebugLevel$647$Return#1;
+
+ inline$BDLGetDebugLevel$647$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$647$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon48_Then#1, inline$BDLSystemPowerIoCompletion$25$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_93_true#1, inline$BDLSystemPowerIoCompletion$25$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$25$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$25$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_94#1:
+ goto inline$BDLGetDebugLevel$648$Entry#1;
+
+ inline$BDLGetDebugLevel$648$Entry#1:
+ goto inline$BDLGetDebugLevel$648$start#1;
+
+ inline$BDLGetDebugLevel$648$start#1:
+ goto inline$BDLGetDebugLevel$648$label_3#1;
+
+ inline$BDLGetDebugLevel$648$label_3#1:
+ havoc inline$BDLGetDebugLevel$648$myNondetVar_0;
+ inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$648$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$648$label_1#1;
+
+ inline$BDLGetDebugLevel$648$label_1#1:
+ goto inline$BDLGetDebugLevel$648$Return#1;
+
+ inline$BDLGetDebugLevel$648$Return#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$648$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$25$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$25$anon49_Then#1, inline$BDLSystemPowerIoCompletion$25$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_103_true#1, inline$BDLSystemPowerIoCompletion$25$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$25$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$25$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$25$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_104#1:
+ inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$25$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$25$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$25$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$25$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$25$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$25$Return#1:
+ inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$25$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$25$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$25$anon14_Then#1, inline$CallCompletionRoutine$25$anon14_Else#1;
+
+ inline$CallCompletionRoutine$25$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$25$anon9#1;
+
+ inline$CallCompletionRoutine$25$anon9#1:
+ goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$25$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$25$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$25$Entry#1:
+ inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$25$start#1;
+
+ inline$BDLDevicePowerIoCompletion$25$start#1:
+ call inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$25$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_4#1:
+ inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_6#1:
+ inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$25$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$130$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$130$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$start#1:
+ inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$130$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$130$label_3_true#1, inline$IoGetCurrentIrpStackLocation$130$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$130$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$130$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$130$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$130$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$130$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$130$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$130$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$130$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$130$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$130$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$130$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$130$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$130$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$130$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$130$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$130$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$130$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$130$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$130$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$130$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon30_Then#1, inline$BDLDevicePowerIoCompletion$25$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_13#1:
+ inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_17#1:
+ goto inline$BDLGetDebugLevel$631$Entry#1;
+
+ inline$BDLGetDebugLevel$631$Entry#1:
+ goto inline$BDLGetDebugLevel$631$start#1;
+
+ inline$BDLGetDebugLevel$631$start#1:
+ goto inline$BDLGetDebugLevel$631$label_3#1;
+
+ inline$BDLGetDebugLevel$631$label_3#1:
+ havoc inline$BDLGetDebugLevel$631$myNondetVar_0;
+ inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$631$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$631$label_1#1;
+
+ inline$BDLGetDebugLevel$631$label_1#1:
+ goto inline$BDLGetDebugLevel$631$Return#1;
+
+ inline$BDLGetDebugLevel$631$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$631$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon31_Then#1, inline$BDLDevicePowerIoCompletion$25$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_20_true#1, inline$BDLDevicePowerIoCompletion$25$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_21#1:
+ goto inline$BDLGetDebugLevel$632$Entry#1;
+
+ inline$BDLGetDebugLevel$632$Entry#1:
+ goto inline$BDLGetDebugLevel$632$start#1;
+
+ inline$BDLGetDebugLevel$632$start#1:
+ goto inline$BDLGetDebugLevel$632$label_3#1;
+
+ inline$BDLGetDebugLevel$632$label_3#1:
+ havoc inline$BDLGetDebugLevel$632$myNondetVar_0;
+ inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$632$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$632$label_1#1;
+
+ inline$BDLGetDebugLevel$632$label_1#1:
+ goto inline$BDLGetDebugLevel$632$Return#1;
+
+ inline$BDLGetDebugLevel$632$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$632$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon32_Then#1, inline$BDLDevicePowerIoCompletion$25$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_30_true#1, inline$BDLDevicePowerIoCompletion$25$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_31#1:
+ goto inline$BDLGetDebugLevel$633$Entry#1;
+
+ inline$BDLGetDebugLevel$633$Entry#1:
+ goto inline$BDLGetDebugLevel$633$start#1;
+
+ inline$BDLGetDebugLevel$633$start#1:
+ goto inline$BDLGetDebugLevel$633$label_3#1;
+
+ inline$BDLGetDebugLevel$633$label_3#1:
+ havoc inline$BDLGetDebugLevel$633$myNondetVar_0;
+ inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$633$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$633$label_1#1;
+
+ inline$BDLGetDebugLevel$633$label_1#1:
+ goto inline$BDLGetDebugLevel$633$Return#1;
+
+ inline$BDLGetDebugLevel$633$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$633$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon33_Then#1, inline$BDLDevicePowerIoCompletion$25$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_40_true#1, inline$BDLDevicePowerIoCompletion$25$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_41_true#1, inline$BDLDevicePowerIoCompletion$25$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$25$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$25$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_44_true#1, inline$BDLDevicePowerIoCompletion$25$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_45_true#1, inline$BDLDevicePowerIoCompletion$25$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$25$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$25$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$25$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_54#1:
+ inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$25$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_55_true#1, inline$BDLDevicePowerIoCompletion$25$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$25$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$25$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$25$anon34_Then#1, inline$BDLDevicePowerIoCompletion$25$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_59#1:
+ goto inline$BDLGetDebugLevel$634$Entry#1;
+
+ inline$BDLGetDebugLevel$634$Entry#1:
+ goto inline$BDLGetDebugLevel$634$start#1;
+
+ inline$BDLGetDebugLevel$634$start#1:
+ goto inline$BDLGetDebugLevel$634$label_3#1;
+
+ inline$BDLGetDebugLevel$634$label_3#1:
+ havoc inline$BDLGetDebugLevel$634$myNondetVar_0;
+ inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$634$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$634$label_1#1;
+
+ inline$BDLGetDebugLevel$634$label_1#1:
+ goto inline$BDLGetDebugLevel$634$Return#1;
+
+ inline$BDLGetDebugLevel$634$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$634$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon35_Then#1, inline$BDLDevicePowerIoCompletion$25$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_62_true#1, inline$BDLDevicePowerIoCompletion$25$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_63#1:
+ goto inline$BDLGetDebugLevel$635$Entry#1;
+
+ inline$BDLGetDebugLevel$635$Entry#1:
+ goto inline$BDLGetDebugLevel$635$start#1;
+
+ inline$BDLGetDebugLevel$635$start#1:
+ goto inline$BDLGetDebugLevel$635$label_3#1;
+
+ inline$BDLGetDebugLevel$635$label_3#1:
+ havoc inline$BDLGetDebugLevel$635$myNondetVar_0;
+ inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$635$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$635$label_1#1;
+
+ inline$BDLGetDebugLevel$635$label_1#1:
+ goto inline$BDLGetDebugLevel$635$Return#1;
+
+ inline$BDLGetDebugLevel$635$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$635$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon36_Then#1, inline$BDLDevicePowerIoCompletion$25$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_72_true#1, inline$BDLDevicePowerIoCompletion$25$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_73#1:
+ goto inline$BDLGetDebugLevel$636$Entry#1;
+
+ inline$BDLGetDebugLevel$636$Entry#1:
+ goto inline$BDLGetDebugLevel$636$start#1;
+
+ inline$BDLGetDebugLevel$636$start#1:
+ goto inline$BDLGetDebugLevel$636$label_3#1;
+
+ inline$BDLGetDebugLevel$636$label_3#1:
+ havoc inline$BDLGetDebugLevel$636$myNondetVar_0;
+ inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$636$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$636$label_1#1;
+
+ inline$BDLGetDebugLevel$636$label_1#1:
+ goto inline$BDLGetDebugLevel$636$Return#1;
+
+ inline$BDLGetDebugLevel$636$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$636$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon37_Then#1, inline$BDLDevicePowerIoCompletion$25$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_82_true#1, inline$BDLDevicePowerIoCompletion$25$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$25$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$25$anon38_Then#1, inline$BDLDevicePowerIoCompletion$25$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$25$anon39_Then#1, inline$BDLDevicePowerIoCompletion$25$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_92#1:
+ goto inline$storm_IoCompleteRequest$51$Entry#1;
+
+ inline$storm_IoCompleteRequest$51$Entry#1:
+ inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$25$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$51$start#1;
+
+ inline$storm_IoCompleteRequest$51$start#1:
+ inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$51$label_3#1;
+
+ inline$storm_IoCompleteRequest$51$label_3#1:
+ call inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$51$label_6#1;
+
+ inline$storm_IoCompleteRequest$51$label_6#1:
+ goto inline$storm_IoCompleteRequest$51$label_6_true#1, inline$storm_IoCompleteRequest$51$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$51$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$51$label_7#1;
+
+ inline$storm_IoCompleteRequest$51$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$51$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$51$label_8#1;
+
+ inline$storm_IoCompleteRequest$51$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$51$anon3_Then#1, inline$storm_IoCompleteRequest$51$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$51$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$51$anon1#1;
+
+ inline$storm_IoCompleteRequest$51$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$51$label_9#1;
+
+ inline$storm_IoCompleteRequest$51$label_9#1:
+ goto inline$storm_IoCompleteRequest$51$label_9_true#1, inline$storm_IoCompleteRequest$51$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$51$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$51$label_10#1;
+
+ inline$storm_IoCompleteRequest$51$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$51$label_1#1;
+
+ inline$storm_IoCompleteRequest$51$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$51$label_7#1;
+
+ inline$storm_IoCompleteRequest$51$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$51$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$51$label_1#1;
+
+ inline$storm_IoCompleteRequest$51$label_1#1:
+ goto inline$storm_IoCompleteRequest$51$Return#1;
+
+ inline$storm_IoCompleteRequest$51$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$51$Return#1;
+
+ inline$storm_IoCompleteRequest$51$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon40_Then#1, inline$BDLDevicePowerIoCompletion$25$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$25$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$25$anon41_Then#1, inline$BDLDevicePowerIoCompletion$25$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_98#1:
+ goto inline$BDLGetDebugLevel$637$Entry#1;
+
+ inline$BDLGetDebugLevel$637$Entry#1:
+ goto inline$BDLGetDebugLevel$637$start#1;
+
+ inline$BDLGetDebugLevel$637$start#1:
+ goto inline$BDLGetDebugLevel$637$label_3#1;
+
+ inline$BDLGetDebugLevel$637$label_3#1:
+ havoc inline$BDLGetDebugLevel$637$myNondetVar_0;
+ inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$637$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$637$label_1#1;
+
+ inline$BDLGetDebugLevel$637$label_1#1:
+ goto inline$BDLGetDebugLevel$637$Return#1;
+
+ inline$BDLGetDebugLevel$637$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$637$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon42_Then#1, inline$BDLDevicePowerIoCompletion$25$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_101_true#1, inline$BDLDevicePowerIoCompletion$25$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_102#1:
+ goto inline$BDLGetDebugLevel$638$Entry#1;
+
+ inline$BDLGetDebugLevel$638$Entry#1:
+ goto inline$BDLGetDebugLevel$638$start#1;
+
+ inline$BDLGetDebugLevel$638$start#1:
+ goto inline$BDLGetDebugLevel$638$label_3#1;
+
+ inline$BDLGetDebugLevel$638$label_3#1:
+ havoc inline$BDLGetDebugLevel$638$myNondetVar_0;
+ inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$638$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$638$label_1#1;
+
+ inline$BDLGetDebugLevel$638$label_1#1:
+ goto inline$BDLGetDebugLevel$638$Return#1;
+
+ inline$BDLGetDebugLevel$638$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$638$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon43_Then#1, inline$BDLDevicePowerIoCompletion$25$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_111_true#1, inline$BDLDevicePowerIoCompletion$25$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$25$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$25$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_112#1:
+ goto inline$BDLGetDebugLevel$639$Entry#1;
+
+ inline$BDLGetDebugLevel$639$Entry#1:
+ goto inline$BDLGetDebugLevel$639$start#1;
+
+ inline$BDLGetDebugLevel$639$start#1:
+ goto inline$BDLGetDebugLevel$639$label_3#1;
+
+ inline$BDLGetDebugLevel$639$label_3#1:
+ havoc inline$BDLGetDebugLevel$639$myNondetVar_0;
+ inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$639$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$639$label_1#1;
+
+ inline$BDLGetDebugLevel$639$label_1#1:
+ goto inline$BDLGetDebugLevel$639$Return#1;
+
+ inline$BDLGetDebugLevel$639$Return#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$639$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$25$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$25$anon44_Then#1, inline$BDLDevicePowerIoCompletion$25$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_121_true#1, inline$BDLDevicePowerIoCompletion$25$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$25$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$25$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$25$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_122#1:
+ inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$25$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$25$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$25$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$25$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$25$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$25$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$25$Return#1:
+ inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$25$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$25$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$25$anon13_Then#1, inline$CallCompletionRoutine$25$anon13_Else#1;
+
+ inline$CallCompletionRoutine$25$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$25$anon7#1;
+
+ inline$CallCompletionRoutine$25$anon7#1:
+ goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$25$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$25$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$25$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$25$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$25$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$25$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$start#1:
+ inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$25$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$label_3_true#1, inline$BDLCallDriverCompletionRoutine$25$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$25$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$25$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$25$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_6#1:
+ goto inline$storm_KeSetEvent$27$Entry#1;
+
+ inline$storm_KeSetEvent$27$Entry#1:
+ inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$25$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$27$start#1;
+
+ inline$storm_KeSetEvent$27$start#1:
+ inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$27$label_3#1;
+
+ inline$storm_KeSetEvent$27$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$27$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$27$label_1#1;
+
+ inline$storm_KeSetEvent$27$label_1#1:
+ goto inline$storm_KeSetEvent$27$Return#1;
+
+ inline$storm_KeSetEvent$27$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$25$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$25$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$25$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$25$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$25$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$25$Return#1:
+ inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$25$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$25$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$25$anon12_Then#1, inline$CallCompletionRoutine$25$anon12_Else#1;
+
+ inline$CallCompletionRoutine$25$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$25$anon5#1;
+
+ inline$CallCompletionRoutine$25$anon5#1:
+ goto inline$CallCompletionRoutine$25$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$25$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$25$label_23#1;
+
+ inline$CallCompletionRoutine$25$label_23#1:
+ inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$25$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$25$label_24#1;
+
+ inline$CallCompletionRoutine$25$label_24#1:
+ goto inline$CallCompletionRoutine$25$label_24_true#1, inline$CallCompletionRoutine$25$label_24_false#1;
+
+ inline$CallCompletionRoutine$25$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$25$label_1#1;
+
+ inline$CallCompletionRoutine$25$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$25$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$25$label_25#1;
+
+ inline$CallCompletionRoutine$25$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$25$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$25$label_1#1;
+
+ inline$CallCompletionRoutine$25$label_1#1:
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$25$Return#1;
+
+ inline$CallCompletionRoutine$25$Return#1:
+ goto inline$storm_IoCallDriver$12$label_39$1#1;
+
+ inline$storm_IoCallDriver$12$label_39$1#1:
+ goto inline$storm_IoCallDriver$12$anon15_Then#1, inline$storm_IoCallDriver$12$anon15_Else#1;
+
+ inline$storm_IoCallDriver$12$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$12$anon10#1;
+
+ inline$storm_IoCallDriver$12$anon10#1:
+ goto inline$storm_IoCallDriver$12$label_36#1;
+
+ inline$storm_IoCallDriver$12$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$12$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$12$label_28#1;
+
+ inline$storm_IoCallDriver$12$label_28#1:
+ inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$12$label_42#1;
+
+ inline$storm_IoCallDriver$12$label_42#1:
+ goto inline$storm_IoCallDriver$12$label_43#1;
+
+ inline$storm_IoCallDriver$12$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$12$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$12$label_36#1;
+
+ inline$storm_IoCallDriver$12$label_36#1:
+ inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$12$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$12$label_1#1;
+
+ inline$storm_IoCallDriver$12$label_1#1:
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$12$Return#1;
+
+ inline$storm_IoCallDriver$12$Return#1:
+ inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$12$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$5$label_3$1#1;
+
+ inline$storm_PoCallDriver$5$label_3$1#1:
+ goto inline$storm_PoCallDriver$5$anon2_Then#1, inline$storm_PoCallDriver$5$anon2_Else#1;
+
+ inline$storm_PoCallDriver$5$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$5$anon1#1;
+
+ inline$storm_PoCallDriver$5$anon1#1:
+ goto inline$storm_PoCallDriver$5$label_6#1;
+
+ inline$storm_PoCallDriver$5$label_6#1:
+ inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$5$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$5$label_1#1;
+
+ inline$storm_PoCallDriver$5$label_1#1:
+ goto inline$storm_PoCallDriver$5$Return#1;
+
+ inline$storm_PoCallDriver$5$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$5$Return#1;
+
+ inline$storm_PoCallDriver$5$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$5$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$5$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$5$Entry#1:
+ inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$5$start#1;
+
+ inline$storm_KeWaitForSingleObject$5$start#1:
+ inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$5$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$5$label_3_true#1, inline$storm_KeWaitForSingleObject$5$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon6_Then#1, inline$storm_KeWaitForSingleObject$5$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$5$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_13#1:
+ inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$Return#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$5$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_5#1:
+ call inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$5$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$5$label_8_true#1, inline$storm_KeWaitForSingleObject$5$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon7_Then#1, inline$storm_KeWaitForSingleObject$5$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$5$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_12#1:
+ inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$5$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$Return#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$5$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon8_Then#1, inline$storm_KeWaitForSingleObject$5$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$5$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$5$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_11#1:
+ inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$5$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$5$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$5$Return#1;
+
+ inline$storm_KeWaitForSingleObject$5$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$5$Return#1;
+
+ inline$storm_KeWaitForSingleObject$5$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$5$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$5$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$5$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$5$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$5$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$5$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$5$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$5$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$ := inline$BDLCallLowerLevelDriverAndWait$5$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_29$1#1;
+
+ inline$BDLPnPCancelStop$0$label_29$1#1:
+ goto inline$BDLPnPCancelStop$0$anon25_Then#1, inline$BDLPnPCancelStop$0$anon25_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon25_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon7#1;
+
+ inline$BDLPnPCancelStop$0$anon7#1:
+ goto inline$BDLPnPCancelStop$0$label_33#1;
+
+ inline$BDLPnPCancelStop$0$label_33#1:
+ inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 := inline$BDLPnPCancelStop$0$$result.BDLCallLowerLevelDriverAndWait$2148.43$13$;
+ goto inline$BDLPnPCancelStop$0$label_34#1;
+
+ inline$BDLPnPCancelStop$0$label_34#1:
+ goto inline$BDLPnPCancelStop$0$label_34_true#1, inline$BDLPnPCancelStop$0$label_34_false#1;
+
+ inline$BDLPnPCancelStop$0$label_34_false#1:
+ assume inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 == 0;
+ goto inline$BDLPnPCancelStop$0$label_35#1;
+
+ inline$BDLPnPCancelStop$0$label_35#1:
+ goto inline$storm_KeSetEvent$28$Entry#1;
+
+ inline$storm_KeSetEvent$28$Entry#1:
+ inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPCancelStop$0$$pBDLExtension$1$2132.40$BDLPnPCancelStop$12);
+ goto inline$storm_KeSetEvent$28$start#1;
+
+ inline$storm_KeSetEvent$28$start#1:
+ inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$28$label_3#1;
+
+ inline$storm_KeSetEvent$28$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$28$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$28$label_1#1;
+
+ inline$storm_KeSetEvent$28$label_1#1:
+ goto inline$storm_KeSetEvent$28$Return#1;
+
+ inline$storm_KeSetEvent$28$Return#1:
+ goto inline$BDLPnPCancelStop$0$label_35$1#1;
+
+ inline$BDLPnPCancelStop$0$label_35$1#1:
+ goto inline$BDLPnPCancelStop$0$anon26_Then#1, inline$BDLPnPCancelStop$0$anon26_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon26_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon9#1;
+
+ inline$BDLPnPCancelStop$0$anon9#1:
+ goto inline$BDLPnPCancelStop$0$label_62#1;
+
+ inline$BDLPnPCancelStop$0$anon26_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$label_34_true#1:
+ assume inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12 != 0;
+ goto inline$BDLPnPCancelStop$0$label_38#1;
+
+ inline$BDLPnPCancelStop$0$label_38#1:
+ goto inline$BDLGetDebugLevel$652$Entry#1;
+
+ inline$BDLGetDebugLevel$652$Entry#1:
+ goto inline$BDLGetDebugLevel$652$start#1;
+
+ inline$BDLGetDebugLevel$652$start#1:
+ goto inline$BDLGetDebugLevel$652$label_3#1;
+
+ inline$BDLGetDebugLevel$652$label_3#1:
+ havoc inline$BDLGetDebugLevel$652$myNondetVar_0;
+ inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$652$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$652$label_1#1;
+
+ inline$BDLGetDebugLevel$652$label_1#1:
+ goto inline$BDLGetDebugLevel$652$Return#1;
+
+ inline$BDLGetDebugLevel$652$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$ := inline$BDLGetDebugLevel$652$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_38$1#1;
+
+ inline$BDLPnPCancelStop$0$label_38$1#1:
+ goto inline$BDLPnPCancelStop$0$anon27_Then#1, inline$BDLPnPCancelStop$0$anon27_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon27_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon11#1;
+
+ inline$BDLPnPCancelStop$0$anon11#1:
+ goto inline$BDLPnPCancelStop$0$label_41#1;
+
+ inline$BDLPnPCancelStop$0$label_41#1:
+ goto inline$BDLPnPCancelStop$0$label_41_true#1, inline$BDLPnPCancelStop$0$label_41_false#1;
+
+ inline$BDLPnPCancelStop$0$label_41_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_42#1;
+
+ inline$BDLPnPCancelStop$0$label_41_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$14$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_45#1;
+
+ inline$BDLPnPCancelStop$0$label_45#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_46#1;
+
+ inline$BDLPnPCancelStop$0$label_46#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_47#1;
+
+ inline$BDLPnPCancelStop$0$label_47#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_48#1;
+
+ inline$BDLPnPCancelStop$0$label_48#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$15$;
+ goto inline$BDLPnPCancelStop$0$label_42#1;
+
+ inline$BDLPnPCancelStop$0$label_42#1:
+ goto inline$BDLGetDebugLevel$653$Entry#1;
+
+ inline$BDLGetDebugLevel$653$Entry#1:
+ goto inline$BDLGetDebugLevel$653$start#1;
+
+ inline$BDLGetDebugLevel$653$start#1:
+ goto inline$BDLGetDebugLevel$653$label_3#1;
+
+ inline$BDLGetDebugLevel$653$label_3#1:
+ havoc inline$BDLGetDebugLevel$653$myNondetVar_0;
+ inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$653$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$653$label_1#1;
+
+ inline$BDLGetDebugLevel$653$label_1#1:
+ goto inline$BDLGetDebugLevel$653$Return#1;
+
+ inline$BDLGetDebugLevel$653$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$ := inline$BDLGetDebugLevel$653$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_42$1#1;
+
+ inline$BDLPnPCancelStop$0$label_42$1#1:
+ goto inline$BDLPnPCancelStop$0$anon28_Then#1, inline$BDLPnPCancelStop$0$anon28_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon13#1;
+
+ inline$BDLPnPCancelStop$0$anon13#1:
+ goto inline$BDLPnPCancelStop$0$label_51#1;
+
+ inline$BDLPnPCancelStop$0$label_51#1:
+ goto inline$BDLPnPCancelStop$0$label_51_true#1, inline$BDLPnPCancelStop$0$label_51_false#1;
+
+ inline$BDLPnPCancelStop$0$label_51_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_52#1;
+
+ inline$BDLPnPCancelStop$0$label_51_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$19$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_55#1;
+
+ inline$BDLPnPCancelStop$0$label_55#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_56#1;
+
+ inline$BDLPnPCancelStop$0$label_56#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_57#1;
+
+ inline$BDLPnPCancelStop$0$label_57#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_58#1;
+
+ inline$BDLPnPCancelStop$0$label_58#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2152.0$20$;
+ goto inline$BDLPnPCancelStop$0$label_52#1;
+
+ inline$BDLPnPCancelStop$0$label_52#1:
+ goto inline$BDLGetDebugLevel$654$Entry#1;
+
+ inline$BDLGetDebugLevel$654$Entry#1:
+ goto inline$BDLGetDebugLevel$654$start#1;
+
+ inline$BDLGetDebugLevel$654$start#1:
+ goto inline$BDLGetDebugLevel$654$label_3#1;
+
+ inline$BDLGetDebugLevel$654$label_3#1:
+ havoc inline$BDLGetDebugLevel$654$myNondetVar_0;
+ inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$654$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$654$label_1#1;
+
+ inline$BDLGetDebugLevel$654$label_1#1:
+ goto inline$BDLGetDebugLevel$654$Return#1;
+
+ inline$BDLGetDebugLevel$654$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$ := inline$BDLGetDebugLevel$654$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_52$1#1;
+
+ inline$BDLPnPCancelStop$0$label_52$1#1:
+ goto inline$BDLPnPCancelStop$0$anon29_Then#1, inline$BDLPnPCancelStop$0$anon29_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon15#1;
+
+ inline$BDLPnPCancelStop$0$anon15#1:
+ goto inline$BDLPnPCancelStop$0$label_61#1;
+
+ inline$BDLPnPCancelStop$0$label_61#1:
+ goto inline$BDLPnPCancelStop$0$label_61_true#1, inline$BDLPnPCancelStop$0$label_61_false#1;
+
+ inline$BDLPnPCancelStop$0$label_61_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$, 4) == 0;
+ goto inline$BDLPnPCancelStop$0$label_62#1;
+
+ inline$BDLPnPCancelStop$0$label_61_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2152.0$24$, 4) != 0;
+ goto inline$BDLPnPCancelStop$0$label_65#1;
+
+ inline$BDLPnPCancelStop$0$label_65#1:
+ goto inline$BDLPnPCancelStop$0$label_62#1;
+
+ inline$BDLPnPCancelStop$0$label_62#1:
+ goto inline$BDLGetDebugLevel$655$Entry#1;
+
+ inline$BDLGetDebugLevel$655$Entry#1:
+ goto inline$BDLGetDebugLevel$655$start#1;
+
+ inline$BDLGetDebugLevel$655$start#1:
+ goto inline$BDLGetDebugLevel$655$label_3#1;
+
+ inline$BDLGetDebugLevel$655$label_3#1:
+ havoc inline$BDLGetDebugLevel$655$myNondetVar_0;
+ inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$655$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$655$label_1#1;
+
+ inline$BDLGetDebugLevel$655$label_1#1:
+ goto inline$BDLGetDebugLevel$655$Return#1;
+
+ inline$BDLGetDebugLevel$655$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$ := inline$BDLGetDebugLevel$655$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_62$1#1;
+
+ inline$BDLPnPCancelStop$0$label_62$1#1:
+ goto inline$BDLPnPCancelStop$0$anon30_Then#1, inline$BDLPnPCancelStop$0$anon30_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon17#1;
+
+ inline$BDLPnPCancelStop$0$anon17#1:
+ goto inline$BDLPnPCancelStop$0$label_66#1;
+
+ inline$BDLPnPCancelStop$0$label_66#1:
+ goto inline$BDLPnPCancelStop$0$label_66_true#1, inline$BDLPnPCancelStop$0$label_66_false#1;
+
+ inline$BDLPnPCancelStop$0$label_66_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_67#1;
+
+ inline$BDLPnPCancelStop$0$label_66_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$26$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_70#1;
+
+ inline$BDLPnPCancelStop$0$label_70#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_71#1;
+
+ inline$BDLPnPCancelStop$0$label_71#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_72#1;
+
+ inline$BDLPnPCancelStop$0$label_72#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_73#1;
+
+ inline$BDLPnPCancelStop$0$label_73#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$27$;
+ goto inline$BDLPnPCancelStop$0$label_67#1;
+
+ inline$BDLPnPCancelStop$0$label_67#1:
+ goto inline$BDLGetDebugLevel$656$Entry#1;
+
+ inline$BDLGetDebugLevel$656$Entry#1:
+ goto inline$BDLGetDebugLevel$656$start#1;
+
+ inline$BDLGetDebugLevel$656$start#1:
+ goto inline$BDLGetDebugLevel$656$label_3#1;
+
+ inline$BDLGetDebugLevel$656$label_3#1:
+ havoc inline$BDLGetDebugLevel$656$myNondetVar_0;
+ inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$656$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$656$label_1#1;
+
+ inline$BDLGetDebugLevel$656$label_1#1:
+ goto inline$BDLGetDebugLevel$656$Return#1;
+
+ inline$BDLGetDebugLevel$656$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$ := inline$BDLGetDebugLevel$656$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_67$1#1;
+
+ inline$BDLPnPCancelStop$0$label_67$1#1:
+ goto inline$BDLPnPCancelStop$0$anon31_Then#1, inline$BDLPnPCancelStop$0$anon31_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon19#1;
+
+ inline$BDLPnPCancelStop$0$anon19#1:
+ goto inline$BDLPnPCancelStop$0$label_76#1;
+
+ inline$BDLPnPCancelStop$0$label_76#1:
+ goto inline$BDLPnPCancelStop$0$label_76_true#1, inline$BDLPnPCancelStop$0$label_76_false#1;
+
+ inline$BDLPnPCancelStop$0$label_76_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$) == 0;
+ goto inline$BDLPnPCancelStop$0$label_77#1;
+
+ inline$BDLPnPCancelStop$0$label_76_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$31$) != 0;
+ goto inline$BDLPnPCancelStop$0$label_80#1;
+
+ inline$BDLPnPCancelStop$0$label_80#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_81#1;
+
+ inline$BDLPnPCancelStop$0$label_81#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_82#1;
+
+ inline$BDLPnPCancelStop$0$label_82#1:
+ call inline$BDLPnPCancelStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelStop$0$label_83#1;
+
+ inline$BDLPnPCancelStop$0$label_83#1:
+ havoc inline$BDLPnPCancelStop$0$$result.DbgPrint$2169.0$32$;
+ goto inline$BDLPnPCancelStop$0$label_77#1;
+
+ inline$BDLPnPCancelStop$0$label_77#1:
+ goto inline$BDLGetDebugLevel$657$Entry#1;
+
+ inline$BDLGetDebugLevel$657$Entry#1:
+ goto inline$BDLGetDebugLevel$657$start#1;
+
+ inline$BDLGetDebugLevel$657$start#1:
+ goto inline$BDLGetDebugLevel$657$label_3#1;
+
+ inline$BDLGetDebugLevel$657$label_3#1:
+ havoc inline$BDLGetDebugLevel$657$myNondetVar_0;
+ inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$657$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$657$label_1#1;
+
+ inline$BDLGetDebugLevel$657$label_1#1:
+ goto inline$BDLGetDebugLevel$657$Return#1;
+
+ inline$BDLGetDebugLevel$657$Return#1:
+ inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$ := inline$BDLGetDebugLevel$657$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelStop$0$label_77$1#1;
+
+ inline$BDLPnPCancelStop$0$label_77$1#1:
+ goto inline$BDLPnPCancelStop$0$anon32_Then#1, inline$BDLPnPCancelStop$0$anon32_Else#1;
+
+ inline$BDLPnPCancelStop$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelStop$0$anon21#1;
+
+ inline$BDLPnPCancelStop$0$anon21#1:
+ goto inline$BDLPnPCancelStop$0$label_86#1;
+
+ inline$BDLPnPCancelStop$0$label_86#1:
+ goto inline$BDLPnPCancelStop$0$label_86_true#1, inline$BDLPnPCancelStop$0$label_86_false#1;
+
+ inline$BDLPnPCancelStop$0$label_86_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$, 4) == 0;
+ goto inline$BDLPnPCancelStop$0$label_87#1;
+
+ inline$BDLPnPCancelStop$0$label_86_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelStop$0$$result.BDLGetDebugLevel$2169.0$36$, 4) != 0;
+ goto inline$BDLPnPCancelStop$0$label_88#1;
+
+ inline$BDLPnPCancelStop$0$label_88#1:
+ goto inline$BDLPnPCancelStop$0$label_87#1;
+
+ inline$BDLPnPCancelStop$0$label_87#1:
+ inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$ := inline$BDLPnPCancelStop$0$$status$4$2137.16$BDLPnPCancelStop$12;
+ goto inline$BDLPnPCancelStop$0$label_1#1;
+
+ inline$BDLPnPCancelStop$0$label_1#1:
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon27_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon25_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon24_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelStop$0$Return#1;
+
+ inline$BDLPnPCancelStop$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$ := inline$BDLPnPCancelStop$0$$result.BDLPnPCancelStop$2130.0$1$;
+ goto inline$BDLPnP$0$label_131$1#1;
+
+ inline$BDLPnP$0$label_131$1#1:
+ goto inline$BDLPnP$0$anon73_Then#1, inline$BDLPnP$0$anon73_Else#1;
+
+ inline$BDLPnP$0$anon73_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon39#1;
+
+ inline$BDLPnP$0$anon39#1:
+ goto inline$BDLPnP$0$label_148#1;
+
+ inline$BDLPnP$0$label_148#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPCancelStop$925.33$30$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon73_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_6#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 5;
+ goto inline$BDLPnP$0$label_128#1;
+
+ inline$BDLPnP$0$label_128#1:
+ goto inline$BDLPnPQueryStop$0$Entry#1;
+
+ inline$BDLPnPQueryStop$0$Entry#1:
+ inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPQueryStop$0$start#1;
+
+ inline$BDLPnPQueryStop$0$start#1:
+ call inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12 := __HAVOC_malloc(1);
+ inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12_.1;
+ inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12_.1;
+ goto inline$BDLPnPQueryStop$0$label_3#1;
+
+ inline$BDLPnPQueryStop$0$label_3#1:
+ goto inline$BDLPnPQueryStop$0$label_4#1;
+
+ inline$BDLPnPQueryStop$0$label_4#1:
+ inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := 0;
+ goto inline$BDLPnPQueryStop$0$label_5#1;
+
+ inline$BDLPnPQueryStop$0$label_5#1:
+ goto inline$BDLPnPQueryStop$0$label_6#1;
+
+ inline$BDLPnPQueryStop$0$label_6#1:
+ goto inline$BDLGetDebugLevel$475$Entry#1;
+
+ inline$BDLGetDebugLevel$475$Entry#1:
+ goto inline$BDLGetDebugLevel$475$start#1;
+
+ inline$BDLGetDebugLevel$475$start#1:
+ goto inline$BDLGetDebugLevel$475$label_3#1;
+
+ inline$BDLGetDebugLevel$475$label_3#1:
+ havoc inline$BDLGetDebugLevel$475$myNondetVar_0;
+ inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$475$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$475$label_1#1;
+
+ inline$BDLGetDebugLevel$475$label_1#1:
+ goto inline$BDLGetDebugLevel$475$Return#1;
+
+ inline$BDLGetDebugLevel$475$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$ := inline$BDLGetDebugLevel$475$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_6$1#1;
+
+ inline$BDLPnPQueryStop$0$label_6$1#1:
+ goto inline$BDLPnPQueryStop$0$anon22_Then#1, inline$BDLPnPQueryStop$0$anon22_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon1#1;
+
+ inline$BDLPnPQueryStop$0$anon1#1:
+ goto inline$BDLPnPQueryStop$0$label_9#1;
+
+ inline$BDLPnPQueryStop$0$label_9#1:
+ goto inline$BDLPnPQueryStop$0$label_9_true#1, inline$BDLPnPQueryStop$0$label_9_false#1;
+
+ inline$BDLPnPQueryStop$0$label_9_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$) == 0;
+ goto inline$BDLPnPQueryStop$0$label_10#1;
+
+ inline$BDLPnPQueryStop$0$label_9_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$2$) != 0;
+ goto inline$BDLPnPQueryStop$0$label_13#1;
+
+ inline$BDLPnPQueryStop$0$label_13#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_14#1;
+
+ inline$BDLPnPQueryStop$0$label_14#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_15#1;
+
+ inline$BDLPnPQueryStop$0$label_15#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_16#1;
+
+ inline$BDLPnPQueryStop$0$label_16#1:
+ havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$3$;
+ goto inline$BDLPnPQueryStop$0$label_10#1;
+
+ inline$BDLPnPQueryStop$0$label_10#1:
+ goto inline$BDLGetDebugLevel$476$Entry#1;
+
+ inline$BDLGetDebugLevel$476$Entry#1:
+ goto inline$BDLGetDebugLevel$476$start#1;
+
+ inline$BDLGetDebugLevel$476$start#1:
+ goto inline$BDLGetDebugLevel$476$label_3#1;
+
+ inline$BDLGetDebugLevel$476$label_3#1:
+ havoc inline$BDLGetDebugLevel$476$myNondetVar_0;
+ inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$476$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$476$label_1#1;
+
+ inline$BDLGetDebugLevel$476$label_1#1:
+ goto inline$BDLGetDebugLevel$476$Return#1;
+
+ inline$BDLGetDebugLevel$476$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$ := inline$BDLGetDebugLevel$476$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_10$1#1;
+
+ inline$BDLPnPQueryStop$0$label_10$1#1:
+ goto inline$BDLPnPQueryStop$0$anon23_Then#1, inline$BDLPnPQueryStop$0$anon23_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon3#1;
+
+ inline$BDLPnPQueryStop$0$anon3#1:
+ goto inline$BDLPnPQueryStop$0$label_19#1;
+
+ inline$BDLPnPQueryStop$0$label_19#1:
+ goto inline$BDLPnPQueryStop$0$label_19_true#1, inline$BDLPnPQueryStop$0$label_19_false#1;
+
+ inline$BDLPnPQueryStop$0$label_19_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$) == 0;
+ goto inline$BDLPnPQueryStop$0$label_20#1;
+
+ inline$BDLPnPQueryStop$0$label_19_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$7$) != 0;
+ goto inline$BDLPnPQueryStop$0$label_23#1;
+
+ inline$BDLPnPQueryStop$0$label_23#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_24#1;
+
+ inline$BDLPnPQueryStop$0$label_24#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_25#1;
+
+ inline$BDLPnPQueryStop$0$label_25#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_26#1;
+
+ inline$BDLPnPQueryStop$0$label_26#1:
+ havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2085.0$8$;
+ goto inline$BDLPnPQueryStop$0$label_20#1;
+
+ inline$BDLPnPQueryStop$0$label_20#1:
+ goto inline$BDLGetDebugLevel$477$Entry#1;
+
+ inline$BDLGetDebugLevel$477$Entry#1:
+ goto inline$BDLGetDebugLevel$477$start#1;
+
+ inline$BDLGetDebugLevel$477$start#1:
+ goto inline$BDLGetDebugLevel$477$label_3#1;
+
+ inline$BDLGetDebugLevel$477$label_3#1:
+ havoc inline$BDLGetDebugLevel$477$myNondetVar_0;
+ inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$477$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$477$label_1#1;
+
+ inline$BDLGetDebugLevel$477$label_1#1:
+ goto inline$BDLGetDebugLevel$477$Return#1;
+
+ inline$BDLGetDebugLevel$477$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$ := inline$BDLGetDebugLevel$477$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_20$1#1;
+
+ inline$BDLPnPQueryStop$0$label_20$1#1:
+ goto inline$BDLPnPQueryStop$0$anon24_Then#1, inline$BDLPnPQueryStop$0$anon24_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon24_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon5#1;
+
+ inline$BDLPnPQueryStop$0$anon5#1:
+ goto inline$BDLPnPQueryStop$0$label_29#1;
+
+ inline$BDLPnPQueryStop$0$label_29#1:
+ goto inline$BDLPnPQueryStop$0$label_29_true#1, inline$BDLPnPQueryStop$0$label_29_false#1;
+
+ inline$BDLPnPQueryStop$0$label_29_false#1:
+ assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$, 4) == 0;
+ goto inline$BDLPnPQueryStop$0$label_30#1;
+
+ inline$BDLPnPQueryStop$0$label_29_true#1:
+ assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2085.0$12$, 4) != 0;
+ goto inline$BDLPnPQueryStop$0$label_33#1;
+
+ inline$BDLPnPQueryStop$0$label_33#1:
+ goto inline$BDLPnPQueryStop$0$label_30#1;
+
+ inline$BDLPnPQueryStop$0$label_30#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
+ assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$2$Entry#1;
+
+ inline$storm_KeAcquireSpinLock$2$Entry#1:
+ inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
+ goto inline$storm_KeAcquireSpinLock$2$start#1;
+
+ inline$storm_KeAcquireSpinLock$2$start#1:
+ inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
+ goto inline$storm_KeAcquireSpinLock$2$label_3#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_3#1:
+ goto inline$storm_KeAcquireSpinLock$2$label_4#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_4#1:
+ goto inline$storm_getThreadID$5$Entry#1;
+
+ inline$storm_getThreadID$5$Entry#1:
+ goto inline$storm_getThreadID$5$anon0#1;
+
+ inline$storm_getThreadID$5$anon0#1:
+ inline$storm_getThreadID$5$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$5$Return#1;
+
+ inline$storm_getThreadID$5$Return#1:
+ inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$5$tid;
+ goto inline$storm_KeAcquireSpinLock$2$label_4$1#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_4$1#1:
+ goto inline$storm_KeAcquireSpinLock$2$label_7#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_7#1:
+ inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$2$label_8#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_8#1:
+ goto inline$storm_KeAcquireSpinLock$2$label_9#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_9#1:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$2$label_12#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_12#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$anon7_Then#1, inline$storm_KeAcquireSpinLock$2$anon7_Else#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$anon1#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$2$label_13#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_13#1:
+ goto inline$storm_KeAcquireSpinLock$2$label_13_true#1, inline$storm_KeAcquireSpinLock$2$label_13_false#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_13_false#1:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$2$label_14#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_14#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$2$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_13_true#1:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$2$label_17#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_17#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$anon8_Then#1, inline$storm_KeAcquireSpinLock$2$anon8_Else#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$anon4#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon4#1:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$2$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
+ goto inline$storm_KeAcquireSpinLock$2$label_18#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_18#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$2$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$2$$tid$3$128.6$storm_KeAcquireSpinLock$8];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$2$label_19#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_19#1:
+ goto inline$storm_KeAcquireSpinLock$2$anon9_Then#1, inline$storm_KeAcquireSpinLock$2$anon9_Else#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$2$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$2$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon6#1:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$2$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$2$label_1#1:
+ goto inline$storm_KeAcquireSpinLock$2$Return#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$Return#1;
+
+ inline$storm_KeAcquireSpinLock$2$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$2$Return#1;
+
+ inline$storm_KeAcquireSpinLock$2$Return#1:
+ goto inline$BDLPnPQueryStop$0$label_30$1#1;
+
+ inline$BDLPnPQueryStop$0$label_30$1#1:
+ goto inline$BDLPnPQueryStop$0$anon25_Then#1, inline$BDLPnPQueryStop$0$anon25_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon25_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon7#1;
+
+ inline$BDLPnPQueryStop$0$anon7#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ goto inline$BDLPnPQueryStop$0$label_34#1;
+
+ inline$BDLPnPQueryStop$0$label_34#1:
+ goto inline$BDLPnPQueryStop$0$label_34_true#1, inline$BDLPnPQueryStop$0$label_34_false#1;
+
+ inline$BDLPnPQueryStop$0$label_34_false#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ assume !INT_ULT(0, inline$BDLPnPQueryStop$0$myNondetVar_0);
+ goto inline$BDLPnPQueryStop$0$label_35#1;
+
+ inline$BDLPnPQueryStop$0$label_35#1:
+ goto inline$storm_KeClearEvent$0$Entry#1;
+
+ inline$storm_KeClearEvent$0$Entry#1:
+ inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
+ goto inline$storm_KeClearEvent$0$start#1;
+
+ inline$storm_KeClearEvent$0$start#1:
+ inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4 := inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4_.1;
+ goto inline$storm_KeClearEvent$0$label_3#1;
+
+ inline$storm_KeClearEvent$0$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeClearEvent$0$$Event$1$236.14$storm_KeClearEvent$4 := 0];
+ call contextSwitch();
+ goto inline$storm_KeClearEvent$0$label_1#1;
+
+ inline$storm_KeClearEvent$0$label_1#1:
+ goto inline$storm_KeClearEvent$0$Return#1;
+
+ inline$storm_KeClearEvent$0$Return#1:
+ goto inline$BDLPnPQueryStop$0$label_35$1#1;
+
+ inline$BDLPnPQueryStop$0$label_35$1#1:
+ goto inline$BDLPnPQueryStop$0$anon26_Then#1, inline$BDLPnPQueryStop$0$anon26_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon26_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon9#1;
+
+ inline$BDLPnPQueryStop$0$anon9#1:
+ goto inline$BDLPnPQueryStop$0$label_68#1;
+
+ inline$BDLPnPQueryStop$0$label_68#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
+ assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$4$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$4$Entry#1:
+ inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
+ goto inline$storm_KeReleaseSpinLock$4$start#1;
+
+ inline$storm_KeReleaseSpinLock$4$start#1:
+ inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$4$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$4$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$4$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$4$anon5_Then#1, inline$storm_KeReleaseSpinLock$4$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$4$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$4$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_8#1:
+ goto inline$storm_getThreadID$7$Entry#1;
+
+ inline$storm_getThreadID$7$Entry#1:
+ goto inline$storm_getThreadID$7$anon0#1;
+
+ inline$storm_getThreadID$7$anon0#1:
+ inline$storm_getThreadID$7$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$7$Return#1;
+
+ inline$storm_getThreadID$7$Return#1:
+ inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$7$tid;
+ goto inline$storm_KeReleaseSpinLock$4$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$4$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$4$label_11_true#1, inline$storm_KeReleaseSpinLock$4$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$4$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$4$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$4$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$4$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$4$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$4$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$4$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$4$anon6_Then#1, inline$storm_KeReleaseSpinLock$4$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$4$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$4$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$4$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$4$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$4$Return#1;
+
+ inline$storm_KeReleaseSpinLock$4$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$4$Return#1;
+
+ inline$storm_KeReleaseSpinLock$4$Return#1:
+ goto inline$BDLPnPQueryStop$0$label_68$1#1;
+
+ inline$BDLPnPQueryStop$0$label_68$1#1:
+ goto inline$BDLPnPQueryStop$0$anon31_Then#1, inline$BDLPnPQueryStop$0$anon31_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon19#1;
+
+ inline$BDLPnPQueryStop$0$anon19#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ goto inline$BDLPnPQueryStop$0$label_71#1;
+
+ inline$BDLPnPQueryStop$0$label_71#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPQueryStop$0$$pIrp$3$2078.40$BDLPnPQueryStop$12;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$92$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$92$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$start#1:
+ inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$92$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$92$label_3_true#1, inline$IoGetCurrentIrpStackLocation$92$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$92$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$92$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$92$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$92$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$92$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$92$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$92$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$92$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$92$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$92$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$92$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$92$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$92$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$92$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$92$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$92$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$92$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$92$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$92$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$9$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$9$Entry#1:
+ inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$4$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$9$start#1;
+
+ inline$IoGetNextIrpStackLocation$9$start#1:
+ inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$9$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$9$label_3_true#1, inline$IoGetNextIrpStackLocation$9$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$9$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$9$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_4#1:
+ call inline$IoGetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$9$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_6#1:
+ call inline$IoGetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$9$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$9$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$9$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$9$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$9$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$9$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$9$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$9$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$9$Return#1;
+
+ inline$IoGetNextIrpStackLocation$9$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$4$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$4$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_9#1:
+ goto inline$storm_KeInitializeEvent$4$Entry#1;
+
+ inline$storm_KeInitializeEvent$4$Entry#1:
+ inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$4$start#1;
+
+ inline$storm_KeInitializeEvent$4$start#1:
+ inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$4$label_3#1;
+
+ inline$storm_KeInitializeEvent$4$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$4$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$4$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$4$label_1#1;
+
+ inline$storm_KeInitializeEvent$4$label_1#1:
+ goto inline$storm_KeInitializeEvent$4$Return#1;
+
+ inline$storm_KeInitializeEvent$4$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$4$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$4$Entry#1:
+ inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$4$start#1;
+
+ inline$storm_IoSetCompletionRoutine$4$start#1:
+ inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$4$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$4$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_7_true#1, inline$storm_IoSetCompletionRoutine$4$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$4$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$4$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$4$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$4$anon6_Then#1, inline$storm_IoSetCompletionRoutine$4$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$4$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$4$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_12_true#1, inline$storm_IoSetCompletionRoutine$4$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$4$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$4$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$4$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$10$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$10$Entry#1:
+ inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$4$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$10$start#1;
+
+ inline$IoGetNextIrpStackLocation$10$start#1:
+ inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$10$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$10$label_3_true#1, inline$IoGetNextIrpStackLocation$10$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$10$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$10$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_4#1:
+ call inline$IoGetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$10$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_6#1:
+ call inline$IoGetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$10$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$10$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$10$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$10$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$10$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$10$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$10$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$10$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$10$Return#1;
+
+ inline$IoGetNextIrpStackLocation$10$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$4$anon5_Then#1, inline$storm_IoSetCompletionRoutine$4$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$4$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$4$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$4$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$4$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$4$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$4$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$4$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$4$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$93$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$93$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$start#1:
+ inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$93$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$93$label_3_true#1, inline$IoGetCurrentIrpStackLocation$93$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$93$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$93$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$93$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$93$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$93$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$93$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$93$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$93$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$93$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$93$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$93$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$93$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$93$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$93$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$93$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$93$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$93$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$93$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$93$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_19#1:
+ goto inline$storm_IoCallDriver$9$Entry#1;
+
+ inline$storm_IoCallDriver$9$Entry#1:
+ inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$9$start#1;
+
+ inline$storm_IoCallDriver$9$start#1:
+ inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$9$label_3#1;
+
+ inline$storm_IoCallDriver$9$label_3#1:
+ goto inline$storm_IoCallDriver$9$label_4#1;
+
+ inline$storm_IoCallDriver$9$label_4#1:
+ goto inline$storm_IoCallDriver$9$label_5#1;
+
+ inline$storm_IoCallDriver$9$label_5#1:
+ goto inline$storm_IoCallDriver$9$label_6#1;
+
+ inline$storm_IoCallDriver$9$label_6#1:
+ call inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$9$label_9#1;
+
+ inline$storm_IoCallDriver$9$label_9#1:
+ goto inline$storm_IoCallDriver$9$label_9_true#1, inline$storm_IoCallDriver$9$label_9_false#1;
+
+ inline$storm_IoCallDriver$9$label_9_false#1:
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$9$label_10#1;
+
+ inline$storm_IoCallDriver$9$label_9_true#1:
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$9$label_13#1;
+
+ inline$storm_IoCallDriver$9$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$9$anon12_Then#1, inline$storm_IoCallDriver$9$anon12_Else#1;
+
+ inline$storm_IoCallDriver$9$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$9$anon3#1;
+
+ inline$storm_IoCallDriver$9$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$9$label_14#1;
+
+ inline$storm_IoCallDriver$9$label_14#1:
+ goto inline$storm_IoCallDriver$9$label_14_true#1, inline$storm_IoCallDriver$9$label_14_false#1;
+
+ inline$storm_IoCallDriver$9$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$9$label_15#1;
+
+ inline$storm_IoCallDriver$9$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$9$label_1#1;
+
+ inline$storm_IoCallDriver$9$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$9$label_10#1;
+
+ inline$storm_IoCallDriver$9$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$10$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$10$Entry#1:
+ inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$10$start#1;
+
+ inline$IoSetNextIrpStackLocation$10$start#1:
+ inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$10$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$10$label_3_true#1, inline$IoSetNextIrpStackLocation$10$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$10$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$10$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_4#1:
+ call inline$IoSetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$10$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_7#1:
+ call inline$IoSetNextIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$10$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$10$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$10$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$10$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_5#1:
+ inline$IoSetNextIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$10$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$10$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$10$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$10$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$10$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$10$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$10$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$10$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$10$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$10$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$10$Return#1;
+
+ inline$IoSetNextIrpStackLocation$10$Return#1:
+ goto inline$storm_IoCallDriver$9$label_10$1#1;
+
+ inline$storm_IoCallDriver$9$label_10$1#1:
+ goto inline$storm_IoCallDriver$9$anon11_Then#1, inline$storm_IoCallDriver$9$anon11_Else#1;
+
+ inline$storm_IoCallDriver$9$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$9$anon1#1;
+
+ inline$storm_IoCallDriver$9$anon1#1:
+ goto inline$storm_IoCallDriver$9$label_18#1;
+
+ inline$storm_IoCallDriver$9$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$94$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$94$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$start#1:
+ inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$94$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$94$label_3_true#1, inline$IoGetCurrentIrpStackLocation$94$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$94$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$94$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$94$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$94$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$94$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$94$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$94$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$94$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$94$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$94$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$94$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$94$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$94$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$94$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$94$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$94$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$94$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$94$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$94$Return#1:
+ goto inline$storm_IoCallDriver$9$label_18$1#1;
+
+ inline$storm_IoCallDriver$9$label_18$1#1:
+ goto inline$storm_IoCallDriver$9$anon13_Then#1, inline$storm_IoCallDriver$9$anon13_Else#1;
+
+ inline$storm_IoCallDriver$9$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$9$anon6#1;
+
+ inline$storm_IoCallDriver$9$anon6#1:
+ goto inline$storm_IoCallDriver$9$label_21#1;
+
+ inline$storm_IoCallDriver$9$label_21#1:
+ goto inline$storm_IoCallDriver$9$label_22#1;
+
+ inline$storm_IoCallDriver$9$label_22#1:
+ goto inline$storm_IoCallDriver$9$label_23#1;
+
+ inline$storm_IoCallDriver$9$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$9$label_24#1;
+
+ inline$storm_IoCallDriver$9$label_24#1:
+ call inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$9$label_27#1;
+
+ inline$storm_IoCallDriver$9$label_27#1:
+ goto inline$storm_IoCallDriver$9$label_27_case_0#1, inline$storm_IoCallDriver$9$label_27_case_1#1, inline$storm_IoCallDriver$9$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$9$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$9$label_30#1;
+
+ inline$storm_IoCallDriver$9$label_30#1:
+ inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$9$label_31#1;
+
+ inline$storm_IoCallDriver$9$label_31#1:
+ goto inline$storm_IoCallDriver$9$label_32#1;
+
+ inline$storm_IoCallDriver$9$label_32#1:
+ goto inline$storm_IoCallDriver$9$label_33#1;
+
+ inline$storm_IoCallDriver$9$label_33#1:
+ goto inline$CallCompletionRoutine$18$Entry#1;
+
+ inline$CallCompletionRoutine$18$Entry#1:
+ inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$18$start#1;
+
+ inline$CallCompletionRoutine$18$start#1:
+ inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$18$label_3#1;
+
+ inline$CallCompletionRoutine$18$label_3#1:
+ goto inline$CallCompletionRoutine$18$label_4#1;
+
+ inline$CallCompletionRoutine$18$label_4#1:
+ goto inline$CallCompletionRoutine$18$label_5#1;
+
+ inline$CallCompletionRoutine$18$label_5#1:
+ goto inline$CallCompletionRoutine$18$label_6#1;
+
+ inline$CallCompletionRoutine$18$label_6#1:
+ goto inline$CallCompletionRoutine$18$label_7#1;
+
+ inline$CallCompletionRoutine$18$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$95$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$95$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$start#1:
+ inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$95$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$95$label_3_true#1, inline$IoGetCurrentIrpStackLocation$95$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$95$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$95$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$95$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$95$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$95$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$95$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$95$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$95$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$95$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$95$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$95$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$95$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$95$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$95$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$95$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$95$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$95$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$95$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$95$Return#1:
+ goto inline$CallCompletionRoutine$18$label_7$1#1;
+
+ inline$CallCompletionRoutine$18$label_7$1#1:
+ goto inline$CallCompletionRoutine$18$anon10_Then#1, inline$CallCompletionRoutine$18$anon10_Else#1;
+
+ inline$CallCompletionRoutine$18$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$18$anon1#1;
+
+ inline$CallCompletionRoutine$18$anon1#1:
+ goto inline$CallCompletionRoutine$18$label_10#1;
+
+ inline$CallCompletionRoutine$18$label_10#1:
+ goto inline$CallCompletionRoutine$18$label_11#1;
+
+ inline$CallCompletionRoutine$18$label_11#1:
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$CallCompletionRoutine$18$label_12#1;
+
+ inline$CallCompletionRoutine$18$label_12#1:
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$CallCompletionRoutine$18$label_13#1;
+
+ inline$CallCompletionRoutine$18$label_13#1:
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$CallCompletionRoutine$18$label_14#1;
+
+ inline$CallCompletionRoutine$18$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$96$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$96$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$start#1:
+ inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$96$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$96$label_3_true#1, inline$IoGetCurrentIrpStackLocation$96$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$96$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$96$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$96$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$96$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$96$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$96$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$96$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$96$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$96$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$96$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$96$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$96$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$96$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$96$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$96$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$96$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$96$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$96$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$96$Return#1:
+ goto inline$CallCompletionRoutine$18$label_14$1#1;
+
+ inline$CallCompletionRoutine$18$label_14$1#1:
+ goto inline$CallCompletionRoutine$18$anon11_Then#1, inline$CallCompletionRoutine$18$anon11_Else#1;
+
+ inline$CallCompletionRoutine$18$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$18$anon3#1;
+
+ inline$CallCompletionRoutine$18$anon3#1:
+ goto inline$CallCompletionRoutine$18$label_17#1;
+
+ inline$CallCompletionRoutine$18$label_17#1:
+ goto inline$CallCompletionRoutine$18$label_18#1;
+
+ inline$CallCompletionRoutine$18$label_18#1:
+ goto inline$CallCompletionRoutine$18$label_18_true#1, inline$CallCompletionRoutine$18$label_18_false#1;
+
+ inline$CallCompletionRoutine$18$label_18_false#1:
+ assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$18$label_1#1;
+
+ inline$CallCompletionRoutine$18$label_18_true#1:
+ assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$18$label_19#1;
+
+ inline$CallCompletionRoutine$18$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$18$label_20#1;
+
+ inline$CallCompletionRoutine$18$label_20#1:
+ goto inline$CallCompletionRoutine$18$label_20_icall_1#1, inline$CallCompletionRoutine$18$label_20_icall_2#1, inline$CallCompletionRoutine$18$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$18$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$18$Entry#1:
+ inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$18$start#1;
+
+ inline$BDLSystemPowerIoCompletion$18$start#1:
+ call inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$18$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_4#1:
+ inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_6#1:
+ inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$18$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$98$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$98$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$start#1:
+ inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$98$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$98$label_3_true#1, inline$IoGetCurrentIrpStackLocation$98$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$98$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$98$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$98$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$98$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$98$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$98$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$98$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$98$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$98$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$98$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$98$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$98$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$98$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$98$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$98$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$98$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$98$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$98$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$98$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon36_Then#1, inline$BDLSystemPowerIoCompletion$18$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_15#1:
+ goto inline$BDLGetDebugLevel$490$Entry#1;
+
+ inline$BDLGetDebugLevel$490$Entry#1:
+ goto inline$BDLGetDebugLevel$490$start#1;
+
+ inline$BDLGetDebugLevel$490$start#1:
+ goto inline$BDLGetDebugLevel$490$label_3#1;
+
+ inline$BDLGetDebugLevel$490$label_3#1:
+ havoc inline$BDLGetDebugLevel$490$myNondetVar_0;
+ inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$490$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$490$label_1#1;
+
+ inline$BDLGetDebugLevel$490$label_1#1:
+ goto inline$BDLGetDebugLevel$490$Return#1;
+
+ inline$BDLGetDebugLevel$490$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$490$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon37_Then#1, inline$BDLSystemPowerIoCompletion$18$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_18_true#1, inline$BDLSystemPowerIoCompletion$18$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_19#1:
+ goto inline$BDLGetDebugLevel$491$Entry#1;
+
+ inline$BDLGetDebugLevel$491$Entry#1:
+ goto inline$BDLGetDebugLevel$491$start#1;
+
+ inline$BDLGetDebugLevel$491$start#1:
+ goto inline$BDLGetDebugLevel$491$label_3#1;
+
+ inline$BDLGetDebugLevel$491$label_3#1:
+ havoc inline$BDLGetDebugLevel$491$myNondetVar_0;
+ inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$491$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$491$label_1#1;
+
+ inline$BDLGetDebugLevel$491$label_1#1:
+ goto inline$BDLGetDebugLevel$491$Return#1;
+
+ inline$BDLGetDebugLevel$491$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$491$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon38_Then#1, inline$BDLSystemPowerIoCompletion$18$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_28_true#1, inline$BDLSystemPowerIoCompletion$18$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_29#1:
+ goto inline$BDLGetDebugLevel$492$Entry#1;
+
+ inline$BDLGetDebugLevel$492$Entry#1:
+ goto inline$BDLGetDebugLevel$492$start#1;
+
+ inline$BDLGetDebugLevel$492$start#1:
+ goto inline$BDLGetDebugLevel$492$label_3#1;
+
+ inline$BDLGetDebugLevel$492$label_3#1:
+ havoc inline$BDLGetDebugLevel$492$myNondetVar_0;
+ inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$492$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$492$label_1#1;
+
+ inline$BDLGetDebugLevel$492$label_1#1:
+ goto inline$BDLGetDebugLevel$492$Return#1;
+
+ inline$BDLGetDebugLevel$492$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$492$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon39_Then#1, inline$BDLSystemPowerIoCompletion$18$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_38_true#1, inline$BDLSystemPowerIoCompletion$18$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_39_true#1, inline$BDLSystemPowerIoCompletion$18$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$18$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$18$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$18$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$18$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$18$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_106_true#1, inline$BDLSystemPowerIoCompletion$18$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$18$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$18$myNondetVar_0, inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$18$anon50_Then#1, inline$BDLSystemPowerIoCompletion$18$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_112#1:
+ inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_113_true#1, inline$BDLSystemPowerIoCompletion$18$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$18$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_117#1:
+ goto inline$BDLGetDebugLevel$499$Entry#1;
+
+ inline$BDLGetDebugLevel$499$Entry#1:
+ goto inline$BDLGetDebugLevel$499$start#1;
+
+ inline$BDLGetDebugLevel$499$start#1:
+ goto inline$BDLGetDebugLevel$499$label_3#1;
+
+ inline$BDLGetDebugLevel$499$label_3#1:
+ havoc inline$BDLGetDebugLevel$499$myNondetVar_0;
+ inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$499$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$499$label_1#1;
+
+ inline$BDLGetDebugLevel$499$label_1#1:
+ goto inline$BDLGetDebugLevel$499$Return#1;
+
+ inline$BDLGetDebugLevel$499$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$499$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon51_Then#1, inline$BDLSystemPowerIoCompletion$18$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_120_true#1, inline$BDLSystemPowerIoCompletion$18$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_121#1:
+ goto inline$BDLGetDebugLevel$500$Entry#1;
+
+ inline$BDLGetDebugLevel$500$Entry#1:
+ goto inline$BDLGetDebugLevel$500$start#1;
+
+ inline$BDLGetDebugLevel$500$start#1:
+ goto inline$BDLGetDebugLevel$500$label_3#1;
+
+ inline$BDLGetDebugLevel$500$label_3#1:
+ havoc inline$BDLGetDebugLevel$500$myNondetVar_0;
+ inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$500$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$500$label_1#1;
+
+ inline$BDLGetDebugLevel$500$label_1#1:
+ goto inline$BDLGetDebugLevel$500$Return#1;
+
+ inline$BDLGetDebugLevel$500$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$500$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon52_Then#1, inline$BDLSystemPowerIoCompletion$18$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_130_true#1, inline$BDLSystemPowerIoCompletion$18$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_131#1:
+ goto inline$BDLGetDebugLevel$501$Entry#1;
+
+ inline$BDLGetDebugLevel$501$Entry#1:
+ goto inline$BDLGetDebugLevel$501$start#1;
+
+ inline$BDLGetDebugLevel$501$start#1:
+ goto inline$BDLGetDebugLevel$501$label_3#1;
+
+ inline$BDLGetDebugLevel$501$label_3#1:
+ havoc inline$BDLGetDebugLevel$501$myNondetVar_0;
+ inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$501$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$501$label_1#1;
+
+ inline$BDLGetDebugLevel$501$label_1#1:
+ goto inline$BDLGetDebugLevel$501$Return#1;
+
+ inline$BDLGetDebugLevel$501$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$501$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon53_Then#1, inline$BDLSystemPowerIoCompletion$18$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_140_true#1, inline$BDLSystemPowerIoCompletion$18$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$18$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_115#1:
+ inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$18$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$18$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$18$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_43#1:
+ goto inline$BDLGetDebugLevel$493$Entry#1;
+
+ inline$BDLGetDebugLevel$493$Entry#1:
+ goto inline$BDLGetDebugLevel$493$start#1;
+
+ inline$BDLGetDebugLevel$493$start#1:
+ goto inline$BDLGetDebugLevel$493$label_3#1;
+
+ inline$BDLGetDebugLevel$493$label_3#1:
+ havoc inline$BDLGetDebugLevel$493$myNondetVar_0;
+ inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$493$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$493$label_1#1;
+
+ inline$BDLGetDebugLevel$493$label_1#1:
+ goto inline$BDLGetDebugLevel$493$Return#1;
+
+ inline$BDLGetDebugLevel$493$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$493$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon40_Then#1, inline$BDLSystemPowerIoCompletion$18$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_46_true#1, inline$BDLSystemPowerIoCompletion$18$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_47#1:
+ goto inline$BDLGetDebugLevel$494$Entry#1;
+
+ inline$BDLGetDebugLevel$494$Entry#1:
+ goto inline$BDLGetDebugLevel$494$start#1;
+
+ inline$BDLGetDebugLevel$494$start#1:
+ goto inline$BDLGetDebugLevel$494$label_3#1;
+
+ inline$BDLGetDebugLevel$494$label_3#1:
+ havoc inline$BDLGetDebugLevel$494$myNondetVar_0;
+ inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$494$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$494$label_1#1;
+
+ inline$BDLGetDebugLevel$494$label_1#1:
+ goto inline$BDLGetDebugLevel$494$Return#1;
+
+ inline$BDLGetDebugLevel$494$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$494$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon41_Then#1, inline$BDLSystemPowerIoCompletion$18$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_56_true#1, inline$BDLSystemPowerIoCompletion$18$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_57#1:
+ goto inline$BDLGetDebugLevel$495$Entry#1;
+
+ inline$BDLGetDebugLevel$495$Entry#1:
+ goto inline$BDLGetDebugLevel$495$start#1;
+
+ inline$BDLGetDebugLevel$495$start#1:
+ goto inline$BDLGetDebugLevel$495$label_3#1;
+
+ inline$BDLGetDebugLevel$495$label_3#1:
+ havoc inline$BDLGetDebugLevel$495$myNondetVar_0;
+ inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$495$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$495$label_1#1;
+
+ inline$BDLGetDebugLevel$495$label_1#1:
+ goto inline$BDLGetDebugLevel$495$Return#1;
+
+ inline$BDLGetDebugLevel$495$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$495$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon42_Then#1, inline$BDLSystemPowerIoCompletion$18$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_66_true#1, inline$BDLSystemPowerIoCompletion$18$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$18$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$18$anon43_Then#1, inline$BDLSystemPowerIoCompletion$18$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$18$anon44_Then#1, inline$BDLSystemPowerIoCompletion$18$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_74#1:
+ goto inline$storm_IoCompleteRequest$38$Entry#1;
+
+ inline$storm_IoCompleteRequest$38$Entry#1:
+ inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$18$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$38$start#1;
+
+ inline$storm_IoCompleteRequest$38$start#1:
+ inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$38$label_3#1;
+
+ inline$storm_IoCompleteRequest$38$label_3#1:
+ call inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$38$label_6#1;
+
+ inline$storm_IoCompleteRequest$38$label_6#1:
+ goto inline$storm_IoCompleteRequest$38$label_6_true#1, inline$storm_IoCompleteRequest$38$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$38$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$38$label_7#1;
+
+ inline$storm_IoCompleteRequest$38$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$38$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$38$label_8#1;
+
+ inline$storm_IoCompleteRequest$38$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$38$anon3_Then#1, inline$storm_IoCompleteRequest$38$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$38$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$38$anon1#1;
+
+ inline$storm_IoCompleteRequest$38$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$38$label_9#1;
+
+ inline$storm_IoCompleteRequest$38$label_9#1:
+ goto inline$storm_IoCompleteRequest$38$label_9_true#1, inline$storm_IoCompleteRequest$38$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$38$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$38$label_10#1;
+
+ inline$storm_IoCompleteRequest$38$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$38$label_1#1;
+
+ inline$storm_IoCompleteRequest$38$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$38$label_7#1;
+
+ inline$storm_IoCompleteRequest$38$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$38$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$38$label_1#1;
+
+ inline$storm_IoCompleteRequest$38$label_1#1:
+ goto inline$storm_IoCompleteRequest$38$Return#1;
+
+ inline$storm_IoCompleteRequest$38$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$38$Return#1;
+
+ inline$storm_IoCompleteRequest$38$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon45_Then#1, inline$BDLSystemPowerIoCompletion$18$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$18$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$18$anon46_Then#1, inline$BDLSystemPowerIoCompletion$18$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_80#1:
+ goto inline$BDLGetDebugLevel$496$Entry#1;
+
+ inline$BDLGetDebugLevel$496$Entry#1:
+ goto inline$BDLGetDebugLevel$496$start#1;
+
+ inline$BDLGetDebugLevel$496$start#1:
+ goto inline$BDLGetDebugLevel$496$label_3#1;
+
+ inline$BDLGetDebugLevel$496$label_3#1:
+ havoc inline$BDLGetDebugLevel$496$myNondetVar_0;
+ inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$496$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$496$label_1#1;
+
+ inline$BDLGetDebugLevel$496$label_1#1:
+ goto inline$BDLGetDebugLevel$496$Return#1;
+
+ inline$BDLGetDebugLevel$496$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$496$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon47_Then#1, inline$BDLSystemPowerIoCompletion$18$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_83_true#1, inline$BDLSystemPowerIoCompletion$18$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_84#1:
+ goto inline$BDLGetDebugLevel$497$Entry#1;
+
+ inline$BDLGetDebugLevel$497$Entry#1:
+ goto inline$BDLGetDebugLevel$497$start#1;
+
+ inline$BDLGetDebugLevel$497$start#1:
+ goto inline$BDLGetDebugLevel$497$label_3#1;
+
+ inline$BDLGetDebugLevel$497$label_3#1:
+ havoc inline$BDLGetDebugLevel$497$myNondetVar_0;
+ inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$497$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$497$label_1#1;
+
+ inline$BDLGetDebugLevel$497$label_1#1:
+ goto inline$BDLGetDebugLevel$497$Return#1;
+
+ inline$BDLGetDebugLevel$497$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$497$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon48_Then#1, inline$BDLSystemPowerIoCompletion$18$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_93_true#1, inline$BDLSystemPowerIoCompletion$18$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$18$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$18$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_94#1:
+ goto inline$BDLGetDebugLevel$498$Entry#1;
+
+ inline$BDLGetDebugLevel$498$Entry#1:
+ goto inline$BDLGetDebugLevel$498$start#1;
+
+ inline$BDLGetDebugLevel$498$start#1:
+ goto inline$BDLGetDebugLevel$498$label_3#1;
+
+ inline$BDLGetDebugLevel$498$label_3#1:
+ havoc inline$BDLGetDebugLevel$498$myNondetVar_0;
+ inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$498$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$498$label_1#1;
+
+ inline$BDLGetDebugLevel$498$label_1#1:
+ goto inline$BDLGetDebugLevel$498$Return#1;
+
+ inline$BDLGetDebugLevel$498$Return#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$498$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$18$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$18$anon49_Then#1, inline$BDLSystemPowerIoCompletion$18$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_103_true#1, inline$BDLSystemPowerIoCompletion$18$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$18$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$18$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$18$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_104#1:
+ inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$18$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$18$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$18$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$18$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$18$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$18$Return#1:
+ inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$18$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$18$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$18$anon14_Then#1, inline$CallCompletionRoutine$18$anon14_Else#1;
+
+ inline$CallCompletionRoutine$18$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$18$anon9#1;
+
+ inline$CallCompletionRoutine$18$anon9#1:
+ goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$18$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$18$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$18$Entry#1:
+ inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$18$start#1;
+
+ inline$BDLDevicePowerIoCompletion$18$start#1:
+ call inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$18$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_4#1:
+ inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_6#1:
+ inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$18$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$97$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$97$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$start#1:
+ inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$97$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$97$label_3_true#1, inline$IoGetCurrentIrpStackLocation$97$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$97$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$97$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$97$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$97$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$97$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$97$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$97$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$97$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$97$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$97$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$97$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$97$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$97$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$97$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$97$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$97$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$97$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$97$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$97$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$97$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon30_Then#1, inline$BDLDevicePowerIoCompletion$18$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_13#1:
+ inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_17#1:
+ goto inline$BDLGetDebugLevel$481$Entry#1;
+
+ inline$BDLGetDebugLevel$481$Entry#1:
+ goto inline$BDLGetDebugLevel$481$start#1;
+
+ inline$BDLGetDebugLevel$481$start#1:
+ goto inline$BDLGetDebugLevel$481$label_3#1;
+
+ inline$BDLGetDebugLevel$481$label_3#1:
+ havoc inline$BDLGetDebugLevel$481$myNondetVar_0;
+ inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$481$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$481$label_1#1;
+
+ inline$BDLGetDebugLevel$481$label_1#1:
+ goto inline$BDLGetDebugLevel$481$Return#1;
+
+ inline$BDLGetDebugLevel$481$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$481$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon31_Then#1, inline$BDLDevicePowerIoCompletion$18$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_20_true#1, inline$BDLDevicePowerIoCompletion$18$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_21#1:
+ goto inline$BDLGetDebugLevel$482$Entry#1;
+
+ inline$BDLGetDebugLevel$482$Entry#1:
+ goto inline$BDLGetDebugLevel$482$start#1;
+
+ inline$BDLGetDebugLevel$482$start#1:
+ goto inline$BDLGetDebugLevel$482$label_3#1;
+
+ inline$BDLGetDebugLevel$482$label_3#1:
+ havoc inline$BDLGetDebugLevel$482$myNondetVar_0;
+ inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$482$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$482$label_1#1;
+
+ inline$BDLGetDebugLevel$482$label_1#1:
+ goto inline$BDLGetDebugLevel$482$Return#1;
+
+ inline$BDLGetDebugLevel$482$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$482$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon32_Then#1, inline$BDLDevicePowerIoCompletion$18$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_30_true#1, inline$BDLDevicePowerIoCompletion$18$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_31#1:
+ goto inline$BDLGetDebugLevel$483$Entry#1;
+
+ inline$BDLGetDebugLevel$483$Entry#1:
+ goto inline$BDLGetDebugLevel$483$start#1;
+
+ inline$BDLGetDebugLevel$483$start#1:
+ goto inline$BDLGetDebugLevel$483$label_3#1;
+
+ inline$BDLGetDebugLevel$483$label_3#1:
+ havoc inline$BDLGetDebugLevel$483$myNondetVar_0;
+ inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$483$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$483$label_1#1;
+
+ inline$BDLGetDebugLevel$483$label_1#1:
+ goto inline$BDLGetDebugLevel$483$Return#1;
+
+ inline$BDLGetDebugLevel$483$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$483$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon33_Then#1, inline$BDLDevicePowerIoCompletion$18$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_40_true#1, inline$BDLDevicePowerIoCompletion$18$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_41_true#1, inline$BDLDevicePowerIoCompletion$18$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$18$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$18$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_44_true#1, inline$BDLDevicePowerIoCompletion$18$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_45_true#1, inline$BDLDevicePowerIoCompletion$18$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$18$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$18$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$18$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_54#1:
+ inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$18$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_55_true#1, inline$BDLDevicePowerIoCompletion$18$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$18$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$18$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$18$anon34_Then#1, inline$BDLDevicePowerIoCompletion$18$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_59#1:
+ goto inline$BDLGetDebugLevel$484$Entry#1;
+
+ inline$BDLGetDebugLevel$484$Entry#1:
+ goto inline$BDLGetDebugLevel$484$start#1;
+
+ inline$BDLGetDebugLevel$484$start#1:
+ goto inline$BDLGetDebugLevel$484$label_3#1;
+
+ inline$BDLGetDebugLevel$484$label_3#1:
+ havoc inline$BDLGetDebugLevel$484$myNondetVar_0;
+ inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$484$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$484$label_1#1;
+
+ inline$BDLGetDebugLevel$484$label_1#1:
+ goto inline$BDLGetDebugLevel$484$Return#1;
+
+ inline$BDLGetDebugLevel$484$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$484$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon35_Then#1, inline$BDLDevicePowerIoCompletion$18$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_62_true#1, inline$BDLDevicePowerIoCompletion$18$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_63#1:
+ goto inline$BDLGetDebugLevel$485$Entry#1;
+
+ inline$BDLGetDebugLevel$485$Entry#1:
+ goto inline$BDLGetDebugLevel$485$start#1;
+
+ inline$BDLGetDebugLevel$485$start#1:
+ goto inline$BDLGetDebugLevel$485$label_3#1;
+
+ inline$BDLGetDebugLevel$485$label_3#1:
+ havoc inline$BDLGetDebugLevel$485$myNondetVar_0;
+ inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$485$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$485$label_1#1;
+
+ inline$BDLGetDebugLevel$485$label_1#1:
+ goto inline$BDLGetDebugLevel$485$Return#1;
+
+ inline$BDLGetDebugLevel$485$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$485$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon36_Then#1, inline$BDLDevicePowerIoCompletion$18$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_72_true#1, inline$BDLDevicePowerIoCompletion$18$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_73#1:
+ goto inline$BDLGetDebugLevel$486$Entry#1;
+
+ inline$BDLGetDebugLevel$486$Entry#1:
+ goto inline$BDLGetDebugLevel$486$start#1;
+
+ inline$BDLGetDebugLevel$486$start#1:
+ goto inline$BDLGetDebugLevel$486$label_3#1;
+
+ inline$BDLGetDebugLevel$486$label_3#1:
+ havoc inline$BDLGetDebugLevel$486$myNondetVar_0;
+ inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$486$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$486$label_1#1;
+
+ inline$BDLGetDebugLevel$486$label_1#1:
+ goto inline$BDLGetDebugLevel$486$Return#1;
+
+ inline$BDLGetDebugLevel$486$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$486$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon37_Then#1, inline$BDLDevicePowerIoCompletion$18$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_82_true#1, inline$BDLDevicePowerIoCompletion$18$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$18$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$18$anon38_Then#1, inline$BDLDevicePowerIoCompletion$18$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$18$anon39_Then#1, inline$BDLDevicePowerIoCompletion$18$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_92#1:
+ goto inline$storm_IoCompleteRequest$37$Entry#1;
+
+ inline$storm_IoCompleteRequest$37$Entry#1:
+ inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$18$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$37$start#1;
+
+ inline$storm_IoCompleteRequest$37$start#1:
+ inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$37$label_3#1;
+
+ inline$storm_IoCompleteRequest$37$label_3#1:
+ call inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$37$label_6#1;
+
+ inline$storm_IoCompleteRequest$37$label_6#1:
+ goto inline$storm_IoCompleteRequest$37$label_6_true#1, inline$storm_IoCompleteRequest$37$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$37$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$37$label_7#1;
+
+ inline$storm_IoCompleteRequest$37$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$37$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$37$label_8#1;
+
+ inline$storm_IoCompleteRequest$37$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$37$anon3_Then#1, inline$storm_IoCompleteRequest$37$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$37$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$37$anon1#1;
+
+ inline$storm_IoCompleteRequest$37$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$37$label_9#1;
+
+ inline$storm_IoCompleteRequest$37$label_9#1:
+ goto inline$storm_IoCompleteRequest$37$label_9_true#1, inline$storm_IoCompleteRequest$37$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$37$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$37$label_10#1;
+
+ inline$storm_IoCompleteRequest$37$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$37$label_1#1;
+
+ inline$storm_IoCompleteRequest$37$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$37$label_7#1;
+
+ inline$storm_IoCompleteRequest$37$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$37$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$37$label_1#1;
+
+ inline$storm_IoCompleteRequest$37$label_1#1:
+ goto inline$storm_IoCompleteRequest$37$Return#1;
+
+ inline$storm_IoCompleteRequest$37$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$37$Return#1;
+
+ inline$storm_IoCompleteRequest$37$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon40_Then#1, inline$BDLDevicePowerIoCompletion$18$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$18$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$18$anon41_Then#1, inline$BDLDevicePowerIoCompletion$18$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_98#1:
+ goto inline$BDLGetDebugLevel$487$Entry#1;
+
+ inline$BDLGetDebugLevel$487$Entry#1:
+ goto inline$BDLGetDebugLevel$487$start#1;
+
+ inline$BDLGetDebugLevel$487$start#1:
+ goto inline$BDLGetDebugLevel$487$label_3#1;
+
+ inline$BDLGetDebugLevel$487$label_3#1:
+ havoc inline$BDLGetDebugLevel$487$myNondetVar_0;
+ inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$487$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$487$label_1#1;
+
+ inline$BDLGetDebugLevel$487$label_1#1:
+ goto inline$BDLGetDebugLevel$487$Return#1;
+
+ inline$BDLGetDebugLevel$487$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$487$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon42_Then#1, inline$BDLDevicePowerIoCompletion$18$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_101_true#1, inline$BDLDevicePowerIoCompletion$18$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_102#1:
+ goto inline$BDLGetDebugLevel$488$Entry#1;
+
+ inline$BDLGetDebugLevel$488$Entry#1:
+ goto inline$BDLGetDebugLevel$488$start#1;
+
+ inline$BDLGetDebugLevel$488$start#1:
+ goto inline$BDLGetDebugLevel$488$label_3#1;
+
+ inline$BDLGetDebugLevel$488$label_3#1:
+ havoc inline$BDLGetDebugLevel$488$myNondetVar_0;
+ inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$488$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$488$label_1#1;
+
+ inline$BDLGetDebugLevel$488$label_1#1:
+ goto inline$BDLGetDebugLevel$488$Return#1;
+
+ inline$BDLGetDebugLevel$488$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$488$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon43_Then#1, inline$BDLDevicePowerIoCompletion$18$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_111_true#1, inline$BDLDevicePowerIoCompletion$18$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$18$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$18$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_112#1:
+ goto inline$BDLGetDebugLevel$489$Entry#1;
+
+ inline$BDLGetDebugLevel$489$Entry#1:
+ goto inline$BDLGetDebugLevel$489$start#1;
+
+ inline$BDLGetDebugLevel$489$start#1:
+ goto inline$BDLGetDebugLevel$489$label_3#1;
+
+ inline$BDLGetDebugLevel$489$label_3#1:
+ havoc inline$BDLGetDebugLevel$489$myNondetVar_0;
+ inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$489$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$489$label_1#1;
+
+ inline$BDLGetDebugLevel$489$label_1#1:
+ goto inline$BDLGetDebugLevel$489$Return#1;
+
+ inline$BDLGetDebugLevel$489$Return#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$489$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$18$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$18$anon44_Then#1, inline$BDLDevicePowerIoCompletion$18$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_121_true#1, inline$BDLDevicePowerIoCompletion$18$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$18$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$18$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$18$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_122#1:
+ inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$18$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$18$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$18$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$18$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$18$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$18$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$18$Return#1:
+ inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$18$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$18$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$18$anon13_Then#1, inline$CallCompletionRoutine$18$anon13_Else#1;
+
+ inline$CallCompletionRoutine$18$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$18$anon7#1;
+
+ inline$CallCompletionRoutine$18$anon7#1:
+ goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$18$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$18$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$18$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$18$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$18$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$18$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$start#1:
+ inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$18$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$label_3_true#1, inline$BDLCallDriverCompletionRoutine$18$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$18$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$18$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$18$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_6#1:
+ goto inline$storm_KeSetEvent$20$Entry#1;
+
+ inline$storm_KeSetEvent$20$Entry#1:
+ inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$18$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$20$start#1;
+
+ inline$storm_KeSetEvent$20$start#1:
+ inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$20$label_3#1;
+
+ inline$storm_KeSetEvent$20$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$20$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$20$label_1#1;
+
+ inline$storm_KeSetEvent$20$label_1#1:
+ goto inline$storm_KeSetEvent$20$Return#1;
+
+ inline$storm_KeSetEvent$20$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$18$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$18$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$18$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$18$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$18$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$18$Return#1:
+ inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$18$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$18$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$18$anon12_Then#1, inline$CallCompletionRoutine$18$anon12_Else#1;
+
+ inline$CallCompletionRoutine$18$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$18$anon5#1;
+
+ inline$CallCompletionRoutine$18$anon5#1:
+ goto inline$CallCompletionRoutine$18$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$18$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$18$label_23#1;
+
+ inline$CallCompletionRoutine$18$label_23#1:
+ inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$18$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$18$label_24#1;
+
+ inline$CallCompletionRoutine$18$label_24#1:
+ goto inline$CallCompletionRoutine$18$label_24_true#1, inline$CallCompletionRoutine$18$label_24_false#1;
+
+ inline$CallCompletionRoutine$18$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$18$label_1#1;
+
+ inline$CallCompletionRoutine$18$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$18$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$18$label_25#1;
+
+ inline$CallCompletionRoutine$18$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$18$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$18$label_1#1;
+
+ inline$CallCompletionRoutine$18$label_1#1:
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$18$Return#1;
+
+ inline$CallCompletionRoutine$18$Return#1:
+ goto inline$storm_IoCallDriver$9$label_33$1#1;
+
+ inline$storm_IoCallDriver$9$label_33$1#1:
+ goto inline$storm_IoCallDriver$9$anon14_Then#1, inline$storm_IoCallDriver$9$anon14_Else#1;
+
+ inline$storm_IoCallDriver$9$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$9$anon8#1;
+
+ inline$storm_IoCallDriver$9$anon8#1:
+ goto inline$storm_IoCallDriver$9$label_36#1;
+
+ inline$storm_IoCallDriver$9$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$9$label_29#1;
+
+ inline$storm_IoCallDriver$9$label_29#1:
+ inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$9$label_37#1;
+
+ inline$storm_IoCallDriver$9$label_37#1:
+ goto inline$storm_IoCallDriver$9$label_38#1;
+
+ inline$storm_IoCallDriver$9$label_38#1:
+ goto inline$storm_IoCallDriver$9$label_39#1;
+
+ inline$storm_IoCallDriver$9$label_39#1:
+ goto inline$CallCompletionRoutine$19$Entry#1;
+
+ inline$CallCompletionRoutine$19$Entry#1:
+ inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$19$start#1;
+
+ inline$CallCompletionRoutine$19$start#1:
+ inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$19$label_3#1;
+
+ inline$CallCompletionRoutine$19$label_3#1:
+ goto inline$CallCompletionRoutine$19$label_4#1;
+
+ inline$CallCompletionRoutine$19$label_4#1:
+ goto inline$CallCompletionRoutine$19$label_5#1;
+
+ inline$CallCompletionRoutine$19$label_5#1:
+ goto inline$CallCompletionRoutine$19$label_6#1;
+
+ inline$CallCompletionRoutine$19$label_6#1:
+ goto inline$CallCompletionRoutine$19$label_7#1;
+
+ inline$CallCompletionRoutine$19$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$99$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$99$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$start#1:
+ inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$99$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$99$label_3_true#1, inline$IoGetCurrentIrpStackLocation$99$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$99$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$99$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$99$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$99$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$99$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$99$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$99$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$99$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$99$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$99$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$99$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$99$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$99$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$99$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$99$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$99$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$99$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$99$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$99$Return#1:
+ goto inline$CallCompletionRoutine$19$label_7$1#1;
+
+ inline$CallCompletionRoutine$19$label_7$1#1:
+ goto inline$CallCompletionRoutine$19$anon10_Then#1, inline$CallCompletionRoutine$19$anon10_Else#1;
+
+ inline$CallCompletionRoutine$19$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$19$anon1#1;
+
+ inline$CallCompletionRoutine$19$anon1#1:
+ goto inline$CallCompletionRoutine$19$label_10#1;
+
+ inline$CallCompletionRoutine$19$label_10#1:
+ goto inline$CallCompletionRoutine$19$label_11#1;
+
+ inline$CallCompletionRoutine$19$label_11#1:
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$CallCompletionRoutine$19$label_12#1;
+
+ inline$CallCompletionRoutine$19$label_12#1:
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$CallCompletionRoutine$19$label_13#1;
+
+ inline$CallCompletionRoutine$19$label_13#1:
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$CallCompletionRoutine$19$label_14#1;
+
+ inline$CallCompletionRoutine$19$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$100$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$100$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$start#1:
+ inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$100$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$100$label_3_true#1, inline$IoGetCurrentIrpStackLocation$100$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$100$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$100$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$100$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$100$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$100$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$100$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$100$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$100$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$100$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$100$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$100$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$100$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$100$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$100$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$100$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$100$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$100$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$100$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$100$Return#1:
+ goto inline$CallCompletionRoutine$19$label_14$1#1;
+
+ inline$CallCompletionRoutine$19$label_14$1#1:
+ goto inline$CallCompletionRoutine$19$anon11_Then#1, inline$CallCompletionRoutine$19$anon11_Else#1;
+
+ inline$CallCompletionRoutine$19$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$19$anon3#1;
+
+ inline$CallCompletionRoutine$19$anon3#1:
+ goto inline$CallCompletionRoutine$19$label_17#1;
+
+ inline$CallCompletionRoutine$19$label_17#1:
+ goto inline$CallCompletionRoutine$19$label_18#1;
+
+ inline$CallCompletionRoutine$19$label_18#1:
+ goto inline$CallCompletionRoutine$19$label_18_true#1, inline$CallCompletionRoutine$19$label_18_false#1;
+
+ inline$CallCompletionRoutine$19$label_18_false#1:
+ assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$19$label_1#1;
+
+ inline$CallCompletionRoutine$19$label_18_true#1:
+ assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$19$label_19#1;
+
+ inline$CallCompletionRoutine$19$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$19$label_20#1;
+
+ inline$CallCompletionRoutine$19$label_20#1:
+ goto inline$CallCompletionRoutine$19$label_20_icall_1#1, inline$CallCompletionRoutine$19$label_20_icall_2#1, inline$CallCompletionRoutine$19$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$19$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$19$Entry#1:
+ inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$19$start#1;
+
+ inline$BDLSystemPowerIoCompletion$19$start#1:
+ call inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$19$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_4#1:
+ inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_6#1:
+ inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$19$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$102$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$102$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$start#1:
+ inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$102$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$102$label_3_true#1, inline$IoGetCurrentIrpStackLocation$102$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$102$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$102$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$102$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$102$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$102$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$102$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$102$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$102$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$102$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$102$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$102$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$102$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$102$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$102$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$102$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$102$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$102$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$102$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$102$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon36_Then#1, inline$BDLSystemPowerIoCompletion$19$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_15#1:
+ goto inline$BDLGetDebugLevel$511$Entry#1;
+
+ inline$BDLGetDebugLevel$511$Entry#1:
+ goto inline$BDLGetDebugLevel$511$start#1;
+
+ inline$BDLGetDebugLevel$511$start#1:
+ goto inline$BDLGetDebugLevel$511$label_3#1;
+
+ inline$BDLGetDebugLevel$511$label_3#1:
+ havoc inline$BDLGetDebugLevel$511$myNondetVar_0;
+ inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$511$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$511$label_1#1;
+
+ inline$BDLGetDebugLevel$511$label_1#1:
+ goto inline$BDLGetDebugLevel$511$Return#1;
+
+ inline$BDLGetDebugLevel$511$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$511$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon37_Then#1, inline$BDLSystemPowerIoCompletion$19$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_18_true#1, inline$BDLSystemPowerIoCompletion$19$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_19#1:
+ goto inline$BDLGetDebugLevel$512$Entry#1;
+
+ inline$BDLGetDebugLevel$512$Entry#1:
+ goto inline$BDLGetDebugLevel$512$start#1;
+
+ inline$BDLGetDebugLevel$512$start#1:
+ goto inline$BDLGetDebugLevel$512$label_3#1;
+
+ inline$BDLGetDebugLevel$512$label_3#1:
+ havoc inline$BDLGetDebugLevel$512$myNondetVar_0;
+ inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$512$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$512$label_1#1;
+
+ inline$BDLGetDebugLevel$512$label_1#1:
+ goto inline$BDLGetDebugLevel$512$Return#1;
+
+ inline$BDLGetDebugLevel$512$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$512$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon38_Then#1, inline$BDLSystemPowerIoCompletion$19$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_28_true#1, inline$BDLSystemPowerIoCompletion$19$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_29#1:
+ goto inline$BDLGetDebugLevel$513$Entry#1;
+
+ inline$BDLGetDebugLevel$513$Entry#1:
+ goto inline$BDLGetDebugLevel$513$start#1;
+
+ inline$BDLGetDebugLevel$513$start#1:
+ goto inline$BDLGetDebugLevel$513$label_3#1;
+
+ inline$BDLGetDebugLevel$513$label_3#1:
+ havoc inline$BDLGetDebugLevel$513$myNondetVar_0;
+ inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$513$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$513$label_1#1;
+
+ inline$BDLGetDebugLevel$513$label_1#1:
+ goto inline$BDLGetDebugLevel$513$Return#1;
+
+ inline$BDLGetDebugLevel$513$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$513$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon39_Then#1, inline$BDLSystemPowerIoCompletion$19$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_38_true#1, inline$BDLSystemPowerIoCompletion$19$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_39_true#1, inline$BDLSystemPowerIoCompletion$19$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$19$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$19$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$19$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$19$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$19$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_106_true#1, inline$BDLSystemPowerIoCompletion$19$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$19$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$19$myNondetVar_0, inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$19$anon50_Then#1, inline$BDLSystemPowerIoCompletion$19$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_112#1:
+ inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_113_true#1, inline$BDLSystemPowerIoCompletion$19$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$19$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_117#1:
+ goto inline$BDLGetDebugLevel$520$Entry#1;
+
+ inline$BDLGetDebugLevel$520$Entry#1:
+ goto inline$BDLGetDebugLevel$520$start#1;
+
+ inline$BDLGetDebugLevel$520$start#1:
+ goto inline$BDLGetDebugLevel$520$label_3#1;
+
+ inline$BDLGetDebugLevel$520$label_3#1:
+ havoc inline$BDLGetDebugLevel$520$myNondetVar_0;
+ inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$520$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$520$label_1#1;
+
+ inline$BDLGetDebugLevel$520$label_1#1:
+ goto inline$BDLGetDebugLevel$520$Return#1;
+
+ inline$BDLGetDebugLevel$520$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$520$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon51_Then#1, inline$BDLSystemPowerIoCompletion$19$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_120_true#1, inline$BDLSystemPowerIoCompletion$19$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_121#1:
+ goto inline$BDLGetDebugLevel$521$Entry#1;
+
+ inline$BDLGetDebugLevel$521$Entry#1:
+ goto inline$BDLGetDebugLevel$521$start#1;
+
+ inline$BDLGetDebugLevel$521$start#1:
+ goto inline$BDLGetDebugLevel$521$label_3#1;
+
+ inline$BDLGetDebugLevel$521$label_3#1:
+ havoc inline$BDLGetDebugLevel$521$myNondetVar_0;
+ inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$521$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$521$label_1#1;
+
+ inline$BDLGetDebugLevel$521$label_1#1:
+ goto inline$BDLGetDebugLevel$521$Return#1;
+
+ inline$BDLGetDebugLevel$521$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$521$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon52_Then#1, inline$BDLSystemPowerIoCompletion$19$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_130_true#1, inline$BDLSystemPowerIoCompletion$19$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_131#1:
+ goto inline$BDLGetDebugLevel$522$Entry#1;
+
+ inline$BDLGetDebugLevel$522$Entry#1:
+ goto inline$BDLGetDebugLevel$522$start#1;
+
+ inline$BDLGetDebugLevel$522$start#1:
+ goto inline$BDLGetDebugLevel$522$label_3#1;
+
+ inline$BDLGetDebugLevel$522$label_3#1:
+ havoc inline$BDLGetDebugLevel$522$myNondetVar_0;
+ inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$522$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$522$label_1#1;
+
+ inline$BDLGetDebugLevel$522$label_1#1:
+ goto inline$BDLGetDebugLevel$522$Return#1;
+
+ inline$BDLGetDebugLevel$522$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$522$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon53_Then#1, inline$BDLSystemPowerIoCompletion$19$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_140_true#1, inline$BDLSystemPowerIoCompletion$19$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$19$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_115#1:
+ inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$19$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$19$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$19$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_43#1:
+ goto inline$BDLGetDebugLevel$514$Entry#1;
+
+ inline$BDLGetDebugLevel$514$Entry#1:
+ goto inline$BDLGetDebugLevel$514$start#1;
+
+ inline$BDLGetDebugLevel$514$start#1:
+ goto inline$BDLGetDebugLevel$514$label_3#1;
+
+ inline$BDLGetDebugLevel$514$label_3#1:
+ havoc inline$BDLGetDebugLevel$514$myNondetVar_0;
+ inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$514$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$514$label_1#1;
+
+ inline$BDLGetDebugLevel$514$label_1#1:
+ goto inline$BDLGetDebugLevel$514$Return#1;
+
+ inline$BDLGetDebugLevel$514$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$514$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon40_Then#1, inline$BDLSystemPowerIoCompletion$19$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_46_true#1, inline$BDLSystemPowerIoCompletion$19$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_47#1:
+ goto inline$BDLGetDebugLevel$515$Entry#1;
+
+ inline$BDLGetDebugLevel$515$Entry#1:
+ goto inline$BDLGetDebugLevel$515$start#1;
+
+ inline$BDLGetDebugLevel$515$start#1:
+ goto inline$BDLGetDebugLevel$515$label_3#1;
+
+ inline$BDLGetDebugLevel$515$label_3#1:
+ havoc inline$BDLGetDebugLevel$515$myNondetVar_0;
+ inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$515$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$515$label_1#1;
+
+ inline$BDLGetDebugLevel$515$label_1#1:
+ goto inline$BDLGetDebugLevel$515$Return#1;
+
+ inline$BDLGetDebugLevel$515$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$515$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon41_Then#1, inline$BDLSystemPowerIoCompletion$19$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_56_true#1, inline$BDLSystemPowerIoCompletion$19$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_57#1:
+ goto inline$BDLGetDebugLevel$516$Entry#1;
+
+ inline$BDLGetDebugLevel$516$Entry#1:
+ goto inline$BDLGetDebugLevel$516$start#1;
+
+ inline$BDLGetDebugLevel$516$start#1:
+ goto inline$BDLGetDebugLevel$516$label_3#1;
+
+ inline$BDLGetDebugLevel$516$label_3#1:
+ havoc inline$BDLGetDebugLevel$516$myNondetVar_0;
+ inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$516$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$516$label_1#1;
+
+ inline$BDLGetDebugLevel$516$label_1#1:
+ goto inline$BDLGetDebugLevel$516$Return#1;
+
+ inline$BDLGetDebugLevel$516$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$516$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon42_Then#1, inline$BDLSystemPowerIoCompletion$19$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_66_true#1, inline$BDLSystemPowerIoCompletion$19$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$19$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$19$anon43_Then#1, inline$BDLSystemPowerIoCompletion$19$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$19$anon44_Then#1, inline$BDLSystemPowerIoCompletion$19$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_74#1:
+ goto inline$storm_IoCompleteRequest$40$Entry#1;
+
+ inline$storm_IoCompleteRequest$40$Entry#1:
+ inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$19$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$40$start#1;
+
+ inline$storm_IoCompleteRequest$40$start#1:
+ inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$40$label_3#1;
+
+ inline$storm_IoCompleteRequest$40$label_3#1:
+ call inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$40$label_6#1;
+
+ inline$storm_IoCompleteRequest$40$label_6#1:
+ goto inline$storm_IoCompleteRequest$40$label_6_true#1, inline$storm_IoCompleteRequest$40$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$40$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$40$label_7#1;
+
+ inline$storm_IoCompleteRequest$40$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$40$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$40$label_8#1;
+
+ inline$storm_IoCompleteRequest$40$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$40$anon3_Then#1, inline$storm_IoCompleteRequest$40$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$40$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$40$anon1#1;
+
+ inline$storm_IoCompleteRequest$40$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$40$label_9#1;
+
+ inline$storm_IoCompleteRequest$40$label_9#1:
+ goto inline$storm_IoCompleteRequest$40$label_9_true#1, inline$storm_IoCompleteRequest$40$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$40$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$40$label_10#1;
+
+ inline$storm_IoCompleteRequest$40$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$40$label_1#1;
+
+ inline$storm_IoCompleteRequest$40$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$40$label_7#1;
+
+ inline$storm_IoCompleteRequest$40$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$40$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$40$label_1#1;
+
+ inline$storm_IoCompleteRequest$40$label_1#1:
+ goto inline$storm_IoCompleteRequest$40$Return#1;
+
+ inline$storm_IoCompleteRequest$40$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$40$Return#1;
+
+ inline$storm_IoCompleteRequest$40$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon45_Then#1, inline$BDLSystemPowerIoCompletion$19$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$19$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$19$anon46_Then#1, inline$BDLSystemPowerIoCompletion$19$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_80#1:
+ goto inline$BDLGetDebugLevel$517$Entry#1;
+
+ inline$BDLGetDebugLevel$517$Entry#1:
+ goto inline$BDLGetDebugLevel$517$start#1;
+
+ inline$BDLGetDebugLevel$517$start#1:
+ goto inline$BDLGetDebugLevel$517$label_3#1;
+
+ inline$BDLGetDebugLevel$517$label_3#1:
+ havoc inline$BDLGetDebugLevel$517$myNondetVar_0;
+ inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$517$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$517$label_1#1;
+
+ inline$BDLGetDebugLevel$517$label_1#1:
+ goto inline$BDLGetDebugLevel$517$Return#1;
+
+ inline$BDLGetDebugLevel$517$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$517$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon47_Then#1, inline$BDLSystemPowerIoCompletion$19$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_83_true#1, inline$BDLSystemPowerIoCompletion$19$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_84#1:
+ goto inline$BDLGetDebugLevel$518$Entry#1;
+
+ inline$BDLGetDebugLevel$518$Entry#1:
+ goto inline$BDLGetDebugLevel$518$start#1;
+
+ inline$BDLGetDebugLevel$518$start#1:
+ goto inline$BDLGetDebugLevel$518$label_3#1;
+
+ inline$BDLGetDebugLevel$518$label_3#1:
+ havoc inline$BDLGetDebugLevel$518$myNondetVar_0;
+ inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$518$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$518$label_1#1;
+
+ inline$BDLGetDebugLevel$518$label_1#1:
+ goto inline$BDLGetDebugLevel$518$Return#1;
+
+ inline$BDLGetDebugLevel$518$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$518$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon48_Then#1, inline$BDLSystemPowerIoCompletion$19$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_93_true#1, inline$BDLSystemPowerIoCompletion$19$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$19$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$19$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_94#1:
+ goto inline$BDLGetDebugLevel$519$Entry#1;
+
+ inline$BDLGetDebugLevel$519$Entry#1:
+ goto inline$BDLGetDebugLevel$519$start#1;
+
+ inline$BDLGetDebugLevel$519$start#1:
+ goto inline$BDLGetDebugLevel$519$label_3#1;
+
+ inline$BDLGetDebugLevel$519$label_3#1:
+ havoc inline$BDLGetDebugLevel$519$myNondetVar_0;
+ inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$519$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$519$label_1#1;
+
+ inline$BDLGetDebugLevel$519$label_1#1:
+ goto inline$BDLGetDebugLevel$519$Return#1;
+
+ inline$BDLGetDebugLevel$519$Return#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$519$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$19$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$19$anon49_Then#1, inline$BDLSystemPowerIoCompletion$19$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_103_true#1, inline$BDLSystemPowerIoCompletion$19$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$19$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$19$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$19$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_104#1:
+ inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$19$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$19$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$19$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$19$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$19$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$19$Return#1:
+ inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$19$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$19$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$19$anon14_Then#1, inline$CallCompletionRoutine$19$anon14_Else#1;
+
+ inline$CallCompletionRoutine$19$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$19$anon9#1;
+
+ inline$CallCompletionRoutine$19$anon9#1:
+ goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$19$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$19$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$19$Entry#1:
+ inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$19$start#1;
+
+ inline$BDLDevicePowerIoCompletion$19$start#1:
+ call inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$19$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_4#1:
+ inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_6#1:
+ inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$19$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$101$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$101$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$start#1:
+ inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$101$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$101$label_3_true#1, inline$IoGetCurrentIrpStackLocation$101$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$101$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$101$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$101$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$101$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$101$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$101$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$101$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$101$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$101$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$101$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$101$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$101$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$101$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$101$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$101$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$101$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$101$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$101$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$101$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$101$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon30_Then#1, inline$BDLDevicePowerIoCompletion$19$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_13#1:
+ inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_17#1:
+ goto inline$BDLGetDebugLevel$502$Entry#1;
+
+ inline$BDLGetDebugLevel$502$Entry#1:
+ goto inline$BDLGetDebugLevel$502$start#1;
+
+ inline$BDLGetDebugLevel$502$start#1:
+ goto inline$BDLGetDebugLevel$502$label_3#1;
+
+ inline$BDLGetDebugLevel$502$label_3#1:
+ havoc inline$BDLGetDebugLevel$502$myNondetVar_0;
+ inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$502$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$502$label_1#1;
+
+ inline$BDLGetDebugLevel$502$label_1#1:
+ goto inline$BDLGetDebugLevel$502$Return#1;
+
+ inline$BDLGetDebugLevel$502$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$502$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon31_Then#1, inline$BDLDevicePowerIoCompletion$19$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_20_true#1, inline$BDLDevicePowerIoCompletion$19$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_21#1:
+ goto inline$BDLGetDebugLevel$503$Entry#1;
+
+ inline$BDLGetDebugLevel$503$Entry#1:
+ goto inline$BDLGetDebugLevel$503$start#1;
+
+ inline$BDLGetDebugLevel$503$start#1:
+ goto inline$BDLGetDebugLevel$503$label_3#1;
+
+ inline$BDLGetDebugLevel$503$label_3#1:
+ havoc inline$BDLGetDebugLevel$503$myNondetVar_0;
+ inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$503$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$503$label_1#1;
+
+ inline$BDLGetDebugLevel$503$label_1#1:
+ goto inline$BDLGetDebugLevel$503$Return#1;
+
+ inline$BDLGetDebugLevel$503$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$503$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon32_Then#1, inline$BDLDevicePowerIoCompletion$19$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_30_true#1, inline$BDLDevicePowerIoCompletion$19$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_31#1:
+ goto inline$BDLGetDebugLevel$504$Entry#1;
+
+ inline$BDLGetDebugLevel$504$Entry#1:
+ goto inline$BDLGetDebugLevel$504$start#1;
+
+ inline$BDLGetDebugLevel$504$start#1:
+ goto inline$BDLGetDebugLevel$504$label_3#1;
+
+ inline$BDLGetDebugLevel$504$label_3#1:
+ havoc inline$BDLGetDebugLevel$504$myNondetVar_0;
+ inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$504$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$504$label_1#1;
+
+ inline$BDLGetDebugLevel$504$label_1#1:
+ goto inline$BDLGetDebugLevel$504$Return#1;
+
+ inline$BDLGetDebugLevel$504$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$504$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon33_Then#1, inline$BDLDevicePowerIoCompletion$19$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_40_true#1, inline$BDLDevicePowerIoCompletion$19$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_41_true#1, inline$BDLDevicePowerIoCompletion$19$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$19$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$19$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_44_true#1, inline$BDLDevicePowerIoCompletion$19$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_45_true#1, inline$BDLDevicePowerIoCompletion$19$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$19$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$19$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$19$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_54#1:
+ inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$19$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_55_true#1, inline$BDLDevicePowerIoCompletion$19$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$19$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$19$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$19$anon34_Then#1, inline$BDLDevicePowerIoCompletion$19$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_59#1:
+ goto inline$BDLGetDebugLevel$505$Entry#1;
+
+ inline$BDLGetDebugLevel$505$Entry#1:
+ goto inline$BDLGetDebugLevel$505$start#1;
+
+ inline$BDLGetDebugLevel$505$start#1:
+ goto inline$BDLGetDebugLevel$505$label_3#1;
+
+ inline$BDLGetDebugLevel$505$label_3#1:
+ havoc inline$BDLGetDebugLevel$505$myNondetVar_0;
+ inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$505$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$505$label_1#1;
+
+ inline$BDLGetDebugLevel$505$label_1#1:
+ goto inline$BDLGetDebugLevel$505$Return#1;
+
+ inline$BDLGetDebugLevel$505$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$505$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon35_Then#1, inline$BDLDevicePowerIoCompletion$19$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_62_true#1, inline$BDLDevicePowerIoCompletion$19$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_63#1:
+ goto inline$BDLGetDebugLevel$506$Entry#1;
+
+ inline$BDLGetDebugLevel$506$Entry#1:
+ goto inline$BDLGetDebugLevel$506$start#1;
+
+ inline$BDLGetDebugLevel$506$start#1:
+ goto inline$BDLGetDebugLevel$506$label_3#1;
+
+ inline$BDLGetDebugLevel$506$label_3#1:
+ havoc inline$BDLGetDebugLevel$506$myNondetVar_0;
+ inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$506$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$506$label_1#1;
+
+ inline$BDLGetDebugLevel$506$label_1#1:
+ goto inline$BDLGetDebugLevel$506$Return#1;
+
+ inline$BDLGetDebugLevel$506$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$506$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon36_Then#1, inline$BDLDevicePowerIoCompletion$19$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_72_true#1, inline$BDLDevicePowerIoCompletion$19$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_73#1:
+ goto inline$BDLGetDebugLevel$507$Entry#1;
+
+ inline$BDLGetDebugLevel$507$Entry#1:
+ goto inline$BDLGetDebugLevel$507$start#1;
+
+ inline$BDLGetDebugLevel$507$start#1:
+ goto inline$BDLGetDebugLevel$507$label_3#1;
+
+ inline$BDLGetDebugLevel$507$label_3#1:
+ havoc inline$BDLGetDebugLevel$507$myNondetVar_0;
+ inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$507$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$507$label_1#1;
+
+ inline$BDLGetDebugLevel$507$label_1#1:
+ goto inline$BDLGetDebugLevel$507$Return#1;
+
+ inline$BDLGetDebugLevel$507$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$507$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon37_Then#1, inline$BDLDevicePowerIoCompletion$19$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_82_true#1, inline$BDLDevicePowerIoCompletion$19$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$19$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$19$anon38_Then#1, inline$BDLDevicePowerIoCompletion$19$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$19$anon39_Then#1, inline$BDLDevicePowerIoCompletion$19$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_92#1:
+ goto inline$storm_IoCompleteRequest$39$Entry#1;
+
+ inline$storm_IoCompleteRequest$39$Entry#1:
+ inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$19$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$39$start#1;
+
+ inline$storm_IoCompleteRequest$39$start#1:
+ inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$39$label_3#1;
+
+ inline$storm_IoCompleteRequest$39$label_3#1:
+ call inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$39$label_6#1;
+
+ inline$storm_IoCompleteRequest$39$label_6#1:
+ goto inline$storm_IoCompleteRequest$39$label_6_true#1, inline$storm_IoCompleteRequest$39$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$39$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$39$label_7#1;
+
+ inline$storm_IoCompleteRequest$39$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$39$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$39$label_8#1;
+
+ inline$storm_IoCompleteRequest$39$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$39$anon3_Then#1, inline$storm_IoCompleteRequest$39$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$39$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$39$anon1#1;
+
+ inline$storm_IoCompleteRequest$39$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$39$label_9#1;
+
+ inline$storm_IoCompleteRequest$39$label_9#1:
+ goto inline$storm_IoCompleteRequest$39$label_9_true#1, inline$storm_IoCompleteRequest$39$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$39$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$39$label_10#1;
+
+ inline$storm_IoCompleteRequest$39$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$39$label_1#1;
+
+ inline$storm_IoCompleteRequest$39$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$39$label_7#1;
+
+ inline$storm_IoCompleteRequest$39$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$39$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$39$label_1#1;
+
+ inline$storm_IoCompleteRequest$39$label_1#1:
+ goto inline$storm_IoCompleteRequest$39$Return#1;
+
+ inline$storm_IoCompleteRequest$39$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$39$Return#1;
+
+ inline$storm_IoCompleteRequest$39$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon40_Then#1, inline$BDLDevicePowerIoCompletion$19$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$19$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$19$anon41_Then#1, inline$BDLDevicePowerIoCompletion$19$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_98#1:
+ goto inline$BDLGetDebugLevel$508$Entry#1;
+
+ inline$BDLGetDebugLevel$508$Entry#1:
+ goto inline$BDLGetDebugLevel$508$start#1;
+
+ inline$BDLGetDebugLevel$508$start#1:
+ goto inline$BDLGetDebugLevel$508$label_3#1;
+
+ inline$BDLGetDebugLevel$508$label_3#1:
+ havoc inline$BDLGetDebugLevel$508$myNondetVar_0;
+ inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$508$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$508$label_1#1;
+
+ inline$BDLGetDebugLevel$508$label_1#1:
+ goto inline$BDLGetDebugLevel$508$Return#1;
+
+ inline$BDLGetDebugLevel$508$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$508$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon42_Then#1, inline$BDLDevicePowerIoCompletion$19$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_101_true#1, inline$BDLDevicePowerIoCompletion$19$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_102#1:
+ goto inline$BDLGetDebugLevel$509$Entry#1;
+
+ inline$BDLGetDebugLevel$509$Entry#1:
+ goto inline$BDLGetDebugLevel$509$start#1;
+
+ inline$BDLGetDebugLevel$509$start#1:
+ goto inline$BDLGetDebugLevel$509$label_3#1;
+
+ inline$BDLGetDebugLevel$509$label_3#1:
+ havoc inline$BDLGetDebugLevel$509$myNondetVar_0;
+ inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$509$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$509$label_1#1;
+
+ inline$BDLGetDebugLevel$509$label_1#1:
+ goto inline$BDLGetDebugLevel$509$Return#1;
+
+ inline$BDLGetDebugLevel$509$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$509$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon43_Then#1, inline$BDLDevicePowerIoCompletion$19$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_111_true#1, inline$BDLDevicePowerIoCompletion$19$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$19$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$19$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_112#1:
+ goto inline$BDLGetDebugLevel$510$Entry#1;
+
+ inline$BDLGetDebugLevel$510$Entry#1:
+ goto inline$BDLGetDebugLevel$510$start#1;
+
+ inline$BDLGetDebugLevel$510$start#1:
+ goto inline$BDLGetDebugLevel$510$label_3#1;
+
+ inline$BDLGetDebugLevel$510$label_3#1:
+ havoc inline$BDLGetDebugLevel$510$myNondetVar_0;
+ inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$510$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$510$label_1#1;
+
+ inline$BDLGetDebugLevel$510$label_1#1:
+ goto inline$BDLGetDebugLevel$510$Return#1;
+
+ inline$BDLGetDebugLevel$510$Return#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$510$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$19$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$19$anon44_Then#1, inline$BDLDevicePowerIoCompletion$19$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_121_true#1, inline$BDLDevicePowerIoCompletion$19$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$19$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$19$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$19$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_122#1:
+ inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$19$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$19$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$19$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$19$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$19$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$19$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$19$Return#1:
+ inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$19$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$19$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$19$anon13_Then#1, inline$CallCompletionRoutine$19$anon13_Else#1;
+
+ inline$CallCompletionRoutine$19$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$19$anon7#1;
+
+ inline$CallCompletionRoutine$19$anon7#1:
+ goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$19$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$19$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$19$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$19$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$19$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$19$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$start#1:
+ inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$19$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$label_3_true#1, inline$BDLCallDriverCompletionRoutine$19$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$19$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$19$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$19$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_6#1:
+ goto inline$storm_KeSetEvent$21$Entry#1;
+
+ inline$storm_KeSetEvent$21$Entry#1:
+ inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$19$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$21$start#1;
+
+ inline$storm_KeSetEvent$21$start#1:
+ inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$21$label_3#1;
+
+ inline$storm_KeSetEvent$21$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$21$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$21$label_1#1;
+
+ inline$storm_KeSetEvent$21$label_1#1:
+ goto inline$storm_KeSetEvent$21$Return#1;
+
+ inline$storm_KeSetEvent$21$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$19$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$19$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$19$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$19$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$19$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$19$Return#1:
+ inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$19$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$19$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$19$anon12_Then#1, inline$CallCompletionRoutine$19$anon12_Else#1;
+
+ inline$CallCompletionRoutine$19$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$19$anon5#1;
+
+ inline$CallCompletionRoutine$19$anon5#1:
+ goto inline$CallCompletionRoutine$19$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$19$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$19$label_23#1;
+
+ inline$CallCompletionRoutine$19$label_23#1:
+ inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$19$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$19$label_24#1;
+
+ inline$CallCompletionRoutine$19$label_24#1:
+ goto inline$CallCompletionRoutine$19$label_24_true#1, inline$CallCompletionRoutine$19$label_24_false#1;
+
+ inline$CallCompletionRoutine$19$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$19$label_1#1;
+
+ inline$CallCompletionRoutine$19$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$19$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$19$label_25#1;
+
+ inline$CallCompletionRoutine$19$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$19$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$19$label_1#1;
+
+ inline$CallCompletionRoutine$19$label_1#1:
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$19$Return#1;
+
+ inline$CallCompletionRoutine$19$Return#1:
+ goto inline$storm_IoCallDriver$9$label_39$1#1;
+
+ inline$storm_IoCallDriver$9$label_39$1#1:
+ goto inline$storm_IoCallDriver$9$anon15_Then#1, inline$storm_IoCallDriver$9$anon15_Else#1;
+
+ inline$storm_IoCallDriver$9$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$9$anon10#1;
+
+ inline$storm_IoCallDriver$9$anon10#1:
+ goto inline$storm_IoCallDriver$9$label_36#1;
+
+ inline$storm_IoCallDriver$9$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$9$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$9$label_28#1;
+
+ inline$storm_IoCallDriver$9$label_28#1:
+ inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$9$label_42#1;
+
+ inline$storm_IoCallDriver$9$label_42#1:
+ goto inline$storm_IoCallDriver$9$label_43#1;
+
+ inline$storm_IoCallDriver$9$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$9$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$9$label_36#1;
+
+ inline$storm_IoCallDriver$9$label_36#1:
+ inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$9$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$9$label_1#1;
+
+ inline$storm_IoCallDriver$9$label_1#1:
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$9$Return#1;
+
+ inline$storm_IoCallDriver$9$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$9$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_25#1:
+ goto inline$storm_PoCallDriver$4$Entry#1;
+
+ inline$storm_PoCallDriver$4$Entry#1:
+ inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$4$start#1;
+
+ inline$storm_PoCallDriver$4$start#1:
+ inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$4$label_3#1;
+
+ inline$storm_PoCallDriver$4$label_3#1:
+ goto inline$storm_IoCallDriver$10$Entry#1;
+
+ inline$storm_IoCallDriver$10$Entry#1:
+ inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$4$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$10$start#1;
+
+ inline$storm_IoCallDriver$10$start#1:
+ inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$10$label_3#1;
+
+ inline$storm_IoCallDriver$10$label_3#1:
+ goto inline$storm_IoCallDriver$10$label_4#1;
+
+ inline$storm_IoCallDriver$10$label_4#1:
+ goto inline$storm_IoCallDriver$10$label_5#1;
+
+ inline$storm_IoCallDriver$10$label_5#1:
+ goto inline$storm_IoCallDriver$10$label_6#1;
+
+ inline$storm_IoCallDriver$10$label_6#1:
+ call inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$10$label_9#1;
+
+ inline$storm_IoCallDriver$10$label_9#1:
+ goto inline$storm_IoCallDriver$10$label_9_true#1, inline$storm_IoCallDriver$10$label_9_false#1;
+
+ inline$storm_IoCallDriver$10$label_9_false#1:
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$10$label_10#1;
+
+ inline$storm_IoCallDriver$10$label_9_true#1:
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$10$label_13#1;
+
+ inline$storm_IoCallDriver$10$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$10$anon12_Then#1, inline$storm_IoCallDriver$10$anon12_Else#1;
+
+ inline$storm_IoCallDriver$10$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$10$anon3#1;
+
+ inline$storm_IoCallDriver$10$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$10$label_14#1;
+
+ inline$storm_IoCallDriver$10$label_14#1:
+ goto inline$storm_IoCallDriver$10$label_14_true#1, inline$storm_IoCallDriver$10$label_14_false#1;
+
+ inline$storm_IoCallDriver$10$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$10$label_15#1;
+
+ inline$storm_IoCallDriver$10$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$10$label_1#1;
+
+ inline$storm_IoCallDriver$10$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$10$label_10#1;
+
+ inline$storm_IoCallDriver$10$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$11$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$11$Entry#1:
+ inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$11$start#1;
+
+ inline$IoSetNextIrpStackLocation$11$start#1:
+ inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$11$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$11$label_3_true#1, inline$IoSetNextIrpStackLocation$11$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$11$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$11$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_4#1:
+ call inline$IoSetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$11$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_7#1:
+ call inline$IoSetNextIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$11$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$11$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$11$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$11$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_5#1:
+ inline$IoSetNextIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$11$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$11$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$11$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$11$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$11$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$11$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$11$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$11$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$11$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$11$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$11$Return#1;
+
+ inline$IoSetNextIrpStackLocation$11$Return#1:
+ goto inline$storm_IoCallDriver$10$label_10$1#1;
+
+ inline$storm_IoCallDriver$10$label_10$1#1:
+ goto inline$storm_IoCallDriver$10$anon11_Then#1, inline$storm_IoCallDriver$10$anon11_Else#1;
+
+ inline$storm_IoCallDriver$10$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$10$anon1#1;
+
+ inline$storm_IoCallDriver$10$anon1#1:
+ goto inline$storm_IoCallDriver$10$label_18#1;
+
+ inline$storm_IoCallDriver$10$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$103$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$103$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$start#1:
+ inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$103$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$103$label_3_true#1, inline$IoGetCurrentIrpStackLocation$103$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$103$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$103$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$103$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$103$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$103$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$103$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$103$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$103$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$103$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$103$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$103$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$103$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$103$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$103$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$103$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$103$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$103$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$103$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$103$Return#1:
+ goto inline$storm_IoCallDriver$10$label_18$1#1;
+
+ inline$storm_IoCallDriver$10$label_18$1#1:
+ goto inline$storm_IoCallDriver$10$anon13_Then#1, inline$storm_IoCallDriver$10$anon13_Else#1;
+
+ inline$storm_IoCallDriver$10$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$10$anon6#1;
+
+ inline$storm_IoCallDriver$10$anon6#1:
+ goto inline$storm_IoCallDriver$10$label_21#1;
+
+ inline$storm_IoCallDriver$10$label_21#1:
+ goto inline$storm_IoCallDriver$10$label_22#1;
+
+ inline$storm_IoCallDriver$10$label_22#1:
+ goto inline$storm_IoCallDriver$10$label_23#1;
+
+ inline$storm_IoCallDriver$10$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$10$label_24#1;
+
+ inline$storm_IoCallDriver$10$label_24#1:
+ call inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$10$label_27#1;
+
+ inline$storm_IoCallDriver$10$label_27#1:
+ goto inline$storm_IoCallDriver$10$label_27_case_0#1, inline$storm_IoCallDriver$10$label_27_case_1#1, inline$storm_IoCallDriver$10$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$10$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$10$label_30#1;
+
+ inline$storm_IoCallDriver$10$label_30#1:
+ inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$10$label_31#1;
+
+ inline$storm_IoCallDriver$10$label_31#1:
+ goto inline$storm_IoCallDriver$10$label_32#1;
+
+ inline$storm_IoCallDriver$10$label_32#1:
+ goto inline$storm_IoCallDriver$10$label_33#1;
+
+ inline$storm_IoCallDriver$10$label_33#1:
+ goto inline$CallCompletionRoutine$20$Entry#1;
+
+ inline$CallCompletionRoutine$20$Entry#1:
+ inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$20$start#1;
+
+ inline$CallCompletionRoutine$20$start#1:
+ inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$20$label_3#1;
+
+ inline$CallCompletionRoutine$20$label_3#1:
+ goto inline$CallCompletionRoutine$20$label_4#1;
+
+ inline$CallCompletionRoutine$20$label_4#1:
+ goto inline$CallCompletionRoutine$20$label_5#1;
+
+ inline$CallCompletionRoutine$20$label_5#1:
+ goto inline$CallCompletionRoutine$20$label_6#1;
+
+ inline$CallCompletionRoutine$20$label_6#1:
+ goto inline$CallCompletionRoutine$20$label_7#1;
+
+ inline$CallCompletionRoutine$20$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$104$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$104$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$start#1:
+ inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$104$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$104$label_3_true#1, inline$IoGetCurrentIrpStackLocation$104$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$104$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$104$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$104$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$104$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$104$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$104$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$104$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$104$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$104$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$104$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$104$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$104$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$104$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$104$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$104$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$104$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$104$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$104$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$104$Return#1:
+ goto inline$CallCompletionRoutine$20$label_7$1#1;
+
+ inline$CallCompletionRoutine$20$label_7$1#1:
+ goto inline$CallCompletionRoutine$20$anon10_Then#1, inline$CallCompletionRoutine$20$anon10_Else#1;
+
+ inline$CallCompletionRoutine$20$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$20$anon1#1;
+
+ inline$CallCompletionRoutine$20$anon1#1:
+ goto inline$CallCompletionRoutine$20$label_10#1;
+
+ inline$CallCompletionRoutine$20$label_10#1:
+ goto inline$CallCompletionRoutine$20$label_11#1;
+
+ inline$CallCompletionRoutine$20$label_11#1:
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$CallCompletionRoutine$20$label_12#1;
+
+ inline$CallCompletionRoutine$20$label_12#1:
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$CallCompletionRoutine$20$label_13#1;
+
+ inline$CallCompletionRoutine$20$label_13#1:
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$CallCompletionRoutine$20$label_14#1;
+
+ inline$CallCompletionRoutine$20$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$105$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$105$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$start#1:
+ inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$105$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$105$label_3_true#1, inline$IoGetCurrentIrpStackLocation$105$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$105$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$105$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$105$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$105$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$105$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$105$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$105$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$105$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$105$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$105$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$105$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$105$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$105$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$105$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$105$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$105$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$105$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$105$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$105$Return#1:
+ goto inline$CallCompletionRoutine$20$label_14$1#1;
+
+ inline$CallCompletionRoutine$20$label_14$1#1:
+ goto inline$CallCompletionRoutine$20$anon11_Then#1, inline$CallCompletionRoutine$20$anon11_Else#1;
+
+ inline$CallCompletionRoutine$20$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$20$anon3#1;
+
+ inline$CallCompletionRoutine$20$anon3#1:
+ goto inline$CallCompletionRoutine$20$label_17#1;
+
+ inline$CallCompletionRoutine$20$label_17#1:
+ goto inline$CallCompletionRoutine$20$label_18#1;
+
+ inline$CallCompletionRoutine$20$label_18#1:
+ goto inline$CallCompletionRoutine$20$label_18_true#1, inline$CallCompletionRoutine$20$label_18_false#1;
+
+ inline$CallCompletionRoutine$20$label_18_false#1:
+ assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$20$label_1#1;
+
+ inline$CallCompletionRoutine$20$label_18_true#1:
+ assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$20$label_19#1;
+
+ inline$CallCompletionRoutine$20$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$20$label_20#1;
+
+ inline$CallCompletionRoutine$20$label_20#1:
+ goto inline$CallCompletionRoutine$20$label_20_icall_1#1, inline$CallCompletionRoutine$20$label_20_icall_2#1, inline$CallCompletionRoutine$20$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$20$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$20$Entry#1:
+ inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$20$start#1;
+
+ inline$BDLSystemPowerIoCompletion$20$start#1:
+ call inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$20$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_4#1:
+ inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_6#1:
+ inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$20$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$107$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$107$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$start#1:
+ inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$107$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$107$label_3_true#1, inline$IoGetCurrentIrpStackLocation$107$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$107$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$107$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$107$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$107$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$107$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$107$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$107$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$107$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$107$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$107$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$107$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$107$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$107$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$107$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$107$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$107$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$107$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$107$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$107$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon36_Then#1, inline$BDLSystemPowerIoCompletion$20$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_15#1:
+ goto inline$BDLGetDebugLevel$532$Entry#1;
+
+ inline$BDLGetDebugLevel$532$Entry#1:
+ goto inline$BDLGetDebugLevel$532$start#1;
+
+ inline$BDLGetDebugLevel$532$start#1:
+ goto inline$BDLGetDebugLevel$532$label_3#1;
+
+ inline$BDLGetDebugLevel$532$label_3#1:
+ havoc inline$BDLGetDebugLevel$532$myNondetVar_0;
+ inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$532$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$532$label_1#1;
+
+ inline$BDLGetDebugLevel$532$label_1#1:
+ goto inline$BDLGetDebugLevel$532$Return#1;
+
+ inline$BDLGetDebugLevel$532$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$532$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon37_Then#1, inline$BDLSystemPowerIoCompletion$20$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_18_true#1, inline$BDLSystemPowerIoCompletion$20$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_19#1:
+ goto inline$BDLGetDebugLevel$533$Entry#1;
+
+ inline$BDLGetDebugLevel$533$Entry#1:
+ goto inline$BDLGetDebugLevel$533$start#1;
+
+ inline$BDLGetDebugLevel$533$start#1:
+ goto inline$BDLGetDebugLevel$533$label_3#1;
+
+ inline$BDLGetDebugLevel$533$label_3#1:
+ havoc inline$BDLGetDebugLevel$533$myNondetVar_0;
+ inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$533$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$533$label_1#1;
+
+ inline$BDLGetDebugLevel$533$label_1#1:
+ goto inline$BDLGetDebugLevel$533$Return#1;
+
+ inline$BDLGetDebugLevel$533$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$533$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon38_Then#1, inline$BDLSystemPowerIoCompletion$20$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_28_true#1, inline$BDLSystemPowerIoCompletion$20$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_29#1:
+ goto inline$BDLGetDebugLevel$534$Entry#1;
+
+ inline$BDLGetDebugLevel$534$Entry#1:
+ goto inline$BDLGetDebugLevel$534$start#1;
+
+ inline$BDLGetDebugLevel$534$start#1:
+ goto inline$BDLGetDebugLevel$534$label_3#1;
+
+ inline$BDLGetDebugLevel$534$label_3#1:
+ havoc inline$BDLGetDebugLevel$534$myNondetVar_0;
+ inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$534$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$534$label_1#1;
+
+ inline$BDLGetDebugLevel$534$label_1#1:
+ goto inline$BDLGetDebugLevel$534$Return#1;
+
+ inline$BDLGetDebugLevel$534$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$534$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon39_Then#1, inline$BDLSystemPowerIoCompletion$20$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_38_true#1, inline$BDLSystemPowerIoCompletion$20$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_39_true#1, inline$BDLSystemPowerIoCompletion$20$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$20$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$20$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$20$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$20$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$20$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_106_true#1, inline$BDLSystemPowerIoCompletion$20$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$20$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$20$myNondetVar_0, inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$20$anon50_Then#1, inline$BDLSystemPowerIoCompletion$20$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_112#1:
+ inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_113_true#1, inline$BDLSystemPowerIoCompletion$20$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$20$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_117#1:
+ goto inline$BDLGetDebugLevel$541$Entry#1;
+
+ inline$BDLGetDebugLevel$541$Entry#1:
+ goto inline$BDLGetDebugLevel$541$start#1;
+
+ inline$BDLGetDebugLevel$541$start#1:
+ goto inline$BDLGetDebugLevel$541$label_3#1;
+
+ inline$BDLGetDebugLevel$541$label_3#1:
+ havoc inline$BDLGetDebugLevel$541$myNondetVar_0;
+ inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$541$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$541$label_1#1;
+
+ inline$BDLGetDebugLevel$541$label_1#1:
+ goto inline$BDLGetDebugLevel$541$Return#1;
+
+ inline$BDLGetDebugLevel$541$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$541$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon51_Then#1, inline$BDLSystemPowerIoCompletion$20$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_120_true#1, inline$BDLSystemPowerIoCompletion$20$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_121#1:
+ goto inline$BDLGetDebugLevel$542$Entry#1;
+
+ inline$BDLGetDebugLevel$542$Entry#1:
+ goto inline$BDLGetDebugLevel$542$start#1;
+
+ inline$BDLGetDebugLevel$542$start#1:
+ goto inline$BDLGetDebugLevel$542$label_3#1;
+
+ inline$BDLGetDebugLevel$542$label_3#1:
+ havoc inline$BDLGetDebugLevel$542$myNondetVar_0;
+ inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$542$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$542$label_1#1;
+
+ inline$BDLGetDebugLevel$542$label_1#1:
+ goto inline$BDLGetDebugLevel$542$Return#1;
+
+ inline$BDLGetDebugLevel$542$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$542$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon52_Then#1, inline$BDLSystemPowerIoCompletion$20$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_130_true#1, inline$BDLSystemPowerIoCompletion$20$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_131#1:
+ goto inline$BDLGetDebugLevel$543$Entry#1;
+
+ inline$BDLGetDebugLevel$543$Entry#1:
+ goto inline$BDLGetDebugLevel$543$start#1;
+
+ inline$BDLGetDebugLevel$543$start#1:
+ goto inline$BDLGetDebugLevel$543$label_3#1;
+
+ inline$BDLGetDebugLevel$543$label_3#1:
+ havoc inline$BDLGetDebugLevel$543$myNondetVar_0;
+ inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$543$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$543$label_1#1;
+
+ inline$BDLGetDebugLevel$543$label_1#1:
+ goto inline$BDLGetDebugLevel$543$Return#1;
+
+ inline$BDLGetDebugLevel$543$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$543$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon53_Then#1, inline$BDLSystemPowerIoCompletion$20$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_140_true#1, inline$BDLSystemPowerIoCompletion$20$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$20$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_115#1:
+ inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$20$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$20$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$20$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_43#1:
+ goto inline$BDLGetDebugLevel$535$Entry#1;
+
+ inline$BDLGetDebugLevel$535$Entry#1:
+ goto inline$BDLGetDebugLevel$535$start#1;
+
+ inline$BDLGetDebugLevel$535$start#1:
+ goto inline$BDLGetDebugLevel$535$label_3#1;
+
+ inline$BDLGetDebugLevel$535$label_3#1:
+ havoc inline$BDLGetDebugLevel$535$myNondetVar_0;
+ inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$535$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$535$label_1#1;
+
+ inline$BDLGetDebugLevel$535$label_1#1:
+ goto inline$BDLGetDebugLevel$535$Return#1;
+
+ inline$BDLGetDebugLevel$535$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$535$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon40_Then#1, inline$BDLSystemPowerIoCompletion$20$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_46_true#1, inline$BDLSystemPowerIoCompletion$20$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_47#1:
+ goto inline$BDLGetDebugLevel$536$Entry#1;
+
+ inline$BDLGetDebugLevel$536$Entry#1:
+ goto inline$BDLGetDebugLevel$536$start#1;
+
+ inline$BDLGetDebugLevel$536$start#1:
+ goto inline$BDLGetDebugLevel$536$label_3#1;
+
+ inline$BDLGetDebugLevel$536$label_3#1:
+ havoc inline$BDLGetDebugLevel$536$myNondetVar_0;
+ inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$536$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$536$label_1#1;
+
+ inline$BDLGetDebugLevel$536$label_1#1:
+ goto inline$BDLGetDebugLevel$536$Return#1;
+
+ inline$BDLGetDebugLevel$536$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$536$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon41_Then#1, inline$BDLSystemPowerIoCompletion$20$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_56_true#1, inline$BDLSystemPowerIoCompletion$20$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_57#1:
+ goto inline$BDLGetDebugLevel$537$Entry#1;
+
+ inline$BDLGetDebugLevel$537$Entry#1:
+ goto inline$BDLGetDebugLevel$537$start#1;
+
+ inline$BDLGetDebugLevel$537$start#1:
+ goto inline$BDLGetDebugLevel$537$label_3#1;
+
+ inline$BDLGetDebugLevel$537$label_3#1:
+ havoc inline$BDLGetDebugLevel$537$myNondetVar_0;
+ inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$537$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$537$label_1#1;
+
+ inline$BDLGetDebugLevel$537$label_1#1:
+ goto inline$BDLGetDebugLevel$537$Return#1;
+
+ inline$BDLGetDebugLevel$537$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$537$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon42_Then#1, inline$BDLSystemPowerIoCompletion$20$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_66_true#1, inline$BDLSystemPowerIoCompletion$20$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$20$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$20$anon43_Then#1, inline$BDLSystemPowerIoCompletion$20$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$20$anon44_Then#1, inline$BDLSystemPowerIoCompletion$20$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_74#1:
+ goto inline$storm_IoCompleteRequest$42$Entry#1;
+
+ inline$storm_IoCompleteRequest$42$Entry#1:
+ inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$20$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$42$start#1;
+
+ inline$storm_IoCompleteRequest$42$start#1:
+ inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$42$label_3#1;
+
+ inline$storm_IoCompleteRequest$42$label_3#1:
+ call inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$42$label_6#1;
+
+ inline$storm_IoCompleteRequest$42$label_6#1:
+ goto inline$storm_IoCompleteRequest$42$label_6_true#1, inline$storm_IoCompleteRequest$42$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$42$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$42$label_7#1;
+
+ inline$storm_IoCompleteRequest$42$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$42$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$42$label_8#1;
+
+ inline$storm_IoCompleteRequest$42$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$42$anon3_Then#1, inline$storm_IoCompleteRequest$42$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$42$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$42$anon1#1;
+
+ inline$storm_IoCompleteRequest$42$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$42$label_9#1;
+
+ inline$storm_IoCompleteRequest$42$label_9#1:
+ goto inline$storm_IoCompleteRequest$42$label_9_true#1, inline$storm_IoCompleteRequest$42$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$42$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$42$label_10#1;
+
+ inline$storm_IoCompleteRequest$42$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$42$label_1#1;
+
+ inline$storm_IoCompleteRequest$42$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$42$label_7#1;
+
+ inline$storm_IoCompleteRequest$42$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$42$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$42$label_1#1;
+
+ inline$storm_IoCompleteRequest$42$label_1#1:
+ goto inline$storm_IoCompleteRequest$42$Return#1;
+
+ inline$storm_IoCompleteRequest$42$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$42$Return#1;
+
+ inline$storm_IoCompleteRequest$42$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon45_Then#1, inline$BDLSystemPowerIoCompletion$20$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$20$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$20$anon46_Then#1, inline$BDLSystemPowerIoCompletion$20$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_80#1:
+ goto inline$BDLGetDebugLevel$538$Entry#1;
+
+ inline$BDLGetDebugLevel$538$Entry#1:
+ goto inline$BDLGetDebugLevel$538$start#1;
+
+ inline$BDLGetDebugLevel$538$start#1:
+ goto inline$BDLGetDebugLevel$538$label_3#1;
+
+ inline$BDLGetDebugLevel$538$label_3#1:
+ havoc inline$BDLGetDebugLevel$538$myNondetVar_0;
+ inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$538$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$538$label_1#1;
+
+ inline$BDLGetDebugLevel$538$label_1#1:
+ goto inline$BDLGetDebugLevel$538$Return#1;
+
+ inline$BDLGetDebugLevel$538$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$538$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon47_Then#1, inline$BDLSystemPowerIoCompletion$20$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_83_true#1, inline$BDLSystemPowerIoCompletion$20$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_84#1:
+ goto inline$BDLGetDebugLevel$539$Entry#1;
+
+ inline$BDLGetDebugLevel$539$Entry#1:
+ goto inline$BDLGetDebugLevel$539$start#1;
+
+ inline$BDLGetDebugLevel$539$start#1:
+ goto inline$BDLGetDebugLevel$539$label_3#1;
+
+ inline$BDLGetDebugLevel$539$label_3#1:
+ havoc inline$BDLGetDebugLevel$539$myNondetVar_0;
+ inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$539$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$539$label_1#1;
+
+ inline$BDLGetDebugLevel$539$label_1#1:
+ goto inline$BDLGetDebugLevel$539$Return#1;
+
+ inline$BDLGetDebugLevel$539$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$539$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon48_Then#1, inline$BDLSystemPowerIoCompletion$20$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_93_true#1, inline$BDLSystemPowerIoCompletion$20$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$20$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$20$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_94#1:
+ goto inline$BDLGetDebugLevel$540$Entry#1;
+
+ inline$BDLGetDebugLevel$540$Entry#1:
+ goto inline$BDLGetDebugLevel$540$start#1;
+
+ inline$BDLGetDebugLevel$540$start#1:
+ goto inline$BDLGetDebugLevel$540$label_3#1;
+
+ inline$BDLGetDebugLevel$540$label_3#1:
+ havoc inline$BDLGetDebugLevel$540$myNondetVar_0;
+ inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$540$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$540$label_1#1;
+
+ inline$BDLGetDebugLevel$540$label_1#1:
+ goto inline$BDLGetDebugLevel$540$Return#1;
+
+ inline$BDLGetDebugLevel$540$Return#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$540$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$20$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$20$anon49_Then#1, inline$BDLSystemPowerIoCompletion$20$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_103_true#1, inline$BDLSystemPowerIoCompletion$20$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$20$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$20$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$20$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_104#1:
+ inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$20$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$20$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$20$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$20$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$20$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$20$Return#1:
+ inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$20$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$20$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$20$anon14_Then#1, inline$CallCompletionRoutine$20$anon14_Else#1;
+
+ inline$CallCompletionRoutine$20$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$20$anon9#1;
+
+ inline$CallCompletionRoutine$20$anon9#1:
+ goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$20$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$20$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$20$Entry#1:
+ inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$20$start#1;
+
+ inline$BDLDevicePowerIoCompletion$20$start#1:
+ call inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$20$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_4#1:
+ inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_6#1:
+ inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$20$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$106$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$106$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$start#1:
+ inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$106$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$106$label_3_true#1, inline$IoGetCurrentIrpStackLocation$106$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$106$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$106$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$106$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$106$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$106$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$106$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$106$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$106$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$106$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$106$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$106$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$106$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$106$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$106$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$106$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$106$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$106$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$106$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$106$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$106$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon30_Then#1, inline$BDLDevicePowerIoCompletion$20$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_13#1:
+ inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_17#1:
+ goto inline$BDLGetDebugLevel$523$Entry#1;
+
+ inline$BDLGetDebugLevel$523$Entry#1:
+ goto inline$BDLGetDebugLevel$523$start#1;
+
+ inline$BDLGetDebugLevel$523$start#1:
+ goto inline$BDLGetDebugLevel$523$label_3#1;
+
+ inline$BDLGetDebugLevel$523$label_3#1:
+ havoc inline$BDLGetDebugLevel$523$myNondetVar_0;
+ inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$523$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$523$label_1#1;
+
+ inline$BDLGetDebugLevel$523$label_1#1:
+ goto inline$BDLGetDebugLevel$523$Return#1;
+
+ inline$BDLGetDebugLevel$523$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$523$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon31_Then#1, inline$BDLDevicePowerIoCompletion$20$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_20_true#1, inline$BDLDevicePowerIoCompletion$20$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_21#1:
+ goto inline$BDLGetDebugLevel$524$Entry#1;
+
+ inline$BDLGetDebugLevel$524$Entry#1:
+ goto inline$BDLGetDebugLevel$524$start#1;
+
+ inline$BDLGetDebugLevel$524$start#1:
+ goto inline$BDLGetDebugLevel$524$label_3#1;
+
+ inline$BDLGetDebugLevel$524$label_3#1:
+ havoc inline$BDLGetDebugLevel$524$myNondetVar_0;
+ inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$524$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$524$label_1#1;
+
+ inline$BDLGetDebugLevel$524$label_1#1:
+ goto inline$BDLGetDebugLevel$524$Return#1;
+
+ inline$BDLGetDebugLevel$524$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$524$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon32_Then#1, inline$BDLDevicePowerIoCompletion$20$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_30_true#1, inline$BDLDevicePowerIoCompletion$20$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_31#1:
+ goto inline$BDLGetDebugLevel$525$Entry#1;
+
+ inline$BDLGetDebugLevel$525$Entry#1:
+ goto inline$BDLGetDebugLevel$525$start#1;
+
+ inline$BDLGetDebugLevel$525$start#1:
+ goto inline$BDLGetDebugLevel$525$label_3#1;
+
+ inline$BDLGetDebugLevel$525$label_3#1:
+ havoc inline$BDLGetDebugLevel$525$myNondetVar_0;
+ inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$525$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$525$label_1#1;
+
+ inline$BDLGetDebugLevel$525$label_1#1:
+ goto inline$BDLGetDebugLevel$525$Return#1;
+
+ inline$BDLGetDebugLevel$525$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$525$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon33_Then#1, inline$BDLDevicePowerIoCompletion$20$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_40_true#1, inline$BDLDevicePowerIoCompletion$20$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_41_true#1, inline$BDLDevicePowerIoCompletion$20$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$20$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$20$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_44_true#1, inline$BDLDevicePowerIoCompletion$20$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_45_true#1, inline$BDLDevicePowerIoCompletion$20$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$20$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$20$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$20$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_54#1:
+ inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$20$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_55_true#1, inline$BDLDevicePowerIoCompletion$20$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$20$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$20$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$20$anon34_Then#1, inline$BDLDevicePowerIoCompletion$20$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_59#1:
+ goto inline$BDLGetDebugLevel$526$Entry#1;
+
+ inline$BDLGetDebugLevel$526$Entry#1:
+ goto inline$BDLGetDebugLevel$526$start#1;
+
+ inline$BDLGetDebugLevel$526$start#1:
+ goto inline$BDLGetDebugLevel$526$label_3#1;
+
+ inline$BDLGetDebugLevel$526$label_3#1:
+ havoc inline$BDLGetDebugLevel$526$myNondetVar_0;
+ inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$526$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$526$label_1#1;
+
+ inline$BDLGetDebugLevel$526$label_1#1:
+ goto inline$BDLGetDebugLevel$526$Return#1;
+
+ inline$BDLGetDebugLevel$526$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$526$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon35_Then#1, inline$BDLDevicePowerIoCompletion$20$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_62_true#1, inline$BDLDevicePowerIoCompletion$20$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_63#1:
+ goto inline$BDLGetDebugLevel$527$Entry#1;
+
+ inline$BDLGetDebugLevel$527$Entry#1:
+ goto inline$BDLGetDebugLevel$527$start#1;
+
+ inline$BDLGetDebugLevel$527$start#1:
+ goto inline$BDLGetDebugLevel$527$label_3#1;
+
+ inline$BDLGetDebugLevel$527$label_3#1:
+ havoc inline$BDLGetDebugLevel$527$myNondetVar_0;
+ inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$527$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$527$label_1#1;
+
+ inline$BDLGetDebugLevel$527$label_1#1:
+ goto inline$BDLGetDebugLevel$527$Return#1;
+
+ inline$BDLGetDebugLevel$527$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$527$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon36_Then#1, inline$BDLDevicePowerIoCompletion$20$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_72_true#1, inline$BDLDevicePowerIoCompletion$20$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_73#1:
+ goto inline$BDLGetDebugLevel$528$Entry#1;
+
+ inline$BDLGetDebugLevel$528$Entry#1:
+ goto inline$BDLGetDebugLevel$528$start#1;
+
+ inline$BDLGetDebugLevel$528$start#1:
+ goto inline$BDLGetDebugLevel$528$label_3#1;
+
+ inline$BDLGetDebugLevel$528$label_3#1:
+ havoc inline$BDLGetDebugLevel$528$myNondetVar_0;
+ inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$528$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$528$label_1#1;
+
+ inline$BDLGetDebugLevel$528$label_1#1:
+ goto inline$BDLGetDebugLevel$528$Return#1;
+
+ inline$BDLGetDebugLevel$528$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$528$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon37_Then#1, inline$BDLDevicePowerIoCompletion$20$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_82_true#1, inline$BDLDevicePowerIoCompletion$20$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$20$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$20$anon38_Then#1, inline$BDLDevicePowerIoCompletion$20$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$20$anon39_Then#1, inline$BDLDevicePowerIoCompletion$20$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_92#1:
+ goto inline$storm_IoCompleteRequest$41$Entry#1;
+
+ inline$storm_IoCompleteRequest$41$Entry#1:
+ inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$20$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$41$start#1;
+
+ inline$storm_IoCompleteRequest$41$start#1:
+ inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$41$label_3#1;
+
+ inline$storm_IoCompleteRequest$41$label_3#1:
+ call inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$41$label_6#1;
+
+ inline$storm_IoCompleteRequest$41$label_6#1:
+ goto inline$storm_IoCompleteRequest$41$label_6_true#1, inline$storm_IoCompleteRequest$41$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$41$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$41$label_7#1;
+
+ inline$storm_IoCompleteRequest$41$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$41$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$41$label_8#1;
+
+ inline$storm_IoCompleteRequest$41$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$41$anon3_Then#1, inline$storm_IoCompleteRequest$41$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$41$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$41$anon1#1;
+
+ inline$storm_IoCompleteRequest$41$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$41$label_9#1;
+
+ inline$storm_IoCompleteRequest$41$label_9#1:
+ goto inline$storm_IoCompleteRequest$41$label_9_true#1, inline$storm_IoCompleteRequest$41$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$41$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$41$label_10#1;
+
+ inline$storm_IoCompleteRequest$41$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$41$label_1#1;
+
+ inline$storm_IoCompleteRequest$41$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$41$label_7#1;
+
+ inline$storm_IoCompleteRequest$41$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$41$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$41$label_1#1;
+
+ inline$storm_IoCompleteRequest$41$label_1#1:
+ goto inline$storm_IoCompleteRequest$41$Return#1;
+
+ inline$storm_IoCompleteRequest$41$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$41$Return#1;
+
+ inline$storm_IoCompleteRequest$41$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon40_Then#1, inline$BDLDevicePowerIoCompletion$20$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$20$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$20$anon41_Then#1, inline$BDLDevicePowerIoCompletion$20$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_98#1:
+ goto inline$BDLGetDebugLevel$529$Entry#1;
+
+ inline$BDLGetDebugLevel$529$Entry#1:
+ goto inline$BDLGetDebugLevel$529$start#1;
+
+ inline$BDLGetDebugLevel$529$start#1:
+ goto inline$BDLGetDebugLevel$529$label_3#1;
+
+ inline$BDLGetDebugLevel$529$label_3#1:
+ havoc inline$BDLGetDebugLevel$529$myNondetVar_0;
+ inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$529$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$529$label_1#1;
+
+ inline$BDLGetDebugLevel$529$label_1#1:
+ goto inline$BDLGetDebugLevel$529$Return#1;
+
+ inline$BDLGetDebugLevel$529$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$529$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon42_Then#1, inline$BDLDevicePowerIoCompletion$20$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_101_true#1, inline$BDLDevicePowerIoCompletion$20$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_102#1:
+ goto inline$BDLGetDebugLevel$530$Entry#1;
+
+ inline$BDLGetDebugLevel$530$Entry#1:
+ goto inline$BDLGetDebugLevel$530$start#1;
+
+ inline$BDLGetDebugLevel$530$start#1:
+ goto inline$BDLGetDebugLevel$530$label_3#1;
+
+ inline$BDLGetDebugLevel$530$label_3#1:
+ havoc inline$BDLGetDebugLevel$530$myNondetVar_0;
+ inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$530$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$530$label_1#1;
+
+ inline$BDLGetDebugLevel$530$label_1#1:
+ goto inline$BDLGetDebugLevel$530$Return#1;
+
+ inline$BDLGetDebugLevel$530$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$530$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon43_Then#1, inline$BDLDevicePowerIoCompletion$20$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_111_true#1, inline$BDLDevicePowerIoCompletion$20$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$20$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$20$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_112#1:
+ goto inline$BDLGetDebugLevel$531$Entry#1;
+
+ inline$BDLGetDebugLevel$531$Entry#1:
+ goto inline$BDLGetDebugLevel$531$start#1;
+
+ inline$BDLGetDebugLevel$531$start#1:
+ goto inline$BDLGetDebugLevel$531$label_3#1;
+
+ inline$BDLGetDebugLevel$531$label_3#1:
+ havoc inline$BDLGetDebugLevel$531$myNondetVar_0;
+ inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$531$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$531$label_1#1;
+
+ inline$BDLGetDebugLevel$531$label_1#1:
+ goto inline$BDLGetDebugLevel$531$Return#1;
+
+ inline$BDLGetDebugLevel$531$Return#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$531$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$20$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$20$anon44_Then#1, inline$BDLDevicePowerIoCompletion$20$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_121_true#1, inline$BDLDevicePowerIoCompletion$20$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$20$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$20$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$20$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_122#1:
+ inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$20$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$20$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$20$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$20$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$20$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$20$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$20$Return#1:
+ inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$20$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$20$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$20$anon13_Then#1, inline$CallCompletionRoutine$20$anon13_Else#1;
+
+ inline$CallCompletionRoutine$20$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$20$anon7#1;
+
+ inline$CallCompletionRoutine$20$anon7#1:
+ goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$20$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$20$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$20$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$20$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$20$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$20$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$start#1:
+ inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$20$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$label_3_true#1, inline$BDLCallDriverCompletionRoutine$20$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$20$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$20$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$20$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_6#1:
+ goto inline$storm_KeSetEvent$22$Entry#1;
+
+ inline$storm_KeSetEvent$22$Entry#1:
+ inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$20$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$22$start#1;
+
+ inline$storm_KeSetEvent$22$start#1:
+ inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$22$label_3#1;
+
+ inline$storm_KeSetEvent$22$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$22$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$22$label_1#1;
+
+ inline$storm_KeSetEvent$22$label_1#1:
+ goto inline$storm_KeSetEvent$22$Return#1;
+
+ inline$storm_KeSetEvent$22$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$20$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$20$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$20$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$20$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$20$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$20$Return#1:
+ inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$20$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$20$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$20$anon12_Then#1, inline$CallCompletionRoutine$20$anon12_Else#1;
+
+ inline$CallCompletionRoutine$20$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$20$anon5#1;
+
+ inline$CallCompletionRoutine$20$anon5#1:
+ goto inline$CallCompletionRoutine$20$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$20$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$20$label_23#1;
+
+ inline$CallCompletionRoutine$20$label_23#1:
+ inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$20$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$20$label_24#1;
+
+ inline$CallCompletionRoutine$20$label_24#1:
+ goto inline$CallCompletionRoutine$20$label_24_true#1, inline$CallCompletionRoutine$20$label_24_false#1;
+
+ inline$CallCompletionRoutine$20$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$20$label_1#1;
+
+ inline$CallCompletionRoutine$20$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$20$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$20$label_25#1;
+
+ inline$CallCompletionRoutine$20$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$20$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$20$label_1#1;
+
+ inline$CallCompletionRoutine$20$label_1#1:
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$20$Return#1;
+
+ inline$CallCompletionRoutine$20$Return#1:
+ goto inline$storm_IoCallDriver$10$label_33$1#1;
+
+ inline$storm_IoCallDriver$10$label_33$1#1:
+ goto inline$storm_IoCallDriver$10$anon14_Then#1, inline$storm_IoCallDriver$10$anon14_Else#1;
+
+ inline$storm_IoCallDriver$10$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$10$anon8#1;
+
+ inline$storm_IoCallDriver$10$anon8#1:
+ goto inline$storm_IoCallDriver$10$label_36#1;
+
+ inline$storm_IoCallDriver$10$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$10$label_29#1;
+
+ inline$storm_IoCallDriver$10$label_29#1:
+ inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$10$label_37#1;
+
+ inline$storm_IoCallDriver$10$label_37#1:
+ goto inline$storm_IoCallDriver$10$label_38#1;
+
+ inline$storm_IoCallDriver$10$label_38#1:
+ goto inline$storm_IoCallDriver$10$label_39#1;
+
+ inline$storm_IoCallDriver$10$label_39#1:
+ goto inline$CallCompletionRoutine$21$Entry#1;
+
+ inline$CallCompletionRoutine$21$Entry#1:
+ inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$21$start#1;
+
+ inline$CallCompletionRoutine$21$start#1:
+ inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$21$label_3#1;
+
+ inline$CallCompletionRoutine$21$label_3#1:
+ goto inline$CallCompletionRoutine$21$label_4#1;
+
+ inline$CallCompletionRoutine$21$label_4#1:
+ goto inline$CallCompletionRoutine$21$label_5#1;
+
+ inline$CallCompletionRoutine$21$label_5#1:
+ goto inline$CallCompletionRoutine$21$label_6#1;
+
+ inline$CallCompletionRoutine$21$label_6#1:
+ goto inline$CallCompletionRoutine$21$label_7#1;
+
+ inline$CallCompletionRoutine$21$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$108$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$108$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$start#1:
+ inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$108$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$108$label_3_true#1, inline$IoGetCurrentIrpStackLocation$108$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$108$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$108$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$108$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$108$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$108$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$108$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$108$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$108$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$108$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$108$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$108$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$108$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$108$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$108$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$108$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$108$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$108$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$108$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$108$Return#1:
+ goto inline$CallCompletionRoutine$21$label_7$1#1;
+
+ inline$CallCompletionRoutine$21$label_7$1#1:
+ goto inline$CallCompletionRoutine$21$anon10_Then#1, inline$CallCompletionRoutine$21$anon10_Else#1;
+
+ inline$CallCompletionRoutine$21$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$21$anon1#1;
+
+ inline$CallCompletionRoutine$21$anon1#1:
+ goto inline$CallCompletionRoutine$21$label_10#1;
+
+ inline$CallCompletionRoutine$21$label_10#1:
+ goto inline$CallCompletionRoutine$21$label_11#1;
+
+ inline$CallCompletionRoutine$21$label_11#1:
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$CallCompletionRoutine$21$label_12#1;
+
+ inline$CallCompletionRoutine$21$label_12#1:
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$CallCompletionRoutine$21$label_13#1;
+
+ inline$CallCompletionRoutine$21$label_13#1:
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$CallCompletionRoutine$21$label_14#1;
+
+ inline$CallCompletionRoutine$21$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$109$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$109$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$start#1:
+ inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$109$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$109$label_3_true#1, inline$IoGetCurrentIrpStackLocation$109$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$109$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$109$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$109$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$109$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$109$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$109$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$109$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$109$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$109$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$109$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$109$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$109$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$109$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$109$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$109$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$109$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$109$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$109$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$109$Return#1:
+ goto inline$CallCompletionRoutine$21$label_14$1#1;
+
+ inline$CallCompletionRoutine$21$label_14$1#1:
+ goto inline$CallCompletionRoutine$21$anon11_Then#1, inline$CallCompletionRoutine$21$anon11_Else#1;
+
+ inline$CallCompletionRoutine$21$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$21$anon3#1;
+
+ inline$CallCompletionRoutine$21$anon3#1:
+ goto inline$CallCompletionRoutine$21$label_17#1;
+
+ inline$CallCompletionRoutine$21$label_17#1:
+ goto inline$CallCompletionRoutine$21$label_18#1;
+
+ inline$CallCompletionRoutine$21$label_18#1:
+ goto inline$CallCompletionRoutine$21$label_18_true#1, inline$CallCompletionRoutine$21$label_18_false#1;
+
+ inline$CallCompletionRoutine$21$label_18_false#1:
+ assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$21$label_1#1;
+
+ inline$CallCompletionRoutine$21$label_18_true#1:
+ assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$21$label_19#1;
+
+ inline$CallCompletionRoutine$21$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$21$label_20#1;
+
+ inline$CallCompletionRoutine$21$label_20#1:
+ goto inline$CallCompletionRoutine$21$label_20_icall_1#1, inline$CallCompletionRoutine$21$label_20_icall_2#1, inline$CallCompletionRoutine$21$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$21$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$21$Entry#1:
+ inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$21$start#1;
+
+ inline$BDLSystemPowerIoCompletion$21$start#1:
+ call inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$21$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_4#1:
+ inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_6#1:
+ inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$21$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$111$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$111$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$start#1:
+ inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$111$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$111$label_3_true#1, inline$IoGetCurrentIrpStackLocation$111$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$111$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$111$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$111$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$111$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$111$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$111$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$111$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$111$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$111$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$111$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$111$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$111$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$111$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$111$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$111$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$111$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$111$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$111$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$111$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon36_Then#1, inline$BDLSystemPowerIoCompletion$21$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_15#1:
+ goto inline$BDLGetDebugLevel$553$Entry#1;
+
+ inline$BDLGetDebugLevel$553$Entry#1:
+ goto inline$BDLGetDebugLevel$553$start#1;
+
+ inline$BDLGetDebugLevel$553$start#1:
+ goto inline$BDLGetDebugLevel$553$label_3#1;
+
+ inline$BDLGetDebugLevel$553$label_3#1:
+ havoc inline$BDLGetDebugLevel$553$myNondetVar_0;
+ inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$553$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$553$label_1#1;
+
+ inline$BDLGetDebugLevel$553$label_1#1:
+ goto inline$BDLGetDebugLevel$553$Return#1;
+
+ inline$BDLGetDebugLevel$553$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$553$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon37_Then#1, inline$BDLSystemPowerIoCompletion$21$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_18_true#1, inline$BDLSystemPowerIoCompletion$21$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_19#1:
+ goto inline$BDLGetDebugLevel$554$Entry#1;
+
+ inline$BDLGetDebugLevel$554$Entry#1:
+ goto inline$BDLGetDebugLevel$554$start#1;
+
+ inline$BDLGetDebugLevel$554$start#1:
+ goto inline$BDLGetDebugLevel$554$label_3#1;
+
+ inline$BDLGetDebugLevel$554$label_3#1:
+ havoc inline$BDLGetDebugLevel$554$myNondetVar_0;
+ inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$554$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$554$label_1#1;
+
+ inline$BDLGetDebugLevel$554$label_1#1:
+ goto inline$BDLGetDebugLevel$554$Return#1;
+
+ inline$BDLGetDebugLevel$554$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$554$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon38_Then#1, inline$BDLSystemPowerIoCompletion$21$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_28_true#1, inline$BDLSystemPowerIoCompletion$21$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_29#1:
+ goto inline$BDLGetDebugLevel$555$Entry#1;
+
+ inline$BDLGetDebugLevel$555$Entry#1:
+ goto inline$BDLGetDebugLevel$555$start#1;
+
+ inline$BDLGetDebugLevel$555$start#1:
+ goto inline$BDLGetDebugLevel$555$label_3#1;
+
+ inline$BDLGetDebugLevel$555$label_3#1:
+ havoc inline$BDLGetDebugLevel$555$myNondetVar_0;
+ inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$555$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$555$label_1#1;
+
+ inline$BDLGetDebugLevel$555$label_1#1:
+ goto inline$BDLGetDebugLevel$555$Return#1;
+
+ inline$BDLGetDebugLevel$555$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$555$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon39_Then#1, inline$BDLSystemPowerIoCompletion$21$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_38_true#1, inline$BDLSystemPowerIoCompletion$21$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_39_true#1, inline$BDLSystemPowerIoCompletion$21$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$21$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$21$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$21$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$21$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$21$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_106_true#1, inline$BDLSystemPowerIoCompletion$21$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$21$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$21$myNondetVar_0, inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$21$anon50_Then#1, inline$BDLSystemPowerIoCompletion$21$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_112#1:
+ inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_113_true#1, inline$BDLSystemPowerIoCompletion$21$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$21$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_117#1:
+ goto inline$BDLGetDebugLevel$562$Entry#1;
+
+ inline$BDLGetDebugLevel$562$Entry#1:
+ goto inline$BDLGetDebugLevel$562$start#1;
+
+ inline$BDLGetDebugLevel$562$start#1:
+ goto inline$BDLGetDebugLevel$562$label_3#1;
+
+ inline$BDLGetDebugLevel$562$label_3#1:
+ havoc inline$BDLGetDebugLevel$562$myNondetVar_0;
+ inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$562$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$562$label_1#1;
+
+ inline$BDLGetDebugLevel$562$label_1#1:
+ goto inline$BDLGetDebugLevel$562$Return#1;
+
+ inline$BDLGetDebugLevel$562$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$562$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon51_Then#1, inline$BDLSystemPowerIoCompletion$21$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_120_true#1, inline$BDLSystemPowerIoCompletion$21$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_121#1:
+ goto inline$BDLGetDebugLevel$563$Entry#1;
+
+ inline$BDLGetDebugLevel$563$Entry#1:
+ goto inline$BDLGetDebugLevel$563$start#1;
+
+ inline$BDLGetDebugLevel$563$start#1:
+ goto inline$BDLGetDebugLevel$563$label_3#1;
+
+ inline$BDLGetDebugLevel$563$label_3#1:
+ havoc inline$BDLGetDebugLevel$563$myNondetVar_0;
+ inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$563$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$563$label_1#1;
+
+ inline$BDLGetDebugLevel$563$label_1#1:
+ goto inline$BDLGetDebugLevel$563$Return#1;
+
+ inline$BDLGetDebugLevel$563$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$563$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon52_Then#1, inline$BDLSystemPowerIoCompletion$21$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_130_true#1, inline$BDLSystemPowerIoCompletion$21$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_131#1:
+ goto inline$BDLGetDebugLevel$564$Entry#1;
+
+ inline$BDLGetDebugLevel$564$Entry#1:
+ goto inline$BDLGetDebugLevel$564$start#1;
+
+ inline$BDLGetDebugLevel$564$start#1:
+ goto inline$BDLGetDebugLevel$564$label_3#1;
+
+ inline$BDLGetDebugLevel$564$label_3#1:
+ havoc inline$BDLGetDebugLevel$564$myNondetVar_0;
+ inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$564$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$564$label_1#1;
+
+ inline$BDLGetDebugLevel$564$label_1#1:
+ goto inline$BDLGetDebugLevel$564$Return#1;
+
+ inline$BDLGetDebugLevel$564$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$564$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon53_Then#1, inline$BDLSystemPowerIoCompletion$21$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_140_true#1, inline$BDLSystemPowerIoCompletion$21$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$21$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_115#1:
+ inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$21$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$21$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$21$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_43#1:
+ goto inline$BDLGetDebugLevel$556$Entry#1;
+
+ inline$BDLGetDebugLevel$556$Entry#1:
+ goto inline$BDLGetDebugLevel$556$start#1;
+
+ inline$BDLGetDebugLevel$556$start#1:
+ goto inline$BDLGetDebugLevel$556$label_3#1;
+
+ inline$BDLGetDebugLevel$556$label_3#1:
+ havoc inline$BDLGetDebugLevel$556$myNondetVar_0;
+ inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$556$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$556$label_1#1;
+
+ inline$BDLGetDebugLevel$556$label_1#1:
+ goto inline$BDLGetDebugLevel$556$Return#1;
+
+ inline$BDLGetDebugLevel$556$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$556$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon40_Then#1, inline$BDLSystemPowerIoCompletion$21$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_46_true#1, inline$BDLSystemPowerIoCompletion$21$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_47#1:
+ goto inline$BDLGetDebugLevel$557$Entry#1;
+
+ inline$BDLGetDebugLevel$557$Entry#1:
+ goto inline$BDLGetDebugLevel$557$start#1;
+
+ inline$BDLGetDebugLevel$557$start#1:
+ goto inline$BDLGetDebugLevel$557$label_3#1;
+
+ inline$BDLGetDebugLevel$557$label_3#1:
+ havoc inline$BDLGetDebugLevel$557$myNondetVar_0;
+ inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$557$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$557$label_1#1;
+
+ inline$BDLGetDebugLevel$557$label_1#1:
+ goto inline$BDLGetDebugLevel$557$Return#1;
+
+ inline$BDLGetDebugLevel$557$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$557$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon41_Then#1, inline$BDLSystemPowerIoCompletion$21$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_56_true#1, inline$BDLSystemPowerIoCompletion$21$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_57#1:
+ goto inline$BDLGetDebugLevel$558$Entry#1;
+
+ inline$BDLGetDebugLevel$558$Entry#1:
+ goto inline$BDLGetDebugLevel$558$start#1;
+
+ inline$BDLGetDebugLevel$558$start#1:
+ goto inline$BDLGetDebugLevel$558$label_3#1;
+
+ inline$BDLGetDebugLevel$558$label_3#1:
+ havoc inline$BDLGetDebugLevel$558$myNondetVar_0;
+ inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$558$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$558$label_1#1;
+
+ inline$BDLGetDebugLevel$558$label_1#1:
+ goto inline$BDLGetDebugLevel$558$Return#1;
+
+ inline$BDLGetDebugLevel$558$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$558$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon42_Then#1, inline$BDLSystemPowerIoCompletion$21$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_66_true#1, inline$BDLSystemPowerIoCompletion$21$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$21$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$21$anon43_Then#1, inline$BDLSystemPowerIoCompletion$21$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$21$anon44_Then#1, inline$BDLSystemPowerIoCompletion$21$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_74#1:
+ goto inline$storm_IoCompleteRequest$44$Entry#1;
+
+ inline$storm_IoCompleteRequest$44$Entry#1:
+ inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$21$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$44$start#1;
+
+ inline$storm_IoCompleteRequest$44$start#1:
+ inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$44$label_3#1;
+
+ inline$storm_IoCompleteRequest$44$label_3#1:
+ call inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$44$label_6#1;
+
+ inline$storm_IoCompleteRequest$44$label_6#1:
+ goto inline$storm_IoCompleteRequest$44$label_6_true#1, inline$storm_IoCompleteRequest$44$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$44$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$44$label_7#1;
+
+ inline$storm_IoCompleteRequest$44$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$44$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$44$label_8#1;
+
+ inline$storm_IoCompleteRequest$44$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$44$anon3_Then#1, inline$storm_IoCompleteRequest$44$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$44$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$44$anon1#1;
+
+ inline$storm_IoCompleteRequest$44$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$44$label_9#1;
+
+ inline$storm_IoCompleteRequest$44$label_9#1:
+ goto inline$storm_IoCompleteRequest$44$label_9_true#1, inline$storm_IoCompleteRequest$44$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$44$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$44$label_10#1;
+
+ inline$storm_IoCompleteRequest$44$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$44$label_1#1;
+
+ inline$storm_IoCompleteRequest$44$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$44$label_7#1;
+
+ inline$storm_IoCompleteRequest$44$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$44$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$44$label_1#1;
+
+ inline$storm_IoCompleteRequest$44$label_1#1:
+ goto inline$storm_IoCompleteRequest$44$Return#1;
+
+ inline$storm_IoCompleteRequest$44$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$44$Return#1;
+
+ inline$storm_IoCompleteRequest$44$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon45_Then#1, inline$BDLSystemPowerIoCompletion$21$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$21$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$21$anon46_Then#1, inline$BDLSystemPowerIoCompletion$21$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_80#1:
+ goto inline$BDLGetDebugLevel$559$Entry#1;
+
+ inline$BDLGetDebugLevel$559$Entry#1:
+ goto inline$BDLGetDebugLevel$559$start#1;
+
+ inline$BDLGetDebugLevel$559$start#1:
+ goto inline$BDLGetDebugLevel$559$label_3#1;
+
+ inline$BDLGetDebugLevel$559$label_3#1:
+ havoc inline$BDLGetDebugLevel$559$myNondetVar_0;
+ inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$559$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$559$label_1#1;
+
+ inline$BDLGetDebugLevel$559$label_1#1:
+ goto inline$BDLGetDebugLevel$559$Return#1;
+
+ inline$BDLGetDebugLevel$559$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$559$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon47_Then#1, inline$BDLSystemPowerIoCompletion$21$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_83_true#1, inline$BDLSystemPowerIoCompletion$21$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_84#1:
+ goto inline$BDLGetDebugLevel$560$Entry#1;
+
+ inline$BDLGetDebugLevel$560$Entry#1:
+ goto inline$BDLGetDebugLevel$560$start#1;
+
+ inline$BDLGetDebugLevel$560$start#1:
+ goto inline$BDLGetDebugLevel$560$label_3#1;
+
+ inline$BDLGetDebugLevel$560$label_3#1:
+ havoc inline$BDLGetDebugLevel$560$myNondetVar_0;
+ inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$560$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$560$label_1#1;
+
+ inline$BDLGetDebugLevel$560$label_1#1:
+ goto inline$BDLGetDebugLevel$560$Return#1;
+
+ inline$BDLGetDebugLevel$560$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$560$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon48_Then#1, inline$BDLSystemPowerIoCompletion$21$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_93_true#1, inline$BDLSystemPowerIoCompletion$21$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$21$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$21$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_94#1:
+ goto inline$BDLGetDebugLevel$561$Entry#1;
+
+ inline$BDLGetDebugLevel$561$Entry#1:
+ goto inline$BDLGetDebugLevel$561$start#1;
+
+ inline$BDLGetDebugLevel$561$start#1:
+ goto inline$BDLGetDebugLevel$561$label_3#1;
+
+ inline$BDLGetDebugLevel$561$label_3#1:
+ havoc inline$BDLGetDebugLevel$561$myNondetVar_0;
+ inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$561$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$561$label_1#1;
+
+ inline$BDLGetDebugLevel$561$label_1#1:
+ goto inline$BDLGetDebugLevel$561$Return#1;
+
+ inline$BDLGetDebugLevel$561$Return#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$561$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$21$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$21$anon49_Then#1, inline$BDLSystemPowerIoCompletion$21$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_103_true#1, inline$BDLSystemPowerIoCompletion$21$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$21$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$21$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$21$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_104#1:
+ inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$21$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$21$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$21$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$21$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$21$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$21$Return#1:
+ inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$21$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$21$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$21$anon14_Then#1, inline$CallCompletionRoutine$21$anon14_Else#1;
+
+ inline$CallCompletionRoutine$21$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$21$anon9#1;
+
+ inline$CallCompletionRoutine$21$anon9#1:
+ goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$21$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$21$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$21$Entry#1:
+ inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$21$start#1;
+
+ inline$BDLDevicePowerIoCompletion$21$start#1:
+ call inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$21$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_4#1:
+ inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_6#1:
+ inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$21$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$110$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$110$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$start#1:
+ inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$110$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$110$label_3_true#1, inline$IoGetCurrentIrpStackLocation$110$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$110$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$110$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$110$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$110$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$110$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$110$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$110$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$110$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$110$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$110$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$110$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$110$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$110$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$110$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$110$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$110$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$110$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$110$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$110$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$110$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon30_Then#1, inline$BDLDevicePowerIoCompletion$21$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_13#1:
+ inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_17#1:
+ goto inline$BDLGetDebugLevel$544$Entry#1;
+
+ inline$BDLGetDebugLevel$544$Entry#1:
+ goto inline$BDLGetDebugLevel$544$start#1;
+
+ inline$BDLGetDebugLevel$544$start#1:
+ goto inline$BDLGetDebugLevel$544$label_3#1;
+
+ inline$BDLGetDebugLevel$544$label_3#1:
+ havoc inline$BDLGetDebugLevel$544$myNondetVar_0;
+ inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$544$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$544$label_1#1;
+
+ inline$BDLGetDebugLevel$544$label_1#1:
+ goto inline$BDLGetDebugLevel$544$Return#1;
+
+ inline$BDLGetDebugLevel$544$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$544$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon31_Then#1, inline$BDLDevicePowerIoCompletion$21$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_20_true#1, inline$BDLDevicePowerIoCompletion$21$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_21#1:
+ goto inline$BDLGetDebugLevel$545$Entry#1;
+
+ inline$BDLGetDebugLevel$545$Entry#1:
+ goto inline$BDLGetDebugLevel$545$start#1;
+
+ inline$BDLGetDebugLevel$545$start#1:
+ goto inline$BDLGetDebugLevel$545$label_3#1;
+
+ inline$BDLGetDebugLevel$545$label_3#1:
+ havoc inline$BDLGetDebugLevel$545$myNondetVar_0;
+ inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$545$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$545$label_1#1;
+
+ inline$BDLGetDebugLevel$545$label_1#1:
+ goto inline$BDLGetDebugLevel$545$Return#1;
+
+ inline$BDLGetDebugLevel$545$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$545$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon32_Then#1, inline$BDLDevicePowerIoCompletion$21$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_30_true#1, inline$BDLDevicePowerIoCompletion$21$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_31#1:
+ goto inline$BDLGetDebugLevel$546$Entry#1;
+
+ inline$BDLGetDebugLevel$546$Entry#1:
+ goto inline$BDLGetDebugLevel$546$start#1;
+
+ inline$BDLGetDebugLevel$546$start#1:
+ goto inline$BDLGetDebugLevel$546$label_3#1;
+
+ inline$BDLGetDebugLevel$546$label_3#1:
+ havoc inline$BDLGetDebugLevel$546$myNondetVar_0;
+ inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$546$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$546$label_1#1;
+
+ inline$BDLGetDebugLevel$546$label_1#1:
+ goto inline$BDLGetDebugLevel$546$Return#1;
+
+ inline$BDLGetDebugLevel$546$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$546$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon33_Then#1, inline$BDLDevicePowerIoCompletion$21$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_40_true#1, inline$BDLDevicePowerIoCompletion$21$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_41_true#1, inline$BDLDevicePowerIoCompletion$21$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$21$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$21$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_44_true#1, inline$BDLDevicePowerIoCompletion$21$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_45_true#1, inline$BDLDevicePowerIoCompletion$21$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$21$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$21$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$21$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_54#1:
+ inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$21$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_55_true#1, inline$BDLDevicePowerIoCompletion$21$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$21$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$21$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$21$anon34_Then#1, inline$BDLDevicePowerIoCompletion$21$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_59#1:
+ goto inline$BDLGetDebugLevel$547$Entry#1;
+
+ inline$BDLGetDebugLevel$547$Entry#1:
+ goto inline$BDLGetDebugLevel$547$start#1;
+
+ inline$BDLGetDebugLevel$547$start#1:
+ goto inline$BDLGetDebugLevel$547$label_3#1;
+
+ inline$BDLGetDebugLevel$547$label_3#1:
+ havoc inline$BDLGetDebugLevel$547$myNondetVar_0;
+ inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$547$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$547$label_1#1;
+
+ inline$BDLGetDebugLevel$547$label_1#1:
+ goto inline$BDLGetDebugLevel$547$Return#1;
+
+ inline$BDLGetDebugLevel$547$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$547$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon35_Then#1, inline$BDLDevicePowerIoCompletion$21$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_62_true#1, inline$BDLDevicePowerIoCompletion$21$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_63#1:
+ goto inline$BDLGetDebugLevel$548$Entry#1;
+
+ inline$BDLGetDebugLevel$548$Entry#1:
+ goto inline$BDLGetDebugLevel$548$start#1;
+
+ inline$BDLGetDebugLevel$548$start#1:
+ goto inline$BDLGetDebugLevel$548$label_3#1;
+
+ inline$BDLGetDebugLevel$548$label_3#1:
+ havoc inline$BDLGetDebugLevel$548$myNondetVar_0;
+ inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$548$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$548$label_1#1;
+
+ inline$BDLGetDebugLevel$548$label_1#1:
+ goto inline$BDLGetDebugLevel$548$Return#1;
+
+ inline$BDLGetDebugLevel$548$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$548$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon36_Then#1, inline$BDLDevicePowerIoCompletion$21$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_72_true#1, inline$BDLDevicePowerIoCompletion$21$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_73#1:
+ goto inline$BDLGetDebugLevel$549$Entry#1;
+
+ inline$BDLGetDebugLevel$549$Entry#1:
+ goto inline$BDLGetDebugLevel$549$start#1;
+
+ inline$BDLGetDebugLevel$549$start#1:
+ goto inline$BDLGetDebugLevel$549$label_3#1;
+
+ inline$BDLGetDebugLevel$549$label_3#1:
+ havoc inline$BDLGetDebugLevel$549$myNondetVar_0;
+ inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$549$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$549$label_1#1;
+
+ inline$BDLGetDebugLevel$549$label_1#1:
+ goto inline$BDLGetDebugLevel$549$Return#1;
+
+ inline$BDLGetDebugLevel$549$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$549$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon37_Then#1, inline$BDLDevicePowerIoCompletion$21$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_82_true#1, inline$BDLDevicePowerIoCompletion$21$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$21$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$21$anon38_Then#1, inline$BDLDevicePowerIoCompletion$21$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$21$anon39_Then#1, inline$BDLDevicePowerIoCompletion$21$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_92#1:
+ goto inline$storm_IoCompleteRequest$43$Entry#1;
+
+ inline$storm_IoCompleteRequest$43$Entry#1:
+ inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$21$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$43$start#1;
+
+ inline$storm_IoCompleteRequest$43$start#1:
+ inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$43$label_3#1;
+
+ inline$storm_IoCompleteRequest$43$label_3#1:
+ call inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$43$label_6#1;
+
+ inline$storm_IoCompleteRequest$43$label_6#1:
+ goto inline$storm_IoCompleteRequest$43$label_6_true#1, inline$storm_IoCompleteRequest$43$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$43$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$43$label_7#1;
+
+ inline$storm_IoCompleteRequest$43$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$43$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$43$label_8#1;
+
+ inline$storm_IoCompleteRequest$43$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$43$anon3_Then#1, inline$storm_IoCompleteRequest$43$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$43$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$43$anon1#1;
+
+ inline$storm_IoCompleteRequest$43$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$43$label_9#1;
+
+ inline$storm_IoCompleteRequest$43$label_9#1:
+ goto inline$storm_IoCompleteRequest$43$label_9_true#1, inline$storm_IoCompleteRequest$43$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$43$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$43$label_10#1;
+
+ inline$storm_IoCompleteRequest$43$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$43$label_1#1;
+
+ inline$storm_IoCompleteRequest$43$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$43$label_7#1;
+
+ inline$storm_IoCompleteRequest$43$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$43$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$43$label_1#1;
+
+ inline$storm_IoCompleteRequest$43$label_1#1:
+ goto inline$storm_IoCompleteRequest$43$Return#1;
+
+ inline$storm_IoCompleteRequest$43$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$43$Return#1;
+
+ inline$storm_IoCompleteRequest$43$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon40_Then#1, inline$BDLDevicePowerIoCompletion$21$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$21$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$21$anon41_Then#1, inline$BDLDevicePowerIoCompletion$21$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_98#1:
+ goto inline$BDLGetDebugLevel$550$Entry#1;
+
+ inline$BDLGetDebugLevel$550$Entry#1:
+ goto inline$BDLGetDebugLevel$550$start#1;
+
+ inline$BDLGetDebugLevel$550$start#1:
+ goto inline$BDLGetDebugLevel$550$label_3#1;
+
+ inline$BDLGetDebugLevel$550$label_3#1:
+ havoc inline$BDLGetDebugLevel$550$myNondetVar_0;
+ inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$550$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$550$label_1#1;
+
+ inline$BDLGetDebugLevel$550$label_1#1:
+ goto inline$BDLGetDebugLevel$550$Return#1;
+
+ inline$BDLGetDebugLevel$550$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$550$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon42_Then#1, inline$BDLDevicePowerIoCompletion$21$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_101_true#1, inline$BDLDevicePowerIoCompletion$21$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_102#1:
+ goto inline$BDLGetDebugLevel$551$Entry#1;
+
+ inline$BDLGetDebugLevel$551$Entry#1:
+ goto inline$BDLGetDebugLevel$551$start#1;
+
+ inline$BDLGetDebugLevel$551$start#1:
+ goto inline$BDLGetDebugLevel$551$label_3#1;
+
+ inline$BDLGetDebugLevel$551$label_3#1:
+ havoc inline$BDLGetDebugLevel$551$myNondetVar_0;
+ inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$551$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$551$label_1#1;
+
+ inline$BDLGetDebugLevel$551$label_1#1:
+ goto inline$BDLGetDebugLevel$551$Return#1;
+
+ inline$BDLGetDebugLevel$551$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$551$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon43_Then#1, inline$BDLDevicePowerIoCompletion$21$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_111_true#1, inline$BDLDevicePowerIoCompletion$21$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$21$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$21$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_112#1:
+ goto inline$BDLGetDebugLevel$552$Entry#1;
+
+ inline$BDLGetDebugLevel$552$Entry#1:
+ goto inline$BDLGetDebugLevel$552$start#1;
+
+ inline$BDLGetDebugLevel$552$start#1:
+ goto inline$BDLGetDebugLevel$552$label_3#1;
+
+ inline$BDLGetDebugLevel$552$label_3#1:
+ havoc inline$BDLGetDebugLevel$552$myNondetVar_0;
+ inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$552$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$552$label_1#1;
+
+ inline$BDLGetDebugLevel$552$label_1#1:
+ goto inline$BDLGetDebugLevel$552$Return#1;
+
+ inline$BDLGetDebugLevel$552$Return#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$552$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$21$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$21$anon44_Then#1, inline$BDLDevicePowerIoCompletion$21$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_121_true#1, inline$BDLDevicePowerIoCompletion$21$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$21$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$21$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$21$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_122#1:
+ inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$21$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$21$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$21$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$21$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$21$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$21$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$21$Return#1:
+ inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$21$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$21$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$21$anon13_Then#1, inline$CallCompletionRoutine$21$anon13_Else#1;
+
+ inline$CallCompletionRoutine$21$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$21$anon7#1;
+
+ inline$CallCompletionRoutine$21$anon7#1:
+ goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$21$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$21$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$21$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$21$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$21$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$21$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$start#1:
+ inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$21$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$label_3_true#1, inline$BDLCallDriverCompletionRoutine$21$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$21$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$21$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$21$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_6#1:
+ goto inline$storm_KeSetEvent$23$Entry#1;
+
+ inline$storm_KeSetEvent$23$Entry#1:
+ inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$21$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$23$start#1;
+
+ inline$storm_KeSetEvent$23$start#1:
+ inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$23$label_3#1;
+
+ inline$storm_KeSetEvent$23$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$23$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$23$label_1#1;
+
+ inline$storm_KeSetEvent$23$label_1#1:
+ goto inline$storm_KeSetEvent$23$Return#1;
+
+ inline$storm_KeSetEvent$23$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$21$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$21$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$21$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$21$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$21$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$21$Return#1:
+ inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$21$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$21$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$21$anon12_Then#1, inline$CallCompletionRoutine$21$anon12_Else#1;
+
+ inline$CallCompletionRoutine$21$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$21$anon5#1;
+
+ inline$CallCompletionRoutine$21$anon5#1:
+ goto inline$CallCompletionRoutine$21$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$21$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$21$label_23#1;
+
+ inline$CallCompletionRoutine$21$label_23#1:
+ inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$21$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$21$label_24#1;
+
+ inline$CallCompletionRoutine$21$label_24#1:
+ goto inline$CallCompletionRoutine$21$label_24_true#1, inline$CallCompletionRoutine$21$label_24_false#1;
+
+ inline$CallCompletionRoutine$21$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$21$label_1#1;
+
+ inline$CallCompletionRoutine$21$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$21$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$21$label_25#1;
+
+ inline$CallCompletionRoutine$21$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$21$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$21$label_1#1;
+
+ inline$CallCompletionRoutine$21$label_1#1:
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$21$Return#1;
+
+ inline$CallCompletionRoutine$21$Return#1:
+ goto inline$storm_IoCallDriver$10$label_39$1#1;
+
+ inline$storm_IoCallDriver$10$label_39$1#1:
+ goto inline$storm_IoCallDriver$10$anon15_Then#1, inline$storm_IoCallDriver$10$anon15_Else#1;
+
+ inline$storm_IoCallDriver$10$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$10$anon10#1;
+
+ inline$storm_IoCallDriver$10$anon10#1:
+ goto inline$storm_IoCallDriver$10$label_36#1;
+
+ inline$storm_IoCallDriver$10$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$10$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$10$label_28#1;
+
+ inline$storm_IoCallDriver$10$label_28#1:
+ inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$10$label_42#1;
+
+ inline$storm_IoCallDriver$10$label_42#1:
+ goto inline$storm_IoCallDriver$10$label_43#1;
+
+ inline$storm_IoCallDriver$10$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$10$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$10$label_36#1;
+
+ inline$storm_IoCallDriver$10$label_36#1:
+ inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$10$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$10$label_1#1;
+
+ inline$storm_IoCallDriver$10$label_1#1:
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$10$Return#1;
+
+ inline$storm_IoCallDriver$10$Return#1:
+ inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$10$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$4$label_3$1#1;
+
+ inline$storm_PoCallDriver$4$label_3$1#1:
+ goto inline$storm_PoCallDriver$4$anon2_Then#1, inline$storm_PoCallDriver$4$anon2_Else#1;
+
+ inline$storm_PoCallDriver$4$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$4$anon1#1;
+
+ inline$storm_PoCallDriver$4$anon1#1:
+ goto inline$storm_PoCallDriver$4$label_6#1;
+
+ inline$storm_PoCallDriver$4$label_6#1:
+ inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$4$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$4$label_1#1;
+
+ inline$storm_PoCallDriver$4$label_1#1:
+ goto inline$storm_PoCallDriver$4$Return#1;
+
+ inline$storm_PoCallDriver$4$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$4$Return#1;
+
+ inline$storm_PoCallDriver$4$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$4$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$4$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$4$Entry#1:
+ inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$4$start#1;
+
+ inline$storm_KeWaitForSingleObject$4$start#1:
+ inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$4$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$4$label_3_true#1, inline$storm_KeWaitForSingleObject$4$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon6_Then#1, inline$storm_KeWaitForSingleObject$4$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$4$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_13#1:
+ inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$Return#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$4$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_5#1:
+ call inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$4$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$4$label_8_true#1, inline$storm_KeWaitForSingleObject$4$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon7_Then#1, inline$storm_KeWaitForSingleObject$4$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$4$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_12#1:
+ inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$4$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$Return#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$4$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon8_Then#1, inline$storm_KeWaitForSingleObject$4$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$4$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$4$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_11#1:
+ inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$4$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$4$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$4$Return#1;
+
+ inline$storm_KeWaitForSingleObject$4$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$4$Return#1;
+
+ inline$storm_KeWaitForSingleObject$4$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$4$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$4$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$4$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$4$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$4$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$4$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$4$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$4$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$ := inline$BDLCallLowerLevelDriverAndWait$4$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_71$1#1;
+
+ inline$BDLPnPQueryStop$0$label_71$1#1:
+ goto inline$BDLPnPQueryStop$0$anon32_Then#1, inline$BDLPnPQueryStop$0$anon32_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon21#1;
+
+ inline$BDLPnPQueryStop$0$anon21#1:
+ goto inline$BDLPnPQueryStop$0$label_74#1;
+
+ inline$BDLPnPQueryStop$0$label_74#1:
+ inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := inline$BDLPnPQueryStop$0$$result.BDLCallLowerLevelDriverAndWait$2116.47$13$;
+ goto inline$BDLPnPQueryStop$0$label_42#1;
+
+ inline$BDLPnPQueryStop$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon26_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$label_34_true#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ assume INT_ULT(0, inline$BDLPnPQueryStop$0$myNondetVar_0);
+ goto inline$BDLPnPQueryStop$0$label_38#1;
+
+ inline$BDLPnPQueryStop$0$label_38#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_1;
+ assume inline$BDLPnPQueryStop$0$myNondetVar_0 == inline$BDLPnPQueryStop$0$myNondetVar_1;
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$3$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$3$Entry#1:
+ inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := SpinLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryStop$0$$pBDLExtension$1$2076.40$BDLPnPQueryStop$12);
+ goto inline$storm_KeReleaseSpinLock$3$start#1;
+
+ inline$storm_KeReleaseSpinLock$3$start#1:
+ inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$3$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$3$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$3$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$3$anon5_Then#1, inline$storm_KeReleaseSpinLock$3$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$3$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$3$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_8#1:
+ goto inline$storm_getThreadID$6$Entry#1;
+
+ inline$storm_getThreadID$6$Entry#1:
+ goto inline$storm_getThreadID$6$anon0#1;
+
+ inline$storm_getThreadID$6$anon0#1:
+ inline$storm_getThreadID$6$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$6$Return#1;
+
+ inline$storm_getThreadID$6$Return#1:
+ inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$6$tid;
+ goto inline$storm_KeReleaseSpinLock$3$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$3$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$3$label_11_true#1, inline$storm_KeReleaseSpinLock$3$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$3$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$3$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$3$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$3$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$3$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$3$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$3$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$3$anon6_Then#1, inline$storm_KeReleaseSpinLock$3$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$3$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$3$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$3$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$3$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$3$Return#1;
+
+ inline$storm_KeReleaseSpinLock$3$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$3$Return#1;
+
+ inline$storm_KeReleaseSpinLock$3$Return#1:
+ goto inline$BDLPnPQueryStop$0$label_38$1#1;
+
+ inline$BDLPnPQueryStop$0$label_38$1#1:
+ goto inline$BDLPnPQueryStop$0$anon27_Then#1, inline$BDLPnPQueryStop$0$anon27_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon27_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon11#1;
+
+ inline$BDLPnPQueryStop$0$anon11#1:
+ havoc inline$BDLPnPQueryStop$0$myNondetVar_0;
+ goto inline$BDLPnPQueryStop$0$label_41#1;
+
+ inline$BDLPnPQueryStop$0$label_41#1:
+ inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12 := 0 - 2147483631;
+ goto inline$BDLPnPQueryStop$0$label_42#1;
+
+ inline$BDLPnPQueryStop$0$label_42#1:
+ goto inline$BDLGetDebugLevel$478$Entry#1;
+
+ inline$BDLGetDebugLevel$478$Entry#1:
+ goto inline$BDLGetDebugLevel$478$start#1;
+
+ inline$BDLGetDebugLevel$478$start#1:
+ goto inline$BDLGetDebugLevel$478$label_3#1;
+
+ inline$BDLGetDebugLevel$478$label_3#1:
+ havoc inline$BDLGetDebugLevel$478$myNondetVar_0;
+ inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$478$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$478$label_1#1;
+
+ inline$BDLGetDebugLevel$478$label_1#1:
+ goto inline$BDLGetDebugLevel$478$Return#1;
+
+ inline$BDLGetDebugLevel$478$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$ := inline$BDLGetDebugLevel$478$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_42$1#1;
+
+ inline$BDLPnPQueryStop$0$label_42$1#1:
+ goto inline$BDLPnPQueryStop$0$anon28_Then#1, inline$BDLPnPQueryStop$0$anon28_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon13#1;
+
+ inline$BDLPnPQueryStop$0$anon13#1:
+ goto inline$BDLPnPQueryStop$0$label_45#1;
+
+ inline$BDLPnPQueryStop$0$label_45#1:
+ goto inline$BDLPnPQueryStop$0$label_45_true#1, inline$BDLPnPQueryStop$0$label_45_false#1;
+
+ inline$BDLPnPQueryStop$0$label_45_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$) == 0;
+ goto inline$BDLPnPQueryStop$0$label_46#1;
+
+ inline$BDLPnPQueryStop$0$label_45_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$14$) != 0;
+ goto inline$BDLPnPQueryStop$0$label_49#1;
+
+ inline$BDLPnPQueryStop$0$label_49#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_50#1;
+
+ inline$BDLPnPQueryStop$0$label_50#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_51#1;
+
+ inline$BDLPnPQueryStop$0$label_51#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_52#1;
+
+ inline$BDLPnPQueryStop$0$label_52#1:
+ havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$15$;
+ goto inline$BDLPnPQueryStop$0$label_46#1;
+
+ inline$BDLPnPQueryStop$0$label_46#1:
+ goto inline$BDLGetDebugLevel$479$Entry#1;
+
+ inline$BDLGetDebugLevel$479$Entry#1:
+ goto inline$BDLGetDebugLevel$479$start#1;
+
+ inline$BDLGetDebugLevel$479$start#1:
+ goto inline$BDLGetDebugLevel$479$label_3#1;
+
+ inline$BDLGetDebugLevel$479$label_3#1:
+ havoc inline$BDLGetDebugLevel$479$myNondetVar_0;
+ inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$479$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$479$label_1#1;
+
+ inline$BDLGetDebugLevel$479$label_1#1:
+ goto inline$BDLGetDebugLevel$479$Return#1;
+
+ inline$BDLGetDebugLevel$479$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$ := inline$BDLGetDebugLevel$479$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_46$1#1;
+
+ inline$BDLPnPQueryStop$0$label_46$1#1:
+ goto inline$BDLPnPQueryStop$0$anon29_Then#1, inline$BDLPnPQueryStop$0$anon29_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon15#1;
+
+ inline$BDLPnPQueryStop$0$anon15#1:
+ goto inline$BDLPnPQueryStop$0$label_55#1;
+
+ inline$BDLPnPQueryStop$0$label_55#1:
+ goto inline$BDLPnPQueryStop$0$label_55_true#1, inline$BDLPnPQueryStop$0$label_55_false#1;
+
+ inline$BDLPnPQueryStop$0$label_55_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$) == 0;
+ goto inline$BDLPnPQueryStop$0$label_56#1;
+
+ inline$BDLPnPQueryStop$0$label_55_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$19$) != 0;
+ goto inline$BDLPnPQueryStop$0$label_59#1;
+
+ inline$BDLPnPQueryStop$0$label_59#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_60#1;
+
+ inline$BDLPnPQueryStop$0$label_60#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_61#1;
+
+ inline$BDLPnPQueryStop$0$label_61#1:
+ call inline$BDLPnPQueryStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryStop$0$label_62#1;
+
+ inline$BDLPnPQueryStop$0$label_62#1:
+ havoc inline$BDLPnPQueryStop$0$$result.DbgPrint$2119.0$20$;
+ goto inline$BDLPnPQueryStop$0$label_56#1;
+
+ inline$BDLPnPQueryStop$0$label_56#1:
+ goto inline$BDLGetDebugLevel$480$Entry#1;
+
+ inline$BDLGetDebugLevel$480$Entry#1:
+ goto inline$BDLGetDebugLevel$480$start#1;
+
+ inline$BDLGetDebugLevel$480$start#1:
+ goto inline$BDLGetDebugLevel$480$label_3#1;
+
+ inline$BDLGetDebugLevel$480$label_3#1:
+ havoc inline$BDLGetDebugLevel$480$myNondetVar_0;
+ inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$480$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$480$label_1#1;
+
+ inline$BDLGetDebugLevel$480$label_1#1:
+ goto inline$BDLGetDebugLevel$480$Return#1;
+
+ inline$BDLGetDebugLevel$480$Return#1:
+ inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$ := inline$BDLGetDebugLevel$480$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryStop$0$label_56$1#1;
+
+ inline$BDLPnPQueryStop$0$label_56$1#1:
+ goto inline$BDLPnPQueryStop$0$anon30_Then#1, inline$BDLPnPQueryStop$0$anon30_Else#1;
+
+ inline$BDLPnPQueryStop$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryStop$0$anon17#1;
+
+ inline$BDLPnPQueryStop$0$anon17#1:
+ goto inline$BDLPnPQueryStop$0$label_65#1;
+
+ inline$BDLPnPQueryStop$0$label_65#1:
+ goto inline$BDLPnPQueryStop$0$label_65_true#1, inline$BDLPnPQueryStop$0$label_65_false#1;
+
+ inline$BDLPnPQueryStop$0$label_65_false#1:
+ assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$, 4) == 0;
+ goto inline$BDLPnPQueryStop$0$label_66#1;
+
+ inline$BDLPnPQueryStop$0$label_65_true#1:
+ assume BIT_BAND(inline$BDLPnPQueryStop$0$$result.BDLGetDebugLevel$2119.0$24$, 4) != 0;
+ goto inline$BDLPnPQueryStop$0$label_67#1;
+
+ inline$BDLPnPQueryStop$0$label_67#1:
+ goto inline$BDLPnPQueryStop$0$label_66#1;
+
+ inline$BDLPnPQueryStop$0$label_66#1:
+ inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$ := inline$BDLPnPQueryStop$0$$status$4$2081.16$BDLPnPQueryStop$12;
+ goto inline$BDLPnPQueryStop$0$label_1#1;
+
+ inline$BDLPnPQueryStop$0$label_1#1:
+ call __HAVOC_free(inline$BDLPnPQueryStop$0$$irql$5$2082.16$BDLPnPQueryStop$12);
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon27_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon25_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon24_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryStop$0$Return#1;
+
+ inline$BDLPnPQueryStop$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$ := inline$BDLPnPQueryStop$0$$result.BDLPnPQueryStop$2074.0$1$;
+ goto inline$BDLPnP$0$label_128$1#1;
+
+ inline$BDLPnP$0$label_128$1#1:
+ goto inline$BDLPnP$0$anon72_Then#1, inline$BDLPnP$0$anon72_Else#1;
+
+ inline$BDLPnP$0$anon72_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon37#1;
+
+ inline$BDLPnP$0$anon37#1:
+ goto inline$BDLPnP$0$label_149#1;
+
+ inline$BDLPnP$0$label_149#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPQueryStop$916.32$29$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon72_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_5#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 4;
+ goto inline$BDLPnP$0$label_125#1;
+
+ inline$BDLPnP$0$label_125#1:
+ goto inline$BDLPnPStop$0$Entry#1;
+
+ inline$BDLPnPStop$0$Entry#1:
+ inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPStop$0$start#1;
+
+ inline$BDLPnPStop$0$start#1:
+ inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12 := inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12_.1;
+ inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12 := inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12_.1;
+ goto inline$BDLPnPStop$0$label_3#1;
+
+ inline$BDLPnPStop$0$label_3#1:
+ goto inline$BDLPnPStop$0$label_4#1;
+
+ inline$BDLPnPStop$0$label_4#1:
+ inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := 0;
+ goto inline$BDLPnPStop$0$label_5#1;
+
+ inline$BDLPnPStop$0$label_5#1:
+ goto inline$BDLPnPStop$0$label_6#1;
+
+ inline$BDLPnPStop$0$label_6#1:
+ havoc inline$BDLPnPStop$0$myNondetVar_0;
+ goto inline$BDLPnPStop$0$label_7#1;
+
+ inline$BDLPnPStop$0$label_7#1:
+ goto inline$BDLGetDebugLevel$379$Entry#1;
+
+ inline$BDLGetDebugLevel$379$Entry#1:
+ goto inline$BDLGetDebugLevel$379$start#1;
+
+ inline$BDLGetDebugLevel$379$start#1:
+ goto inline$BDLGetDebugLevel$379$label_3#1;
+
+ inline$BDLGetDebugLevel$379$label_3#1:
+ havoc inline$BDLGetDebugLevel$379$myNondetVar_0;
+ inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$379$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$379$label_1#1;
+
+ inline$BDLGetDebugLevel$379$label_1#1:
+ goto inline$BDLGetDebugLevel$379$Return#1;
+
+ inline$BDLGetDebugLevel$379$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$ := inline$BDLGetDebugLevel$379$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_7$1#1;
+
+ inline$BDLPnPStop$0$label_7$1#1:
+ goto inline$BDLPnPStop$0$anon28_Then#1, inline$BDLPnPStop$0$anon28_Else#1;
+
+ inline$BDLPnPStop$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon1#1;
+
+ inline$BDLPnPStop$0$anon1#1:
+ goto inline$BDLPnPStop$0$label_10#1;
+
+ inline$BDLPnPStop$0$label_10#1:
+ goto inline$BDLPnPStop$0$label_10_true#1, inline$BDLPnPStop$0$label_10_false#1;
+
+ inline$BDLPnPStop$0$label_10_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$) == 0;
+ goto inline$BDLPnPStop$0$label_11#1;
+
+ inline$BDLPnPStop$0$label_10_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$2$) != 0;
+ goto inline$BDLPnPStop$0$label_14#1;
+
+ inline$BDLPnPStop$0$label_14#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_15#1;
+
+ inline$BDLPnPStop$0$label_15#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_16#1;
+
+ inline$BDLPnPStop$0$label_16#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_17#1;
+
+ inline$BDLPnPStop$0$label_17#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2190.0$3$;
+ goto inline$BDLPnPStop$0$label_11#1;
+
+ inline$BDLPnPStop$0$label_11#1:
+ goto inline$BDLGetDebugLevel$380$Entry#1;
+
+ inline$BDLGetDebugLevel$380$Entry#1:
+ goto inline$BDLGetDebugLevel$380$start#1;
+
+ inline$BDLGetDebugLevel$380$start#1:
+ goto inline$BDLGetDebugLevel$380$label_3#1;
+
+ inline$BDLGetDebugLevel$380$label_3#1:
+ havoc inline$BDLGetDebugLevel$380$myNondetVar_0;
+ inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$380$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$380$label_1#1;
+
+ inline$BDLGetDebugLevel$380$label_1#1:
+ goto inline$BDLGetDebugLevel$380$Return#1;
+
+ inline$BDLGetDebugLevel$380$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$ := inline$BDLGetDebugLevel$380$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_11$1#1;
+
+ inline$BDLPnPStop$0$label_11$1#1:
+ goto inline$BDLPnPStop$0$anon29_Then#1, inline$BDLPnPStop$0$anon29_Else#1;
+
+ inline$BDLPnPStop$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon3#1;
+
+ inline$BDLPnPStop$0$anon3#1:
+ goto inline$BDLPnPStop$0$label_20#1;
+
+ inline$BDLPnPStop$0$label_20#1:
+ goto inline$BDLPnPStop$0$label_20_true#1, inline$BDLPnPStop$0$label_20_false#1;
+
+ inline$BDLPnPStop$0$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$) == 0;
+ goto inline$BDLPnPStop$0$label_21#1;
+
+ inline$BDLPnPStop$0$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$7$) != 0;
+ goto inline$BDLPnPStop$0$label_24#1;
+
+ inline$BDLPnPStop$0$label_24#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_25#1;
+
+ inline$BDLPnPStop$0$label_25#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_26#1;
+
+ inline$BDLPnPStop$0$label_26#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_27#1;
+
+ inline$BDLPnPStop$0$label_27#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2190.0$8$;
+ goto inline$BDLPnPStop$0$label_21#1;
+
+ inline$BDLPnPStop$0$label_21#1:
+ goto inline$BDLGetDebugLevel$381$Entry#1;
+
+ inline$BDLGetDebugLevel$381$Entry#1:
+ goto inline$BDLGetDebugLevel$381$start#1;
+
+ inline$BDLGetDebugLevel$381$start#1:
+ goto inline$BDLGetDebugLevel$381$label_3#1;
+
+ inline$BDLGetDebugLevel$381$label_3#1:
+ havoc inline$BDLGetDebugLevel$381$myNondetVar_0;
+ inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$381$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$381$label_1#1;
+
+ inline$BDLGetDebugLevel$381$label_1#1:
+ goto inline$BDLGetDebugLevel$381$Return#1;
+
+ inline$BDLGetDebugLevel$381$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$ := inline$BDLGetDebugLevel$381$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_21$1#1;
+
+ inline$BDLPnPStop$0$label_21$1#1:
+ goto inline$BDLPnPStop$0$anon30_Then#1, inline$BDLPnPStop$0$anon30_Else#1;
+
+ inline$BDLPnPStop$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon5#1;
+
+ inline$BDLPnPStop$0$anon5#1:
+ goto inline$BDLPnPStop$0$label_30#1;
+
+ inline$BDLPnPStop$0$label_30#1:
+ goto inline$BDLPnPStop$0$label_30_true#1, inline$BDLPnPStop$0$label_30_false#1;
+
+ inline$BDLPnPStop$0$label_30_false#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$, 4) == 0;
+ goto inline$BDLPnPStop$0$label_31#1;
+
+ inline$BDLPnPStop$0$label_30_true#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2190.0$12$, 4) != 0;
+ goto inline$BDLPnPStop$0$label_34#1;
+
+ inline$BDLPnPStop$0$label_34#1:
+ goto inline$BDLPnPStop$0$label_31#1;
+
+ inline$BDLPnPStop$0$label_31#1:
+ call inline$BDLPnPStop$0$$result.IoSetDeviceInterfaceState$2199.29$13$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStop$0$$pBDLExtension$1$2182.40$BDLPnPStop$12), 0);
+ goto inline$BDLPnPStop$0$anon31_Then#1, inline$BDLPnPStop$0$anon31_Else#1;
+
+ inline$BDLPnPStop$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon7#1;
+
+ inline$BDLPnPStop$0$anon7#1:
+ goto inline$BDLPnPStop$0$label_35#1;
+
+ inline$BDLPnPStop$0$label_35#1:
+ goto inline$BDLPnPStop$0$label_38#1;
+
+ inline$BDLPnPStop$0$label_38#1:
+ inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := inline$BDLPnPStop$0$$callresult.$2204.67$14$;
+ goto inline$BDLPnPStop$0$label_39#1;
+
+ inline$BDLPnPStop$0$label_39#1:
+ goto inline$BDLPnPStop$0$label_39_true#1, inline$BDLPnPStop$0$label_39_false#1;
+
+ inline$BDLPnPStop$0$label_39_false#1:
+ assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 == 0;
+ goto inline$BDLPnPStop$0$label_40#1;
+
+ inline$BDLPnPStop$0$label_40#1:
+ goto inline$BDLPnPStop$0$label_92#1;
+
+ inline$BDLPnPStop$0$label_92#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPStop$0$$pIrp$3$2184.40$BDLPnPStop$12;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$72$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$72$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$start#1:
+ inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$72$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$72$label_3_true#1, inline$IoGetCurrentIrpStackLocation$72$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$72$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$72$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$72$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$72$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$72$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$72$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$72$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$72$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$72$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$72$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$72$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$72$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$72$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$72$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$72$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$72$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$72$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$72$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$72$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$7$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$7$Entry#1:
+ inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$3$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$7$start#1;
+
+ inline$IoGetNextIrpStackLocation$7$start#1:
+ inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$7$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$7$label_3_true#1, inline$IoGetNextIrpStackLocation$7$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$7$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$7$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_4#1:
+ call inline$IoGetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$7$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_6#1:
+ call inline$IoGetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$7$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$7$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$7$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$7$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$7$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$7$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$7$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$7$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$7$Return#1;
+
+ inline$IoGetNextIrpStackLocation$7$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$3$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$3$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_9#1:
+ goto inline$storm_KeInitializeEvent$3$Entry#1;
+
+ inline$storm_KeInitializeEvent$3$Entry#1:
+ inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$3$start#1;
+
+ inline$storm_KeInitializeEvent$3$start#1:
+ inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$3$label_3#1;
+
+ inline$storm_KeInitializeEvent$3$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$3$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$3$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$3$label_1#1;
+
+ inline$storm_KeInitializeEvent$3$label_1#1:
+ goto inline$storm_KeInitializeEvent$3$Return#1;
+
+ inline$storm_KeInitializeEvent$3$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$3$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$3$Entry#1:
+ inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$3$start#1;
+
+ inline$storm_IoSetCompletionRoutine$3$start#1:
+ inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$3$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$3$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_7_true#1, inline$storm_IoSetCompletionRoutine$3$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$3$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$3$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$3$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$3$anon6_Then#1, inline$storm_IoSetCompletionRoutine$3$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$3$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$3$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_12_true#1, inline$storm_IoSetCompletionRoutine$3$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$3$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$3$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$3$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$8$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$8$Entry#1:
+ inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$3$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$8$start#1;
+
+ inline$IoGetNextIrpStackLocation$8$start#1:
+ inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$8$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$8$label_3_true#1, inline$IoGetNextIrpStackLocation$8$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$8$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$8$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_4#1:
+ call inline$IoGetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$8$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_6#1:
+ call inline$IoGetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$8$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$8$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$8$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$8$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$8$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$8$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$8$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$8$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$8$Return#1;
+
+ inline$IoGetNextIrpStackLocation$8$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$3$anon5_Then#1, inline$storm_IoSetCompletionRoutine$3$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$3$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$3$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$3$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$3$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$3$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$3$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$3$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$3$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$73$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$73$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$start#1:
+ inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$73$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$73$label_3_true#1, inline$IoGetCurrentIrpStackLocation$73$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$73$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$73$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$73$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$73$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$73$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$73$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$73$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$73$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$73$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$73$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$73$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$73$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$73$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$73$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$73$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$73$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$73$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$73$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$73$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_19#1:
+ goto inline$storm_IoCallDriver$7$Entry#1;
+
+ inline$storm_IoCallDriver$7$Entry#1:
+ inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$7$start#1;
+
+ inline$storm_IoCallDriver$7$start#1:
+ inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$7$label_3#1;
+
+ inline$storm_IoCallDriver$7$label_3#1:
+ goto inline$storm_IoCallDriver$7$label_4#1;
+
+ inline$storm_IoCallDriver$7$label_4#1:
+ goto inline$storm_IoCallDriver$7$label_5#1;
+
+ inline$storm_IoCallDriver$7$label_5#1:
+ goto inline$storm_IoCallDriver$7$label_6#1;
+
+ inline$storm_IoCallDriver$7$label_6#1:
+ call inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$7$label_9#1;
+
+ inline$storm_IoCallDriver$7$label_9#1:
+ goto inline$storm_IoCallDriver$7$label_9_true#1, inline$storm_IoCallDriver$7$label_9_false#1;
+
+ inline$storm_IoCallDriver$7$label_9_false#1:
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$7$label_10#1;
+
+ inline$storm_IoCallDriver$7$label_9_true#1:
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$7$label_13#1;
+
+ inline$storm_IoCallDriver$7$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$7$anon12_Then#1, inline$storm_IoCallDriver$7$anon12_Else#1;
+
+ inline$storm_IoCallDriver$7$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$7$anon3#1;
+
+ inline$storm_IoCallDriver$7$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$7$label_14#1;
+
+ inline$storm_IoCallDriver$7$label_14#1:
+ goto inline$storm_IoCallDriver$7$label_14_true#1, inline$storm_IoCallDriver$7$label_14_false#1;
+
+ inline$storm_IoCallDriver$7$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$7$label_15#1;
+
+ inline$storm_IoCallDriver$7$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$7$label_1#1;
+
+ inline$storm_IoCallDriver$7$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$7$label_10#1;
+
+ inline$storm_IoCallDriver$7$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$8$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$8$Entry#1:
+ inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$8$start#1;
+
+ inline$IoSetNextIrpStackLocation$8$start#1:
+ inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$8$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$8$label_3_true#1, inline$IoSetNextIrpStackLocation$8$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$8$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$8$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_4#1:
+ call inline$IoSetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$8$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_7#1:
+ call inline$IoSetNextIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$8$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$8$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$8$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$8$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_5#1:
+ inline$IoSetNextIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$8$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$8$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$8$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$8$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$8$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$8$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$8$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$8$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$8$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$8$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$8$Return#1;
+
+ inline$IoSetNextIrpStackLocation$8$Return#1:
+ goto inline$storm_IoCallDriver$7$label_10$1#1;
+
+ inline$storm_IoCallDriver$7$label_10$1#1:
+ goto inline$storm_IoCallDriver$7$anon11_Then#1, inline$storm_IoCallDriver$7$anon11_Else#1;
+
+ inline$storm_IoCallDriver$7$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$7$anon1#1;
+
+ inline$storm_IoCallDriver$7$anon1#1:
+ goto inline$storm_IoCallDriver$7$label_18#1;
+
+ inline$storm_IoCallDriver$7$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$74$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$74$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$start#1:
+ inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$74$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$74$label_3_true#1, inline$IoGetCurrentIrpStackLocation$74$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$74$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$74$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$74$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$74$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$74$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$74$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$74$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$74$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$74$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$74$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$74$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$74$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$74$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$74$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$74$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$74$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$74$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$74$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$74$Return#1:
+ goto inline$storm_IoCallDriver$7$label_18$1#1;
+
+ inline$storm_IoCallDriver$7$label_18$1#1:
+ goto inline$storm_IoCallDriver$7$anon13_Then#1, inline$storm_IoCallDriver$7$anon13_Else#1;
+
+ inline$storm_IoCallDriver$7$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$7$anon6#1;
+
+ inline$storm_IoCallDriver$7$anon6#1:
+ goto inline$storm_IoCallDriver$7$label_21#1;
+
+ inline$storm_IoCallDriver$7$label_21#1:
+ goto inline$storm_IoCallDriver$7$label_22#1;
+
+ inline$storm_IoCallDriver$7$label_22#1:
+ goto inline$storm_IoCallDriver$7$label_23#1;
+
+ inline$storm_IoCallDriver$7$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$7$label_24#1;
+
+ inline$storm_IoCallDriver$7$label_24#1:
+ call inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$7$label_27#1;
+
+ inline$storm_IoCallDriver$7$label_27#1:
+ goto inline$storm_IoCallDriver$7$label_27_case_0#1, inline$storm_IoCallDriver$7$label_27_case_1#1, inline$storm_IoCallDriver$7$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$7$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$7$label_30#1;
+
+ inline$storm_IoCallDriver$7$label_30#1:
+ inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$7$label_31#1;
+
+ inline$storm_IoCallDriver$7$label_31#1:
+ goto inline$storm_IoCallDriver$7$label_32#1;
+
+ inline$storm_IoCallDriver$7$label_32#1:
+ goto inline$storm_IoCallDriver$7$label_33#1;
+
+ inline$storm_IoCallDriver$7$label_33#1:
+ goto inline$CallCompletionRoutine$14$Entry#1;
+
+ inline$CallCompletionRoutine$14$Entry#1:
+ inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$14$start#1;
+
+ inline$CallCompletionRoutine$14$start#1:
+ inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$14$label_3#1;
+
+ inline$CallCompletionRoutine$14$label_3#1:
+ goto inline$CallCompletionRoutine$14$label_4#1;
+
+ inline$CallCompletionRoutine$14$label_4#1:
+ goto inline$CallCompletionRoutine$14$label_5#1;
+
+ inline$CallCompletionRoutine$14$label_5#1:
+ goto inline$CallCompletionRoutine$14$label_6#1;
+
+ inline$CallCompletionRoutine$14$label_6#1:
+ goto inline$CallCompletionRoutine$14$label_7#1;
+
+ inline$CallCompletionRoutine$14$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$75$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$75$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$start#1:
+ inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$75$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$75$label_3_true#1, inline$IoGetCurrentIrpStackLocation$75$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$75$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$75$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$75$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$75$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$75$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$75$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$75$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$75$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$75$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$75$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$75$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$75$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$75$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$75$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$75$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$75$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$75$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$75$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$75$Return#1:
+ goto inline$CallCompletionRoutine$14$label_7$1#1;
+
+ inline$CallCompletionRoutine$14$label_7$1#1:
+ goto inline$CallCompletionRoutine$14$anon10_Then#1, inline$CallCompletionRoutine$14$anon10_Else#1;
+
+ inline$CallCompletionRoutine$14$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$14$anon1#1;
+
+ inline$CallCompletionRoutine$14$anon1#1:
+ goto inline$CallCompletionRoutine$14$label_10#1;
+
+ inline$CallCompletionRoutine$14$label_10#1:
+ goto inline$CallCompletionRoutine$14$label_11#1;
+
+ inline$CallCompletionRoutine$14$label_11#1:
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$CallCompletionRoutine$14$label_12#1;
+
+ inline$CallCompletionRoutine$14$label_12#1:
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$CallCompletionRoutine$14$label_13#1;
+
+ inline$CallCompletionRoutine$14$label_13#1:
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$CallCompletionRoutine$14$label_14#1;
+
+ inline$CallCompletionRoutine$14$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$76$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$76$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$start#1:
+ inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$76$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$76$label_3_true#1, inline$IoGetCurrentIrpStackLocation$76$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$76$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$76$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$76$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$76$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$76$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$76$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$76$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$76$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$76$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$76$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$76$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$76$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$76$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$76$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$76$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$76$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$76$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$76$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$76$Return#1:
+ goto inline$CallCompletionRoutine$14$label_14$1#1;
+
+ inline$CallCompletionRoutine$14$label_14$1#1:
+ goto inline$CallCompletionRoutine$14$anon11_Then#1, inline$CallCompletionRoutine$14$anon11_Else#1;
+
+ inline$CallCompletionRoutine$14$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$14$anon3#1;
+
+ inline$CallCompletionRoutine$14$anon3#1:
+ goto inline$CallCompletionRoutine$14$label_17#1;
+
+ inline$CallCompletionRoutine$14$label_17#1:
+ goto inline$CallCompletionRoutine$14$label_18#1;
+
+ inline$CallCompletionRoutine$14$label_18#1:
+ goto inline$CallCompletionRoutine$14$label_18_true#1, inline$CallCompletionRoutine$14$label_18_false#1;
+
+ inline$CallCompletionRoutine$14$label_18_false#1:
+ assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$14$label_1#1;
+
+ inline$CallCompletionRoutine$14$label_18_true#1:
+ assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$14$label_19#1;
+
+ inline$CallCompletionRoutine$14$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$14$label_20#1;
+
+ inline$CallCompletionRoutine$14$label_20#1:
+ goto inline$CallCompletionRoutine$14$label_20_icall_1#1, inline$CallCompletionRoutine$14$label_20_icall_2#1, inline$CallCompletionRoutine$14$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$14$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$14$Entry#1:
+ inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$14$start#1;
+
+ inline$BDLSystemPowerIoCompletion$14$start#1:
+ call inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$14$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_4#1:
+ inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_6#1:
+ inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$14$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$78$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$78$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$start#1:
+ inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$78$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$78$label_3_true#1, inline$IoGetCurrentIrpStackLocation$78$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$78$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$78$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$78$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$78$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$78$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$78$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$78$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$78$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$78$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$78$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$78$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$78$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$78$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$78$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$78$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$78$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$78$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$78$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$78$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon36_Then#1, inline$BDLSystemPowerIoCompletion$14$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_15#1:
+ goto inline$BDLGetDebugLevel$397$Entry#1;
+
+ inline$BDLGetDebugLevel$397$Entry#1:
+ goto inline$BDLGetDebugLevel$397$start#1;
+
+ inline$BDLGetDebugLevel$397$start#1:
+ goto inline$BDLGetDebugLevel$397$label_3#1;
+
+ inline$BDLGetDebugLevel$397$label_3#1:
+ havoc inline$BDLGetDebugLevel$397$myNondetVar_0;
+ inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$397$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$397$label_1#1;
+
+ inline$BDLGetDebugLevel$397$label_1#1:
+ goto inline$BDLGetDebugLevel$397$Return#1;
+
+ inline$BDLGetDebugLevel$397$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$397$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon37_Then#1, inline$BDLSystemPowerIoCompletion$14$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_18_true#1, inline$BDLSystemPowerIoCompletion$14$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_19#1:
+ goto inline$BDLGetDebugLevel$398$Entry#1;
+
+ inline$BDLGetDebugLevel$398$Entry#1:
+ goto inline$BDLGetDebugLevel$398$start#1;
+
+ inline$BDLGetDebugLevel$398$start#1:
+ goto inline$BDLGetDebugLevel$398$label_3#1;
+
+ inline$BDLGetDebugLevel$398$label_3#1:
+ havoc inline$BDLGetDebugLevel$398$myNondetVar_0;
+ inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$398$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$398$label_1#1;
+
+ inline$BDLGetDebugLevel$398$label_1#1:
+ goto inline$BDLGetDebugLevel$398$Return#1;
+
+ inline$BDLGetDebugLevel$398$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$398$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon38_Then#1, inline$BDLSystemPowerIoCompletion$14$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_28_true#1, inline$BDLSystemPowerIoCompletion$14$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_29#1:
+ goto inline$BDLGetDebugLevel$399$Entry#1;
+
+ inline$BDLGetDebugLevel$399$Entry#1:
+ goto inline$BDLGetDebugLevel$399$start#1;
+
+ inline$BDLGetDebugLevel$399$start#1:
+ goto inline$BDLGetDebugLevel$399$label_3#1;
+
+ inline$BDLGetDebugLevel$399$label_3#1:
+ havoc inline$BDLGetDebugLevel$399$myNondetVar_0;
+ inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$399$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$399$label_1#1;
+
+ inline$BDLGetDebugLevel$399$label_1#1:
+ goto inline$BDLGetDebugLevel$399$Return#1;
+
+ inline$BDLGetDebugLevel$399$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$399$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon39_Then#1, inline$BDLSystemPowerIoCompletion$14$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_38_true#1, inline$BDLSystemPowerIoCompletion$14$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_39_true#1, inline$BDLSystemPowerIoCompletion$14$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$14$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$14$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$14$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$14$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$14$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_106_true#1, inline$BDLSystemPowerIoCompletion$14$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$14$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$14$myNondetVar_0, inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$14$anon50_Then#1, inline$BDLSystemPowerIoCompletion$14$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_112#1:
+ inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_113_true#1, inline$BDLSystemPowerIoCompletion$14$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$14$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_117#1:
+ goto inline$BDLGetDebugLevel$406$Entry#1;
+
+ inline$BDLGetDebugLevel$406$Entry#1:
+ goto inline$BDLGetDebugLevel$406$start#1;
+
+ inline$BDLGetDebugLevel$406$start#1:
+ goto inline$BDLGetDebugLevel$406$label_3#1;
+
+ inline$BDLGetDebugLevel$406$label_3#1:
+ havoc inline$BDLGetDebugLevel$406$myNondetVar_0;
+ inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$406$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$406$label_1#1;
+
+ inline$BDLGetDebugLevel$406$label_1#1:
+ goto inline$BDLGetDebugLevel$406$Return#1;
+
+ inline$BDLGetDebugLevel$406$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$406$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon51_Then#1, inline$BDLSystemPowerIoCompletion$14$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_120_true#1, inline$BDLSystemPowerIoCompletion$14$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_121#1:
+ goto inline$BDLGetDebugLevel$407$Entry#1;
+
+ inline$BDLGetDebugLevel$407$Entry#1:
+ goto inline$BDLGetDebugLevel$407$start#1;
+
+ inline$BDLGetDebugLevel$407$start#1:
+ goto inline$BDLGetDebugLevel$407$label_3#1;
+
+ inline$BDLGetDebugLevel$407$label_3#1:
+ havoc inline$BDLGetDebugLevel$407$myNondetVar_0;
+ inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$407$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$407$label_1#1;
+
+ inline$BDLGetDebugLevel$407$label_1#1:
+ goto inline$BDLGetDebugLevel$407$Return#1;
+
+ inline$BDLGetDebugLevel$407$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$407$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon52_Then#1, inline$BDLSystemPowerIoCompletion$14$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_130_true#1, inline$BDLSystemPowerIoCompletion$14$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_131#1:
+ goto inline$BDLGetDebugLevel$408$Entry#1;
+
+ inline$BDLGetDebugLevel$408$Entry#1:
+ goto inline$BDLGetDebugLevel$408$start#1;
+
+ inline$BDLGetDebugLevel$408$start#1:
+ goto inline$BDLGetDebugLevel$408$label_3#1;
+
+ inline$BDLGetDebugLevel$408$label_3#1:
+ havoc inline$BDLGetDebugLevel$408$myNondetVar_0;
+ inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$408$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$408$label_1#1;
+
+ inline$BDLGetDebugLevel$408$label_1#1:
+ goto inline$BDLGetDebugLevel$408$Return#1;
+
+ inline$BDLGetDebugLevel$408$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$408$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon53_Then#1, inline$BDLSystemPowerIoCompletion$14$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_140_true#1, inline$BDLSystemPowerIoCompletion$14$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$14$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_115#1:
+ inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$14$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$14$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$14$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_43#1:
+ goto inline$BDLGetDebugLevel$400$Entry#1;
+
+ inline$BDLGetDebugLevel$400$Entry#1:
+ goto inline$BDLGetDebugLevel$400$start#1;
+
+ inline$BDLGetDebugLevel$400$start#1:
+ goto inline$BDLGetDebugLevel$400$label_3#1;
+
+ inline$BDLGetDebugLevel$400$label_3#1:
+ havoc inline$BDLGetDebugLevel$400$myNondetVar_0;
+ inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$400$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$400$label_1#1;
+
+ inline$BDLGetDebugLevel$400$label_1#1:
+ goto inline$BDLGetDebugLevel$400$Return#1;
+
+ inline$BDLGetDebugLevel$400$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$400$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon40_Then#1, inline$BDLSystemPowerIoCompletion$14$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_46_true#1, inline$BDLSystemPowerIoCompletion$14$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_47#1:
+ goto inline$BDLGetDebugLevel$401$Entry#1;
+
+ inline$BDLGetDebugLevel$401$Entry#1:
+ goto inline$BDLGetDebugLevel$401$start#1;
+
+ inline$BDLGetDebugLevel$401$start#1:
+ goto inline$BDLGetDebugLevel$401$label_3#1;
+
+ inline$BDLGetDebugLevel$401$label_3#1:
+ havoc inline$BDLGetDebugLevel$401$myNondetVar_0;
+ inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$401$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$401$label_1#1;
+
+ inline$BDLGetDebugLevel$401$label_1#1:
+ goto inline$BDLGetDebugLevel$401$Return#1;
+
+ inline$BDLGetDebugLevel$401$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$401$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon41_Then#1, inline$BDLSystemPowerIoCompletion$14$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_56_true#1, inline$BDLSystemPowerIoCompletion$14$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_57#1:
+ goto inline$BDLGetDebugLevel$402$Entry#1;
+
+ inline$BDLGetDebugLevel$402$Entry#1:
+ goto inline$BDLGetDebugLevel$402$start#1;
+
+ inline$BDLGetDebugLevel$402$start#1:
+ goto inline$BDLGetDebugLevel$402$label_3#1;
+
+ inline$BDLGetDebugLevel$402$label_3#1:
+ havoc inline$BDLGetDebugLevel$402$myNondetVar_0;
+ inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$402$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$402$label_1#1;
+
+ inline$BDLGetDebugLevel$402$label_1#1:
+ goto inline$BDLGetDebugLevel$402$Return#1;
+
+ inline$BDLGetDebugLevel$402$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$402$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon42_Then#1, inline$BDLSystemPowerIoCompletion$14$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_66_true#1, inline$BDLSystemPowerIoCompletion$14$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$14$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$14$anon43_Then#1, inline$BDLSystemPowerIoCompletion$14$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$14$anon44_Then#1, inline$BDLSystemPowerIoCompletion$14$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_74#1:
+ goto inline$storm_IoCompleteRequest$30$Entry#1;
+
+ inline$storm_IoCompleteRequest$30$Entry#1:
+ inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$14$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$30$start#1;
+
+ inline$storm_IoCompleteRequest$30$start#1:
+ inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$30$label_3#1;
+
+ inline$storm_IoCompleteRequest$30$label_3#1:
+ call inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$30$label_6#1;
+
+ inline$storm_IoCompleteRequest$30$label_6#1:
+ goto inline$storm_IoCompleteRequest$30$label_6_true#1, inline$storm_IoCompleteRequest$30$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$30$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$30$label_7#1;
+
+ inline$storm_IoCompleteRequest$30$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$30$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$30$label_8#1;
+
+ inline$storm_IoCompleteRequest$30$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$30$anon3_Then#1, inline$storm_IoCompleteRequest$30$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$30$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$30$anon1#1;
+
+ inline$storm_IoCompleteRequest$30$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$30$label_9#1;
+
+ inline$storm_IoCompleteRequest$30$label_9#1:
+ goto inline$storm_IoCompleteRequest$30$label_9_true#1, inline$storm_IoCompleteRequest$30$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$30$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$30$label_10#1;
+
+ inline$storm_IoCompleteRequest$30$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$30$label_1#1;
+
+ inline$storm_IoCompleteRequest$30$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$30$label_7#1;
+
+ inline$storm_IoCompleteRequest$30$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$30$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$30$label_1#1;
+
+ inline$storm_IoCompleteRequest$30$label_1#1:
+ goto inline$storm_IoCompleteRequest$30$Return#1;
+
+ inline$storm_IoCompleteRequest$30$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$30$Return#1;
+
+ inline$storm_IoCompleteRequest$30$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon45_Then#1, inline$BDLSystemPowerIoCompletion$14$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$14$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$14$anon46_Then#1, inline$BDLSystemPowerIoCompletion$14$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_80#1:
+ goto inline$BDLGetDebugLevel$403$Entry#1;
+
+ inline$BDLGetDebugLevel$403$Entry#1:
+ goto inline$BDLGetDebugLevel$403$start#1;
+
+ inline$BDLGetDebugLevel$403$start#1:
+ goto inline$BDLGetDebugLevel$403$label_3#1;
+
+ inline$BDLGetDebugLevel$403$label_3#1:
+ havoc inline$BDLGetDebugLevel$403$myNondetVar_0;
+ inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$403$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$403$label_1#1;
+
+ inline$BDLGetDebugLevel$403$label_1#1:
+ goto inline$BDLGetDebugLevel$403$Return#1;
+
+ inline$BDLGetDebugLevel$403$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$403$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon47_Then#1, inline$BDLSystemPowerIoCompletion$14$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_83_true#1, inline$BDLSystemPowerIoCompletion$14$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_84#1:
+ goto inline$BDLGetDebugLevel$404$Entry#1;
+
+ inline$BDLGetDebugLevel$404$Entry#1:
+ goto inline$BDLGetDebugLevel$404$start#1;
+
+ inline$BDLGetDebugLevel$404$start#1:
+ goto inline$BDLGetDebugLevel$404$label_3#1;
+
+ inline$BDLGetDebugLevel$404$label_3#1:
+ havoc inline$BDLGetDebugLevel$404$myNondetVar_0;
+ inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$404$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$404$label_1#1;
+
+ inline$BDLGetDebugLevel$404$label_1#1:
+ goto inline$BDLGetDebugLevel$404$Return#1;
+
+ inline$BDLGetDebugLevel$404$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$404$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon48_Then#1, inline$BDLSystemPowerIoCompletion$14$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_93_true#1, inline$BDLSystemPowerIoCompletion$14$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$14$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$14$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_94#1:
+ goto inline$BDLGetDebugLevel$405$Entry#1;
+
+ inline$BDLGetDebugLevel$405$Entry#1:
+ goto inline$BDLGetDebugLevel$405$start#1;
+
+ inline$BDLGetDebugLevel$405$start#1:
+ goto inline$BDLGetDebugLevel$405$label_3#1;
+
+ inline$BDLGetDebugLevel$405$label_3#1:
+ havoc inline$BDLGetDebugLevel$405$myNondetVar_0;
+ inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$405$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$405$label_1#1;
+
+ inline$BDLGetDebugLevel$405$label_1#1:
+ goto inline$BDLGetDebugLevel$405$Return#1;
+
+ inline$BDLGetDebugLevel$405$Return#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$405$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$14$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$14$anon49_Then#1, inline$BDLSystemPowerIoCompletion$14$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_103_true#1, inline$BDLSystemPowerIoCompletion$14$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$14$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$14$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$14$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_104#1:
+ inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$14$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$14$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$14$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$14$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$14$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$14$Return#1:
+ inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$14$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$14$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$14$anon14_Then#1, inline$CallCompletionRoutine$14$anon14_Else#1;
+
+ inline$CallCompletionRoutine$14$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$14$anon9#1;
+
+ inline$CallCompletionRoutine$14$anon9#1:
+ goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$14$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$14$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$14$Entry#1:
+ inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$14$start#1;
+
+ inline$BDLDevicePowerIoCompletion$14$start#1:
+ call inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$14$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_4#1:
+ inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_6#1:
+ inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$14$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$77$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$77$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$start#1:
+ inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$77$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$77$label_3_true#1, inline$IoGetCurrentIrpStackLocation$77$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$77$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$77$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$77$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$77$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$77$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$77$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$77$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$77$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$77$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$77$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$77$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$77$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$77$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$77$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$77$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$77$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$77$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$77$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$77$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$77$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon30_Then#1, inline$BDLDevicePowerIoCompletion$14$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_13#1:
+ inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_17#1:
+ goto inline$BDLGetDebugLevel$388$Entry#1;
+
+ inline$BDLGetDebugLevel$388$Entry#1:
+ goto inline$BDLGetDebugLevel$388$start#1;
+
+ inline$BDLGetDebugLevel$388$start#1:
+ goto inline$BDLGetDebugLevel$388$label_3#1;
+
+ inline$BDLGetDebugLevel$388$label_3#1:
+ havoc inline$BDLGetDebugLevel$388$myNondetVar_0;
+ inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$388$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$388$label_1#1;
+
+ inline$BDLGetDebugLevel$388$label_1#1:
+ goto inline$BDLGetDebugLevel$388$Return#1;
+
+ inline$BDLGetDebugLevel$388$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$388$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon31_Then#1, inline$BDLDevicePowerIoCompletion$14$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_20_true#1, inline$BDLDevicePowerIoCompletion$14$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_21#1:
+ goto inline$BDLGetDebugLevel$389$Entry#1;
+
+ inline$BDLGetDebugLevel$389$Entry#1:
+ goto inline$BDLGetDebugLevel$389$start#1;
+
+ inline$BDLGetDebugLevel$389$start#1:
+ goto inline$BDLGetDebugLevel$389$label_3#1;
+
+ inline$BDLGetDebugLevel$389$label_3#1:
+ havoc inline$BDLGetDebugLevel$389$myNondetVar_0;
+ inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$389$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$389$label_1#1;
+
+ inline$BDLGetDebugLevel$389$label_1#1:
+ goto inline$BDLGetDebugLevel$389$Return#1;
+
+ inline$BDLGetDebugLevel$389$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$389$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon32_Then#1, inline$BDLDevicePowerIoCompletion$14$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_30_true#1, inline$BDLDevicePowerIoCompletion$14$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_31#1:
+ goto inline$BDLGetDebugLevel$390$Entry#1;
+
+ inline$BDLGetDebugLevel$390$Entry#1:
+ goto inline$BDLGetDebugLevel$390$start#1;
+
+ inline$BDLGetDebugLevel$390$start#1:
+ goto inline$BDLGetDebugLevel$390$label_3#1;
+
+ inline$BDLGetDebugLevel$390$label_3#1:
+ havoc inline$BDLGetDebugLevel$390$myNondetVar_0;
+ inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$390$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$390$label_1#1;
+
+ inline$BDLGetDebugLevel$390$label_1#1:
+ goto inline$BDLGetDebugLevel$390$Return#1;
+
+ inline$BDLGetDebugLevel$390$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$390$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon33_Then#1, inline$BDLDevicePowerIoCompletion$14$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_40_true#1, inline$BDLDevicePowerIoCompletion$14$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_41_true#1, inline$BDLDevicePowerIoCompletion$14$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$14$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$14$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_44_true#1, inline$BDLDevicePowerIoCompletion$14$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_45_true#1, inline$BDLDevicePowerIoCompletion$14$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$14$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$14$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$14$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_54#1:
+ inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$14$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_55_true#1, inline$BDLDevicePowerIoCompletion$14$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$14$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$14$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$14$anon34_Then#1, inline$BDLDevicePowerIoCompletion$14$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_59#1:
+ goto inline$BDLGetDebugLevel$391$Entry#1;
+
+ inline$BDLGetDebugLevel$391$Entry#1:
+ goto inline$BDLGetDebugLevel$391$start#1;
+
+ inline$BDLGetDebugLevel$391$start#1:
+ goto inline$BDLGetDebugLevel$391$label_3#1;
+
+ inline$BDLGetDebugLevel$391$label_3#1:
+ havoc inline$BDLGetDebugLevel$391$myNondetVar_0;
+ inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$391$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$391$label_1#1;
+
+ inline$BDLGetDebugLevel$391$label_1#1:
+ goto inline$BDLGetDebugLevel$391$Return#1;
+
+ inline$BDLGetDebugLevel$391$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$391$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon35_Then#1, inline$BDLDevicePowerIoCompletion$14$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_62_true#1, inline$BDLDevicePowerIoCompletion$14$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_63#1:
+ goto inline$BDLGetDebugLevel$392$Entry#1;
+
+ inline$BDLGetDebugLevel$392$Entry#1:
+ goto inline$BDLGetDebugLevel$392$start#1;
+
+ inline$BDLGetDebugLevel$392$start#1:
+ goto inline$BDLGetDebugLevel$392$label_3#1;
+
+ inline$BDLGetDebugLevel$392$label_3#1:
+ havoc inline$BDLGetDebugLevel$392$myNondetVar_0;
+ inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$392$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$392$label_1#1;
+
+ inline$BDLGetDebugLevel$392$label_1#1:
+ goto inline$BDLGetDebugLevel$392$Return#1;
+
+ inline$BDLGetDebugLevel$392$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$392$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon36_Then#1, inline$BDLDevicePowerIoCompletion$14$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_72_true#1, inline$BDLDevicePowerIoCompletion$14$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_73#1:
+ goto inline$BDLGetDebugLevel$393$Entry#1;
+
+ inline$BDLGetDebugLevel$393$Entry#1:
+ goto inline$BDLGetDebugLevel$393$start#1;
+
+ inline$BDLGetDebugLevel$393$start#1:
+ goto inline$BDLGetDebugLevel$393$label_3#1;
+
+ inline$BDLGetDebugLevel$393$label_3#1:
+ havoc inline$BDLGetDebugLevel$393$myNondetVar_0;
+ inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$393$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$393$label_1#1;
+
+ inline$BDLGetDebugLevel$393$label_1#1:
+ goto inline$BDLGetDebugLevel$393$Return#1;
+
+ inline$BDLGetDebugLevel$393$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$393$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon37_Then#1, inline$BDLDevicePowerIoCompletion$14$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_82_true#1, inline$BDLDevicePowerIoCompletion$14$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$14$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$14$anon38_Then#1, inline$BDLDevicePowerIoCompletion$14$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$14$anon39_Then#1, inline$BDLDevicePowerIoCompletion$14$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_92#1:
+ goto inline$storm_IoCompleteRequest$29$Entry#1;
+
+ inline$storm_IoCompleteRequest$29$Entry#1:
+ inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$14$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$29$start#1;
+
+ inline$storm_IoCompleteRequest$29$start#1:
+ inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$29$label_3#1;
+
+ inline$storm_IoCompleteRequest$29$label_3#1:
+ call inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$29$label_6#1;
+
+ inline$storm_IoCompleteRequest$29$label_6#1:
+ goto inline$storm_IoCompleteRequest$29$label_6_true#1, inline$storm_IoCompleteRequest$29$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$29$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$29$label_7#1;
+
+ inline$storm_IoCompleteRequest$29$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$29$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$29$label_8#1;
+
+ inline$storm_IoCompleteRequest$29$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$29$anon3_Then#1, inline$storm_IoCompleteRequest$29$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$29$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$29$anon1#1;
+
+ inline$storm_IoCompleteRequest$29$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$29$label_9#1;
+
+ inline$storm_IoCompleteRequest$29$label_9#1:
+ goto inline$storm_IoCompleteRequest$29$label_9_true#1, inline$storm_IoCompleteRequest$29$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$29$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$29$label_10#1;
+
+ inline$storm_IoCompleteRequest$29$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$29$label_1#1;
+
+ inline$storm_IoCompleteRequest$29$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$29$label_7#1;
+
+ inline$storm_IoCompleteRequest$29$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$29$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$29$label_1#1;
+
+ inline$storm_IoCompleteRequest$29$label_1#1:
+ goto inline$storm_IoCompleteRequest$29$Return#1;
+
+ inline$storm_IoCompleteRequest$29$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$29$Return#1;
+
+ inline$storm_IoCompleteRequest$29$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon40_Then#1, inline$BDLDevicePowerIoCompletion$14$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$14$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$14$anon41_Then#1, inline$BDLDevicePowerIoCompletion$14$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_98#1:
+ goto inline$BDLGetDebugLevel$394$Entry#1;
+
+ inline$BDLGetDebugLevel$394$Entry#1:
+ goto inline$BDLGetDebugLevel$394$start#1;
+
+ inline$BDLGetDebugLevel$394$start#1:
+ goto inline$BDLGetDebugLevel$394$label_3#1;
+
+ inline$BDLGetDebugLevel$394$label_3#1:
+ havoc inline$BDLGetDebugLevel$394$myNondetVar_0;
+ inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$394$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$394$label_1#1;
+
+ inline$BDLGetDebugLevel$394$label_1#1:
+ goto inline$BDLGetDebugLevel$394$Return#1;
+
+ inline$BDLGetDebugLevel$394$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$394$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon42_Then#1, inline$BDLDevicePowerIoCompletion$14$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_101_true#1, inline$BDLDevicePowerIoCompletion$14$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_102#1:
+ goto inline$BDLGetDebugLevel$395$Entry#1;
+
+ inline$BDLGetDebugLevel$395$Entry#1:
+ goto inline$BDLGetDebugLevel$395$start#1;
+
+ inline$BDLGetDebugLevel$395$start#1:
+ goto inline$BDLGetDebugLevel$395$label_3#1;
+
+ inline$BDLGetDebugLevel$395$label_3#1:
+ havoc inline$BDLGetDebugLevel$395$myNondetVar_0;
+ inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$395$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$395$label_1#1;
+
+ inline$BDLGetDebugLevel$395$label_1#1:
+ goto inline$BDLGetDebugLevel$395$Return#1;
+
+ inline$BDLGetDebugLevel$395$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$395$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon43_Then#1, inline$BDLDevicePowerIoCompletion$14$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_111_true#1, inline$BDLDevicePowerIoCompletion$14$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$14$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$14$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_112#1:
+ goto inline$BDLGetDebugLevel$396$Entry#1;
+
+ inline$BDLGetDebugLevel$396$Entry#1:
+ goto inline$BDLGetDebugLevel$396$start#1;
+
+ inline$BDLGetDebugLevel$396$start#1:
+ goto inline$BDLGetDebugLevel$396$label_3#1;
+
+ inline$BDLGetDebugLevel$396$label_3#1:
+ havoc inline$BDLGetDebugLevel$396$myNondetVar_0;
+ inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$396$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$396$label_1#1;
+
+ inline$BDLGetDebugLevel$396$label_1#1:
+ goto inline$BDLGetDebugLevel$396$Return#1;
+
+ inline$BDLGetDebugLevel$396$Return#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$396$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$14$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$14$anon44_Then#1, inline$BDLDevicePowerIoCompletion$14$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_121_true#1, inline$BDLDevicePowerIoCompletion$14$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$14$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$14$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$14$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_122#1:
+ inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$14$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$14$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$14$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$14$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$14$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$14$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$14$Return#1:
+ inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$14$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$14$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$14$anon13_Then#1, inline$CallCompletionRoutine$14$anon13_Else#1;
+
+ inline$CallCompletionRoutine$14$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$14$anon7#1;
+
+ inline$CallCompletionRoutine$14$anon7#1:
+ goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$14$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$14$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$14$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$14$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$14$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$14$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$start#1:
+ inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$14$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$label_3_true#1, inline$BDLCallDriverCompletionRoutine$14$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$14$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$14$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$14$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_6#1:
+ goto inline$storm_KeSetEvent$16$Entry#1;
+
+ inline$storm_KeSetEvent$16$Entry#1:
+ inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$14$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$16$start#1;
+
+ inline$storm_KeSetEvent$16$start#1:
+ inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$16$label_3#1;
+
+ inline$storm_KeSetEvent$16$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$16$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$16$label_1#1;
+
+ inline$storm_KeSetEvent$16$label_1#1:
+ goto inline$storm_KeSetEvent$16$Return#1;
+
+ inline$storm_KeSetEvent$16$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$14$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$14$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$14$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$14$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$14$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$14$Return#1:
+ inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$14$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$14$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$14$anon12_Then#1, inline$CallCompletionRoutine$14$anon12_Else#1;
+
+ inline$CallCompletionRoutine$14$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$14$anon5#1;
+
+ inline$CallCompletionRoutine$14$anon5#1:
+ goto inline$CallCompletionRoutine$14$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$14$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$14$label_23#1;
+
+ inline$CallCompletionRoutine$14$label_23#1:
+ inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$14$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$14$label_24#1;
+
+ inline$CallCompletionRoutine$14$label_24#1:
+ goto inline$CallCompletionRoutine$14$label_24_true#1, inline$CallCompletionRoutine$14$label_24_false#1;
+
+ inline$CallCompletionRoutine$14$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$14$label_1#1;
+
+ inline$CallCompletionRoutine$14$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$14$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$14$label_25#1;
+
+ inline$CallCompletionRoutine$14$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$14$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$14$label_1#1;
+
+ inline$CallCompletionRoutine$14$label_1#1:
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$14$Return#1;
+
+ inline$CallCompletionRoutine$14$Return#1:
+ goto inline$storm_IoCallDriver$7$label_33$1#1;
+
+ inline$storm_IoCallDriver$7$label_33$1#1:
+ goto inline$storm_IoCallDriver$7$anon14_Then#1, inline$storm_IoCallDriver$7$anon14_Else#1;
+
+ inline$storm_IoCallDriver$7$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$7$anon8#1;
+
+ inline$storm_IoCallDriver$7$anon8#1:
+ goto inline$storm_IoCallDriver$7$label_36#1;
+
+ inline$storm_IoCallDriver$7$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$7$label_29#1;
+
+ inline$storm_IoCallDriver$7$label_29#1:
+ inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$7$label_37#1;
+
+ inline$storm_IoCallDriver$7$label_37#1:
+ goto inline$storm_IoCallDriver$7$label_38#1;
+
+ inline$storm_IoCallDriver$7$label_38#1:
+ goto inline$storm_IoCallDriver$7$label_39#1;
+
+ inline$storm_IoCallDriver$7$label_39#1:
+ goto inline$CallCompletionRoutine$15$Entry#1;
+
+ inline$CallCompletionRoutine$15$Entry#1:
+ inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$15$start#1;
+
+ inline$CallCompletionRoutine$15$start#1:
+ inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$15$label_3#1;
+
+ inline$CallCompletionRoutine$15$label_3#1:
+ goto inline$CallCompletionRoutine$15$label_4#1;
+
+ inline$CallCompletionRoutine$15$label_4#1:
+ goto inline$CallCompletionRoutine$15$label_5#1;
+
+ inline$CallCompletionRoutine$15$label_5#1:
+ goto inline$CallCompletionRoutine$15$label_6#1;
+
+ inline$CallCompletionRoutine$15$label_6#1:
+ goto inline$CallCompletionRoutine$15$label_7#1;
+
+ inline$CallCompletionRoutine$15$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$79$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$79$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$start#1:
+ inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$79$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$79$label_3_true#1, inline$IoGetCurrentIrpStackLocation$79$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$79$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$79$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$79$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$79$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$79$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$79$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$79$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$79$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$79$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$79$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$79$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$79$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$79$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$79$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$79$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$79$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$79$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$79$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$79$Return#1:
+ goto inline$CallCompletionRoutine$15$label_7$1#1;
+
+ inline$CallCompletionRoutine$15$label_7$1#1:
+ goto inline$CallCompletionRoutine$15$anon10_Then#1, inline$CallCompletionRoutine$15$anon10_Else#1;
+
+ inline$CallCompletionRoutine$15$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$15$anon1#1;
+
+ inline$CallCompletionRoutine$15$anon1#1:
+ goto inline$CallCompletionRoutine$15$label_10#1;
+
+ inline$CallCompletionRoutine$15$label_10#1:
+ goto inline$CallCompletionRoutine$15$label_11#1;
+
+ inline$CallCompletionRoutine$15$label_11#1:
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$CallCompletionRoutine$15$label_12#1;
+
+ inline$CallCompletionRoutine$15$label_12#1:
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$CallCompletionRoutine$15$label_13#1;
+
+ inline$CallCompletionRoutine$15$label_13#1:
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$CallCompletionRoutine$15$label_14#1;
+
+ inline$CallCompletionRoutine$15$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$80$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$80$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$start#1:
+ inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$80$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$80$label_3_true#1, inline$IoGetCurrentIrpStackLocation$80$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$80$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$80$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$80$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$80$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$80$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$80$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$80$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$80$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$80$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$80$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$80$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$80$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$80$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$80$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$80$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$80$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$80$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$80$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$80$Return#1:
+ goto inline$CallCompletionRoutine$15$label_14$1#1;
+
+ inline$CallCompletionRoutine$15$label_14$1#1:
+ goto inline$CallCompletionRoutine$15$anon11_Then#1, inline$CallCompletionRoutine$15$anon11_Else#1;
+
+ inline$CallCompletionRoutine$15$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$15$anon3#1;
+
+ inline$CallCompletionRoutine$15$anon3#1:
+ goto inline$CallCompletionRoutine$15$label_17#1;
+
+ inline$CallCompletionRoutine$15$label_17#1:
+ goto inline$CallCompletionRoutine$15$label_18#1;
+
+ inline$CallCompletionRoutine$15$label_18#1:
+ goto inline$CallCompletionRoutine$15$label_18_true#1, inline$CallCompletionRoutine$15$label_18_false#1;
+
+ inline$CallCompletionRoutine$15$label_18_false#1:
+ assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$15$label_1#1;
+
+ inline$CallCompletionRoutine$15$label_18_true#1:
+ assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$15$label_19#1;
+
+ inline$CallCompletionRoutine$15$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$15$label_20#1;
+
+ inline$CallCompletionRoutine$15$label_20#1:
+ goto inline$CallCompletionRoutine$15$label_20_icall_1#1, inline$CallCompletionRoutine$15$label_20_icall_2#1, inline$CallCompletionRoutine$15$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$15$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$15$Entry#1:
+ inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$15$start#1;
+
+ inline$BDLSystemPowerIoCompletion$15$start#1:
+ call inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$15$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_4#1:
+ inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_6#1:
+ inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$15$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$82$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$82$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$start#1:
+ inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$82$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$82$label_3_true#1, inline$IoGetCurrentIrpStackLocation$82$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$82$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$82$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$82$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$82$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$82$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$82$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$82$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$82$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$82$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$82$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$82$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$82$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$82$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$82$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$82$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$82$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$82$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$82$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$82$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon36_Then#1, inline$BDLSystemPowerIoCompletion$15$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_15#1:
+ goto inline$BDLGetDebugLevel$418$Entry#1;
+
+ inline$BDLGetDebugLevel$418$Entry#1:
+ goto inline$BDLGetDebugLevel$418$start#1;
+
+ inline$BDLGetDebugLevel$418$start#1:
+ goto inline$BDLGetDebugLevel$418$label_3#1;
+
+ inline$BDLGetDebugLevel$418$label_3#1:
+ havoc inline$BDLGetDebugLevel$418$myNondetVar_0;
+ inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$418$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$418$label_1#1;
+
+ inline$BDLGetDebugLevel$418$label_1#1:
+ goto inline$BDLGetDebugLevel$418$Return#1;
+
+ inline$BDLGetDebugLevel$418$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$418$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon37_Then#1, inline$BDLSystemPowerIoCompletion$15$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_18_true#1, inline$BDLSystemPowerIoCompletion$15$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_19#1:
+ goto inline$BDLGetDebugLevel$419$Entry#1;
+
+ inline$BDLGetDebugLevel$419$Entry#1:
+ goto inline$BDLGetDebugLevel$419$start#1;
+
+ inline$BDLGetDebugLevel$419$start#1:
+ goto inline$BDLGetDebugLevel$419$label_3#1;
+
+ inline$BDLGetDebugLevel$419$label_3#1:
+ havoc inline$BDLGetDebugLevel$419$myNondetVar_0;
+ inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$419$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$419$label_1#1;
+
+ inline$BDLGetDebugLevel$419$label_1#1:
+ goto inline$BDLGetDebugLevel$419$Return#1;
+
+ inline$BDLGetDebugLevel$419$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$419$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon38_Then#1, inline$BDLSystemPowerIoCompletion$15$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_28_true#1, inline$BDLSystemPowerIoCompletion$15$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_29#1:
+ goto inline$BDLGetDebugLevel$420$Entry#1;
+
+ inline$BDLGetDebugLevel$420$Entry#1:
+ goto inline$BDLGetDebugLevel$420$start#1;
+
+ inline$BDLGetDebugLevel$420$start#1:
+ goto inline$BDLGetDebugLevel$420$label_3#1;
+
+ inline$BDLGetDebugLevel$420$label_3#1:
+ havoc inline$BDLGetDebugLevel$420$myNondetVar_0;
+ inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$420$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$420$label_1#1;
+
+ inline$BDLGetDebugLevel$420$label_1#1:
+ goto inline$BDLGetDebugLevel$420$Return#1;
+
+ inline$BDLGetDebugLevel$420$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$420$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon39_Then#1, inline$BDLSystemPowerIoCompletion$15$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_38_true#1, inline$BDLSystemPowerIoCompletion$15$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_39_true#1, inline$BDLSystemPowerIoCompletion$15$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$15$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$15$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$15$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$15$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$15$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_106_true#1, inline$BDLSystemPowerIoCompletion$15$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$15$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$15$myNondetVar_0, inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$15$anon50_Then#1, inline$BDLSystemPowerIoCompletion$15$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_112#1:
+ inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_113_true#1, inline$BDLSystemPowerIoCompletion$15$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$15$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_117#1:
+ goto inline$BDLGetDebugLevel$427$Entry#1;
+
+ inline$BDLGetDebugLevel$427$Entry#1:
+ goto inline$BDLGetDebugLevel$427$start#1;
+
+ inline$BDLGetDebugLevel$427$start#1:
+ goto inline$BDLGetDebugLevel$427$label_3#1;
+
+ inline$BDLGetDebugLevel$427$label_3#1:
+ havoc inline$BDLGetDebugLevel$427$myNondetVar_0;
+ inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$427$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$427$label_1#1;
+
+ inline$BDLGetDebugLevel$427$label_1#1:
+ goto inline$BDLGetDebugLevel$427$Return#1;
+
+ inline$BDLGetDebugLevel$427$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$427$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon51_Then#1, inline$BDLSystemPowerIoCompletion$15$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_120_true#1, inline$BDLSystemPowerIoCompletion$15$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_121#1:
+ goto inline$BDLGetDebugLevel$428$Entry#1;
+
+ inline$BDLGetDebugLevel$428$Entry#1:
+ goto inline$BDLGetDebugLevel$428$start#1;
+
+ inline$BDLGetDebugLevel$428$start#1:
+ goto inline$BDLGetDebugLevel$428$label_3#1;
+
+ inline$BDLGetDebugLevel$428$label_3#1:
+ havoc inline$BDLGetDebugLevel$428$myNondetVar_0;
+ inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$428$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$428$label_1#1;
+
+ inline$BDLGetDebugLevel$428$label_1#1:
+ goto inline$BDLGetDebugLevel$428$Return#1;
+
+ inline$BDLGetDebugLevel$428$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$428$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon52_Then#1, inline$BDLSystemPowerIoCompletion$15$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_130_true#1, inline$BDLSystemPowerIoCompletion$15$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_131#1:
+ goto inline$BDLGetDebugLevel$429$Entry#1;
+
+ inline$BDLGetDebugLevel$429$Entry#1:
+ goto inline$BDLGetDebugLevel$429$start#1;
+
+ inline$BDLGetDebugLevel$429$start#1:
+ goto inline$BDLGetDebugLevel$429$label_3#1;
+
+ inline$BDLGetDebugLevel$429$label_3#1:
+ havoc inline$BDLGetDebugLevel$429$myNondetVar_0;
+ inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$429$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$429$label_1#1;
+
+ inline$BDLGetDebugLevel$429$label_1#1:
+ goto inline$BDLGetDebugLevel$429$Return#1;
+
+ inline$BDLGetDebugLevel$429$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$429$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon53_Then#1, inline$BDLSystemPowerIoCompletion$15$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_140_true#1, inline$BDLSystemPowerIoCompletion$15$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$15$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_115#1:
+ inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$15$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$15$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$15$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_43#1:
+ goto inline$BDLGetDebugLevel$421$Entry#1;
+
+ inline$BDLGetDebugLevel$421$Entry#1:
+ goto inline$BDLGetDebugLevel$421$start#1;
+
+ inline$BDLGetDebugLevel$421$start#1:
+ goto inline$BDLGetDebugLevel$421$label_3#1;
+
+ inline$BDLGetDebugLevel$421$label_3#1:
+ havoc inline$BDLGetDebugLevel$421$myNondetVar_0;
+ inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$421$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$421$label_1#1;
+
+ inline$BDLGetDebugLevel$421$label_1#1:
+ goto inline$BDLGetDebugLevel$421$Return#1;
+
+ inline$BDLGetDebugLevel$421$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$421$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon40_Then#1, inline$BDLSystemPowerIoCompletion$15$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_46_true#1, inline$BDLSystemPowerIoCompletion$15$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_47#1:
+ goto inline$BDLGetDebugLevel$422$Entry#1;
+
+ inline$BDLGetDebugLevel$422$Entry#1:
+ goto inline$BDLGetDebugLevel$422$start#1;
+
+ inline$BDLGetDebugLevel$422$start#1:
+ goto inline$BDLGetDebugLevel$422$label_3#1;
+
+ inline$BDLGetDebugLevel$422$label_3#1:
+ havoc inline$BDLGetDebugLevel$422$myNondetVar_0;
+ inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$422$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$422$label_1#1;
+
+ inline$BDLGetDebugLevel$422$label_1#1:
+ goto inline$BDLGetDebugLevel$422$Return#1;
+
+ inline$BDLGetDebugLevel$422$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$422$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon41_Then#1, inline$BDLSystemPowerIoCompletion$15$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_56_true#1, inline$BDLSystemPowerIoCompletion$15$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_57#1:
+ goto inline$BDLGetDebugLevel$423$Entry#1;
+
+ inline$BDLGetDebugLevel$423$Entry#1:
+ goto inline$BDLGetDebugLevel$423$start#1;
+
+ inline$BDLGetDebugLevel$423$start#1:
+ goto inline$BDLGetDebugLevel$423$label_3#1;
+
+ inline$BDLGetDebugLevel$423$label_3#1:
+ havoc inline$BDLGetDebugLevel$423$myNondetVar_0;
+ inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$423$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$423$label_1#1;
+
+ inline$BDLGetDebugLevel$423$label_1#1:
+ goto inline$BDLGetDebugLevel$423$Return#1;
+
+ inline$BDLGetDebugLevel$423$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$423$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon42_Then#1, inline$BDLSystemPowerIoCompletion$15$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_66_true#1, inline$BDLSystemPowerIoCompletion$15$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$15$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$15$anon43_Then#1, inline$BDLSystemPowerIoCompletion$15$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$15$anon44_Then#1, inline$BDLSystemPowerIoCompletion$15$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_74#1:
+ goto inline$storm_IoCompleteRequest$32$Entry#1;
+
+ inline$storm_IoCompleteRequest$32$Entry#1:
+ inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$15$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$32$start#1;
+
+ inline$storm_IoCompleteRequest$32$start#1:
+ inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$32$label_3#1;
+
+ inline$storm_IoCompleteRequest$32$label_3#1:
+ call inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$32$label_6#1;
+
+ inline$storm_IoCompleteRequest$32$label_6#1:
+ goto inline$storm_IoCompleteRequest$32$label_6_true#1, inline$storm_IoCompleteRequest$32$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$32$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$32$label_7#1;
+
+ inline$storm_IoCompleteRequest$32$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$32$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$32$label_8#1;
+
+ inline$storm_IoCompleteRequest$32$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$32$anon3_Then#1, inline$storm_IoCompleteRequest$32$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$32$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$32$anon1#1;
+
+ inline$storm_IoCompleteRequest$32$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$32$label_9#1;
+
+ inline$storm_IoCompleteRequest$32$label_9#1:
+ goto inline$storm_IoCompleteRequest$32$label_9_true#1, inline$storm_IoCompleteRequest$32$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$32$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$32$label_10#1;
+
+ inline$storm_IoCompleteRequest$32$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$32$label_1#1;
+
+ inline$storm_IoCompleteRequest$32$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$32$label_7#1;
+
+ inline$storm_IoCompleteRequest$32$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$32$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$32$label_1#1;
+
+ inline$storm_IoCompleteRequest$32$label_1#1:
+ goto inline$storm_IoCompleteRequest$32$Return#1;
+
+ inline$storm_IoCompleteRequest$32$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$32$Return#1;
+
+ inline$storm_IoCompleteRequest$32$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon45_Then#1, inline$BDLSystemPowerIoCompletion$15$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$15$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$15$anon46_Then#1, inline$BDLSystemPowerIoCompletion$15$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_80#1:
+ goto inline$BDLGetDebugLevel$424$Entry#1;
+
+ inline$BDLGetDebugLevel$424$Entry#1:
+ goto inline$BDLGetDebugLevel$424$start#1;
+
+ inline$BDLGetDebugLevel$424$start#1:
+ goto inline$BDLGetDebugLevel$424$label_3#1;
+
+ inline$BDLGetDebugLevel$424$label_3#1:
+ havoc inline$BDLGetDebugLevel$424$myNondetVar_0;
+ inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$424$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$424$label_1#1;
+
+ inline$BDLGetDebugLevel$424$label_1#1:
+ goto inline$BDLGetDebugLevel$424$Return#1;
+
+ inline$BDLGetDebugLevel$424$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$424$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon47_Then#1, inline$BDLSystemPowerIoCompletion$15$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_83_true#1, inline$BDLSystemPowerIoCompletion$15$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_84#1:
+ goto inline$BDLGetDebugLevel$425$Entry#1;
+
+ inline$BDLGetDebugLevel$425$Entry#1:
+ goto inline$BDLGetDebugLevel$425$start#1;
+
+ inline$BDLGetDebugLevel$425$start#1:
+ goto inline$BDLGetDebugLevel$425$label_3#1;
+
+ inline$BDLGetDebugLevel$425$label_3#1:
+ havoc inline$BDLGetDebugLevel$425$myNondetVar_0;
+ inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$425$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$425$label_1#1;
+
+ inline$BDLGetDebugLevel$425$label_1#1:
+ goto inline$BDLGetDebugLevel$425$Return#1;
+
+ inline$BDLGetDebugLevel$425$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$425$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon48_Then#1, inline$BDLSystemPowerIoCompletion$15$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_93_true#1, inline$BDLSystemPowerIoCompletion$15$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$15$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$15$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_94#1:
+ goto inline$BDLGetDebugLevel$426$Entry#1;
+
+ inline$BDLGetDebugLevel$426$Entry#1:
+ goto inline$BDLGetDebugLevel$426$start#1;
+
+ inline$BDLGetDebugLevel$426$start#1:
+ goto inline$BDLGetDebugLevel$426$label_3#1;
+
+ inline$BDLGetDebugLevel$426$label_3#1:
+ havoc inline$BDLGetDebugLevel$426$myNondetVar_0;
+ inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$426$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$426$label_1#1;
+
+ inline$BDLGetDebugLevel$426$label_1#1:
+ goto inline$BDLGetDebugLevel$426$Return#1;
+
+ inline$BDLGetDebugLevel$426$Return#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$426$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$15$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$15$anon49_Then#1, inline$BDLSystemPowerIoCompletion$15$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_103_true#1, inline$BDLSystemPowerIoCompletion$15$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$15$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$15$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$15$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_104#1:
+ inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$15$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$15$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$15$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$15$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$15$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$15$Return#1:
+ inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$15$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$15$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$15$anon14_Then#1, inline$CallCompletionRoutine$15$anon14_Else#1;
+
+ inline$CallCompletionRoutine$15$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$15$anon9#1;
+
+ inline$CallCompletionRoutine$15$anon9#1:
+ goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$15$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$15$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$15$Entry#1:
+ inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$15$start#1;
+
+ inline$BDLDevicePowerIoCompletion$15$start#1:
+ call inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$15$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_4#1:
+ inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_6#1:
+ inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$15$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$81$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$81$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$start#1:
+ inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$81$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$81$label_3_true#1, inline$IoGetCurrentIrpStackLocation$81$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$81$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$81$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$81$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$81$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$81$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$81$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$81$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$81$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$81$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$81$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$81$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$81$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$81$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$81$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$81$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$81$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$81$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$81$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$81$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$81$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon30_Then#1, inline$BDLDevicePowerIoCompletion$15$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_13#1:
+ inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_17#1:
+ goto inline$BDLGetDebugLevel$409$Entry#1;
+
+ inline$BDLGetDebugLevel$409$Entry#1:
+ goto inline$BDLGetDebugLevel$409$start#1;
+
+ inline$BDLGetDebugLevel$409$start#1:
+ goto inline$BDLGetDebugLevel$409$label_3#1;
+
+ inline$BDLGetDebugLevel$409$label_3#1:
+ havoc inline$BDLGetDebugLevel$409$myNondetVar_0;
+ inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$409$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$409$label_1#1;
+
+ inline$BDLGetDebugLevel$409$label_1#1:
+ goto inline$BDLGetDebugLevel$409$Return#1;
+
+ inline$BDLGetDebugLevel$409$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$409$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon31_Then#1, inline$BDLDevicePowerIoCompletion$15$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_20_true#1, inline$BDLDevicePowerIoCompletion$15$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_21#1:
+ goto inline$BDLGetDebugLevel$410$Entry#1;
+
+ inline$BDLGetDebugLevel$410$Entry#1:
+ goto inline$BDLGetDebugLevel$410$start#1;
+
+ inline$BDLGetDebugLevel$410$start#1:
+ goto inline$BDLGetDebugLevel$410$label_3#1;
+
+ inline$BDLGetDebugLevel$410$label_3#1:
+ havoc inline$BDLGetDebugLevel$410$myNondetVar_0;
+ inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$410$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$410$label_1#1;
+
+ inline$BDLGetDebugLevel$410$label_1#1:
+ goto inline$BDLGetDebugLevel$410$Return#1;
+
+ inline$BDLGetDebugLevel$410$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$410$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon32_Then#1, inline$BDLDevicePowerIoCompletion$15$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_30_true#1, inline$BDLDevicePowerIoCompletion$15$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_31#1:
+ goto inline$BDLGetDebugLevel$411$Entry#1;
+
+ inline$BDLGetDebugLevel$411$Entry#1:
+ goto inline$BDLGetDebugLevel$411$start#1;
+
+ inline$BDLGetDebugLevel$411$start#1:
+ goto inline$BDLGetDebugLevel$411$label_3#1;
+
+ inline$BDLGetDebugLevel$411$label_3#1:
+ havoc inline$BDLGetDebugLevel$411$myNondetVar_0;
+ inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$411$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$411$label_1#1;
+
+ inline$BDLGetDebugLevel$411$label_1#1:
+ goto inline$BDLGetDebugLevel$411$Return#1;
+
+ inline$BDLGetDebugLevel$411$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$411$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon33_Then#1, inline$BDLDevicePowerIoCompletion$15$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_40_true#1, inline$BDLDevicePowerIoCompletion$15$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_41_true#1, inline$BDLDevicePowerIoCompletion$15$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$15$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$15$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_44_true#1, inline$BDLDevicePowerIoCompletion$15$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_45_true#1, inline$BDLDevicePowerIoCompletion$15$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$15$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$15$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$15$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_54#1:
+ inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$15$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_55_true#1, inline$BDLDevicePowerIoCompletion$15$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$15$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$15$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$15$anon34_Then#1, inline$BDLDevicePowerIoCompletion$15$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_59#1:
+ goto inline$BDLGetDebugLevel$412$Entry#1;
+
+ inline$BDLGetDebugLevel$412$Entry#1:
+ goto inline$BDLGetDebugLevel$412$start#1;
+
+ inline$BDLGetDebugLevel$412$start#1:
+ goto inline$BDLGetDebugLevel$412$label_3#1;
+
+ inline$BDLGetDebugLevel$412$label_3#1:
+ havoc inline$BDLGetDebugLevel$412$myNondetVar_0;
+ inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$412$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$412$label_1#1;
+
+ inline$BDLGetDebugLevel$412$label_1#1:
+ goto inline$BDLGetDebugLevel$412$Return#1;
+
+ inline$BDLGetDebugLevel$412$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$412$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon35_Then#1, inline$BDLDevicePowerIoCompletion$15$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_62_true#1, inline$BDLDevicePowerIoCompletion$15$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_63#1:
+ goto inline$BDLGetDebugLevel$413$Entry#1;
+
+ inline$BDLGetDebugLevel$413$Entry#1:
+ goto inline$BDLGetDebugLevel$413$start#1;
+
+ inline$BDLGetDebugLevel$413$start#1:
+ goto inline$BDLGetDebugLevel$413$label_3#1;
+
+ inline$BDLGetDebugLevel$413$label_3#1:
+ havoc inline$BDLGetDebugLevel$413$myNondetVar_0;
+ inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$413$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$413$label_1#1;
+
+ inline$BDLGetDebugLevel$413$label_1#1:
+ goto inline$BDLGetDebugLevel$413$Return#1;
+
+ inline$BDLGetDebugLevel$413$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$413$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon36_Then#1, inline$BDLDevicePowerIoCompletion$15$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_72_true#1, inline$BDLDevicePowerIoCompletion$15$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_73#1:
+ goto inline$BDLGetDebugLevel$414$Entry#1;
+
+ inline$BDLGetDebugLevel$414$Entry#1:
+ goto inline$BDLGetDebugLevel$414$start#1;
+
+ inline$BDLGetDebugLevel$414$start#1:
+ goto inline$BDLGetDebugLevel$414$label_3#1;
+
+ inline$BDLGetDebugLevel$414$label_3#1:
+ havoc inline$BDLGetDebugLevel$414$myNondetVar_0;
+ inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$414$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$414$label_1#1;
+
+ inline$BDLGetDebugLevel$414$label_1#1:
+ goto inline$BDLGetDebugLevel$414$Return#1;
+
+ inline$BDLGetDebugLevel$414$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$414$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon37_Then#1, inline$BDLDevicePowerIoCompletion$15$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_82_true#1, inline$BDLDevicePowerIoCompletion$15$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$15$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$15$anon38_Then#1, inline$BDLDevicePowerIoCompletion$15$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$15$anon39_Then#1, inline$BDLDevicePowerIoCompletion$15$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_92#1:
+ goto inline$storm_IoCompleteRequest$31$Entry#1;
+
+ inline$storm_IoCompleteRequest$31$Entry#1:
+ inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$15$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$31$start#1;
+
+ inline$storm_IoCompleteRequest$31$start#1:
+ inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$31$label_3#1;
+
+ inline$storm_IoCompleteRequest$31$label_3#1:
+ call inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$31$label_6#1;
+
+ inline$storm_IoCompleteRequest$31$label_6#1:
+ goto inline$storm_IoCompleteRequest$31$label_6_true#1, inline$storm_IoCompleteRequest$31$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$31$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$31$label_7#1;
+
+ inline$storm_IoCompleteRequest$31$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$31$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$31$label_8#1;
+
+ inline$storm_IoCompleteRequest$31$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$31$anon3_Then#1, inline$storm_IoCompleteRequest$31$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$31$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$31$anon1#1;
+
+ inline$storm_IoCompleteRequest$31$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$31$label_9#1;
+
+ inline$storm_IoCompleteRequest$31$label_9#1:
+ goto inline$storm_IoCompleteRequest$31$label_9_true#1, inline$storm_IoCompleteRequest$31$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$31$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$31$label_10#1;
+
+ inline$storm_IoCompleteRequest$31$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$31$label_1#1;
+
+ inline$storm_IoCompleteRequest$31$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$31$label_7#1;
+
+ inline$storm_IoCompleteRequest$31$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$31$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$31$label_1#1;
+
+ inline$storm_IoCompleteRequest$31$label_1#1:
+ goto inline$storm_IoCompleteRequest$31$Return#1;
+
+ inline$storm_IoCompleteRequest$31$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$31$Return#1;
+
+ inline$storm_IoCompleteRequest$31$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon40_Then#1, inline$BDLDevicePowerIoCompletion$15$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$15$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$15$anon41_Then#1, inline$BDLDevicePowerIoCompletion$15$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_98#1:
+ goto inline$BDLGetDebugLevel$415$Entry#1;
+
+ inline$BDLGetDebugLevel$415$Entry#1:
+ goto inline$BDLGetDebugLevel$415$start#1;
+
+ inline$BDLGetDebugLevel$415$start#1:
+ goto inline$BDLGetDebugLevel$415$label_3#1;
+
+ inline$BDLGetDebugLevel$415$label_3#1:
+ havoc inline$BDLGetDebugLevel$415$myNondetVar_0;
+ inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$415$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$415$label_1#1;
+
+ inline$BDLGetDebugLevel$415$label_1#1:
+ goto inline$BDLGetDebugLevel$415$Return#1;
+
+ inline$BDLGetDebugLevel$415$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$415$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon42_Then#1, inline$BDLDevicePowerIoCompletion$15$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_101_true#1, inline$BDLDevicePowerIoCompletion$15$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_102#1:
+ goto inline$BDLGetDebugLevel$416$Entry#1;
+
+ inline$BDLGetDebugLevel$416$Entry#1:
+ goto inline$BDLGetDebugLevel$416$start#1;
+
+ inline$BDLGetDebugLevel$416$start#1:
+ goto inline$BDLGetDebugLevel$416$label_3#1;
+
+ inline$BDLGetDebugLevel$416$label_3#1:
+ havoc inline$BDLGetDebugLevel$416$myNondetVar_0;
+ inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$416$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$416$label_1#1;
+
+ inline$BDLGetDebugLevel$416$label_1#1:
+ goto inline$BDLGetDebugLevel$416$Return#1;
+
+ inline$BDLGetDebugLevel$416$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$416$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon43_Then#1, inline$BDLDevicePowerIoCompletion$15$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_111_true#1, inline$BDLDevicePowerIoCompletion$15$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$15$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$15$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_112#1:
+ goto inline$BDLGetDebugLevel$417$Entry#1;
+
+ inline$BDLGetDebugLevel$417$Entry#1:
+ goto inline$BDLGetDebugLevel$417$start#1;
+
+ inline$BDLGetDebugLevel$417$start#1:
+ goto inline$BDLGetDebugLevel$417$label_3#1;
+
+ inline$BDLGetDebugLevel$417$label_3#1:
+ havoc inline$BDLGetDebugLevel$417$myNondetVar_0;
+ inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$417$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$417$label_1#1;
+
+ inline$BDLGetDebugLevel$417$label_1#1:
+ goto inline$BDLGetDebugLevel$417$Return#1;
+
+ inline$BDLGetDebugLevel$417$Return#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$417$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$15$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$15$anon44_Then#1, inline$BDLDevicePowerIoCompletion$15$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_121_true#1, inline$BDLDevicePowerIoCompletion$15$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$15$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$15$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$15$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_122#1:
+ inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$15$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$15$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$15$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$15$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$15$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$15$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$15$Return#1:
+ inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$15$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$15$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$15$anon13_Then#1, inline$CallCompletionRoutine$15$anon13_Else#1;
+
+ inline$CallCompletionRoutine$15$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$15$anon7#1;
+
+ inline$CallCompletionRoutine$15$anon7#1:
+ goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$15$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$15$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$15$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$15$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$15$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$15$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$start#1:
+ inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$15$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$label_3_true#1, inline$BDLCallDriverCompletionRoutine$15$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$15$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$15$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$15$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_6#1:
+ goto inline$storm_KeSetEvent$17$Entry#1;
+
+ inline$storm_KeSetEvent$17$Entry#1:
+ inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$15$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$17$start#1;
+
+ inline$storm_KeSetEvent$17$start#1:
+ inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$17$label_3#1;
+
+ inline$storm_KeSetEvent$17$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$17$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$17$label_1#1;
+
+ inline$storm_KeSetEvent$17$label_1#1:
+ goto inline$storm_KeSetEvent$17$Return#1;
+
+ inline$storm_KeSetEvent$17$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$15$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$15$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$15$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$15$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$15$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$15$Return#1:
+ inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$15$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$15$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$15$anon12_Then#1, inline$CallCompletionRoutine$15$anon12_Else#1;
+
+ inline$CallCompletionRoutine$15$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$15$anon5#1;
+
+ inline$CallCompletionRoutine$15$anon5#1:
+ goto inline$CallCompletionRoutine$15$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$15$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$15$label_23#1;
+
+ inline$CallCompletionRoutine$15$label_23#1:
+ inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$15$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$15$label_24#1;
+
+ inline$CallCompletionRoutine$15$label_24#1:
+ goto inline$CallCompletionRoutine$15$label_24_true#1, inline$CallCompletionRoutine$15$label_24_false#1;
+
+ inline$CallCompletionRoutine$15$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$15$label_1#1;
+
+ inline$CallCompletionRoutine$15$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$15$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$15$label_25#1;
+
+ inline$CallCompletionRoutine$15$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$15$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$15$label_1#1;
+
+ inline$CallCompletionRoutine$15$label_1#1:
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$15$Return#1;
+
+ inline$CallCompletionRoutine$15$Return#1:
+ goto inline$storm_IoCallDriver$7$label_39$1#1;
+
+ inline$storm_IoCallDriver$7$label_39$1#1:
+ goto inline$storm_IoCallDriver$7$anon15_Then#1, inline$storm_IoCallDriver$7$anon15_Else#1;
+
+ inline$storm_IoCallDriver$7$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$7$anon10#1;
+
+ inline$storm_IoCallDriver$7$anon10#1:
+ goto inline$storm_IoCallDriver$7$label_36#1;
+
+ inline$storm_IoCallDriver$7$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$7$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$7$label_28#1;
+
+ inline$storm_IoCallDriver$7$label_28#1:
+ inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$7$label_42#1;
+
+ inline$storm_IoCallDriver$7$label_42#1:
+ goto inline$storm_IoCallDriver$7$label_43#1;
+
+ inline$storm_IoCallDriver$7$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$7$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$7$label_36#1;
+
+ inline$storm_IoCallDriver$7$label_36#1:
+ inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$7$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$7$label_1#1;
+
+ inline$storm_IoCallDriver$7$label_1#1:
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$7$Return#1;
+
+ inline$storm_IoCallDriver$7$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$7$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_25#1:
+ goto inline$storm_PoCallDriver$3$Entry#1;
+
+ inline$storm_PoCallDriver$3$Entry#1:
+ inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$3$start#1;
+
+ inline$storm_PoCallDriver$3$start#1:
+ inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$3$label_3#1;
+
+ inline$storm_PoCallDriver$3$label_3#1:
+ goto inline$storm_IoCallDriver$8$Entry#1;
+
+ inline$storm_IoCallDriver$8$Entry#1:
+ inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$3$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$8$start#1;
+
+ inline$storm_IoCallDriver$8$start#1:
+ inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$8$label_3#1;
+
+ inline$storm_IoCallDriver$8$label_3#1:
+ goto inline$storm_IoCallDriver$8$label_4#1;
+
+ inline$storm_IoCallDriver$8$label_4#1:
+ goto inline$storm_IoCallDriver$8$label_5#1;
+
+ inline$storm_IoCallDriver$8$label_5#1:
+ goto inline$storm_IoCallDriver$8$label_6#1;
+
+ inline$storm_IoCallDriver$8$label_6#1:
+ call inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$8$label_9#1;
+
+ inline$storm_IoCallDriver$8$label_9#1:
+ goto inline$storm_IoCallDriver$8$label_9_true#1, inline$storm_IoCallDriver$8$label_9_false#1;
+
+ inline$storm_IoCallDriver$8$label_9_false#1:
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$8$label_10#1;
+
+ inline$storm_IoCallDriver$8$label_9_true#1:
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$8$label_13#1;
+
+ inline$storm_IoCallDriver$8$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$8$anon12_Then#1, inline$storm_IoCallDriver$8$anon12_Else#1;
+
+ inline$storm_IoCallDriver$8$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$8$anon3#1;
+
+ inline$storm_IoCallDriver$8$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$8$label_14#1;
+
+ inline$storm_IoCallDriver$8$label_14#1:
+ goto inline$storm_IoCallDriver$8$label_14_true#1, inline$storm_IoCallDriver$8$label_14_false#1;
+
+ inline$storm_IoCallDriver$8$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$8$label_15#1;
+
+ inline$storm_IoCallDriver$8$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$8$label_1#1;
+
+ inline$storm_IoCallDriver$8$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$8$label_10#1;
+
+ inline$storm_IoCallDriver$8$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$9$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$9$Entry#1:
+ inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$9$start#1;
+
+ inline$IoSetNextIrpStackLocation$9$start#1:
+ inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$9$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$9$label_3_true#1, inline$IoSetNextIrpStackLocation$9$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$9$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$9$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_4#1:
+ call inline$IoSetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$9$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_7#1:
+ call inline$IoSetNextIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$9$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$9$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$9$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$9$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_5#1:
+ inline$IoSetNextIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$9$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$9$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$9$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$9$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$9$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$9$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$9$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$9$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$9$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$9$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$9$Return#1;
+
+ inline$IoSetNextIrpStackLocation$9$Return#1:
+ goto inline$storm_IoCallDriver$8$label_10$1#1;
+
+ inline$storm_IoCallDriver$8$label_10$1#1:
+ goto inline$storm_IoCallDriver$8$anon11_Then#1, inline$storm_IoCallDriver$8$anon11_Else#1;
+
+ inline$storm_IoCallDriver$8$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$8$anon1#1;
+
+ inline$storm_IoCallDriver$8$anon1#1:
+ goto inline$storm_IoCallDriver$8$label_18#1;
+
+ inline$storm_IoCallDriver$8$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$83$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$83$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$start#1:
+ inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$83$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$83$label_3_true#1, inline$IoGetCurrentIrpStackLocation$83$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$83$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$83$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$83$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$83$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$83$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$83$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$83$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$83$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$83$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$83$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$83$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$83$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$83$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$83$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$83$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$83$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$83$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$83$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$83$Return#1:
+ goto inline$storm_IoCallDriver$8$label_18$1#1;
+
+ inline$storm_IoCallDriver$8$label_18$1#1:
+ goto inline$storm_IoCallDriver$8$anon13_Then#1, inline$storm_IoCallDriver$8$anon13_Else#1;
+
+ inline$storm_IoCallDriver$8$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$8$anon6#1;
+
+ inline$storm_IoCallDriver$8$anon6#1:
+ goto inline$storm_IoCallDriver$8$label_21#1;
+
+ inline$storm_IoCallDriver$8$label_21#1:
+ goto inline$storm_IoCallDriver$8$label_22#1;
+
+ inline$storm_IoCallDriver$8$label_22#1:
+ goto inline$storm_IoCallDriver$8$label_23#1;
+
+ inline$storm_IoCallDriver$8$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$8$label_24#1;
+
+ inline$storm_IoCallDriver$8$label_24#1:
+ call inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$8$label_27#1;
+
+ inline$storm_IoCallDriver$8$label_27#1:
+ goto inline$storm_IoCallDriver$8$label_27_case_0#1, inline$storm_IoCallDriver$8$label_27_case_1#1, inline$storm_IoCallDriver$8$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$8$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$8$label_30#1;
+
+ inline$storm_IoCallDriver$8$label_30#1:
+ inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$8$label_31#1;
+
+ inline$storm_IoCallDriver$8$label_31#1:
+ goto inline$storm_IoCallDriver$8$label_32#1;
+
+ inline$storm_IoCallDriver$8$label_32#1:
+ goto inline$storm_IoCallDriver$8$label_33#1;
+
+ inline$storm_IoCallDriver$8$label_33#1:
+ goto inline$CallCompletionRoutine$16$Entry#1;
+
+ inline$CallCompletionRoutine$16$Entry#1:
+ inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$16$start#1;
+
+ inline$CallCompletionRoutine$16$start#1:
+ inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$16$label_3#1;
+
+ inline$CallCompletionRoutine$16$label_3#1:
+ goto inline$CallCompletionRoutine$16$label_4#1;
+
+ inline$CallCompletionRoutine$16$label_4#1:
+ goto inline$CallCompletionRoutine$16$label_5#1;
+
+ inline$CallCompletionRoutine$16$label_5#1:
+ goto inline$CallCompletionRoutine$16$label_6#1;
+
+ inline$CallCompletionRoutine$16$label_6#1:
+ goto inline$CallCompletionRoutine$16$label_7#1;
+
+ inline$CallCompletionRoutine$16$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$84$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$84$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$start#1:
+ inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$84$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$84$label_3_true#1, inline$IoGetCurrentIrpStackLocation$84$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$84$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$84$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$84$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$84$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$84$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$84$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$84$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$84$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$84$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$84$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$84$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$84$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$84$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$84$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$84$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$84$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$84$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$84$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$84$Return#1:
+ goto inline$CallCompletionRoutine$16$label_7$1#1;
+
+ inline$CallCompletionRoutine$16$label_7$1#1:
+ goto inline$CallCompletionRoutine$16$anon10_Then#1, inline$CallCompletionRoutine$16$anon10_Else#1;
+
+ inline$CallCompletionRoutine$16$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$16$anon1#1;
+
+ inline$CallCompletionRoutine$16$anon1#1:
+ goto inline$CallCompletionRoutine$16$label_10#1;
+
+ inline$CallCompletionRoutine$16$label_10#1:
+ goto inline$CallCompletionRoutine$16$label_11#1;
+
+ inline$CallCompletionRoutine$16$label_11#1:
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$CallCompletionRoutine$16$label_12#1;
+
+ inline$CallCompletionRoutine$16$label_12#1:
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$CallCompletionRoutine$16$label_13#1;
+
+ inline$CallCompletionRoutine$16$label_13#1:
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$CallCompletionRoutine$16$label_14#1;
+
+ inline$CallCompletionRoutine$16$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$85$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$85$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$start#1:
+ inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$85$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$85$label_3_true#1, inline$IoGetCurrentIrpStackLocation$85$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$85$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$85$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$85$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$85$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$85$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$85$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$85$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$85$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$85$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$85$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$85$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$85$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$85$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$85$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$85$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$85$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$85$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$85$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$85$Return#1:
+ goto inline$CallCompletionRoutine$16$label_14$1#1;
+
+ inline$CallCompletionRoutine$16$label_14$1#1:
+ goto inline$CallCompletionRoutine$16$anon11_Then#1, inline$CallCompletionRoutine$16$anon11_Else#1;
+
+ inline$CallCompletionRoutine$16$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$16$anon3#1;
+
+ inline$CallCompletionRoutine$16$anon3#1:
+ goto inline$CallCompletionRoutine$16$label_17#1;
+
+ inline$CallCompletionRoutine$16$label_17#1:
+ goto inline$CallCompletionRoutine$16$label_18#1;
+
+ inline$CallCompletionRoutine$16$label_18#1:
+ goto inline$CallCompletionRoutine$16$label_18_true#1, inline$CallCompletionRoutine$16$label_18_false#1;
+
+ inline$CallCompletionRoutine$16$label_18_false#1:
+ assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$16$label_1#1;
+
+ inline$CallCompletionRoutine$16$label_18_true#1:
+ assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$16$label_19#1;
+
+ inline$CallCompletionRoutine$16$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$16$label_20#1;
+
+ inline$CallCompletionRoutine$16$label_20#1:
+ goto inline$CallCompletionRoutine$16$label_20_icall_1#1, inline$CallCompletionRoutine$16$label_20_icall_2#1, inline$CallCompletionRoutine$16$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$16$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$16$Entry#1:
+ inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$16$start#1;
+
+ inline$BDLSystemPowerIoCompletion$16$start#1:
+ call inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$16$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_4#1:
+ inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_6#1:
+ inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$16$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$87$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$87$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$start#1:
+ inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$87$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$87$label_3_true#1, inline$IoGetCurrentIrpStackLocation$87$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$87$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$87$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$87$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$87$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$87$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$87$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$87$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$87$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$87$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$87$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$87$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$87$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$87$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$87$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$87$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$87$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$87$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$87$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$87$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon36_Then#1, inline$BDLSystemPowerIoCompletion$16$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_15#1:
+ goto inline$BDLGetDebugLevel$439$Entry#1;
+
+ inline$BDLGetDebugLevel$439$Entry#1:
+ goto inline$BDLGetDebugLevel$439$start#1;
+
+ inline$BDLGetDebugLevel$439$start#1:
+ goto inline$BDLGetDebugLevel$439$label_3#1;
+
+ inline$BDLGetDebugLevel$439$label_3#1:
+ havoc inline$BDLGetDebugLevel$439$myNondetVar_0;
+ inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$439$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$439$label_1#1;
+
+ inline$BDLGetDebugLevel$439$label_1#1:
+ goto inline$BDLGetDebugLevel$439$Return#1;
+
+ inline$BDLGetDebugLevel$439$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$439$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon37_Then#1, inline$BDLSystemPowerIoCompletion$16$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_18_true#1, inline$BDLSystemPowerIoCompletion$16$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_19#1:
+ goto inline$BDLGetDebugLevel$440$Entry#1;
+
+ inline$BDLGetDebugLevel$440$Entry#1:
+ goto inline$BDLGetDebugLevel$440$start#1;
+
+ inline$BDLGetDebugLevel$440$start#1:
+ goto inline$BDLGetDebugLevel$440$label_3#1;
+
+ inline$BDLGetDebugLevel$440$label_3#1:
+ havoc inline$BDLGetDebugLevel$440$myNondetVar_0;
+ inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$440$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$440$label_1#1;
+
+ inline$BDLGetDebugLevel$440$label_1#1:
+ goto inline$BDLGetDebugLevel$440$Return#1;
+
+ inline$BDLGetDebugLevel$440$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$440$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon38_Then#1, inline$BDLSystemPowerIoCompletion$16$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_28_true#1, inline$BDLSystemPowerIoCompletion$16$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_29#1:
+ goto inline$BDLGetDebugLevel$441$Entry#1;
+
+ inline$BDLGetDebugLevel$441$Entry#1:
+ goto inline$BDLGetDebugLevel$441$start#1;
+
+ inline$BDLGetDebugLevel$441$start#1:
+ goto inline$BDLGetDebugLevel$441$label_3#1;
+
+ inline$BDLGetDebugLevel$441$label_3#1:
+ havoc inline$BDLGetDebugLevel$441$myNondetVar_0;
+ inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$441$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$441$label_1#1;
+
+ inline$BDLGetDebugLevel$441$label_1#1:
+ goto inline$BDLGetDebugLevel$441$Return#1;
+
+ inline$BDLGetDebugLevel$441$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$441$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon39_Then#1, inline$BDLSystemPowerIoCompletion$16$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_38_true#1, inline$BDLSystemPowerIoCompletion$16$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_39_true#1, inline$BDLSystemPowerIoCompletion$16$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$16$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$16$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$16$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$16$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$16$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_106_true#1, inline$BDLSystemPowerIoCompletion$16$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$16$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$16$myNondetVar_0, inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$16$anon50_Then#1, inline$BDLSystemPowerIoCompletion$16$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_112#1:
+ inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_113_true#1, inline$BDLSystemPowerIoCompletion$16$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$16$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_117#1:
+ goto inline$BDLGetDebugLevel$448$Entry#1;
+
+ inline$BDLGetDebugLevel$448$Entry#1:
+ goto inline$BDLGetDebugLevel$448$start#1;
+
+ inline$BDLGetDebugLevel$448$start#1:
+ goto inline$BDLGetDebugLevel$448$label_3#1;
+
+ inline$BDLGetDebugLevel$448$label_3#1:
+ havoc inline$BDLGetDebugLevel$448$myNondetVar_0;
+ inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$448$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$448$label_1#1;
+
+ inline$BDLGetDebugLevel$448$label_1#1:
+ goto inline$BDLGetDebugLevel$448$Return#1;
+
+ inline$BDLGetDebugLevel$448$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$448$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon51_Then#1, inline$BDLSystemPowerIoCompletion$16$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_120_true#1, inline$BDLSystemPowerIoCompletion$16$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_121#1:
+ goto inline$BDLGetDebugLevel$449$Entry#1;
+
+ inline$BDLGetDebugLevel$449$Entry#1:
+ goto inline$BDLGetDebugLevel$449$start#1;
+
+ inline$BDLGetDebugLevel$449$start#1:
+ goto inline$BDLGetDebugLevel$449$label_3#1;
+
+ inline$BDLGetDebugLevel$449$label_3#1:
+ havoc inline$BDLGetDebugLevel$449$myNondetVar_0;
+ inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$449$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$449$label_1#1;
+
+ inline$BDLGetDebugLevel$449$label_1#1:
+ goto inline$BDLGetDebugLevel$449$Return#1;
+
+ inline$BDLGetDebugLevel$449$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$449$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon52_Then#1, inline$BDLSystemPowerIoCompletion$16$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_130_true#1, inline$BDLSystemPowerIoCompletion$16$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_131#1:
+ goto inline$BDLGetDebugLevel$450$Entry#1;
+
+ inline$BDLGetDebugLevel$450$Entry#1:
+ goto inline$BDLGetDebugLevel$450$start#1;
+
+ inline$BDLGetDebugLevel$450$start#1:
+ goto inline$BDLGetDebugLevel$450$label_3#1;
+
+ inline$BDLGetDebugLevel$450$label_3#1:
+ havoc inline$BDLGetDebugLevel$450$myNondetVar_0;
+ inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$450$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$450$label_1#1;
+
+ inline$BDLGetDebugLevel$450$label_1#1:
+ goto inline$BDLGetDebugLevel$450$Return#1;
+
+ inline$BDLGetDebugLevel$450$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$450$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon53_Then#1, inline$BDLSystemPowerIoCompletion$16$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_140_true#1, inline$BDLSystemPowerIoCompletion$16$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$16$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_115#1:
+ inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$16$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$16$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$16$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_43#1:
+ goto inline$BDLGetDebugLevel$442$Entry#1;
+
+ inline$BDLGetDebugLevel$442$Entry#1:
+ goto inline$BDLGetDebugLevel$442$start#1;
+
+ inline$BDLGetDebugLevel$442$start#1:
+ goto inline$BDLGetDebugLevel$442$label_3#1;
+
+ inline$BDLGetDebugLevel$442$label_3#1:
+ havoc inline$BDLGetDebugLevel$442$myNondetVar_0;
+ inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$442$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$442$label_1#1;
+
+ inline$BDLGetDebugLevel$442$label_1#1:
+ goto inline$BDLGetDebugLevel$442$Return#1;
+
+ inline$BDLGetDebugLevel$442$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$442$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon40_Then#1, inline$BDLSystemPowerIoCompletion$16$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_46_true#1, inline$BDLSystemPowerIoCompletion$16$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_47#1:
+ goto inline$BDLGetDebugLevel$443$Entry#1;
+
+ inline$BDLGetDebugLevel$443$Entry#1:
+ goto inline$BDLGetDebugLevel$443$start#1;
+
+ inline$BDLGetDebugLevel$443$start#1:
+ goto inline$BDLGetDebugLevel$443$label_3#1;
+
+ inline$BDLGetDebugLevel$443$label_3#1:
+ havoc inline$BDLGetDebugLevel$443$myNondetVar_0;
+ inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$443$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$443$label_1#1;
+
+ inline$BDLGetDebugLevel$443$label_1#1:
+ goto inline$BDLGetDebugLevel$443$Return#1;
+
+ inline$BDLGetDebugLevel$443$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$443$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon41_Then#1, inline$BDLSystemPowerIoCompletion$16$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_56_true#1, inline$BDLSystemPowerIoCompletion$16$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_57#1:
+ goto inline$BDLGetDebugLevel$444$Entry#1;
+
+ inline$BDLGetDebugLevel$444$Entry#1:
+ goto inline$BDLGetDebugLevel$444$start#1;
+
+ inline$BDLGetDebugLevel$444$start#1:
+ goto inline$BDLGetDebugLevel$444$label_3#1;
+
+ inline$BDLGetDebugLevel$444$label_3#1:
+ havoc inline$BDLGetDebugLevel$444$myNondetVar_0;
+ inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$444$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$444$label_1#1;
+
+ inline$BDLGetDebugLevel$444$label_1#1:
+ goto inline$BDLGetDebugLevel$444$Return#1;
+
+ inline$BDLGetDebugLevel$444$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$444$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon42_Then#1, inline$BDLSystemPowerIoCompletion$16$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_66_true#1, inline$BDLSystemPowerIoCompletion$16$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$16$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$16$anon43_Then#1, inline$BDLSystemPowerIoCompletion$16$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$16$anon44_Then#1, inline$BDLSystemPowerIoCompletion$16$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_74#1:
+ goto inline$storm_IoCompleteRequest$34$Entry#1;
+
+ inline$storm_IoCompleteRequest$34$Entry#1:
+ inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$16$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$34$start#1;
+
+ inline$storm_IoCompleteRequest$34$start#1:
+ inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$34$label_3#1;
+
+ inline$storm_IoCompleteRequest$34$label_3#1:
+ call inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$34$label_6#1;
+
+ inline$storm_IoCompleteRequest$34$label_6#1:
+ goto inline$storm_IoCompleteRequest$34$label_6_true#1, inline$storm_IoCompleteRequest$34$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$34$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$34$label_7#1;
+
+ inline$storm_IoCompleteRequest$34$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$34$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$34$label_8#1;
+
+ inline$storm_IoCompleteRequest$34$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$34$anon3_Then#1, inline$storm_IoCompleteRequest$34$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$34$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$34$anon1#1;
+
+ inline$storm_IoCompleteRequest$34$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$34$label_9#1;
+
+ inline$storm_IoCompleteRequest$34$label_9#1:
+ goto inline$storm_IoCompleteRequest$34$label_9_true#1, inline$storm_IoCompleteRequest$34$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$34$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$34$label_10#1;
+
+ inline$storm_IoCompleteRequest$34$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$34$label_1#1;
+
+ inline$storm_IoCompleteRequest$34$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$34$label_7#1;
+
+ inline$storm_IoCompleteRequest$34$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$34$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$34$label_1#1;
+
+ inline$storm_IoCompleteRequest$34$label_1#1:
+ goto inline$storm_IoCompleteRequest$34$Return#1;
+
+ inline$storm_IoCompleteRequest$34$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$34$Return#1;
+
+ inline$storm_IoCompleteRequest$34$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon45_Then#1, inline$BDLSystemPowerIoCompletion$16$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$16$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$16$anon46_Then#1, inline$BDLSystemPowerIoCompletion$16$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_80#1:
+ goto inline$BDLGetDebugLevel$445$Entry#1;
+
+ inline$BDLGetDebugLevel$445$Entry#1:
+ goto inline$BDLGetDebugLevel$445$start#1;
+
+ inline$BDLGetDebugLevel$445$start#1:
+ goto inline$BDLGetDebugLevel$445$label_3#1;
+
+ inline$BDLGetDebugLevel$445$label_3#1:
+ havoc inline$BDLGetDebugLevel$445$myNondetVar_0;
+ inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$445$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$445$label_1#1;
+
+ inline$BDLGetDebugLevel$445$label_1#1:
+ goto inline$BDLGetDebugLevel$445$Return#1;
+
+ inline$BDLGetDebugLevel$445$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$445$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon47_Then#1, inline$BDLSystemPowerIoCompletion$16$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_83_true#1, inline$BDLSystemPowerIoCompletion$16$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_84#1:
+ goto inline$BDLGetDebugLevel$446$Entry#1;
+
+ inline$BDLGetDebugLevel$446$Entry#1:
+ goto inline$BDLGetDebugLevel$446$start#1;
+
+ inline$BDLGetDebugLevel$446$start#1:
+ goto inline$BDLGetDebugLevel$446$label_3#1;
+
+ inline$BDLGetDebugLevel$446$label_3#1:
+ havoc inline$BDLGetDebugLevel$446$myNondetVar_0;
+ inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$446$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$446$label_1#1;
+
+ inline$BDLGetDebugLevel$446$label_1#1:
+ goto inline$BDLGetDebugLevel$446$Return#1;
+
+ inline$BDLGetDebugLevel$446$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$446$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon48_Then#1, inline$BDLSystemPowerIoCompletion$16$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_93_true#1, inline$BDLSystemPowerIoCompletion$16$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$16$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$16$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_94#1:
+ goto inline$BDLGetDebugLevel$447$Entry#1;
+
+ inline$BDLGetDebugLevel$447$Entry#1:
+ goto inline$BDLGetDebugLevel$447$start#1;
+
+ inline$BDLGetDebugLevel$447$start#1:
+ goto inline$BDLGetDebugLevel$447$label_3#1;
+
+ inline$BDLGetDebugLevel$447$label_3#1:
+ havoc inline$BDLGetDebugLevel$447$myNondetVar_0;
+ inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$447$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$447$label_1#1;
+
+ inline$BDLGetDebugLevel$447$label_1#1:
+ goto inline$BDLGetDebugLevel$447$Return#1;
+
+ inline$BDLGetDebugLevel$447$Return#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$447$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$16$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$16$anon49_Then#1, inline$BDLSystemPowerIoCompletion$16$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_103_true#1, inline$BDLSystemPowerIoCompletion$16$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$16$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$16$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$16$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_104#1:
+ inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$16$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$16$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$16$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$16$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$16$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$16$Return#1:
+ inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$16$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$16$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$16$anon14_Then#1, inline$CallCompletionRoutine$16$anon14_Else#1;
+
+ inline$CallCompletionRoutine$16$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$16$anon9#1;
+
+ inline$CallCompletionRoutine$16$anon9#1:
+ goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$16$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$16$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$16$Entry#1:
+ inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$16$start#1;
+
+ inline$BDLDevicePowerIoCompletion$16$start#1:
+ call inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$16$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_4#1:
+ inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_6#1:
+ inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$16$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$86$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$86$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$start#1:
+ inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$86$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$86$label_3_true#1, inline$IoGetCurrentIrpStackLocation$86$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$86$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$86$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$86$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$86$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$86$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$86$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$86$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$86$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$86$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$86$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$86$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$86$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$86$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$86$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$86$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$86$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$86$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$86$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$86$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$86$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon30_Then#1, inline$BDLDevicePowerIoCompletion$16$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_13#1:
+ inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_17#1:
+ goto inline$BDLGetDebugLevel$430$Entry#1;
+
+ inline$BDLGetDebugLevel$430$Entry#1:
+ goto inline$BDLGetDebugLevel$430$start#1;
+
+ inline$BDLGetDebugLevel$430$start#1:
+ goto inline$BDLGetDebugLevel$430$label_3#1;
+
+ inline$BDLGetDebugLevel$430$label_3#1:
+ havoc inline$BDLGetDebugLevel$430$myNondetVar_0;
+ inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$430$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$430$label_1#1;
+
+ inline$BDLGetDebugLevel$430$label_1#1:
+ goto inline$BDLGetDebugLevel$430$Return#1;
+
+ inline$BDLGetDebugLevel$430$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$430$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon31_Then#1, inline$BDLDevicePowerIoCompletion$16$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_20_true#1, inline$BDLDevicePowerIoCompletion$16$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_21#1:
+ goto inline$BDLGetDebugLevel$431$Entry#1;
+
+ inline$BDLGetDebugLevel$431$Entry#1:
+ goto inline$BDLGetDebugLevel$431$start#1;
+
+ inline$BDLGetDebugLevel$431$start#1:
+ goto inline$BDLGetDebugLevel$431$label_3#1;
+
+ inline$BDLGetDebugLevel$431$label_3#1:
+ havoc inline$BDLGetDebugLevel$431$myNondetVar_0;
+ inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$431$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$431$label_1#1;
+
+ inline$BDLGetDebugLevel$431$label_1#1:
+ goto inline$BDLGetDebugLevel$431$Return#1;
+
+ inline$BDLGetDebugLevel$431$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$431$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon32_Then#1, inline$BDLDevicePowerIoCompletion$16$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_30_true#1, inline$BDLDevicePowerIoCompletion$16$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_31#1:
+ goto inline$BDLGetDebugLevel$432$Entry#1;
+
+ inline$BDLGetDebugLevel$432$Entry#1:
+ goto inline$BDLGetDebugLevel$432$start#1;
+
+ inline$BDLGetDebugLevel$432$start#1:
+ goto inline$BDLGetDebugLevel$432$label_3#1;
+
+ inline$BDLGetDebugLevel$432$label_3#1:
+ havoc inline$BDLGetDebugLevel$432$myNondetVar_0;
+ inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$432$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$432$label_1#1;
+
+ inline$BDLGetDebugLevel$432$label_1#1:
+ goto inline$BDLGetDebugLevel$432$Return#1;
+
+ inline$BDLGetDebugLevel$432$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$432$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon33_Then#1, inline$BDLDevicePowerIoCompletion$16$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_40_true#1, inline$BDLDevicePowerIoCompletion$16$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_41_true#1, inline$BDLDevicePowerIoCompletion$16$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$16$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$16$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_44_true#1, inline$BDLDevicePowerIoCompletion$16$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_45_true#1, inline$BDLDevicePowerIoCompletion$16$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$16$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$16$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$16$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_54#1:
+ inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$16$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_55_true#1, inline$BDLDevicePowerIoCompletion$16$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$16$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$16$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$16$anon34_Then#1, inline$BDLDevicePowerIoCompletion$16$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_59#1:
+ goto inline$BDLGetDebugLevel$433$Entry#1;
+
+ inline$BDLGetDebugLevel$433$Entry#1:
+ goto inline$BDLGetDebugLevel$433$start#1;
+
+ inline$BDLGetDebugLevel$433$start#1:
+ goto inline$BDLGetDebugLevel$433$label_3#1;
+
+ inline$BDLGetDebugLevel$433$label_3#1:
+ havoc inline$BDLGetDebugLevel$433$myNondetVar_0;
+ inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$433$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$433$label_1#1;
+
+ inline$BDLGetDebugLevel$433$label_1#1:
+ goto inline$BDLGetDebugLevel$433$Return#1;
+
+ inline$BDLGetDebugLevel$433$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$433$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon35_Then#1, inline$BDLDevicePowerIoCompletion$16$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_62_true#1, inline$BDLDevicePowerIoCompletion$16$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_63#1:
+ goto inline$BDLGetDebugLevel$434$Entry#1;
+
+ inline$BDLGetDebugLevel$434$Entry#1:
+ goto inline$BDLGetDebugLevel$434$start#1;
+
+ inline$BDLGetDebugLevel$434$start#1:
+ goto inline$BDLGetDebugLevel$434$label_3#1;
+
+ inline$BDLGetDebugLevel$434$label_3#1:
+ havoc inline$BDLGetDebugLevel$434$myNondetVar_0;
+ inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$434$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$434$label_1#1;
+
+ inline$BDLGetDebugLevel$434$label_1#1:
+ goto inline$BDLGetDebugLevel$434$Return#1;
+
+ inline$BDLGetDebugLevel$434$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$434$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon36_Then#1, inline$BDLDevicePowerIoCompletion$16$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_72_true#1, inline$BDLDevicePowerIoCompletion$16$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_73#1:
+ goto inline$BDLGetDebugLevel$435$Entry#1;
+
+ inline$BDLGetDebugLevel$435$Entry#1:
+ goto inline$BDLGetDebugLevel$435$start#1;
+
+ inline$BDLGetDebugLevel$435$start#1:
+ goto inline$BDLGetDebugLevel$435$label_3#1;
+
+ inline$BDLGetDebugLevel$435$label_3#1:
+ havoc inline$BDLGetDebugLevel$435$myNondetVar_0;
+ inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$435$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$435$label_1#1;
+
+ inline$BDLGetDebugLevel$435$label_1#1:
+ goto inline$BDLGetDebugLevel$435$Return#1;
+
+ inline$BDLGetDebugLevel$435$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$435$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon37_Then#1, inline$BDLDevicePowerIoCompletion$16$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_82_true#1, inline$BDLDevicePowerIoCompletion$16$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$16$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$16$anon38_Then#1, inline$BDLDevicePowerIoCompletion$16$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$16$anon39_Then#1, inline$BDLDevicePowerIoCompletion$16$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_92#1:
+ goto inline$storm_IoCompleteRequest$33$Entry#1;
+
+ inline$storm_IoCompleteRequest$33$Entry#1:
+ inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$16$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$33$start#1;
+
+ inline$storm_IoCompleteRequest$33$start#1:
+ inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$33$label_3#1;
+
+ inline$storm_IoCompleteRequest$33$label_3#1:
+ call inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$33$label_6#1;
+
+ inline$storm_IoCompleteRequest$33$label_6#1:
+ goto inline$storm_IoCompleteRequest$33$label_6_true#1, inline$storm_IoCompleteRequest$33$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$33$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$33$label_7#1;
+
+ inline$storm_IoCompleteRequest$33$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$33$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$33$label_8#1;
+
+ inline$storm_IoCompleteRequest$33$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$33$anon3_Then#1, inline$storm_IoCompleteRequest$33$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$33$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$33$anon1#1;
+
+ inline$storm_IoCompleteRequest$33$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$33$label_9#1;
+
+ inline$storm_IoCompleteRequest$33$label_9#1:
+ goto inline$storm_IoCompleteRequest$33$label_9_true#1, inline$storm_IoCompleteRequest$33$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$33$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$33$label_10#1;
+
+ inline$storm_IoCompleteRequest$33$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$33$label_1#1;
+
+ inline$storm_IoCompleteRequest$33$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$33$label_7#1;
+
+ inline$storm_IoCompleteRequest$33$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$33$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$33$label_1#1;
+
+ inline$storm_IoCompleteRequest$33$label_1#1:
+ goto inline$storm_IoCompleteRequest$33$Return#1;
+
+ inline$storm_IoCompleteRequest$33$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$33$Return#1;
+
+ inline$storm_IoCompleteRequest$33$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon40_Then#1, inline$BDLDevicePowerIoCompletion$16$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$16$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$16$anon41_Then#1, inline$BDLDevicePowerIoCompletion$16$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_98#1:
+ goto inline$BDLGetDebugLevel$436$Entry#1;
+
+ inline$BDLGetDebugLevel$436$Entry#1:
+ goto inline$BDLGetDebugLevel$436$start#1;
+
+ inline$BDLGetDebugLevel$436$start#1:
+ goto inline$BDLGetDebugLevel$436$label_3#1;
+
+ inline$BDLGetDebugLevel$436$label_3#1:
+ havoc inline$BDLGetDebugLevel$436$myNondetVar_0;
+ inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$436$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$436$label_1#1;
+
+ inline$BDLGetDebugLevel$436$label_1#1:
+ goto inline$BDLGetDebugLevel$436$Return#1;
+
+ inline$BDLGetDebugLevel$436$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$436$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon42_Then#1, inline$BDLDevicePowerIoCompletion$16$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_101_true#1, inline$BDLDevicePowerIoCompletion$16$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_102#1:
+ goto inline$BDLGetDebugLevel$437$Entry#1;
+
+ inline$BDLGetDebugLevel$437$Entry#1:
+ goto inline$BDLGetDebugLevel$437$start#1;
+
+ inline$BDLGetDebugLevel$437$start#1:
+ goto inline$BDLGetDebugLevel$437$label_3#1;
+
+ inline$BDLGetDebugLevel$437$label_3#1:
+ havoc inline$BDLGetDebugLevel$437$myNondetVar_0;
+ inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$437$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$437$label_1#1;
+
+ inline$BDLGetDebugLevel$437$label_1#1:
+ goto inline$BDLGetDebugLevel$437$Return#1;
+
+ inline$BDLGetDebugLevel$437$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$437$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon43_Then#1, inline$BDLDevicePowerIoCompletion$16$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_111_true#1, inline$BDLDevicePowerIoCompletion$16$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$16$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$16$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_112#1:
+ goto inline$BDLGetDebugLevel$438$Entry#1;
+
+ inline$BDLGetDebugLevel$438$Entry#1:
+ goto inline$BDLGetDebugLevel$438$start#1;
+
+ inline$BDLGetDebugLevel$438$start#1:
+ goto inline$BDLGetDebugLevel$438$label_3#1;
+
+ inline$BDLGetDebugLevel$438$label_3#1:
+ havoc inline$BDLGetDebugLevel$438$myNondetVar_0;
+ inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$438$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$438$label_1#1;
+
+ inline$BDLGetDebugLevel$438$label_1#1:
+ goto inline$BDLGetDebugLevel$438$Return#1;
+
+ inline$BDLGetDebugLevel$438$Return#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$438$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$16$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$16$anon44_Then#1, inline$BDLDevicePowerIoCompletion$16$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_121_true#1, inline$BDLDevicePowerIoCompletion$16$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$16$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$16$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$16$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_122#1:
+ inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$16$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$16$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$16$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$16$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$16$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$16$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$16$Return#1:
+ inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$16$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$16$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$16$anon13_Then#1, inline$CallCompletionRoutine$16$anon13_Else#1;
+
+ inline$CallCompletionRoutine$16$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$16$anon7#1;
+
+ inline$CallCompletionRoutine$16$anon7#1:
+ goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$16$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$16$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$16$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$16$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$16$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$16$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$start#1:
+ inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$16$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$label_3_true#1, inline$BDLCallDriverCompletionRoutine$16$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$16$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$16$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$16$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_6#1:
+ goto inline$storm_KeSetEvent$18$Entry#1;
+
+ inline$storm_KeSetEvent$18$Entry#1:
+ inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$16$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$18$start#1;
+
+ inline$storm_KeSetEvent$18$start#1:
+ inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$18$label_3#1;
+
+ inline$storm_KeSetEvent$18$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$18$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$18$label_1#1;
+
+ inline$storm_KeSetEvent$18$label_1#1:
+ goto inline$storm_KeSetEvent$18$Return#1;
+
+ inline$storm_KeSetEvent$18$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$16$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$16$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$16$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$16$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$16$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$16$Return#1:
+ inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$16$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$16$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$16$anon12_Then#1, inline$CallCompletionRoutine$16$anon12_Else#1;
+
+ inline$CallCompletionRoutine$16$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$16$anon5#1;
+
+ inline$CallCompletionRoutine$16$anon5#1:
+ goto inline$CallCompletionRoutine$16$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$16$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$16$label_23#1;
+
+ inline$CallCompletionRoutine$16$label_23#1:
+ inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$16$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$16$label_24#1;
+
+ inline$CallCompletionRoutine$16$label_24#1:
+ goto inline$CallCompletionRoutine$16$label_24_true#1, inline$CallCompletionRoutine$16$label_24_false#1;
+
+ inline$CallCompletionRoutine$16$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$16$label_1#1;
+
+ inline$CallCompletionRoutine$16$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$16$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$16$label_25#1;
+
+ inline$CallCompletionRoutine$16$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$16$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$16$label_1#1;
+
+ inline$CallCompletionRoutine$16$label_1#1:
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$16$Return#1;
+
+ inline$CallCompletionRoutine$16$Return#1:
+ goto inline$storm_IoCallDriver$8$label_33$1#1;
+
+ inline$storm_IoCallDriver$8$label_33$1#1:
+ goto inline$storm_IoCallDriver$8$anon14_Then#1, inline$storm_IoCallDriver$8$anon14_Else#1;
+
+ inline$storm_IoCallDriver$8$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$8$anon8#1;
+
+ inline$storm_IoCallDriver$8$anon8#1:
+ goto inline$storm_IoCallDriver$8$label_36#1;
+
+ inline$storm_IoCallDriver$8$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$8$label_29#1;
+
+ inline$storm_IoCallDriver$8$label_29#1:
+ inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$8$label_37#1;
+
+ inline$storm_IoCallDriver$8$label_37#1:
+ goto inline$storm_IoCallDriver$8$label_38#1;
+
+ inline$storm_IoCallDriver$8$label_38#1:
+ goto inline$storm_IoCallDriver$8$label_39#1;
+
+ inline$storm_IoCallDriver$8$label_39#1:
+ goto inline$CallCompletionRoutine$17$Entry#1;
+
+ inline$CallCompletionRoutine$17$Entry#1:
+ inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$17$start#1;
+
+ inline$CallCompletionRoutine$17$start#1:
+ inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$17$label_3#1;
+
+ inline$CallCompletionRoutine$17$label_3#1:
+ goto inline$CallCompletionRoutine$17$label_4#1;
+
+ inline$CallCompletionRoutine$17$label_4#1:
+ goto inline$CallCompletionRoutine$17$label_5#1;
+
+ inline$CallCompletionRoutine$17$label_5#1:
+ goto inline$CallCompletionRoutine$17$label_6#1;
+
+ inline$CallCompletionRoutine$17$label_6#1:
+ goto inline$CallCompletionRoutine$17$label_7#1;
+
+ inline$CallCompletionRoutine$17$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$88$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$88$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$start#1:
+ inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$88$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$88$label_3_true#1, inline$IoGetCurrentIrpStackLocation$88$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$88$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$88$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$88$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$88$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$88$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$88$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$88$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$88$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$88$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$88$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$88$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$88$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$88$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$88$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$88$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$88$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$88$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$88$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$88$Return#1:
+ goto inline$CallCompletionRoutine$17$label_7$1#1;
+
+ inline$CallCompletionRoutine$17$label_7$1#1:
+ goto inline$CallCompletionRoutine$17$anon10_Then#1, inline$CallCompletionRoutine$17$anon10_Else#1;
+
+ inline$CallCompletionRoutine$17$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$17$anon1#1;
+
+ inline$CallCompletionRoutine$17$anon1#1:
+ goto inline$CallCompletionRoutine$17$label_10#1;
+
+ inline$CallCompletionRoutine$17$label_10#1:
+ goto inline$CallCompletionRoutine$17$label_11#1;
+
+ inline$CallCompletionRoutine$17$label_11#1:
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$CallCompletionRoutine$17$label_12#1;
+
+ inline$CallCompletionRoutine$17$label_12#1:
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$CallCompletionRoutine$17$label_13#1;
+
+ inline$CallCompletionRoutine$17$label_13#1:
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$CallCompletionRoutine$17$label_14#1;
+
+ inline$CallCompletionRoutine$17$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$89$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$89$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$start#1:
+ inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$89$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$89$label_3_true#1, inline$IoGetCurrentIrpStackLocation$89$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$89$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$89$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$89$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$89$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$89$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$89$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$89$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$89$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$89$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$89$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$89$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$89$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$89$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$89$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$89$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$89$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$89$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$89$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$89$Return#1:
+ goto inline$CallCompletionRoutine$17$label_14$1#1;
+
+ inline$CallCompletionRoutine$17$label_14$1#1:
+ goto inline$CallCompletionRoutine$17$anon11_Then#1, inline$CallCompletionRoutine$17$anon11_Else#1;
+
+ inline$CallCompletionRoutine$17$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$17$anon3#1;
+
+ inline$CallCompletionRoutine$17$anon3#1:
+ goto inline$CallCompletionRoutine$17$label_17#1;
+
+ inline$CallCompletionRoutine$17$label_17#1:
+ goto inline$CallCompletionRoutine$17$label_18#1;
+
+ inline$CallCompletionRoutine$17$label_18#1:
+ goto inline$CallCompletionRoutine$17$label_18_true#1, inline$CallCompletionRoutine$17$label_18_false#1;
+
+ inline$CallCompletionRoutine$17$label_18_false#1:
+ assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$17$label_1#1;
+
+ inline$CallCompletionRoutine$17$label_18_true#1:
+ assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$17$label_19#1;
+
+ inline$CallCompletionRoutine$17$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$17$label_20#1;
+
+ inline$CallCompletionRoutine$17$label_20#1:
+ goto inline$CallCompletionRoutine$17$label_20_icall_1#1, inline$CallCompletionRoutine$17$label_20_icall_2#1, inline$CallCompletionRoutine$17$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$17$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$17$Entry#1:
+ inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$17$start#1;
+
+ inline$BDLSystemPowerIoCompletion$17$start#1:
+ call inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$17$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_4#1:
+ inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_6#1:
+ inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$17$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$91$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$91$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$start#1:
+ inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$91$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$91$label_3_true#1, inline$IoGetCurrentIrpStackLocation$91$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$91$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$91$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$91$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$91$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$91$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$91$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$91$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$91$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$91$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$91$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$91$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$91$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$91$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$91$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$91$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$91$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$91$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$91$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$91$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon36_Then#1, inline$BDLSystemPowerIoCompletion$17$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_15#1:
+ goto inline$BDLGetDebugLevel$460$Entry#1;
+
+ inline$BDLGetDebugLevel$460$Entry#1:
+ goto inline$BDLGetDebugLevel$460$start#1;
+
+ inline$BDLGetDebugLevel$460$start#1:
+ goto inline$BDLGetDebugLevel$460$label_3#1;
+
+ inline$BDLGetDebugLevel$460$label_3#1:
+ havoc inline$BDLGetDebugLevel$460$myNondetVar_0;
+ inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$460$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$460$label_1#1;
+
+ inline$BDLGetDebugLevel$460$label_1#1:
+ goto inline$BDLGetDebugLevel$460$Return#1;
+
+ inline$BDLGetDebugLevel$460$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$460$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon37_Then#1, inline$BDLSystemPowerIoCompletion$17$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_18_true#1, inline$BDLSystemPowerIoCompletion$17$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_19#1:
+ goto inline$BDLGetDebugLevel$461$Entry#1;
+
+ inline$BDLGetDebugLevel$461$Entry#1:
+ goto inline$BDLGetDebugLevel$461$start#1;
+
+ inline$BDLGetDebugLevel$461$start#1:
+ goto inline$BDLGetDebugLevel$461$label_3#1;
+
+ inline$BDLGetDebugLevel$461$label_3#1:
+ havoc inline$BDLGetDebugLevel$461$myNondetVar_0;
+ inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$461$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$461$label_1#1;
+
+ inline$BDLGetDebugLevel$461$label_1#1:
+ goto inline$BDLGetDebugLevel$461$Return#1;
+
+ inline$BDLGetDebugLevel$461$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$461$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon38_Then#1, inline$BDLSystemPowerIoCompletion$17$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_28_true#1, inline$BDLSystemPowerIoCompletion$17$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_29#1:
+ goto inline$BDLGetDebugLevel$462$Entry#1;
+
+ inline$BDLGetDebugLevel$462$Entry#1:
+ goto inline$BDLGetDebugLevel$462$start#1;
+
+ inline$BDLGetDebugLevel$462$start#1:
+ goto inline$BDLGetDebugLevel$462$label_3#1;
+
+ inline$BDLGetDebugLevel$462$label_3#1:
+ havoc inline$BDLGetDebugLevel$462$myNondetVar_0;
+ inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$462$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$462$label_1#1;
+
+ inline$BDLGetDebugLevel$462$label_1#1:
+ goto inline$BDLGetDebugLevel$462$Return#1;
+
+ inline$BDLGetDebugLevel$462$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$462$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon39_Then#1, inline$BDLSystemPowerIoCompletion$17$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_38_true#1, inline$BDLSystemPowerIoCompletion$17$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_39_true#1, inline$BDLSystemPowerIoCompletion$17$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$17$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$17$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$17$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$17$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$17$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_106_true#1, inline$BDLSystemPowerIoCompletion$17$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$17$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$17$myNondetVar_0, inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$17$anon50_Then#1, inline$BDLSystemPowerIoCompletion$17$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_112#1:
+ inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_113_true#1, inline$BDLSystemPowerIoCompletion$17$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$17$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_117#1:
+ goto inline$BDLGetDebugLevel$469$Entry#1;
+
+ inline$BDLGetDebugLevel$469$Entry#1:
+ goto inline$BDLGetDebugLevel$469$start#1;
+
+ inline$BDLGetDebugLevel$469$start#1:
+ goto inline$BDLGetDebugLevel$469$label_3#1;
+
+ inline$BDLGetDebugLevel$469$label_3#1:
+ havoc inline$BDLGetDebugLevel$469$myNondetVar_0;
+ inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$469$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$469$label_1#1;
+
+ inline$BDLGetDebugLevel$469$label_1#1:
+ goto inline$BDLGetDebugLevel$469$Return#1;
+
+ inline$BDLGetDebugLevel$469$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$469$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon51_Then#1, inline$BDLSystemPowerIoCompletion$17$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_120_true#1, inline$BDLSystemPowerIoCompletion$17$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_121#1:
+ goto inline$BDLGetDebugLevel$470$Entry#1;
+
+ inline$BDLGetDebugLevel$470$Entry#1:
+ goto inline$BDLGetDebugLevel$470$start#1;
+
+ inline$BDLGetDebugLevel$470$start#1:
+ goto inline$BDLGetDebugLevel$470$label_3#1;
+
+ inline$BDLGetDebugLevel$470$label_3#1:
+ havoc inline$BDLGetDebugLevel$470$myNondetVar_0;
+ inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$470$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$470$label_1#1;
+
+ inline$BDLGetDebugLevel$470$label_1#1:
+ goto inline$BDLGetDebugLevel$470$Return#1;
+
+ inline$BDLGetDebugLevel$470$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$470$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon52_Then#1, inline$BDLSystemPowerIoCompletion$17$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_130_true#1, inline$BDLSystemPowerIoCompletion$17$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_131#1:
+ goto inline$BDLGetDebugLevel$471$Entry#1;
+
+ inline$BDLGetDebugLevel$471$Entry#1:
+ goto inline$BDLGetDebugLevel$471$start#1;
+
+ inline$BDLGetDebugLevel$471$start#1:
+ goto inline$BDLGetDebugLevel$471$label_3#1;
+
+ inline$BDLGetDebugLevel$471$label_3#1:
+ havoc inline$BDLGetDebugLevel$471$myNondetVar_0;
+ inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$471$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$471$label_1#1;
+
+ inline$BDLGetDebugLevel$471$label_1#1:
+ goto inline$BDLGetDebugLevel$471$Return#1;
+
+ inline$BDLGetDebugLevel$471$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$471$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon53_Then#1, inline$BDLSystemPowerIoCompletion$17$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_140_true#1, inline$BDLSystemPowerIoCompletion$17$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$17$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_115#1:
+ inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$17$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$17$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$17$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_43#1:
+ goto inline$BDLGetDebugLevel$463$Entry#1;
+
+ inline$BDLGetDebugLevel$463$Entry#1:
+ goto inline$BDLGetDebugLevel$463$start#1;
+
+ inline$BDLGetDebugLevel$463$start#1:
+ goto inline$BDLGetDebugLevel$463$label_3#1;
+
+ inline$BDLGetDebugLevel$463$label_3#1:
+ havoc inline$BDLGetDebugLevel$463$myNondetVar_0;
+ inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$463$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$463$label_1#1;
+
+ inline$BDLGetDebugLevel$463$label_1#1:
+ goto inline$BDLGetDebugLevel$463$Return#1;
+
+ inline$BDLGetDebugLevel$463$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$463$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon40_Then#1, inline$BDLSystemPowerIoCompletion$17$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_46_true#1, inline$BDLSystemPowerIoCompletion$17$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_47#1:
+ goto inline$BDLGetDebugLevel$464$Entry#1;
+
+ inline$BDLGetDebugLevel$464$Entry#1:
+ goto inline$BDLGetDebugLevel$464$start#1;
+
+ inline$BDLGetDebugLevel$464$start#1:
+ goto inline$BDLGetDebugLevel$464$label_3#1;
+
+ inline$BDLGetDebugLevel$464$label_3#1:
+ havoc inline$BDLGetDebugLevel$464$myNondetVar_0;
+ inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$464$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$464$label_1#1;
+
+ inline$BDLGetDebugLevel$464$label_1#1:
+ goto inline$BDLGetDebugLevel$464$Return#1;
+
+ inline$BDLGetDebugLevel$464$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$464$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon41_Then#1, inline$BDLSystemPowerIoCompletion$17$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_56_true#1, inline$BDLSystemPowerIoCompletion$17$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_57#1:
+ goto inline$BDLGetDebugLevel$465$Entry#1;
+
+ inline$BDLGetDebugLevel$465$Entry#1:
+ goto inline$BDLGetDebugLevel$465$start#1;
+
+ inline$BDLGetDebugLevel$465$start#1:
+ goto inline$BDLGetDebugLevel$465$label_3#1;
+
+ inline$BDLGetDebugLevel$465$label_3#1:
+ havoc inline$BDLGetDebugLevel$465$myNondetVar_0;
+ inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$465$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$465$label_1#1;
+
+ inline$BDLGetDebugLevel$465$label_1#1:
+ goto inline$BDLGetDebugLevel$465$Return#1;
+
+ inline$BDLGetDebugLevel$465$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$465$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon42_Then#1, inline$BDLSystemPowerIoCompletion$17$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_66_true#1, inline$BDLSystemPowerIoCompletion$17$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$17$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$17$anon43_Then#1, inline$BDLSystemPowerIoCompletion$17$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$17$anon44_Then#1, inline$BDLSystemPowerIoCompletion$17$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_74#1:
+ goto inline$storm_IoCompleteRequest$36$Entry#1;
+
+ inline$storm_IoCompleteRequest$36$Entry#1:
+ inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$17$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$36$start#1;
+
+ inline$storm_IoCompleteRequest$36$start#1:
+ inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$36$label_3#1;
+
+ inline$storm_IoCompleteRequest$36$label_3#1:
+ call inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$36$label_6#1;
+
+ inline$storm_IoCompleteRequest$36$label_6#1:
+ goto inline$storm_IoCompleteRequest$36$label_6_true#1, inline$storm_IoCompleteRequest$36$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$36$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$36$label_7#1;
+
+ inline$storm_IoCompleteRequest$36$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$36$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$36$label_8#1;
+
+ inline$storm_IoCompleteRequest$36$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$36$anon3_Then#1, inline$storm_IoCompleteRequest$36$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$36$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$36$anon1#1;
+
+ inline$storm_IoCompleteRequest$36$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$36$label_9#1;
+
+ inline$storm_IoCompleteRequest$36$label_9#1:
+ goto inline$storm_IoCompleteRequest$36$label_9_true#1, inline$storm_IoCompleteRequest$36$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$36$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$36$label_10#1;
+
+ inline$storm_IoCompleteRequest$36$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$36$label_1#1;
+
+ inline$storm_IoCompleteRequest$36$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$36$label_7#1;
+
+ inline$storm_IoCompleteRequest$36$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$36$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$36$label_1#1;
+
+ inline$storm_IoCompleteRequest$36$label_1#1:
+ goto inline$storm_IoCompleteRequest$36$Return#1;
+
+ inline$storm_IoCompleteRequest$36$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$36$Return#1;
+
+ inline$storm_IoCompleteRequest$36$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon45_Then#1, inline$BDLSystemPowerIoCompletion$17$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$17$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$17$anon46_Then#1, inline$BDLSystemPowerIoCompletion$17$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_80#1:
+ goto inline$BDLGetDebugLevel$466$Entry#1;
+
+ inline$BDLGetDebugLevel$466$Entry#1:
+ goto inline$BDLGetDebugLevel$466$start#1;
+
+ inline$BDLGetDebugLevel$466$start#1:
+ goto inline$BDLGetDebugLevel$466$label_3#1;
+
+ inline$BDLGetDebugLevel$466$label_3#1:
+ havoc inline$BDLGetDebugLevel$466$myNondetVar_0;
+ inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$466$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$466$label_1#1;
+
+ inline$BDLGetDebugLevel$466$label_1#1:
+ goto inline$BDLGetDebugLevel$466$Return#1;
+
+ inline$BDLGetDebugLevel$466$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$466$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon47_Then#1, inline$BDLSystemPowerIoCompletion$17$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_83_true#1, inline$BDLSystemPowerIoCompletion$17$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_84#1:
+ goto inline$BDLGetDebugLevel$467$Entry#1;
+
+ inline$BDLGetDebugLevel$467$Entry#1:
+ goto inline$BDLGetDebugLevel$467$start#1;
+
+ inline$BDLGetDebugLevel$467$start#1:
+ goto inline$BDLGetDebugLevel$467$label_3#1;
+
+ inline$BDLGetDebugLevel$467$label_3#1:
+ havoc inline$BDLGetDebugLevel$467$myNondetVar_0;
+ inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$467$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$467$label_1#1;
+
+ inline$BDLGetDebugLevel$467$label_1#1:
+ goto inline$BDLGetDebugLevel$467$Return#1;
+
+ inline$BDLGetDebugLevel$467$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$467$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon48_Then#1, inline$BDLSystemPowerIoCompletion$17$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_93_true#1, inline$BDLSystemPowerIoCompletion$17$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$17$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$17$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_94#1:
+ goto inline$BDLGetDebugLevel$468$Entry#1;
+
+ inline$BDLGetDebugLevel$468$Entry#1:
+ goto inline$BDLGetDebugLevel$468$start#1;
+
+ inline$BDLGetDebugLevel$468$start#1:
+ goto inline$BDLGetDebugLevel$468$label_3#1;
+
+ inline$BDLGetDebugLevel$468$label_3#1:
+ havoc inline$BDLGetDebugLevel$468$myNondetVar_0;
+ inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$468$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$468$label_1#1;
+
+ inline$BDLGetDebugLevel$468$label_1#1:
+ goto inline$BDLGetDebugLevel$468$Return#1;
+
+ inline$BDLGetDebugLevel$468$Return#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$468$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$17$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$17$anon49_Then#1, inline$BDLSystemPowerIoCompletion$17$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_103_true#1, inline$BDLSystemPowerIoCompletion$17$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$17$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$17$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$17$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_104#1:
+ inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$17$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$17$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$17$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$17$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$17$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$17$Return#1:
+ inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$17$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$17$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$17$anon14_Then#1, inline$CallCompletionRoutine$17$anon14_Else#1;
+
+ inline$CallCompletionRoutine$17$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$17$anon9#1;
+
+ inline$CallCompletionRoutine$17$anon9#1:
+ goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$17$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$17$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$17$Entry#1:
+ inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$17$start#1;
+
+ inline$BDLDevicePowerIoCompletion$17$start#1:
+ call inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$17$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_4#1:
+ inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_6#1:
+ inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$17$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$90$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$90$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$start#1:
+ inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$90$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$90$label_3_true#1, inline$IoGetCurrentIrpStackLocation$90$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$90$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$90$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$90$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$90$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$90$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$90$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$90$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$90$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$90$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$90$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$90$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$90$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$90$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$90$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$90$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$90$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$90$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$90$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$90$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$90$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon30_Then#1, inline$BDLDevicePowerIoCompletion$17$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_13#1:
+ inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_17#1:
+ goto inline$BDLGetDebugLevel$451$Entry#1;
+
+ inline$BDLGetDebugLevel$451$Entry#1:
+ goto inline$BDLGetDebugLevel$451$start#1;
+
+ inline$BDLGetDebugLevel$451$start#1:
+ goto inline$BDLGetDebugLevel$451$label_3#1;
+
+ inline$BDLGetDebugLevel$451$label_3#1:
+ havoc inline$BDLGetDebugLevel$451$myNondetVar_0;
+ inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$451$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$451$label_1#1;
+
+ inline$BDLGetDebugLevel$451$label_1#1:
+ goto inline$BDLGetDebugLevel$451$Return#1;
+
+ inline$BDLGetDebugLevel$451$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$451$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon31_Then#1, inline$BDLDevicePowerIoCompletion$17$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_20_true#1, inline$BDLDevicePowerIoCompletion$17$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_21#1:
+ goto inline$BDLGetDebugLevel$452$Entry#1;
+
+ inline$BDLGetDebugLevel$452$Entry#1:
+ goto inline$BDLGetDebugLevel$452$start#1;
+
+ inline$BDLGetDebugLevel$452$start#1:
+ goto inline$BDLGetDebugLevel$452$label_3#1;
+
+ inline$BDLGetDebugLevel$452$label_3#1:
+ havoc inline$BDLGetDebugLevel$452$myNondetVar_0;
+ inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$452$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$452$label_1#1;
+
+ inline$BDLGetDebugLevel$452$label_1#1:
+ goto inline$BDLGetDebugLevel$452$Return#1;
+
+ inline$BDLGetDebugLevel$452$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$452$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon32_Then#1, inline$BDLDevicePowerIoCompletion$17$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_30_true#1, inline$BDLDevicePowerIoCompletion$17$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_31#1:
+ goto inline$BDLGetDebugLevel$453$Entry#1;
+
+ inline$BDLGetDebugLevel$453$Entry#1:
+ goto inline$BDLGetDebugLevel$453$start#1;
+
+ inline$BDLGetDebugLevel$453$start#1:
+ goto inline$BDLGetDebugLevel$453$label_3#1;
+
+ inline$BDLGetDebugLevel$453$label_3#1:
+ havoc inline$BDLGetDebugLevel$453$myNondetVar_0;
+ inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$453$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$453$label_1#1;
+
+ inline$BDLGetDebugLevel$453$label_1#1:
+ goto inline$BDLGetDebugLevel$453$Return#1;
+
+ inline$BDLGetDebugLevel$453$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$453$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon33_Then#1, inline$BDLDevicePowerIoCompletion$17$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_40_true#1, inline$BDLDevicePowerIoCompletion$17$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_41_true#1, inline$BDLDevicePowerIoCompletion$17$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$17$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$17$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_44_true#1, inline$BDLDevicePowerIoCompletion$17$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_45_true#1, inline$BDLDevicePowerIoCompletion$17$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$17$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$17$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$17$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_54#1:
+ inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$17$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_55_true#1, inline$BDLDevicePowerIoCompletion$17$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$17$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$17$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$17$anon34_Then#1, inline$BDLDevicePowerIoCompletion$17$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_59#1:
+ goto inline$BDLGetDebugLevel$454$Entry#1;
+
+ inline$BDLGetDebugLevel$454$Entry#1:
+ goto inline$BDLGetDebugLevel$454$start#1;
+
+ inline$BDLGetDebugLevel$454$start#1:
+ goto inline$BDLGetDebugLevel$454$label_3#1;
+
+ inline$BDLGetDebugLevel$454$label_3#1:
+ havoc inline$BDLGetDebugLevel$454$myNondetVar_0;
+ inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$454$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$454$label_1#1;
+
+ inline$BDLGetDebugLevel$454$label_1#1:
+ goto inline$BDLGetDebugLevel$454$Return#1;
+
+ inline$BDLGetDebugLevel$454$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$454$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon35_Then#1, inline$BDLDevicePowerIoCompletion$17$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_62_true#1, inline$BDLDevicePowerIoCompletion$17$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_63#1:
+ goto inline$BDLGetDebugLevel$455$Entry#1;
+
+ inline$BDLGetDebugLevel$455$Entry#1:
+ goto inline$BDLGetDebugLevel$455$start#1;
+
+ inline$BDLGetDebugLevel$455$start#1:
+ goto inline$BDLGetDebugLevel$455$label_3#1;
+
+ inline$BDLGetDebugLevel$455$label_3#1:
+ havoc inline$BDLGetDebugLevel$455$myNondetVar_0;
+ inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$455$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$455$label_1#1;
+
+ inline$BDLGetDebugLevel$455$label_1#1:
+ goto inline$BDLGetDebugLevel$455$Return#1;
+
+ inline$BDLGetDebugLevel$455$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$455$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon36_Then#1, inline$BDLDevicePowerIoCompletion$17$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_72_true#1, inline$BDLDevicePowerIoCompletion$17$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_73#1:
+ goto inline$BDLGetDebugLevel$456$Entry#1;
+
+ inline$BDLGetDebugLevel$456$Entry#1:
+ goto inline$BDLGetDebugLevel$456$start#1;
+
+ inline$BDLGetDebugLevel$456$start#1:
+ goto inline$BDLGetDebugLevel$456$label_3#1;
+
+ inline$BDLGetDebugLevel$456$label_3#1:
+ havoc inline$BDLGetDebugLevel$456$myNondetVar_0;
+ inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$456$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$456$label_1#1;
+
+ inline$BDLGetDebugLevel$456$label_1#1:
+ goto inline$BDLGetDebugLevel$456$Return#1;
+
+ inline$BDLGetDebugLevel$456$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$456$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon37_Then#1, inline$BDLDevicePowerIoCompletion$17$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_82_true#1, inline$BDLDevicePowerIoCompletion$17$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$17$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$17$anon38_Then#1, inline$BDLDevicePowerIoCompletion$17$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$17$anon39_Then#1, inline$BDLDevicePowerIoCompletion$17$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_92#1:
+ goto inline$storm_IoCompleteRequest$35$Entry#1;
+
+ inline$storm_IoCompleteRequest$35$Entry#1:
+ inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$17$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$35$start#1;
+
+ inline$storm_IoCompleteRequest$35$start#1:
+ inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$35$label_3#1;
+
+ inline$storm_IoCompleteRequest$35$label_3#1:
+ call inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$35$label_6#1;
+
+ inline$storm_IoCompleteRequest$35$label_6#1:
+ goto inline$storm_IoCompleteRequest$35$label_6_true#1, inline$storm_IoCompleteRequest$35$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$35$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$35$label_7#1;
+
+ inline$storm_IoCompleteRequest$35$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$35$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$35$label_8#1;
+
+ inline$storm_IoCompleteRequest$35$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$35$anon3_Then#1, inline$storm_IoCompleteRequest$35$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$35$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$35$anon1#1;
+
+ inline$storm_IoCompleteRequest$35$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$35$label_9#1;
+
+ inline$storm_IoCompleteRequest$35$label_9#1:
+ goto inline$storm_IoCompleteRequest$35$label_9_true#1, inline$storm_IoCompleteRequest$35$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$35$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$35$label_10#1;
+
+ inline$storm_IoCompleteRequest$35$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$35$label_1#1;
+
+ inline$storm_IoCompleteRequest$35$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$35$label_7#1;
+
+ inline$storm_IoCompleteRequest$35$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$35$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$35$label_1#1;
+
+ inline$storm_IoCompleteRequest$35$label_1#1:
+ goto inline$storm_IoCompleteRequest$35$Return#1;
+
+ inline$storm_IoCompleteRequest$35$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$35$Return#1;
+
+ inline$storm_IoCompleteRequest$35$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon40_Then#1, inline$BDLDevicePowerIoCompletion$17$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$17$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$17$anon41_Then#1, inline$BDLDevicePowerIoCompletion$17$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_98#1:
+ goto inline$BDLGetDebugLevel$457$Entry#1;
+
+ inline$BDLGetDebugLevel$457$Entry#1:
+ goto inline$BDLGetDebugLevel$457$start#1;
+
+ inline$BDLGetDebugLevel$457$start#1:
+ goto inline$BDLGetDebugLevel$457$label_3#1;
+
+ inline$BDLGetDebugLevel$457$label_3#1:
+ havoc inline$BDLGetDebugLevel$457$myNondetVar_0;
+ inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$457$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$457$label_1#1;
+
+ inline$BDLGetDebugLevel$457$label_1#1:
+ goto inline$BDLGetDebugLevel$457$Return#1;
+
+ inline$BDLGetDebugLevel$457$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$457$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon42_Then#1, inline$BDLDevicePowerIoCompletion$17$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_101_true#1, inline$BDLDevicePowerIoCompletion$17$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_102#1:
+ goto inline$BDLGetDebugLevel$458$Entry#1;
+
+ inline$BDLGetDebugLevel$458$Entry#1:
+ goto inline$BDLGetDebugLevel$458$start#1;
+
+ inline$BDLGetDebugLevel$458$start#1:
+ goto inline$BDLGetDebugLevel$458$label_3#1;
+
+ inline$BDLGetDebugLevel$458$label_3#1:
+ havoc inline$BDLGetDebugLevel$458$myNondetVar_0;
+ inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$458$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$458$label_1#1;
+
+ inline$BDLGetDebugLevel$458$label_1#1:
+ goto inline$BDLGetDebugLevel$458$Return#1;
+
+ inline$BDLGetDebugLevel$458$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$458$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon43_Then#1, inline$BDLDevicePowerIoCompletion$17$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_111_true#1, inline$BDLDevicePowerIoCompletion$17$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$17$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$17$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_112#1:
+ goto inline$BDLGetDebugLevel$459$Entry#1;
+
+ inline$BDLGetDebugLevel$459$Entry#1:
+ goto inline$BDLGetDebugLevel$459$start#1;
+
+ inline$BDLGetDebugLevel$459$start#1:
+ goto inline$BDLGetDebugLevel$459$label_3#1;
+
+ inline$BDLGetDebugLevel$459$label_3#1:
+ havoc inline$BDLGetDebugLevel$459$myNondetVar_0;
+ inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$459$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$459$label_1#1;
+
+ inline$BDLGetDebugLevel$459$label_1#1:
+ goto inline$BDLGetDebugLevel$459$Return#1;
+
+ inline$BDLGetDebugLevel$459$Return#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$459$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$17$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$17$anon44_Then#1, inline$BDLDevicePowerIoCompletion$17$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_121_true#1, inline$BDLDevicePowerIoCompletion$17$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$17$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$17$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$17$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_122#1:
+ inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$17$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$17$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$17$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$17$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$17$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$17$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$17$Return#1:
+ inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$17$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$17$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$17$anon13_Then#1, inline$CallCompletionRoutine$17$anon13_Else#1;
+
+ inline$CallCompletionRoutine$17$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$17$anon7#1;
+
+ inline$CallCompletionRoutine$17$anon7#1:
+ goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$17$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$17$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$17$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$17$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$17$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$17$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$start#1:
+ inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$17$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$label_3_true#1, inline$BDLCallDriverCompletionRoutine$17$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$17$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$17$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$17$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_6#1:
+ goto inline$storm_KeSetEvent$19$Entry#1;
+
+ inline$storm_KeSetEvent$19$Entry#1:
+ inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$17$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$19$start#1;
+
+ inline$storm_KeSetEvent$19$start#1:
+ inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$19$label_3#1;
+
+ inline$storm_KeSetEvent$19$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$19$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$19$label_1#1;
+
+ inline$storm_KeSetEvent$19$label_1#1:
+ goto inline$storm_KeSetEvent$19$Return#1;
+
+ inline$storm_KeSetEvent$19$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$17$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$17$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$17$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$17$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$17$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$17$Return#1:
+ inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$17$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$17$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$17$anon12_Then#1, inline$CallCompletionRoutine$17$anon12_Else#1;
+
+ inline$CallCompletionRoutine$17$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$17$anon5#1;
+
+ inline$CallCompletionRoutine$17$anon5#1:
+ goto inline$CallCompletionRoutine$17$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$17$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$17$label_23#1;
+
+ inline$CallCompletionRoutine$17$label_23#1:
+ inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$17$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$17$label_24#1;
+
+ inline$CallCompletionRoutine$17$label_24#1:
+ goto inline$CallCompletionRoutine$17$label_24_true#1, inline$CallCompletionRoutine$17$label_24_false#1;
+
+ inline$CallCompletionRoutine$17$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$17$label_1#1;
+
+ inline$CallCompletionRoutine$17$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$17$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$17$label_25#1;
+
+ inline$CallCompletionRoutine$17$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$17$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$17$label_1#1;
+
+ inline$CallCompletionRoutine$17$label_1#1:
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$17$Return#1;
+
+ inline$CallCompletionRoutine$17$Return#1:
+ goto inline$storm_IoCallDriver$8$label_39$1#1;
+
+ inline$storm_IoCallDriver$8$label_39$1#1:
+ goto inline$storm_IoCallDriver$8$anon15_Then#1, inline$storm_IoCallDriver$8$anon15_Else#1;
+
+ inline$storm_IoCallDriver$8$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$8$anon10#1;
+
+ inline$storm_IoCallDriver$8$anon10#1:
+ goto inline$storm_IoCallDriver$8$label_36#1;
+
+ inline$storm_IoCallDriver$8$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$8$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$8$label_28#1;
+
+ inline$storm_IoCallDriver$8$label_28#1:
+ inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$8$label_42#1;
+
+ inline$storm_IoCallDriver$8$label_42#1:
+ goto inline$storm_IoCallDriver$8$label_43#1;
+
+ inline$storm_IoCallDriver$8$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$8$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$8$label_36#1;
+
+ inline$storm_IoCallDriver$8$label_36#1:
+ inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$8$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$8$label_1#1;
+
+ inline$storm_IoCallDriver$8$label_1#1:
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$8$Return#1;
+
+ inline$storm_IoCallDriver$8$Return#1:
+ inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$8$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$3$label_3$1#1;
+
+ inline$storm_PoCallDriver$3$label_3$1#1:
+ goto inline$storm_PoCallDriver$3$anon2_Then#1, inline$storm_PoCallDriver$3$anon2_Else#1;
+
+ inline$storm_PoCallDriver$3$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$3$anon1#1;
+
+ inline$storm_PoCallDriver$3$anon1#1:
+ goto inline$storm_PoCallDriver$3$label_6#1;
+
+ inline$storm_PoCallDriver$3$label_6#1:
+ inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$3$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$3$label_1#1;
+
+ inline$storm_PoCallDriver$3$label_1#1:
+ goto inline$storm_PoCallDriver$3$Return#1;
+
+ inline$storm_PoCallDriver$3$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$3$Return#1;
+
+ inline$storm_PoCallDriver$3$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$3$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$3$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$3$Entry#1:
+ inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$3$start#1;
+
+ inline$storm_KeWaitForSingleObject$3$start#1:
+ inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$3$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$3$label_3_true#1, inline$storm_KeWaitForSingleObject$3$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon6_Then#1, inline$storm_KeWaitForSingleObject$3$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$3$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_13#1:
+ inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$Return#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$3$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_5#1:
+ call inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$3$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$3$label_8_true#1, inline$storm_KeWaitForSingleObject$3$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon7_Then#1, inline$storm_KeWaitForSingleObject$3$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$3$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_12#1:
+ inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$3$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$Return#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$3$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon8_Then#1, inline$storm_KeWaitForSingleObject$3$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$3$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$3$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_11#1:
+ inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$3$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$3$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$3$Return#1;
+
+ inline$storm_KeWaitForSingleObject$3$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$3$Return#1;
+
+ inline$storm_KeWaitForSingleObject$3$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$3$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$3$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$3$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$3$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$3$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$3$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$3$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$3$Return#1:
+ inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$ := inline$BDLCallLowerLevelDriverAndWait$3$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPStop$0$label_92$1#1;
+
+ inline$BDLPnPStop$0$label_92$1#1:
+ goto inline$BDLPnPStop$0$anon38_Then#1, inline$BDLPnPStop$0$anon38_Else#1;
+
+ inline$BDLPnPStop$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon21#1;
+
+ inline$BDLPnPStop$0$anon21#1:
+ goto inline$BDLPnPStop$0$label_95#1;
+
+ inline$BDLPnPStop$0$label_95#1:
+ inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 := inline$BDLPnPStop$0$$result.BDLCallLowerLevelDriverAndWait$2227.43$26$;
+ goto inline$BDLPnPStop$0$label_96#1;
+
+ inline$BDLPnPStop$0$label_96#1:
+ goto inline$BDLPnPStop$0$label_96_true#1, inline$BDLPnPStop$0$label_96_false#1;
+
+ inline$BDLPnPStop$0$label_96_false#1:
+ assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 == 0;
+ goto inline$BDLPnPStop$0$label_65#1;
+
+ inline$BDLPnPStop$0$label_96_true#1:
+ assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 != 0;
+ goto inline$BDLPnPStop$0$label_97#1;
+
+ inline$BDLPnPStop$0$label_97#1:
+ goto inline$BDLGetDebugLevel$472$Entry#1;
+
+ inline$BDLGetDebugLevel$472$Entry#1:
+ goto inline$BDLGetDebugLevel$472$start#1;
+
+ inline$BDLGetDebugLevel$472$start#1:
+ goto inline$BDLGetDebugLevel$472$label_3#1;
+
+ inline$BDLGetDebugLevel$472$label_3#1:
+ havoc inline$BDLGetDebugLevel$472$myNondetVar_0;
+ inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$472$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$472$label_1#1;
+
+ inline$BDLGetDebugLevel$472$label_1#1:
+ goto inline$BDLGetDebugLevel$472$Return#1;
+
+ inline$BDLGetDebugLevel$472$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$ := inline$BDLGetDebugLevel$472$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_97$1#1;
+
+ inline$BDLPnPStop$0$label_97$1#1:
+ goto inline$BDLPnPStop$0$anon39_Then#1, inline$BDLPnPStop$0$anon39_Else#1;
+
+ inline$BDLPnPStop$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon23#1;
+
+ inline$BDLPnPStop$0$anon23#1:
+ goto inline$BDLPnPStop$0$label_100#1;
+
+ inline$BDLPnPStop$0$label_100#1:
+ goto inline$BDLPnPStop$0$label_100_true#1, inline$BDLPnPStop$0$label_100_false#1;
+
+ inline$BDLPnPStop$0$label_100_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$) == 0;
+ goto inline$BDLPnPStop$0$label_101#1;
+
+ inline$BDLPnPStop$0$label_100_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$27$) != 0;
+ goto inline$BDLPnPStop$0$label_104#1;
+
+ inline$BDLPnPStop$0$label_104#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_105#1;
+
+ inline$BDLPnPStop$0$label_105#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_106#1;
+
+ inline$BDLPnPStop$0$label_106#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_107#1;
+
+ inline$BDLPnPStop$0$label_107#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2231.0$28$;
+ goto inline$BDLPnPStop$0$label_101#1;
+
+ inline$BDLPnPStop$0$label_101#1:
+ goto inline$BDLGetDebugLevel$473$Entry#1;
+
+ inline$BDLGetDebugLevel$473$Entry#1:
+ goto inline$BDLGetDebugLevel$473$start#1;
+
+ inline$BDLGetDebugLevel$473$start#1:
+ goto inline$BDLGetDebugLevel$473$label_3#1;
+
+ inline$BDLGetDebugLevel$473$label_3#1:
+ havoc inline$BDLGetDebugLevel$473$myNondetVar_0;
+ inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$473$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$473$label_1#1;
+
+ inline$BDLGetDebugLevel$473$label_1#1:
+ goto inline$BDLGetDebugLevel$473$Return#1;
+
+ inline$BDLGetDebugLevel$473$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$ := inline$BDLGetDebugLevel$473$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_101$1#1;
+
+ inline$BDLPnPStop$0$label_101$1#1:
+ goto inline$BDLPnPStop$0$anon40_Then#1, inline$BDLPnPStop$0$anon40_Else#1;
+
+ inline$BDLPnPStop$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon25#1;
+
+ inline$BDLPnPStop$0$anon25#1:
+ goto inline$BDLPnPStop$0$label_110#1;
+
+ inline$BDLPnPStop$0$label_110#1:
+ goto inline$BDLPnPStop$0$label_110_true#1, inline$BDLPnPStop$0$label_110_false#1;
+
+ inline$BDLPnPStop$0$label_110_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$) == 0;
+ goto inline$BDLPnPStop$0$label_111#1;
+
+ inline$BDLPnPStop$0$label_110_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$32$) != 0;
+ goto inline$BDLPnPStop$0$label_114#1;
+
+ inline$BDLPnPStop$0$label_114#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_115#1;
+
+ inline$BDLPnPStop$0$label_115#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_116#1;
+
+ inline$BDLPnPStop$0$label_116#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_117#1;
+
+ inline$BDLPnPStop$0$label_117#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2231.0$33$;
+ goto inline$BDLPnPStop$0$label_111#1;
+
+ inline$BDLPnPStop$0$label_111#1:
+ goto inline$BDLGetDebugLevel$474$Entry#1;
+
+ inline$BDLGetDebugLevel$474$Entry#1:
+ goto inline$BDLGetDebugLevel$474$start#1;
+
+ inline$BDLGetDebugLevel$474$start#1:
+ goto inline$BDLGetDebugLevel$474$label_3#1;
+
+ inline$BDLGetDebugLevel$474$label_3#1:
+ havoc inline$BDLGetDebugLevel$474$myNondetVar_0;
+ inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$474$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$474$label_1#1;
+
+ inline$BDLGetDebugLevel$474$label_1#1:
+ goto inline$BDLGetDebugLevel$474$Return#1;
+
+ inline$BDLGetDebugLevel$474$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$ := inline$BDLGetDebugLevel$474$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_111$1#1;
+
+ inline$BDLPnPStop$0$label_111$1#1:
+ goto inline$BDLPnPStop$0$anon41_Then#1, inline$BDLPnPStop$0$anon41_Else#1;
+
+ inline$BDLPnPStop$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon27#1;
+
+ inline$BDLPnPStop$0$anon27#1:
+ goto inline$BDLPnPStop$0$label_120#1;
+
+ inline$BDLPnPStop$0$label_120#1:
+ goto inline$BDLPnPStop$0$label_120_true#1, inline$BDLPnPStop$0$label_120_false#1;
+
+ inline$BDLPnPStop$0$label_120_false#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$, 4) == 0;
+ goto inline$BDLPnPStop$0$label_65#1;
+
+ inline$BDLPnPStop$0$label_120_true#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2231.0$37$, 4) != 0;
+ goto inline$BDLPnPStop$0$label_121#1;
+
+ inline$BDLPnPStop$0$label_121#1:
+ goto inline$BDLPnPStop$0$label_65#1;
+
+ inline$BDLPnPStop$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$label_39_true#1:
+ assume inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12 != 0;
+ goto inline$BDLPnPStop$0$label_41#1;
+
+ inline$BDLPnPStop$0$label_41#1:
+ goto inline$BDLGetDebugLevel$382$Entry#1;
+
+ inline$BDLGetDebugLevel$382$Entry#1:
+ goto inline$BDLGetDebugLevel$382$start#1;
+
+ inline$BDLGetDebugLevel$382$start#1:
+ goto inline$BDLGetDebugLevel$382$label_3#1;
+
+ inline$BDLGetDebugLevel$382$label_3#1:
+ havoc inline$BDLGetDebugLevel$382$myNondetVar_0;
+ inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$382$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$382$label_1#1;
+
+ inline$BDLGetDebugLevel$382$label_1#1:
+ goto inline$BDLGetDebugLevel$382$Return#1;
+
+ inline$BDLGetDebugLevel$382$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$ := inline$BDLGetDebugLevel$382$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_41$1#1;
+
+ inline$BDLPnPStop$0$label_41$1#1:
+ goto inline$BDLPnPStop$0$anon32_Then#1, inline$BDLPnPStop$0$anon32_Else#1;
+
+ inline$BDLPnPStop$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon9#1;
+
+ inline$BDLPnPStop$0$anon9#1:
+ goto inline$BDLPnPStop$0$label_44#1;
+
+ inline$BDLPnPStop$0$label_44#1:
+ goto inline$BDLPnPStop$0$label_44_true#1, inline$BDLPnPStop$0$label_44_false#1;
+
+ inline$BDLPnPStop$0$label_44_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$) == 0;
+ goto inline$BDLPnPStop$0$label_45#1;
+
+ inline$BDLPnPStop$0$label_44_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$15$) != 0;
+ goto inline$BDLPnPStop$0$label_48#1;
+
+ inline$BDLPnPStop$0$label_48#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_49#1;
+
+ inline$BDLPnPStop$0$label_49#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_50#1;
+
+ inline$BDLPnPStop$0$label_50#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_51#1;
+
+ inline$BDLPnPStop$0$label_51#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2208.0$16$;
+ goto inline$BDLPnPStop$0$label_45#1;
+
+ inline$BDLPnPStop$0$label_45#1:
+ goto inline$BDLGetDebugLevel$383$Entry#1;
+
+ inline$BDLGetDebugLevel$383$Entry#1:
+ goto inline$BDLGetDebugLevel$383$start#1;
+
+ inline$BDLGetDebugLevel$383$start#1:
+ goto inline$BDLGetDebugLevel$383$label_3#1;
+
+ inline$BDLGetDebugLevel$383$label_3#1:
+ havoc inline$BDLGetDebugLevel$383$myNondetVar_0;
+ inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$383$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$383$label_1#1;
+
+ inline$BDLGetDebugLevel$383$label_1#1:
+ goto inline$BDLGetDebugLevel$383$Return#1;
+
+ inline$BDLGetDebugLevel$383$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$ := inline$BDLGetDebugLevel$383$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_45$1#1;
+
+ inline$BDLPnPStop$0$label_45$1#1:
+ goto inline$BDLPnPStop$0$anon33_Then#1, inline$BDLPnPStop$0$anon33_Else#1;
+
+ inline$BDLPnPStop$0$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon11#1;
+
+ inline$BDLPnPStop$0$anon11#1:
+ goto inline$BDLPnPStop$0$label_54#1;
+
+ inline$BDLPnPStop$0$label_54#1:
+ goto inline$BDLPnPStop$0$label_54_true#1, inline$BDLPnPStop$0$label_54_false#1;
+
+ inline$BDLPnPStop$0$label_54_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$) == 0;
+ goto inline$BDLPnPStop$0$label_55#1;
+
+ inline$BDLPnPStop$0$label_54_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$20$) != 0;
+ goto inline$BDLPnPStop$0$label_58#1;
+
+ inline$BDLPnPStop$0$label_58#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_59#1;
+
+ inline$BDLPnPStop$0$label_59#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_60#1;
+
+ inline$BDLPnPStop$0$label_60#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_61#1;
+
+ inline$BDLPnPStop$0$label_61#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2208.0$21$;
+ goto inline$BDLPnPStop$0$label_55#1;
+
+ inline$BDLPnPStop$0$label_55#1:
+ goto inline$BDLGetDebugLevel$384$Entry#1;
+
+ inline$BDLGetDebugLevel$384$Entry#1:
+ goto inline$BDLGetDebugLevel$384$start#1;
+
+ inline$BDLGetDebugLevel$384$start#1:
+ goto inline$BDLGetDebugLevel$384$label_3#1;
+
+ inline$BDLGetDebugLevel$384$label_3#1:
+ havoc inline$BDLGetDebugLevel$384$myNondetVar_0;
+ inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$384$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$384$label_1#1;
+
+ inline$BDLGetDebugLevel$384$label_1#1:
+ goto inline$BDLGetDebugLevel$384$Return#1;
+
+ inline$BDLGetDebugLevel$384$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$ := inline$BDLGetDebugLevel$384$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_55$1#1;
+
+ inline$BDLPnPStop$0$label_55$1#1:
+ goto inline$BDLPnPStop$0$anon34_Then#1, inline$BDLPnPStop$0$anon34_Else#1;
+
+ inline$BDLPnPStop$0$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon13#1;
+
+ inline$BDLPnPStop$0$anon13#1:
+ goto inline$BDLPnPStop$0$label_64#1;
+
+ inline$BDLPnPStop$0$label_64#1:
+ goto inline$BDLPnPStop$0$label_64_true#1, inline$BDLPnPStop$0$label_64_false#1;
+
+ inline$BDLPnPStop$0$label_64_false#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$, 4) == 0;
+ goto inline$BDLPnPStop$0$label_65#1;
+
+ inline$BDLPnPStop$0$label_64_true#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2208.0$25$, 4) != 0;
+ goto inline$BDLPnPStop$0$label_68#1;
+
+ inline$BDLPnPStop$0$label_68#1:
+ goto inline$BDLPnPStop$0$label_65#1;
+
+ inline$BDLPnPStop$0$label_65#1:
+ goto inline$BDLGetDebugLevel$385$Entry#1;
+
+ inline$BDLGetDebugLevel$385$Entry#1:
+ goto inline$BDLGetDebugLevel$385$start#1;
+
+ inline$BDLGetDebugLevel$385$start#1:
+ goto inline$BDLGetDebugLevel$385$label_3#1;
+
+ inline$BDLGetDebugLevel$385$label_3#1:
+ havoc inline$BDLGetDebugLevel$385$myNondetVar_0;
+ inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$385$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$385$label_1#1;
+
+ inline$BDLGetDebugLevel$385$label_1#1:
+ goto inline$BDLGetDebugLevel$385$Return#1;
+
+ inline$BDLGetDebugLevel$385$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$ := inline$BDLGetDebugLevel$385$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_65$1#1;
+
+ inline$BDLPnPStop$0$label_65$1#1:
+ goto inline$BDLPnPStop$0$anon35_Then#1, inline$BDLPnPStop$0$anon35_Else#1;
+
+ inline$BDLPnPStop$0$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon15#1;
+
+ inline$BDLPnPStop$0$anon15#1:
+ goto inline$BDLPnPStop$0$label_69#1;
+
+ inline$BDLPnPStop$0$label_69#1:
+ goto inline$BDLPnPStop$0$label_69_true#1, inline$BDLPnPStop$0$label_69_false#1;
+
+ inline$BDLPnPStop$0$label_69_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$) == 0;
+ goto inline$BDLPnPStop$0$label_70#1;
+
+ inline$BDLPnPStop$0$label_69_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$38$) != 0;
+ goto inline$BDLPnPStop$0$label_73#1;
+
+ inline$BDLPnPStop$0$label_73#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_74#1;
+
+ inline$BDLPnPStop$0$label_74#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_75#1;
+
+ inline$BDLPnPStop$0$label_75#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_76#1;
+
+ inline$BDLPnPStop$0$label_76#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2243.0$39$;
+ goto inline$BDLPnPStop$0$label_70#1;
+
+ inline$BDLPnPStop$0$label_70#1:
+ goto inline$BDLGetDebugLevel$386$Entry#1;
+
+ inline$BDLGetDebugLevel$386$Entry#1:
+ goto inline$BDLGetDebugLevel$386$start#1;
+
+ inline$BDLGetDebugLevel$386$start#1:
+ goto inline$BDLGetDebugLevel$386$label_3#1;
+
+ inline$BDLGetDebugLevel$386$label_3#1:
+ havoc inline$BDLGetDebugLevel$386$myNondetVar_0;
+ inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$386$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$386$label_1#1;
+
+ inline$BDLGetDebugLevel$386$label_1#1:
+ goto inline$BDLGetDebugLevel$386$Return#1;
+
+ inline$BDLGetDebugLevel$386$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$ := inline$BDLGetDebugLevel$386$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_70$1#1;
+
+ inline$BDLPnPStop$0$label_70$1#1:
+ goto inline$BDLPnPStop$0$anon36_Then#1, inline$BDLPnPStop$0$anon36_Else#1;
+
+ inline$BDLPnPStop$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon17#1;
+
+ inline$BDLPnPStop$0$anon17#1:
+ goto inline$BDLPnPStop$0$label_79#1;
+
+ inline$BDLPnPStop$0$label_79#1:
+ goto inline$BDLPnPStop$0$label_79_true#1, inline$BDLPnPStop$0$label_79_false#1;
+
+ inline$BDLPnPStop$0$label_79_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$) == 0;
+ goto inline$BDLPnPStop$0$label_80#1;
+
+ inline$BDLPnPStop$0$label_79_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$43$) != 0;
+ goto inline$BDLPnPStop$0$label_83#1;
+
+ inline$BDLPnPStop$0$label_83#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_84#1;
+
+ inline$BDLPnPStop$0$label_84#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_85#1;
+
+ inline$BDLPnPStop$0$label_85#1:
+ call inline$BDLPnPStop$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStop$0$label_86#1;
+
+ inline$BDLPnPStop$0$label_86#1:
+ havoc inline$BDLPnPStop$0$$result.DbgPrint$2243.0$44$;
+ goto inline$BDLPnPStop$0$label_80#1;
+
+ inline$BDLPnPStop$0$label_80#1:
+ goto inline$BDLGetDebugLevel$387$Entry#1;
+
+ inline$BDLGetDebugLevel$387$Entry#1:
+ goto inline$BDLGetDebugLevel$387$start#1;
+
+ inline$BDLGetDebugLevel$387$start#1:
+ goto inline$BDLGetDebugLevel$387$label_3#1;
+
+ inline$BDLGetDebugLevel$387$label_3#1:
+ havoc inline$BDLGetDebugLevel$387$myNondetVar_0;
+ inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$387$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$387$label_1#1;
+
+ inline$BDLGetDebugLevel$387$label_1#1:
+ goto inline$BDLGetDebugLevel$387$Return#1;
+
+ inline$BDLGetDebugLevel$387$Return#1:
+ inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$ := inline$BDLGetDebugLevel$387$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStop$0$label_80$1#1;
+
+ inline$BDLPnPStop$0$label_80$1#1:
+ goto inline$BDLPnPStop$0$anon37_Then#1, inline$BDLPnPStop$0$anon37_Else#1;
+
+ inline$BDLPnPStop$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStop$0$anon19#1;
+
+ inline$BDLPnPStop$0$anon19#1:
+ goto inline$BDLPnPStop$0$label_89#1;
+
+ inline$BDLPnPStop$0$label_89#1:
+ goto inline$BDLPnPStop$0$label_89_true#1, inline$BDLPnPStop$0$label_89_false#1;
+
+ inline$BDLPnPStop$0$label_89_false#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$, 4) == 0;
+ goto inline$BDLPnPStop$0$label_90#1;
+
+ inline$BDLPnPStop$0$label_89_true#1:
+ assume BIT_BAND(inline$BDLPnPStop$0$$result.BDLGetDebugLevel$2243.0$48$, 4) != 0;
+ goto inline$BDLPnPStop$0$label_91#1;
+
+ inline$BDLPnPStop$0$label_91#1:
+ goto inline$BDLPnPStop$0$label_90#1;
+
+ inline$BDLPnPStop$0$label_90#1:
+ inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$ := inline$BDLPnPStop$0$$status$4$2187.28$BDLPnPStop$12;
+ goto inline$BDLPnPStop$0$label_1#1;
+
+ inline$BDLPnPStop$0$label_1#1:
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStop$0$Return#1;
+
+ inline$BDLPnPStop$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$ := inline$BDLPnPStop$0$$result.BDLPnPStop$2180.0$1$;
+ goto inline$BDLPnP$0$label_125$1#1;
+
+ inline$BDLPnP$0$label_125$1#1:
+ goto inline$BDLPnP$0$anon71_Then#1, inline$BDLPnP$0$anon71_Else#1;
+
+ inline$BDLPnP$0$anon71_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon35#1;
+
+ inline$BDLPnP$0$anon35#1:
+ goto inline$BDLPnP$0$label_150#1;
+
+ inline$BDLPnP$0$label_150#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPStop$934.27$31$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon71_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_4#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 3;
+ goto inline$BDLPnP$0$label_122#1;
+
+ inline$BDLPnP$0$label_122#1:
+ goto inline$BDLPnPCancelRemove$0$Entry#1;
+
+ inline$BDLPnPCancelRemove$0$Entry#1:
+ inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPCancelRemove$0$start#1;
+
+ inline$BDLPnPCancelRemove$0$start#1:
+ inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12_.1;
+ inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12_.1;
+ goto inline$BDLPnPCancelRemove$0$label_3#1;
+
+ inline$BDLPnPCancelRemove$0$label_3#1:
+ goto inline$BDLPnPCancelRemove$0$label_4#1;
+
+ inline$BDLPnPCancelRemove$0$label_4#1:
+ inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := 0;
+ goto inline$BDLPnPCancelRemove$0$label_5#1;
+
+ inline$BDLPnPCancelRemove$0$label_5#1:
+ goto inline$BDLGetDebugLevel$283$Entry#1;
+
+ inline$BDLGetDebugLevel$283$Entry#1:
+ goto inline$BDLGetDebugLevel$283$start#1;
+
+ inline$BDLGetDebugLevel$283$start#1:
+ goto inline$BDLGetDebugLevel$283$label_3#1;
+
+ inline$BDLGetDebugLevel$283$label_3#1:
+ havoc inline$BDLGetDebugLevel$283$myNondetVar_0;
+ inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$283$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$283$label_1#1;
+
+ inline$BDLGetDebugLevel$283$label_1#1:
+ goto inline$BDLGetDebugLevel$283$Return#1;
+
+ inline$BDLGetDebugLevel$283$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$ := inline$BDLGetDebugLevel$283$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_5$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_5$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon28_Then#1, inline$BDLPnPCancelRemove$0$anon28_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon1#1;
+
+ inline$BDLPnPCancelRemove$0$anon1#1:
+ goto inline$BDLPnPCancelRemove$0$label_8#1;
+
+ inline$BDLPnPCancelRemove$0$label_8#1:
+ goto inline$BDLPnPCancelRemove$0$label_8_true#1, inline$BDLPnPCancelRemove$0$label_8_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_8_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_9#1;
+
+ inline$BDLPnPCancelRemove$0$label_8_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$2$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_12#1;
+
+ inline$BDLPnPCancelRemove$0$label_12#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_13#1;
+
+ inline$BDLPnPCancelRemove$0$label_13#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_14#1;
+
+ inline$BDLPnPCancelRemove$0$label_14#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_15#1;
+
+ inline$BDLPnPCancelRemove$0$label_15#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$3$;
+ goto inline$BDLPnPCancelRemove$0$label_9#1;
+
+ inline$BDLPnPCancelRemove$0$label_9#1:
+ goto inline$BDLGetDebugLevel$284$Entry#1;
+
+ inline$BDLGetDebugLevel$284$Entry#1:
+ goto inline$BDLGetDebugLevel$284$start#1;
+
+ inline$BDLGetDebugLevel$284$start#1:
+ goto inline$BDLGetDebugLevel$284$label_3#1;
+
+ inline$BDLGetDebugLevel$284$label_3#1:
+ havoc inline$BDLGetDebugLevel$284$myNondetVar_0;
+ inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$284$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$284$label_1#1;
+
+ inline$BDLGetDebugLevel$284$label_1#1:
+ goto inline$BDLGetDebugLevel$284$Return#1;
+
+ inline$BDLGetDebugLevel$284$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$ := inline$BDLGetDebugLevel$284$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_9$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_9$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon29_Then#1, inline$BDLPnPCancelRemove$0$anon29_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon3#1;
+
+ inline$BDLPnPCancelRemove$0$anon3#1:
+ goto inline$BDLPnPCancelRemove$0$label_18#1;
+
+ inline$BDLPnPCancelRemove$0$label_18#1:
+ goto inline$BDLPnPCancelRemove$0$label_18_true#1, inline$BDLPnPCancelRemove$0$label_18_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_19#1;
+
+ inline$BDLPnPCancelRemove$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$7$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_22#1;
+
+ inline$BDLPnPCancelRemove$0$label_22#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_23#1;
+
+ inline$BDLPnPCancelRemove$0$label_23#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_24#1;
+
+ inline$BDLPnPCancelRemove$0$label_24#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_25#1;
+
+ inline$BDLPnPCancelRemove$0$label_25#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2323.0$8$;
+ goto inline$BDLPnPCancelRemove$0$label_19#1;
+
+ inline$BDLPnPCancelRemove$0$label_19#1:
+ goto inline$BDLGetDebugLevel$285$Entry#1;
+
+ inline$BDLGetDebugLevel$285$Entry#1:
+ goto inline$BDLGetDebugLevel$285$start#1;
+
+ inline$BDLGetDebugLevel$285$start#1:
+ goto inline$BDLGetDebugLevel$285$label_3#1;
+
+ inline$BDLGetDebugLevel$285$label_3#1:
+ havoc inline$BDLGetDebugLevel$285$myNondetVar_0;
+ inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$285$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$285$label_1#1;
+
+ inline$BDLGetDebugLevel$285$label_1#1:
+ goto inline$BDLGetDebugLevel$285$Return#1;
+
+ inline$BDLGetDebugLevel$285$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$ := inline$BDLGetDebugLevel$285$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_19$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_19$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon30_Then#1, inline$BDLPnPCancelRemove$0$anon30_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon5#1;
+
+ inline$BDLPnPCancelRemove$0$anon5#1:
+ goto inline$BDLPnPCancelRemove$0$label_28#1;
+
+ inline$BDLPnPCancelRemove$0$label_28#1:
+ goto inline$BDLPnPCancelRemove$0$label_28_true#1, inline$BDLPnPCancelRemove$0$label_28_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_28_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$, 4) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_29#1;
+
+ inline$BDLPnPCancelRemove$0$label_28_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2323.0$12$, 4) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_32#1;
+
+ inline$BDLPnPCancelRemove$0$label_32#1:
+ goto inline$BDLPnPCancelRemove$0$label_29#1;
+
+ inline$BDLPnPCancelRemove$0$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPCancelRemove$0$$pIrp$3$2318.40$BDLPnPCancelRemove$12;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$52$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$52$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$start#1:
+ inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$52$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$52$label_3_true#1, inline$IoGetCurrentIrpStackLocation$52$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$52$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$52$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$52$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$52$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$52$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$52$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$52$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$52$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$52$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$52$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$52$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$52$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$52$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$52$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$52$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$52$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$52$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$52$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$52$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$5$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$5$Entry#1:
+ inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$2$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$5$start#1;
+
+ inline$IoGetNextIrpStackLocation$5$start#1:
+ inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$5$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$5$label_3_true#1, inline$IoGetNextIrpStackLocation$5$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$5$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$5$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_4#1:
+ call inline$IoGetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$5$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_6#1:
+ call inline$IoGetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$5$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$5$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$5$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$5$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$5$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$5$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$5$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$5$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$5$Return#1;
+
+ inline$IoGetNextIrpStackLocation$5$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$2$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$2$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_9#1:
+ goto inline$storm_KeInitializeEvent$2$Entry#1;
+
+ inline$storm_KeInitializeEvent$2$Entry#1:
+ inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$2$start#1;
+
+ inline$storm_KeInitializeEvent$2$start#1:
+ inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$2$label_3#1;
+
+ inline$storm_KeInitializeEvent$2$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$2$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$2$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$2$label_1#1;
+
+ inline$storm_KeInitializeEvent$2$label_1#1:
+ goto inline$storm_KeInitializeEvent$2$Return#1;
+
+ inline$storm_KeInitializeEvent$2$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$2$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$2$Entry#1:
+ inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$2$start#1;
+
+ inline$storm_IoSetCompletionRoutine$2$start#1:
+ inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$2$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$2$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_7_true#1, inline$storm_IoSetCompletionRoutine$2$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$2$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$2$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$2$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$2$anon6_Then#1, inline$storm_IoSetCompletionRoutine$2$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$2$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$2$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_12_true#1, inline$storm_IoSetCompletionRoutine$2$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$2$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$2$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$2$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$6$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$6$Entry#1:
+ inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$2$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$6$start#1;
+
+ inline$IoGetNextIrpStackLocation$6$start#1:
+ inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$6$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$6$label_3_true#1, inline$IoGetNextIrpStackLocation$6$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$6$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$6$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_4#1:
+ call inline$IoGetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$6$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_6#1:
+ call inline$IoGetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$6$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$6$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$6$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$6$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$6$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$6$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$6$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$6$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$6$Return#1;
+
+ inline$IoGetNextIrpStackLocation$6$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$2$anon5_Then#1, inline$storm_IoSetCompletionRoutine$2$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$2$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$2$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$2$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$2$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$2$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$2$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$2$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$2$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$53$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$53$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$start#1:
+ inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$53$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$53$label_3_true#1, inline$IoGetCurrentIrpStackLocation$53$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$53$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$53$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$53$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$53$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$53$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$53$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$53$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$53$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$53$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$53$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$53$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$53$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$53$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$53$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$53$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$53$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$53$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$53$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$53$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_19#1:
+ goto inline$storm_IoCallDriver$5$Entry#1;
+
+ inline$storm_IoCallDriver$5$Entry#1:
+ inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$5$start#1;
+
+ inline$storm_IoCallDriver$5$start#1:
+ inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$5$label_3#1;
+
+ inline$storm_IoCallDriver$5$label_3#1:
+ goto inline$storm_IoCallDriver$5$label_4#1;
+
+ inline$storm_IoCallDriver$5$label_4#1:
+ goto inline$storm_IoCallDriver$5$label_5#1;
+
+ inline$storm_IoCallDriver$5$label_5#1:
+ goto inline$storm_IoCallDriver$5$label_6#1;
+
+ inline$storm_IoCallDriver$5$label_6#1:
+ call inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$5$label_9#1;
+
+ inline$storm_IoCallDriver$5$label_9#1:
+ goto inline$storm_IoCallDriver$5$label_9_true#1, inline$storm_IoCallDriver$5$label_9_false#1;
+
+ inline$storm_IoCallDriver$5$label_9_false#1:
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$5$label_10#1;
+
+ inline$storm_IoCallDriver$5$label_9_true#1:
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$5$label_13#1;
+
+ inline$storm_IoCallDriver$5$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$5$anon12_Then#1, inline$storm_IoCallDriver$5$anon12_Else#1;
+
+ inline$storm_IoCallDriver$5$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$5$anon3#1;
+
+ inline$storm_IoCallDriver$5$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$5$label_14#1;
+
+ inline$storm_IoCallDriver$5$label_14#1:
+ goto inline$storm_IoCallDriver$5$label_14_true#1, inline$storm_IoCallDriver$5$label_14_false#1;
+
+ inline$storm_IoCallDriver$5$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$5$label_15#1;
+
+ inline$storm_IoCallDriver$5$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$5$label_1#1;
+
+ inline$storm_IoCallDriver$5$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$5$label_10#1;
+
+ inline$storm_IoCallDriver$5$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$6$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$6$Entry#1:
+ inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$6$start#1;
+
+ inline$IoSetNextIrpStackLocation$6$start#1:
+ inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$6$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$6$label_3_true#1, inline$IoSetNextIrpStackLocation$6$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$6$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$6$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_4#1:
+ call inline$IoSetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$6$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_7#1:
+ call inline$IoSetNextIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$6$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$6$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$6$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$6$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_5#1:
+ inline$IoSetNextIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$6$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$6$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$6$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$6$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$6$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$6$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$6$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$6$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$6$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$6$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$6$Return#1;
+
+ inline$IoSetNextIrpStackLocation$6$Return#1:
+ goto inline$storm_IoCallDriver$5$label_10$1#1;
+
+ inline$storm_IoCallDriver$5$label_10$1#1:
+ goto inline$storm_IoCallDriver$5$anon11_Then#1, inline$storm_IoCallDriver$5$anon11_Else#1;
+
+ inline$storm_IoCallDriver$5$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$5$anon1#1;
+
+ inline$storm_IoCallDriver$5$anon1#1:
+ goto inline$storm_IoCallDriver$5$label_18#1;
+
+ inline$storm_IoCallDriver$5$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$54$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$54$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$start#1:
+ inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$54$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$54$label_3_true#1, inline$IoGetCurrentIrpStackLocation$54$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$54$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$54$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$54$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$54$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$54$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$54$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$54$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$54$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$54$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$54$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$54$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$54$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$54$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$54$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$54$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$54$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$54$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$54$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$54$Return#1:
+ goto inline$storm_IoCallDriver$5$label_18$1#1;
+
+ inline$storm_IoCallDriver$5$label_18$1#1:
+ goto inline$storm_IoCallDriver$5$anon13_Then#1, inline$storm_IoCallDriver$5$anon13_Else#1;
+
+ inline$storm_IoCallDriver$5$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$5$anon6#1;
+
+ inline$storm_IoCallDriver$5$anon6#1:
+ goto inline$storm_IoCallDriver$5$label_21#1;
+
+ inline$storm_IoCallDriver$5$label_21#1:
+ goto inline$storm_IoCallDriver$5$label_22#1;
+
+ inline$storm_IoCallDriver$5$label_22#1:
+ goto inline$storm_IoCallDriver$5$label_23#1;
+
+ inline$storm_IoCallDriver$5$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$5$label_24#1;
+
+ inline$storm_IoCallDriver$5$label_24#1:
+ call inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$5$label_27#1;
+
+ inline$storm_IoCallDriver$5$label_27#1:
+ goto inline$storm_IoCallDriver$5$label_27_case_0#1, inline$storm_IoCallDriver$5$label_27_case_1#1, inline$storm_IoCallDriver$5$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$5$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$5$label_30#1;
+
+ inline$storm_IoCallDriver$5$label_30#1:
+ inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$5$label_31#1;
+
+ inline$storm_IoCallDriver$5$label_31#1:
+ goto inline$storm_IoCallDriver$5$label_32#1;
+
+ inline$storm_IoCallDriver$5$label_32#1:
+ goto inline$storm_IoCallDriver$5$label_33#1;
+
+ inline$storm_IoCallDriver$5$label_33#1:
+ goto inline$CallCompletionRoutine$10$Entry#1;
+
+ inline$CallCompletionRoutine$10$Entry#1:
+ inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$10$start#1;
+
+ inline$CallCompletionRoutine$10$start#1:
+ inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$10$label_3#1;
+
+ inline$CallCompletionRoutine$10$label_3#1:
+ goto inline$CallCompletionRoutine$10$label_4#1;
+
+ inline$CallCompletionRoutine$10$label_4#1:
+ goto inline$CallCompletionRoutine$10$label_5#1;
+
+ inline$CallCompletionRoutine$10$label_5#1:
+ goto inline$CallCompletionRoutine$10$label_6#1;
+
+ inline$CallCompletionRoutine$10$label_6#1:
+ goto inline$CallCompletionRoutine$10$label_7#1;
+
+ inline$CallCompletionRoutine$10$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$55$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$55$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$start#1:
+ inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$55$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$55$label_3_true#1, inline$IoGetCurrentIrpStackLocation$55$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$55$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$55$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$55$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$55$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$55$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$55$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$55$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$55$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$55$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$55$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$55$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$55$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$55$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$55$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$55$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$55$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$55$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$55$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$55$Return#1:
+ goto inline$CallCompletionRoutine$10$label_7$1#1;
+
+ inline$CallCompletionRoutine$10$label_7$1#1:
+ goto inline$CallCompletionRoutine$10$anon10_Then#1, inline$CallCompletionRoutine$10$anon10_Else#1;
+
+ inline$CallCompletionRoutine$10$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$10$anon1#1;
+
+ inline$CallCompletionRoutine$10$anon1#1:
+ goto inline$CallCompletionRoutine$10$label_10#1;
+
+ inline$CallCompletionRoutine$10$label_10#1:
+ goto inline$CallCompletionRoutine$10$label_11#1;
+
+ inline$CallCompletionRoutine$10$label_11#1:
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$CallCompletionRoutine$10$label_12#1;
+
+ inline$CallCompletionRoutine$10$label_12#1:
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$CallCompletionRoutine$10$label_13#1;
+
+ inline$CallCompletionRoutine$10$label_13#1:
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$CallCompletionRoutine$10$label_14#1;
+
+ inline$CallCompletionRoutine$10$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$56$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$56$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$start#1:
+ inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$56$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$56$label_3_true#1, inline$IoGetCurrentIrpStackLocation$56$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$56$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$56$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$56$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$56$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$56$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$56$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$56$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$56$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$56$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$56$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$56$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$56$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$56$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$56$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$56$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$56$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$56$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$56$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$56$Return#1:
+ goto inline$CallCompletionRoutine$10$label_14$1#1;
+
+ inline$CallCompletionRoutine$10$label_14$1#1:
+ goto inline$CallCompletionRoutine$10$anon11_Then#1, inline$CallCompletionRoutine$10$anon11_Else#1;
+
+ inline$CallCompletionRoutine$10$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$10$anon3#1;
+
+ inline$CallCompletionRoutine$10$anon3#1:
+ goto inline$CallCompletionRoutine$10$label_17#1;
+
+ inline$CallCompletionRoutine$10$label_17#1:
+ goto inline$CallCompletionRoutine$10$label_18#1;
+
+ inline$CallCompletionRoutine$10$label_18#1:
+ goto inline$CallCompletionRoutine$10$label_18_true#1, inline$CallCompletionRoutine$10$label_18_false#1;
+
+ inline$CallCompletionRoutine$10$label_18_false#1:
+ assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$10$label_1#1;
+
+ inline$CallCompletionRoutine$10$label_18_true#1:
+ assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$10$label_19#1;
+
+ inline$CallCompletionRoutine$10$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$10$label_20#1;
+
+ inline$CallCompletionRoutine$10$label_20#1:
+ goto inline$CallCompletionRoutine$10$label_20_icall_1#1, inline$CallCompletionRoutine$10$label_20_icall_2#1, inline$CallCompletionRoutine$10$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$10$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$10$Entry#1:
+ inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$10$start#1;
+
+ inline$BDLSystemPowerIoCompletion$10$start#1:
+ call inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$10$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_4#1:
+ inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_6#1:
+ inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$10$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$58$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$58$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$start#1:
+ inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$58$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$58$label_3_true#1, inline$IoGetCurrentIrpStackLocation$58$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$58$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$58$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$58$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$58$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$58$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$58$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$58$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$58$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$58$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$58$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$58$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$58$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$58$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$58$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$58$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$58$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$58$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$58$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$58$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon36_Then#1, inline$BDLSystemPowerIoCompletion$10$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_15#1:
+ goto inline$BDLGetDebugLevel$295$Entry#1;
+
+ inline$BDLGetDebugLevel$295$Entry#1:
+ goto inline$BDLGetDebugLevel$295$start#1;
+
+ inline$BDLGetDebugLevel$295$start#1:
+ goto inline$BDLGetDebugLevel$295$label_3#1;
+
+ inline$BDLGetDebugLevel$295$label_3#1:
+ havoc inline$BDLGetDebugLevel$295$myNondetVar_0;
+ inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$295$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$295$label_1#1;
+
+ inline$BDLGetDebugLevel$295$label_1#1:
+ goto inline$BDLGetDebugLevel$295$Return#1;
+
+ inline$BDLGetDebugLevel$295$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$295$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon37_Then#1, inline$BDLSystemPowerIoCompletion$10$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_18_true#1, inline$BDLSystemPowerIoCompletion$10$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_19#1:
+ goto inline$BDLGetDebugLevel$296$Entry#1;
+
+ inline$BDLGetDebugLevel$296$Entry#1:
+ goto inline$BDLGetDebugLevel$296$start#1;
+
+ inline$BDLGetDebugLevel$296$start#1:
+ goto inline$BDLGetDebugLevel$296$label_3#1;
+
+ inline$BDLGetDebugLevel$296$label_3#1:
+ havoc inline$BDLGetDebugLevel$296$myNondetVar_0;
+ inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$296$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$296$label_1#1;
+
+ inline$BDLGetDebugLevel$296$label_1#1:
+ goto inline$BDLGetDebugLevel$296$Return#1;
+
+ inline$BDLGetDebugLevel$296$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$296$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon38_Then#1, inline$BDLSystemPowerIoCompletion$10$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_28_true#1, inline$BDLSystemPowerIoCompletion$10$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_29#1:
+ goto inline$BDLGetDebugLevel$297$Entry#1;
+
+ inline$BDLGetDebugLevel$297$Entry#1:
+ goto inline$BDLGetDebugLevel$297$start#1;
+
+ inline$BDLGetDebugLevel$297$start#1:
+ goto inline$BDLGetDebugLevel$297$label_3#1;
+
+ inline$BDLGetDebugLevel$297$label_3#1:
+ havoc inline$BDLGetDebugLevel$297$myNondetVar_0;
+ inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$297$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$297$label_1#1;
+
+ inline$BDLGetDebugLevel$297$label_1#1:
+ goto inline$BDLGetDebugLevel$297$Return#1;
+
+ inline$BDLGetDebugLevel$297$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$297$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon39_Then#1, inline$BDLSystemPowerIoCompletion$10$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_38_true#1, inline$BDLSystemPowerIoCompletion$10$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_39_true#1, inline$BDLSystemPowerIoCompletion$10$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$10$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$10$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$10$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$10$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$10$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_106_true#1, inline$BDLSystemPowerIoCompletion$10$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$10$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$10$myNondetVar_0, inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$10$anon50_Then#1, inline$BDLSystemPowerIoCompletion$10$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_112#1:
+ inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_113_true#1, inline$BDLSystemPowerIoCompletion$10$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$10$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_117#1:
+ goto inline$BDLGetDebugLevel$304$Entry#1;
+
+ inline$BDLGetDebugLevel$304$Entry#1:
+ goto inline$BDLGetDebugLevel$304$start#1;
+
+ inline$BDLGetDebugLevel$304$start#1:
+ goto inline$BDLGetDebugLevel$304$label_3#1;
+
+ inline$BDLGetDebugLevel$304$label_3#1:
+ havoc inline$BDLGetDebugLevel$304$myNondetVar_0;
+ inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$304$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$304$label_1#1;
+
+ inline$BDLGetDebugLevel$304$label_1#1:
+ goto inline$BDLGetDebugLevel$304$Return#1;
+
+ inline$BDLGetDebugLevel$304$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$304$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon51_Then#1, inline$BDLSystemPowerIoCompletion$10$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_120_true#1, inline$BDLSystemPowerIoCompletion$10$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_121#1:
+ goto inline$BDLGetDebugLevel$305$Entry#1;
+
+ inline$BDLGetDebugLevel$305$Entry#1:
+ goto inline$BDLGetDebugLevel$305$start#1;
+
+ inline$BDLGetDebugLevel$305$start#1:
+ goto inline$BDLGetDebugLevel$305$label_3#1;
+
+ inline$BDLGetDebugLevel$305$label_3#1:
+ havoc inline$BDLGetDebugLevel$305$myNondetVar_0;
+ inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$305$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$305$label_1#1;
+
+ inline$BDLGetDebugLevel$305$label_1#1:
+ goto inline$BDLGetDebugLevel$305$Return#1;
+
+ inline$BDLGetDebugLevel$305$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$305$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon52_Then#1, inline$BDLSystemPowerIoCompletion$10$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_130_true#1, inline$BDLSystemPowerIoCompletion$10$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_131#1:
+ goto inline$BDLGetDebugLevel$306$Entry#1;
+
+ inline$BDLGetDebugLevel$306$Entry#1:
+ goto inline$BDLGetDebugLevel$306$start#1;
+
+ inline$BDLGetDebugLevel$306$start#1:
+ goto inline$BDLGetDebugLevel$306$label_3#1;
+
+ inline$BDLGetDebugLevel$306$label_3#1:
+ havoc inline$BDLGetDebugLevel$306$myNondetVar_0;
+ inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$306$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$306$label_1#1;
+
+ inline$BDLGetDebugLevel$306$label_1#1:
+ goto inline$BDLGetDebugLevel$306$Return#1;
+
+ inline$BDLGetDebugLevel$306$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$306$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon53_Then#1, inline$BDLSystemPowerIoCompletion$10$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_140_true#1, inline$BDLSystemPowerIoCompletion$10$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$10$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_115#1:
+ inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$10$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$10$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$10$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_43#1:
+ goto inline$BDLGetDebugLevel$298$Entry#1;
+
+ inline$BDLGetDebugLevel$298$Entry#1:
+ goto inline$BDLGetDebugLevel$298$start#1;
+
+ inline$BDLGetDebugLevel$298$start#1:
+ goto inline$BDLGetDebugLevel$298$label_3#1;
+
+ inline$BDLGetDebugLevel$298$label_3#1:
+ havoc inline$BDLGetDebugLevel$298$myNondetVar_0;
+ inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$298$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$298$label_1#1;
+
+ inline$BDLGetDebugLevel$298$label_1#1:
+ goto inline$BDLGetDebugLevel$298$Return#1;
+
+ inline$BDLGetDebugLevel$298$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$298$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon40_Then#1, inline$BDLSystemPowerIoCompletion$10$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_46_true#1, inline$BDLSystemPowerIoCompletion$10$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_47#1:
+ goto inline$BDLGetDebugLevel$299$Entry#1;
+
+ inline$BDLGetDebugLevel$299$Entry#1:
+ goto inline$BDLGetDebugLevel$299$start#1;
+
+ inline$BDLGetDebugLevel$299$start#1:
+ goto inline$BDLGetDebugLevel$299$label_3#1;
+
+ inline$BDLGetDebugLevel$299$label_3#1:
+ havoc inline$BDLGetDebugLevel$299$myNondetVar_0;
+ inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$299$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$299$label_1#1;
+
+ inline$BDLGetDebugLevel$299$label_1#1:
+ goto inline$BDLGetDebugLevel$299$Return#1;
+
+ inline$BDLGetDebugLevel$299$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$299$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon41_Then#1, inline$BDLSystemPowerIoCompletion$10$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_56_true#1, inline$BDLSystemPowerIoCompletion$10$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_57#1:
+ goto inline$BDLGetDebugLevel$300$Entry#1;
+
+ inline$BDLGetDebugLevel$300$Entry#1:
+ goto inline$BDLGetDebugLevel$300$start#1;
+
+ inline$BDLGetDebugLevel$300$start#1:
+ goto inline$BDLGetDebugLevel$300$label_3#1;
+
+ inline$BDLGetDebugLevel$300$label_3#1:
+ havoc inline$BDLGetDebugLevel$300$myNondetVar_0;
+ inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$300$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$300$label_1#1;
+
+ inline$BDLGetDebugLevel$300$label_1#1:
+ goto inline$BDLGetDebugLevel$300$Return#1;
+
+ inline$BDLGetDebugLevel$300$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$300$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon42_Then#1, inline$BDLSystemPowerIoCompletion$10$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_66_true#1, inline$BDLSystemPowerIoCompletion$10$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$10$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$10$anon43_Then#1, inline$BDLSystemPowerIoCompletion$10$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$10$anon44_Then#1, inline$BDLSystemPowerIoCompletion$10$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_74#1:
+ goto inline$storm_IoCompleteRequest$22$Entry#1;
+
+ inline$storm_IoCompleteRequest$22$Entry#1:
+ inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$10$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$22$start#1;
+
+ inline$storm_IoCompleteRequest$22$start#1:
+ inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$22$label_3#1;
+
+ inline$storm_IoCompleteRequest$22$label_3#1:
+ call inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$22$label_6#1;
+
+ inline$storm_IoCompleteRequest$22$label_6#1:
+ goto inline$storm_IoCompleteRequest$22$label_6_true#1, inline$storm_IoCompleteRequest$22$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$22$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$22$label_7#1;
+
+ inline$storm_IoCompleteRequest$22$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$22$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$22$label_8#1;
+
+ inline$storm_IoCompleteRequest$22$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$22$anon3_Then#1, inline$storm_IoCompleteRequest$22$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$22$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$22$anon1#1;
+
+ inline$storm_IoCompleteRequest$22$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$22$label_9#1;
+
+ inline$storm_IoCompleteRequest$22$label_9#1:
+ goto inline$storm_IoCompleteRequest$22$label_9_true#1, inline$storm_IoCompleteRequest$22$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$22$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$22$label_10#1;
+
+ inline$storm_IoCompleteRequest$22$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$22$label_1#1;
+
+ inline$storm_IoCompleteRequest$22$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$22$label_7#1;
+
+ inline$storm_IoCompleteRequest$22$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$22$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$22$label_1#1;
+
+ inline$storm_IoCompleteRequest$22$label_1#1:
+ goto inline$storm_IoCompleteRequest$22$Return#1;
+
+ inline$storm_IoCompleteRequest$22$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$22$Return#1;
+
+ inline$storm_IoCompleteRequest$22$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon45_Then#1, inline$BDLSystemPowerIoCompletion$10$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$10$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$10$anon46_Then#1, inline$BDLSystemPowerIoCompletion$10$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_80#1:
+ goto inline$BDLGetDebugLevel$301$Entry#1;
+
+ inline$BDLGetDebugLevel$301$Entry#1:
+ goto inline$BDLGetDebugLevel$301$start#1;
+
+ inline$BDLGetDebugLevel$301$start#1:
+ goto inline$BDLGetDebugLevel$301$label_3#1;
+
+ inline$BDLGetDebugLevel$301$label_3#1:
+ havoc inline$BDLGetDebugLevel$301$myNondetVar_0;
+ inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$301$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$301$label_1#1;
+
+ inline$BDLGetDebugLevel$301$label_1#1:
+ goto inline$BDLGetDebugLevel$301$Return#1;
+
+ inline$BDLGetDebugLevel$301$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$301$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon47_Then#1, inline$BDLSystemPowerIoCompletion$10$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_83_true#1, inline$BDLSystemPowerIoCompletion$10$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_84#1:
+ goto inline$BDLGetDebugLevel$302$Entry#1;
+
+ inline$BDLGetDebugLevel$302$Entry#1:
+ goto inline$BDLGetDebugLevel$302$start#1;
+
+ inline$BDLGetDebugLevel$302$start#1:
+ goto inline$BDLGetDebugLevel$302$label_3#1;
+
+ inline$BDLGetDebugLevel$302$label_3#1:
+ havoc inline$BDLGetDebugLevel$302$myNondetVar_0;
+ inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$302$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$302$label_1#1;
+
+ inline$BDLGetDebugLevel$302$label_1#1:
+ goto inline$BDLGetDebugLevel$302$Return#1;
+
+ inline$BDLGetDebugLevel$302$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$302$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon48_Then#1, inline$BDLSystemPowerIoCompletion$10$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_93_true#1, inline$BDLSystemPowerIoCompletion$10$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$10$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$10$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_94#1:
+ goto inline$BDLGetDebugLevel$303$Entry#1;
+
+ inline$BDLGetDebugLevel$303$Entry#1:
+ goto inline$BDLGetDebugLevel$303$start#1;
+
+ inline$BDLGetDebugLevel$303$start#1:
+ goto inline$BDLGetDebugLevel$303$label_3#1;
+
+ inline$BDLGetDebugLevel$303$label_3#1:
+ havoc inline$BDLGetDebugLevel$303$myNondetVar_0;
+ inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$303$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$303$label_1#1;
+
+ inline$BDLGetDebugLevel$303$label_1#1:
+ goto inline$BDLGetDebugLevel$303$Return#1;
+
+ inline$BDLGetDebugLevel$303$Return#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$303$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$10$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$10$anon49_Then#1, inline$BDLSystemPowerIoCompletion$10$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_103_true#1, inline$BDLSystemPowerIoCompletion$10$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$10$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$10$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$10$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_104#1:
+ inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$10$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$10$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$10$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$10$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$10$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$10$Return#1:
+ inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$10$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$10$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$10$anon14_Then#1, inline$CallCompletionRoutine$10$anon14_Else#1;
+
+ inline$CallCompletionRoutine$10$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$10$anon9#1;
+
+ inline$CallCompletionRoutine$10$anon9#1:
+ goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$10$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$10$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$10$Entry#1:
+ inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$10$start#1;
+
+ inline$BDLDevicePowerIoCompletion$10$start#1:
+ call inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$10$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_4#1:
+ inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_6#1:
+ inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$10$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$57$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$57$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$start#1:
+ inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$57$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$57$label_3_true#1, inline$IoGetCurrentIrpStackLocation$57$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$57$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$57$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$57$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$57$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$57$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$57$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$57$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$57$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$57$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$57$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$57$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$57$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$57$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$57$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$57$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$57$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$57$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$57$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$57$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$57$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon30_Then#1, inline$BDLDevicePowerIoCompletion$10$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_13#1:
+ inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_17#1:
+ goto inline$BDLGetDebugLevel$286$Entry#1;
+
+ inline$BDLGetDebugLevel$286$Entry#1:
+ goto inline$BDLGetDebugLevel$286$start#1;
+
+ inline$BDLGetDebugLevel$286$start#1:
+ goto inline$BDLGetDebugLevel$286$label_3#1;
+
+ inline$BDLGetDebugLevel$286$label_3#1:
+ havoc inline$BDLGetDebugLevel$286$myNondetVar_0;
+ inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$286$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$286$label_1#1;
+
+ inline$BDLGetDebugLevel$286$label_1#1:
+ goto inline$BDLGetDebugLevel$286$Return#1;
+
+ inline$BDLGetDebugLevel$286$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$286$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon31_Then#1, inline$BDLDevicePowerIoCompletion$10$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_20_true#1, inline$BDLDevicePowerIoCompletion$10$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_21#1:
+ goto inline$BDLGetDebugLevel$287$Entry#1;
+
+ inline$BDLGetDebugLevel$287$Entry#1:
+ goto inline$BDLGetDebugLevel$287$start#1;
+
+ inline$BDLGetDebugLevel$287$start#1:
+ goto inline$BDLGetDebugLevel$287$label_3#1;
+
+ inline$BDLGetDebugLevel$287$label_3#1:
+ havoc inline$BDLGetDebugLevel$287$myNondetVar_0;
+ inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$287$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$287$label_1#1;
+
+ inline$BDLGetDebugLevel$287$label_1#1:
+ goto inline$BDLGetDebugLevel$287$Return#1;
+
+ inline$BDLGetDebugLevel$287$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$287$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon32_Then#1, inline$BDLDevicePowerIoCompletion$10$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_30_true#1, inline$BDLDevicePowerIoCompletion$10$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_31#1:
+ goto inline$BDLGetDebugLevel$288$Entry#1;
+
+ inline$BDLGetDebugLevel$288$Entry#1:
+ goto inline$BDLGetDebugLevel$288$start#1;
+
+ inline$BDLGetDebugLevel$288$start#1:
+ goto inline$BDLGetDebugLevel$288$label_3#1;
+
+ inline$BDLGetDebugLevel$288$label_3#1:
+ havoc inline$BDLGetDebugLevel$288$myNondetVar_0;
+ inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$288$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$288$label_1#1;
+
+ inline$BDLGetDebugLevel$288$label_1#1:
+ goto inline$BDLGetDebugLevel$288$Return#1;
+
+ inline$BDLGetDebugLevel$288$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$288$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon33_Then#1, inline$BDLDevicePowerIoCompletion$10$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_40_true#1, inline$BDLDevicePowerIoCompletion$10$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_41_true#1, inline$BDLDevicePowerIoCompletion$10$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$10$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$10$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_44_true#1, inline$BDLDevicePowerIoCompletion$10$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_45_true#1, inline$BDLDevicePowerIoCompletion$10$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$10$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$10$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$10$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_54#1:
+ inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$10$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_55_true#1, inline$BDLDevicePowerIoCompletion$10$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$10$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$10$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$10$anon34_Then#1, inline$BDLDevicePowerIoCompletion$10$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_59#1:
+ goto inline$BDLGetDebugLevel$289$Entry#1;
+
+ inline$BDLGetDebugLevel$289$Entry#1:
+ goto inline$BDLGetDebugLevel$289$start#1;
+
+ inline$BDLGetDebugLevel$289$start#1:
+ goto inline$BDLGetDebugLevel$289$label_3#1;
+
+ inline$BDLGetDebugLevel$289$label_3#1:
+ havoc inline$BDLGetDebugLevel$289$myNondetVar_0;
+ inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$289$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$289$label_1#1;
+
+ inline$BDLGetDebugLevel$289$label_1#1:
+ goto inline$BDLGetDebugLevel$289$Return#1;
+
+ inline$BDLGetDebugLevel$289$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$289$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon35_Then#1, inline$BDLDevicePowerIoCompletion$10$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_62_true#1, inline$BDLDevicePowerIoCompletion$10$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_63#1:
+ goto inline$BDLGetDebugLevel$290$Entry#1;
+
+ inline$BDLGetDebugLevel$290$Entry#1:
+ goto inline$BDLGetDebugLevel$290$start#1;
+
+ inline$BDLGetDebugLevel$290$start#1:
+ goto inline$BDLGetDebugLevel$290$label_3#1;
+
+ inline$BDLGetDebugLevel$290$label_3#1:
+ havoc inline$BDLGetDebugLevel$290$myNondetVar_0;
+ inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$290$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$290$label_1#1;
+
+ inline$BDLGetDebugLevel$290$label_1#1:
+ goto inline$BDLGetDebugLevel$290$Return#1;
+
+ inline$BDLGetDebugLevel$290$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$290$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon36_Then#1, inline$BDLDevicePowerIoCompletion$10$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_72_true#1, inline$BDLDevicePowerIoCompletion$10$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_73#1:
+ goto inline$BDLGetDebugLevel$291$Entry#1;
+
+ inline$BDLGetDebugLevel$291$Entry#1:
+ goto inline$BDLGetDebugLevel$291$start#1;
+
+ inline$BDLGetDebugLevel$291$start#1:
+ goto inline$BDLGetDebugLevel$291$label_3#1;
+
+ inline$BDLGetDebugLevel$291$label_3#1:
+ havoc inline$BDLGetDebugLevel$291$myNondetVar_0;
+ inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$291$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$291$label_1#1;
+
+ inline$BDLGetDebugLevel$291$label_1#1:
+ goto inline$BDLGetDebugLevel$291$Return#1;
+
+ inline$BDLGetDebugLevel$291$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$291$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon37_Then#1, inline$BDLDevicePowerIoCompletion$10$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_82_true#1, inline$BDLDevicePowerIoCompletion$10$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$10$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$10$anon38_Then#1, inline$BDLDevicePowerIoCompletion$10$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$10$anon39_Then#1, inline$BDLDevicePowerIoCompletion$10$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_92#1:
+ goto inline$storm_IoCompleteRequest$21$Entry#1;
+
+ inline$storm_IoCompleteRequest$21$Entry#1:
+ inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$10$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$21$start#1;
+
+ inline$storm_IoCompleteRequest$21$start#1:
+ inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$21$label_3#1;
+
+ inline$storm_IoCompleteRequest$21$label_3#1:
+ call inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$21$label_6#1;
+
+ inline$storm_IoCompleteRequest$21$label_6#1:
+ goto inline$storm_IoCompleteRequest$21$label_6_true#1, inline$storm_IoCompleteRequest$21$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$21$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$21$label_7#1;
+
+ inline$storm_IoCompleteRequest$21$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$21$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$21$label_8#1;
+
+ inline$storm_IoCompleteRequest$21$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$21$anon3_Then#1, inline$storm_IoCompleteRequest$21$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$21$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$21$anon1#1;
+
+ inline$storm_IoCompleteRequest$21$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$21$label_9#1;
+
+ inline$storm_IoCompleteRequest$21$label_9#1:
+ goto inline$storm_IoCompleteRequest$21$label_9_true#1, inline$storm_IoCompleteRequest$21$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$21$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$21$label_10#1;
+
+ inline$storm_IoCompleteRequest$21$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$21$label_1#1;
+
+ inline$storm_IoCompleteRequest$21$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$21$label_7#1;
+
+ inline$storm_IoCompleteRequest$21$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$21$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$21$label_1#1;
+
+ inline$storm_IoCompleteRequest$21$label_1#1:
+ goto inline$storm_IoCompleteRequest$21$Return#1;
+
+ inline$storm_IoCompleteRequest$21$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$21$Return#1;
+
+ inline$storm_IoCompleteRequest$21$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon40_Then#1, inline$BDLDevicePowerIoCompletion$10$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$10$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$10$anon41_Then#1, inline$BDLDevicePowerIoCompletion$10$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_98#1:
+ goto inline$BDLGetDebugLevel$292$Entry#1;
+
+ inline$BDLGetDebugLevel$292$Entry#1:
+ goto inline$BDLGetDebugLevel$292$start#1;
+
+ inline$BDLGetDebugLevel$292$start#1:
+ goto inline$BDLGetDebugLevel$292$label_3#1;
+
+ inline$BDLGetDebugLevel$292$label_3#1:
+ havoc inline$BDLGetDebugLevel$292$myNondetVar_0;
+ inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$292$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$292$label_1#1;
+
+ inline$BDLGetDebugLevel$292$label_1#1:
+ goto inline$BDLGetDebugLevel$292$Return#1;
+
+ inline$BDLGetDebugLevel$292$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$292$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon42_Then#1, inline$BDLDevicePowerIoCompletion$10$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_101_true#1, inline$BDLDevicePowerIoCompletion$10$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_102#1:
+ goto inline$BDLGetDebugLevel$293$Entry#1;
+
+ inline$BDLGetDebugLevel$293$Entry#1:
+ goto inline$BDLGetDebugLevel$293$start#1;
+
+ inline$BDLGetDebugLevel$293$start#1:
+ goto inline$BDLGetDebugLevel$293$label_3#1;
+
+ inline$BDLGetDebugLevel$293$label_3#1:
+ havoc inline$BDLGetDebugLevel$293$myNondetVar_0;
+ inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$293$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$293$label_1#1;
+
+ inline$BDLGetDebugLevel$293$label_1#1:
+ goto inline$BDLGetDebugLevel$293$Return#1;
+
+ inline$BDLGetDebugLevel$293$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$293$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon43_Then#1, inline$BDLDevicePowerIoCompletion$10$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_111_true#1, inline$BDLDevicePowerIoCompletion$10$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$10$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$10$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_112#1:
+ goto inline$BDLGetDebugLevel$294$Entry#1;
+
+ inline$BDLGetDebugLevel$294$Entry#1:
+ goto inline$BDLGetDebugLevel$294$start#1;
+
+ inline$BDLGetDebugLevel$294$start#1:
+ goto inline$BDLGetDebugLevel$294$label_3#1;
+
+ inline$BDLGetDebugLevel$294$label_3#1:
+ havoc inline$BDLGetDebugLevel$294$myNondetVar_0;
+ inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$294$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$294$label_1#1;
+
+ inline$BDLGetDebugLevel$294$label_1#1:
+ goto inline$BDLGetDebugLevel$294$Return#1;
+
+ inline$BDLGetDebugLevel$294$Return#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$294$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$10$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$10$anon44_Then#1, inline$BDLDevicePowerIoCompletion$10$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_121_true#1, inline$BDLDevicePowerIoCompletion$10$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$10$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$10$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$10$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_122#1:
+ inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$10$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$10$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$10$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$10$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$10$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$10$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$10$Return#1:
+ inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$10$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$10$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$10$anon13_Then#1, inline$CallCompletionRoutine$10$anon13_Else#1;
+
+ inline$CallCompletionRoutine$10$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$10$anon7#1;
+
+ inline$CallCompletionRoutine$10$anon7#1:
+ goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$10$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$10$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$10$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$10$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$10$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$10$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$start#1:
+ inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$10$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$label_3_true#1, inline$BDLCallDriverCompletionRoutine$10$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$10$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$10$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$10$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_6#1:
+ goto inline$storm_KeSetEvent$12$Entry#1;
+
+ inline$storm_KeSetEvent$12$Entry#1:
+ inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$10$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$12$start#1;
+
+ inline$storm_KeSetEvent$12$start#1:
+ inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$12$label_3#1;
+
+ inline$storm_KeSetEvent$12$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$12$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$12$label_1#1;
+
+ inline$storm_KeSetEvent$12$label_1#1:
+ goto inline$storm_KeSetEvent$12$Return#1;
+
+ inline$storm_KeSetEvent$12$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$10$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$10$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$10$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$10$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$10$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$10$Return#1:
+ inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$10$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$10$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$10$anon12_Then#1, inline$CallCompletionRoutine$10$anon12_Else#1;
+
+ inline$CallCompletionRoutine$10$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$10$anon5#1;
+
+ inline$CallCompletionRoutine$10$anon5#1:
+ goto inline$CallCompletionRoutine$10$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$10$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$10$label_23#1;
+
+ inline$CallCompletionRoutine$10$label_23#1:
+ inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$10$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$10$label_24#1;
+
+ inline$CallCompletionRoutine$10$label_24#1:
+ goto inline$CallCompletionRoutine$10$label_24_true#1, inline$CallCompletionRoutine$10$label_24_false#1;
+
+ inline$CallCompletionRoutine$10$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$10$label_1#1;
+
+ inline$CallCompletionRoutine$10$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$10$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$10$label_25#1;
+
+ inline$CallCompletionRoutine$10$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$10$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$10$label_1#1;
+
+ inline$CallCompletionRoutine$10$label_1#1:
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$10$Return#1;
+
+ inline$CallCompletionRoutine$10$Return#1:
+ goto inline$storm_IoCallDriver$5$label_33$1#1;
+
+ inline$storm_IoCallDriver$5$label_33$1#1:
+ goto inline$storm_IoCallDriver$5$anon14_Then#1, inline$storm_IoCallDriver$5$anon14_Else#1;
+
+ inline$storm_IoCallDriver$5$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$5$anon8#1;
+
+ inline$storm_IoCallDriver$5$anon8#1:
+ goto inline$storm_IoCallDriver$5$label_36#1;
+
+ inline$storm_IoCallDriver$5$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$5$label_29#1;
+
+ inline$storm_IoCallDriver$5$label_29#1:
+ inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$5$label_37#1;
+
+ inline$storm_IoCallDriver$5$label_37#1:
+ goto inline$storm_IoCallDriver$5$label_38#1;
+
+ inline$storm_IoCallDriver$5$label_38#1:
+ goto inline$storm_IoCallDriver$5$label_39#1;
+
+ inline$storm_IoCallDriver$5$label_39#1:
+ goto inline$CallCompletionRoutine$11$Entry#1;
+
+ inline$CallCompletionRoutine$11$Entry#1:
+ inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$11$start#1;
+
+ inline$CallCompletionRoutine$11$start#1:
+ inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$11$label_3#1;
+
+ inline$CallCompletionRoutine$11$label_3#1:
+ goto inline$CallCompletionRoutine$11$label_4#1;
+
+ inline$CallCompletionRoutine$11$label_4#1:
+ goto inline$CallCompletionRoutine$11$label_5#1;
+
+ inline$CallCompletionRoutine$11$label_5#1:
+ goto inline$CallCompletionRoutine$11$label_6#1;
+
+ inline$CallCompletionRoutine$11$label_6#1:
+ goto inline$CallCompletionRoutine$11$label_7#1;
+
+ inline$CallCompletionRoutine$11$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$59$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$59$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$start#1:
+ inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$59$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$59$label_3_true#1, inline$IoGetCurrentIrpStackLocation$59$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$59$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$59$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$59$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$59$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$59$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$59$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$59$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$59$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$59$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$59$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$59$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$59$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$59$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$59$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$59$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$59$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$59$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$59$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$59$Return#1:
+ goto inline$CallCompletionRoutine$11$label_7$1#1;
+
+ inline$CallCompletionRoutine$11$label_7$1#1:
+ goto inline$CallCompletionRoutine$11$anon10_Then#1, inline$CallCompletionRoutine$11$anon10_Else#1;
+
+ inline$CallCompletionRoutine$11$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$11$anon1#1;
+
+ inline$CallCompletionRoutine$11$anon1#1:
+ goto inline$CallCompletionRoutine$11$label_10#1;
+
+ inline$CallCompletionRoutine$11$label_10#1:
+ goto inline$CallCompletionRoutine$11$label_11#1;
+
+ inline$CallCompletionRoutine$11$label_11#1:
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$CallCompletionRoutine$11$label_12#1;
+
+ inline$CallCompletionRoutine$11$label_12#1:
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$CallCompletionRoutine$11$label_13#1;
+
+ inline$CallCompletionRoutine$11$label_13#1:
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$CallCompletionRoutine$11$label_14#1;
+
+ inline$CallCompletionRoutine$11$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$60$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$60$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$start#1:
+ inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$60$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$60$label_3_true#1, inline$IoGetCurrentIrpStackLocation$60$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$60$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$60$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$60$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$60$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$60$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$60$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$60$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$60$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$60$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$60$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$60$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$60$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$60$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$60$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$60$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$60$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$60$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$60$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$60$Return#1:
+ goto inline$CallCompletionRoutine$11$label_14$1#1;
+
+ inline$CallCompletionRoutine$11$label_14$1#1:
+ goto inline$CallCompletionRoutine$11$anon11_Then#1, inline$CallCompletionRoutine$11$anon11_Else#1;
+
+ inline$CallCompletionRoutine$11$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$11$anon3#1;
+
+ inline$CallCompletionRoutine$11$anon3#1:
+ goto inline$CallCompletionRoutine$11$label_17#1;
+
+ inline$CallCompletionRoutine$11$label_17#1:
+ goto inline$CallCompletionRoutine$11$label_18#1;
+
+ inline$CallCompletionRoutine$11$label_18#1:
+ goto inline$CallCompletionRoutine$11$label_18_true#1, inline$CallCompletionRoutine$11$label_18_false#1;
+
+ inline$CallCompletionRoutine$11$label_18_false#1:
+ assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$11$label_1#1;
+
+ inline$CallCompletionRoutine$11$label_18_true#1:
+ assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$11$label_19#1;
+
+ inline$CallCompletionRoutine$11$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$11$label_20#1;
+
+ inline$CallCompletionRoutine$11$label_20#1:
+ goto inline$CallCompletionRoutine$11$label_20_icall_1#1, inline$CallCompletionRoutine$11$label_20_icall_2#1, inline$CallCompletionRoutine$11$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$11$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$11$Entry#1:
+ inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$11$start#1;
+
+ inline$BDLSystemPowerIoCompletion$11$start#1:
+ call inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$11$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_4#1:
+ inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_6#1:
+ inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$11$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$62$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$62$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$start#1:
+ inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$62$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$62$label_3_true#1, inline$IoGetCurrentIrpStackLocation$62$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$62$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$62$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$62$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$62$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$62$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$62$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$62$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$62$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$62$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$62$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$62$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$62$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$62$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$62$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$62$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$62$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$62$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$62$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$62$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon36_Then#1, inline$BDLSystemPowerIoCompletion$11$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_15#1:
+ goto inline$BDLGetDebugLevel$316$Entry#1;
+
+ inline$BDLGetDebugLevel$316$Entry#1:
+ goto inline$BDLGetDebugLevel$316$start#1;
+
+ inline$BDLGetDebugLevel$316$start#1:
+ goto inline$BDLGetDebugLevel$316$label_3#1;
+
+ inline$BDLGetDebugLevel$316$label_3#1:
+ havoc inline$BDLGetDebugLevel$316$myNondetVar_0;
+ inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$316$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$316$label_1#1;
+
+ inline$BDLGetDebugLevel$316$label_1#1:
+ goto inline$BDLGetDebugLevel$316$Return#1;
+
+ inline$BDLGetDebugLevel$316$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$316$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon37_Then#1, inline$BDLSystemPowerIoCompletion$11$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_18_true#1, inline$BDLSystemPowerIoCompletion$11$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_19#1:
+ goto inline$BDLGetDebugLevel$317$Entry#1;
+
+ inline$BDLGetDebugLevel$317$Entry#1:
+ goto inline$BDLGetDebugLevel$317$start#1;
+
+ inline$BDLGetDebugLevel$317$start#1:
+ goto inline$BDLGetDebugLevel$317$label_3#1;
+
+ inline$BDLGetDebugLevel$317$label_3#1:
+ havoc inline$BDLGetDebugLevel$317$myNondetVar_0;
+ inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$317$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$317$label_1#1;
+
+ inline$BDLGetDebugLevel$317$label_1#1:
+ goto inline$BDLGetDebugLevel$317$Return#1;
+
+ inline$BDLGetDebugLevel$317$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$317$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon38_Then#1, inline$BDLSystemPowerIoCompletion$11$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_28_true#1, inline$BDLSystemPowerIoCompletion$11$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_29#1:
+ goto inline$BDLGetDebugLevel$318$Entry#1;
+
+ inline$BDLGetDebugLevel$318$Entry#1:
+ goto inline$BDLGetDebugLevel$318$start#1;
+
+ inline$BDLGetDebugLevel$318$start#1:
+ goto inline$BDLGetDebugLevel$318$label_3#1;
+
+ inline$BDLGetDebugLevel$318$label_3#1:
+ havoc inline$BDLGetDebugLevel$318$myNondetVar_0;
+ inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$318$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$318$label_1#1;
+
+ inline$BDLGetDebugLevel$318$label_1#1:
+ goto inline$BDLGetDebugLevel$318$Return#1;
+
+ inline$BDLGetDebugLevel$318$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$318$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon39_Then#1, inline$BDLSystemPowerIoCompletion$11$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_38_true#1, inline$BDLSystemPowerIoCompletion$11$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_39_true#1, inline$BDLSystemPowerIoCompletion$11$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$11$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$11$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$11$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$11$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$11$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_106_true#1, inline$BDLSystemPowerIoCompletion$11$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$11$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$11$myNondetVar_0, inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$11$anon50_Then#1, inline$BDLSystemPowerIoCompletion$11$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_112#1:
+ inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_113_true#1, inline$BDLSystemPowerIoCompletion$11$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$11$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_117#1:
+ goto inline$BDLGetDebugLevel$325$Entry#1;
+
+ inline$BDLGetDebugLevel$325$Entry#1:
+ goto inline$BDLGetDebugLevel$325$start#1;
+
+ inline$BDLGetDebugLevel$325$start#1:
+ goto inline$BDLGetDebugLevel$325$label_3#1;
+
+ inline$BDLGetDebugLevel$325$label_3#1:
+ havoc inline$BDLGetDebugLevel$325$myNondetVar_0;
+ inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$325$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$325$label_1#1;
+
+ inline$BDLGetDebugLevel$325$label_1#1:
+ goto inline$BDLGetDebugLevel$325$Return#1;
+
+ inline$BDLGetDebugLevel$325$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$325$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon51_Then#1, inline$BDLSystemPowerIoCompletion$11$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_120_true#1, inline$BDLSystemPowerIoCompletion$11$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_121#1:
+ goto inline$BDLGetDebugLevel$326$Entry#1;
+
+ inline$BDLGetDebugLevel$326$Entry#1:
+ goto inline$BDLGetDebugLevel$326$start#1;
+
+ inline$BDLGetDebugLevel$326$start#1:
+ goto inline$BDLGetDebugLevel$326$label_3#1;
+
+ inline$BDLGetDebugLevel$326$label_3#1:
+ havoc inline$BDLGetDebugLevel$326$myNondetVar_0;
+ inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$326$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$326$label_1#1;
+
+ inline$BDLGetDebugLevel$326$label_1#1:
+ goto inline$BDLGetDebugLevel$326$Return#1;
+
+ inline$BDLGetDebugLevel$326$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$326$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon52_Then#1, inline$BDLSystemPowerIoCompletion$11$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_130_true#1, inline$BDLSystemPowerIoCompletion$11$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_131#1:
+ goto inline$BDLGetDebugLevel$327$Entry#1;
+
+ inline$BDLGetDebugLevel$327$Entry#1:
+ goto inline$BDLGetDebugLevel$327$start#1;
+
+ inline$BDLGetDebugLevel$327$start#1:
+ goto inline$BDLGetDebugLevel$327$label_3#1;
+
+ inline$BDLGetDebugLevel$327$label_3#1:
+ havoc inline$BDLGetDebugLevel$327$myNondetVar_0;
+ inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$327$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$327$label_1#1;
+
+ inline$BDLGetDebugLevel$327$label_1#1:
+ goto inline$BDLGetDebugLevel$327$Return#1;
+
+ inline$BDLGetDebugLevel$327$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$327$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon53_Then#1, inline$BDLSystemPowerIoCompletion$11$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_140_true#1, inline$BDLSystemPowerIoCompletion$11$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$11$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_115#1:
+ inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$11$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$11$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$11$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_43#1:
+ goto inline$BDLGetDebugLevel$319$Entry#1;
+
+ inline$BDLGetDebugLevel$319$Entry#1:
+ goto inline$BDLGetDebugLevel$319$start#1;
+
+ inline$BDLGetDebugLevel$319$start#1:
+ goto inline$BDLGetDebugLevel$319$label_3#1;
+
+ inline$BDLGetDebugLevel$319$label_3#1:
+ havoc inline$BDLGetDebugLevel$319$myNondetVar_0;
+ inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$319$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$319$label_1#1;
+
+ inline$BDLGetDebugLevel$319$label_1#1:
+ goto inline$BDLGetDebugLevel$319$Return#1;
+
+ inline$BDLGetDebugLevel$319$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$319$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon40_Then#1, inline$BDLSystemPowerIoCompletion$11$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_46_true#1, inline$BDLSystemPowerIoCompletion$11$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_47#1:
+ goto inline$BDLGetDebugLevel$320$Entry#1;
+
+ inline$BDLGetDebugLevel$320$Entry#1:
+ goto inline$BDLGetDebugLevel$320$start#1;
+
+ inline$BDLGetDebugLevel$320$start#1:
+ goto inline$BDLGetDebugLevel$320$label_3#1;
+
+ inline$BDLGetDebugLevel$320$label_3#1:
+ havoc inline$BDLGetDebugLevel$320$myNondetVar_0;
+ inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$320$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$320$label_1#1;
+
+ inline$BDLGetDebugLevel$320$label_1#1:
+ goto inline$BDLGetDebugLevel$320$Return#1;
+
+ inline$BDLGetDebugLevel$320$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$320$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon41_Then#1, inline$BDLSystemPowerIoCompletion$11$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_56_true#1, inline$BDLSystemPowerIoCompletion$11$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_57#1:
+ goto inline$BDLGetDebugLevel$321$Entry#1;
+
+ inline$BDLGetDebugLevel$321$Entry#1:
+ goto inline$BDLGetDebugLevel$321$start#1;
+
+ inline$BDLGetDebugLevel$321$start#1:
+ goto inline$BDLGetDebugLevel$321$label_3#1;
+
+ inline$BDLGetDebugLevel$321$label_3#1:
+ havoc inline$BDLGetDebugLevel$321$myNondetVar_0;
+ inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$321$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$321$label_1#1;
+
+ inline$BDLGetDebugLevel$321$label_1#1:
+ goto inline$BDLGetDebugLevel$321$Return#1;
+
+ inline$BDLGetDebugLevel$321$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$321$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon42_Then#1, inline$BDLSystemPowerIoCompletion$11$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_66_true#1, inline$BDLSystemPowerIoCompletion$11$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$11$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$11$anon43_Then#1, inline$BDLSystemPowerIoCompletion$11$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$11$anon44_Then#1, inline$BDLSystemPowerIoCompletion$11$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_74#1:
+ goto inline$storm_IoCompleteRequest$24$Entry#1;
+
+ inline$storm_IoCompleteRequest$24$Entry#1:
+ inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$11$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$24$start#1;
+
+ inline$storm_IoCompleteRequest$24$start#1:
+ inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$24$label_3#1;
+
+ inline$storm_IoCompleteRequest$24$label_3#1:
+ call inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$24$label_6#1;
+
+ inline$storm_IoCompleteRequest$24$label_6#1:
+ goto inline$storm_IoCompleteRequest$24$label_6_true#1, inline$storm_IoCompleteRequest$24$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$24$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$24$label_7#1;
+
+ inline$storm_IoCompleteRequest$24$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$24$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$24$label_8#1;
+
+ inline$storm_IoCompleteRequest$24$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$24$anon3_Then#1, inline$storm_IoCompleteRequest$24$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$24$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$24$anon1#1;
+
+ inline$storm_IoCompleteRequest$24$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$24$label_9#1;
+
+ inline$storm_IoCompleteRequest$24$label_9#1:
+ goto inline$storm_IoCompleteRequest$24$label_9_true#1, inline$storm_IoCompleteRequest$24$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$24$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$24$label_10#1;
+
+ inline$storm_IoCompleteRequest$24$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$24$label_1#1;
+
+ inline$storm_IoCompleteRequest$24$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$24$label_7#1;
+
+ inline$storm_IoCompleteRequest$24$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$24$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$24$label_1#1;
+
+ inline$storm_IoCompleteRequest$24$label_1#1:
+ goto inline$storm_IoCompleteRequest$24$Return#1;
+
+ inline$storm_IoCompleteRequest$24$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$24$Return#1;
+
+ inline$storm_IoCompleteRequest$24$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon45_Then#1, inline$BDLSystemPowerIoCompletion$11$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$11$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$11$anon46_Then#1, inline$BDLSystemPowerIoCompletion$11$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_80#1:
+ goto inline$BDLGetDebugLevel$322$Entry#1;
+
+ inline$BDLGetDebugLevel$322$Entry#1:
+ goto inline$BDLGetDebugLevel$322$start#1;
+
+ inline$BDLGetDebugLevel$322$start#1:
+ goto inline$BDLGetDebugLevel$322$label_3#1;
+
+ inline$BDLGetDebugLevel$322$label_3#1:
+ havoc inline$BDLGetDebugLevel$322$myNondetVar_0;
+ inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$322$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$322$label_1#1;
+
+ inline$BDLGetDebugLevel$322$label_1#1:
+ goto inline$BDLGetDebugLevel$322$Return#1;
+
+ inline$BDLGetDebugLevel$322$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$322$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon47_Then#1, inline$BDLSystemPowerIoCompletion$11$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_83_true#1, inline$BDLSystemPowerIoCompletion$11$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_84#1:
+ goto inline$BDLGetDebugLevel$323$Entry#1;
+
+ inline$BDLGetDebugLevel$323$Entry#1:
+ goto inline$BDLGetDebugLevel$323$start#1;
+
+ inline$BDLGetDebugLevel$323$start#1:
+ goto inline$BDLGetDebugLevel$323$label_3#1;
+
+ inline$BDLGetDebugLevel$323$label_3#1:
+ havoc inline$BDLGetDebugLevel$323$myNondetVar_0;
+ inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$323$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$323$label_1#1;
+
+ inline$BDLGetDebugLevel$323$label_1#1:
+ goto inline$BDLGetDebugLevel$323$Return#1;
+
+ inline$BDLGetDebugLevel$323$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$323$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon48_Then#1, inline$BDLSystemPowerIoCompletion$11$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_93_true#1, inline$BDLSystemPowerIoCompletion$11$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$11$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$11$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_94#1:
+ goto inline$BDLGetDebugLevel$324$Entry#1;
+
+ inline$BDLGetDebugLevel$324$Entry#1:
+ goto inline$BDLGetDebugLevel$324$start#1;
+
+ inline$BDLGetDebugLevel$324$start#1:
+ goto inline$BDLGetDebugLevel$324$label_3#1;
+
+ inline$BDLGetDebugLevel$324$label_3#1:
+ havoc inline$BDLGetDebugLevel$324$myNondetVar_0;
+ inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$324$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$324$label_1#1;
+
+ inline$BDLGetDebugLevel$324$label_1#1:
+ goto inline$BDLGetDebugLevel$324$Return#1;
+
+ inline$BDLGetDebugLevel$324$Return#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$324$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$11$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$11$anon49_Then#1, inline$BDLSystemPowerIoCompletion$11$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_103_true#1, inline$BDLSystemPowerIoCompletion$11$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$11$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$11$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$11$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_104#1:
+ inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$11$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$11$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$11$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$11$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$11$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$11$Return#1:
+ inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$11$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$11$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$11$anon14_Then#1, inline$CallCompletionRoutine$11$anon14_Else#1;
+
+ inline$CallCompletionRoutine$11$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$11$anon9#1;
+
+ inline$CallCompletionRoutine$11$anon9#1:
+ goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$11$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$11$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$11$Entry#1:
+ inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$11$start#1;
+
+ inline$BDLDevicePowerIoCompletion$11$start#1:
+ call inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$11$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_4#1:
+ inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_6#1:
+ inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$11$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$61$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$61$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$start#1:
+ inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$61$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$61$label_3_true#1, inline$IoGetCurrentIrpStackLocation$61$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$61$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$61$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$61$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$61$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$61$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$61$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$61$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$61$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$61$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$61$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$61$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$61$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$61$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$61$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$61$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$61$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$61$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$61$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$61$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$61$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon30_Then#1, inline$BDLDevicePowerIoCompletion$11$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_13#1:
+ inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_17#1:
+ goto inline$BDLGetDebugLevel$307$Entry#1;
+
+ inline$BDLGetDebugLevel$307$Entry#1:
+ goto inline$BDLGetDebugLevel$307$start#1;
+
+ inline$BDLGetDebugLevel$307$start#1:
+ goto inline$BDLGetDebugLevel$307$label_3#1;
+
+ inline$BDLGetDebugLevel$307$label_3#1:
+ havoc inline$BDLGetDebugLevel$307$myNondetVar_0;
+ inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$307$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$307$label_1#1;
+
+ inline$BDLGetDebugLevel$307$label_1#1:
+ goto inline$BDLGetDebugLevel$307$Return#1;
+
+ inline$BDLGetDebugLevel$307$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$307$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon31_Then#1, inline$BDLDevicePowerIoCompletion$11$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_20_true#1, inline$BDLDevicePowerIoCompletion$11$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_21#1:
+ goto inline$BDLGetDebugLevel$308$Entry#1;
+
+ inline$BDLGetDebugLevel$308$Entry#1:
+ goto inline$BDLGetDebugLevel$308$start#1;
+
+ inline$BDLGetDebugLevel$308$start#1:
+ goto inline$BDLGetDebugLevel$308$label_3#1;
+
+ inline$BDLGetDebugLevel$308$label_3#1:
+ havoc inline$BDLGetDebugLevel$308$myNondetVar_0;
+ inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$308$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$308$label_1#1;
+
+ inline$BDLGetDebugLevel$308$label_1#1:
+ goto inline$BDLGetDebugLevel$308$Return#1;
+
+ inline$BDLGetDebugLevel$308$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$308$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon32_Then#1, inline$BDLDevicePowerIoCompletion$11$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_30_true#1, inline$BDLDevicePowerIoCompletion$11$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_31#1:
+ goto inline$BDLGetDebugLevel$309$Entry#1;
+
+ inline$BDLGetDebugLevel$309$Entry#1:
+ goto inline$BDLGetDebugLevel$309$start#1;
+
+ inline$BDLGetDebugLevel$309$start#1:
+ goto inline$BDLGetDebugLevel$309$label_3#1;
+
+ inline$BDLGetDebugLevel$309$label_3#1:
+ havoc inline$BDLGetDebugLevel$309$myNondetVar_0;
+ inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$309$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$309$label_1#1;
+
+ inline$BDLGetDebugLevel$309$label_1#1:
+ goto inline$BDLGetDebugLevel$309$Return#1;
+
+ inline$BDLGetDebugLevel$309$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$309$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon33_Then#1, inline$BDLDevicePowerIoCompletion$11$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_40_true#1, inline$BDLDevicePowerIoCompletion$11$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_41_true#1, inline$BDLDevicePowerIoCompletion$11$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$11$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$11$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_44_true#1, inline$BDLDevicePowerIoCompletion$11$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_45_true#1, inline$BDLDevicePowerIoCompletion$11$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$11$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$11$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$11$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_54#1:
+ inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$11$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_55_true#1, inline$BDLDevicePowerIoCompletion$11$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$11$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$11$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$11$anon34_Then#1, inline$BDLDevicePowerIoCompletion$11$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_59#1:
+ goto inline$BDLGetDebugLevel$310$Entry#1;
+
+ inline$BDLGetDebugLevel$310$Entry#1:
+ goto inline$BDLGetDebugLevel$310$start#1;
+
+ inline$BDLGetDebugLevel$310$start#1:
+ goto inline$BDLGetDebugLevel$310$label_3#1;
+
+ inline$BDLGetDebugLevel$310$label_3#1:
+ havoc inline$BDLGetDebugLevel$310$myNondetVar_0;
+ inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$310$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$310$label_1#1;
+
+ inline$BDLGetDebugLevel$310$label_1#1:
+ goto inline$BDLGetDebugLevel$310$Return#1;
+
+ inline$BDLGetDebugLevel$310$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$310$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon35_Then#1, inline$BDLDevicePowerIoCompletion$11$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_62_true#1, inline$BDLDevicePowerIoCompletion$11$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_63#1:
+ goto inline$BDLGetDebugLevel$311$Entry#1;
+
+ inline$BDLGetDebugLevel$311$Entry#1:
+ goto inline$BDLGetDebugLevel$311$start#1;
+
+ inline$BDLGetDebugLevel$311$start#1:
+ goto inline$BDLGetDebugLevel$311$label_3#1;
+
+ inline$BDLGetDebugLevel$311$label_3#1:
+ havoc inline$BDLGetDebugLevel$311$myNondetVar_0;
+ inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$311$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$311$label_1#1;
+
+ inline$BDLGetDebugLevel$311$label_1#1:
+ goto inline$BDLGetDebugLevel$311$Return#1;
+
+ inline$BDLGetDebugLevel$311$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$311$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon36_Then#1, inline$BDLDevicePowerIoCompletion$11$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_72_true#1, inline$BDLDevicePowerIoCompletion$11$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_73#1:
+ goto inline$BDLGetDebugLevel$312$Entry#1;
+
+ inline$BDLGetDebugLevel$312$Entry#1:
+ goto inline$BDLGetDebugLevel$312$start#1;
+
+ inline$BDLGetDebugLevel$312$start#1:
+ goto inline$BDLGetDebugLevel$312$label_3#1;
+
+ inline$BDLGetDebugLevel$312$label_3#1:
+ havoc inline$BDLGetDebugLevel$312$myNondetVar_0;
+ inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$312$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$312$label_1#1;
+
+ inline$BDLGetDebugLevel$312$label_1#1:
+ goto inline$BDLGetDebugLevel$312$Return#1;
+
+ inline$BDLGetDebugLevel$312$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$312$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon37_Then#1, inline$BDLDevicePowerIoCompletion$11$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_82_true#1, inline$BDLDevicePowerIoCompletion$11$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$11$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$11$anon38_Then#1, inline$BDLDevicePowerIoCompletion$11$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$11$anon39_Then#1, inline$BDLDevicePowerIoCompletion$11$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_92#1:
+ goto inline$storm_IoCompleteRequest$23$Entry#1;
+
+ inline$storm_IoCompleteRequest$23$Entry#1:
+ inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$11$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$23$start#1;
+
+ inline$storm_IoCompleteRequest$23$start#1:
+ inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$23$label_3#1;
+
+ inline$storm_IoCompleteRequest$23$label_3#1:
+ call inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$23$label_6#1;
+
+ inline$storm_IoCompleteRequest$23$label_6#1:
+ goto inline$storm_IoCompleteRequest$23$label_6_true#1, inline$storm_IoCompleteRequest$23$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$23$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$23$label_7#1;
+
+ inline$storm_IoCompleteRequest$23$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$23$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$23$label_8#1;
+
+ inline$storm_IoCompleteRequest$23$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$23$anon3_Then#1, inline$storm_IoCompleteRequest$23$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$23$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$23$anon1#1;
+
+ inline$storm_IoCompleteRequest$23$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$23$label_9#1;
+
+ inline$storm_IoCompleteRequest$23$label_9#1:
+ goto inline$storm_IoCompleteRequest$23$label_9_true#1, inline$storm_IoCompleteRequest$23$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$23$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$23$label_10#1;
+
+ inline$storm_IoCompleteRequest$23$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$23$label_1#1;
+
+ inline$storm_IoCompleteRequest$23$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$23$label_7#1;
+
+ inline$storm_IoCompleteRequest$23$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$23$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$23$label_1#1;
+
+ inline$storm_IoCompleteRequest$23$label_1#1:
+ goto inline$storm_IoCompleteRequest$23$Return#1;
+
+ inline$storm_IoCompleteRequest$23$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$23$Return#1;
+
+ inline$storm_IoCompleteRequest$23$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon40_Then#1, inline$BDLDevicePowerIoCompletion$11$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$11$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$11$anon41_Then#1, inline$BDLDevicePowerIoCompletion$11$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_98#1:
+ goto inline$BDLGetDebugLevel$313$Entry#1;
+
+ inline$BDLGetDebugLevel$313$Entry#1:
+ goto inline$BDLGetDebugLevel$313$start#1;
+
+ inline$BDLGetDebugLevel$313$start#1:
+ goto inline$BDLGetDebugLevel$313$label_3#1;
+
+ inline$BDLGetDebugLevel$313$label_3#1:
+ havoc inline$BDLGetDebugLevel$313$myNondetVar_0;
+ inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$313$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$313$label_1#1;
+
+ inline$BDLGetDebugLevel$313$label_1#1:
+ goto inline$BDLGetDebugLevel$313$Return#1;
+
+ inline$BDLGetDebugLevel$313$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$313$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon42_Then#1, inline$BDLDevicePowerIoCompletion$11$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_101_true#1, inline$BDLDevicePowerIoCompletion$11$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_102#1:
+ goto inline$BDLGetDebugLevel$314$Entry#1;
+
+ inline$BDLGetDebugLevel$314$Entry#1:
+ goto inline$BDLGetDebugLevel$314$start#1;
+
+ inline$BDLGetDebugLevel$314$start#1:
+ goto inline$BDLGetDebugLevel$314$label_3#1;
+
+ inline$BDLGetDebugLevel$314$label_3#1:
+ havoc inline$BDLGetDebugLevel$314$myNondetVar_0;
+ inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$314$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$314$label_1#1;
+
+ inline$BDLGetDebugLevel$314$label_1#1:
+ goto inline$BDLGetDebugLevel$314$Return#1;
+
+ inline$BDLGetDebugLevel$314$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$314$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon43_Then#1, inline$BDLDevicePowerIoCompletion$11$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_111_true#1, inline$BDLDevicePowerIoCompletion$11$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$11$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$11$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_112#1:
+ goto inline$BDLGetDebugLevel$315$Entry#1;
+
+ inline$BDLGetDebugLevel$315$Entry#1:
+ goto inline$BDLGetDebugLevel$315$start#1;
+
+ inline$BDLGetDebugLevel$315$start#1:
+ goto inline$BDLGetDebugLevel$315$label_3#1;
+
+ inline$BDLGetDebugLevel$315$label_3#1:
+ havoc inline$BDLGetDebugLevel$315$myNondetVar_0;
+ inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$315$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$315$label_1#1;
+
+ inline$BDLGetDebugLevel$315$label_1#1:
+ goto inline$BDLGetDebugLevel$315$Return#1;
+
+ inline$BDLGetDebugLevel$315$Return#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$315$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$11$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$11$anon44_Then#1, inline$BDLDevicePowerIoCompletion$11$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_121_true#1, inline$BDLDevicePowerIoCompletion$11$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$11$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$11$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$11$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_122#1:
+ inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$11$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$11$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$11$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$11$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$11$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$11$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$11$Return#1:
+ inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$11$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$11$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$11$anon13_Then#1, inline$CallCompletionRoutine$11$anon13_Else#1;
+
+ inline$CallCompletionRoutine$11$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$11$anon7#1;
+
+ inline$CallCompletionRoutine$11$anon7#1:
+ goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$11$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$11$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$11$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$11$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$11$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$11$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$start#1:
+ inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$11$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$label_3_true#1, inline$BDLCallDriverCompletionRoutine$11$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$11$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$11$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$11$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_6#1:
+ goto inline$storm_KeSetEvent$13$Entry#1;
+
+ inline$storm_KeSetEvent$13$Entry#1:
+ inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$11$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$13$start#1;
+
+ inline$storm_KeSetEvent$13$start#1:
+ inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$13$label_3#1;
+
+ inline$storm_KeSetEvent$13$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$13$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$13$label_1#1;
+
+ inline$storm_KeSetEvent$13$label_1#1:
+ goto inline$storm_KeSetEvent$13$Return#1;
+
+ inline$storm_KeSetEvent$13$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$11$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$11$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$11$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$11$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$11$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$11$Return#1:
+ inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$11$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$11$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$11$anon12_Then#1, inline$CallCompletionRoutine$11$anon12_Else#1;
+
+ inline$CallCompletionRoutine$11$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$11$anon5#1;
+
+ inline$CallCompletionRoutine$11$anon5#1:
+ goto inline$CallCompletionRoutine$11$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$11$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$11$label_23#1;
+
+ inline$CallCompletionRoutine$11$label_23#1:
+ inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$11$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$11$label_24#1;
+
+ inline$CallCompletionRoutine$11$label_24#1:
+ goto inline$CallCompletionRoutine$11$label_24_true#1, inline$CallCompletionRoutine$11$label_24_false#1;
+
+ inline$CallCompletionRoutine$11$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$11$label_1#1;
+
+ inline$CallCompletionRoutine$11$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$11$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$11$label_25#1;
+
+ inline$CallCompletionRoutine$11$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$11$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$11$label_1#1;
+
+ inline$CallCompletionRoutine$11$label_1#1:
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$11$Return#1;
+
+ inline$CallCompletionRoutine$11$Return#1:
+ goto inline$storm_IoCallDriver$5$label_39$1#1;
+
+ inline$storm_IoCallDriver$5$label_39$1#1:
+ goto inline$storm_IoCallDriver$5$anon15_Then#1, inline$storm_IoCallDriver$5$anon15_Else#1;
+
+ inline$storm_IoCallDriver$5$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$5$anon10#1;
+
+ inline$storm_IoCallDriver$5$anon10#1:
+ goto inline$storm_IoCallDriver$5$label_36#1;
+
+ inline$storm_IoCallDriver$5$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$5$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$5$label_28#1;
+
+ inline$storm_IoCallDriver$5$label_28#1:
+ inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$5$label_42#1;
+
+ inline$storm_IoCallDriver$5$label_42#1:
+ goto inline$storm_IoCallDriver$5$label_43#1;
+
+ inline$storm_IoCallDriver$5$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$5$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$5$label_36#1;
+
+ inline$storm_IoCallDriver$5$label_36#1:
+ inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$5$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$5$label_1#1;
+
+ inline$storm_IoCallDriver$5$label_1#1:
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$5$Return#1;
+
+ inline$storm_IoCallDriver$5$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$5$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_25#1:
+ goto inline$storm_PoCallDriver$2$Entry#1;
+
+ inline$storm_PoCallDriver$2$Entry#1:
+ inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$2$start#1;
+
+ inline$storm_PoCallDriver$2$start#1:
+ inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$2$label_3#1;
+
+ inline$storm_PoCallDriver$2$label_3#1:
+ goto inline$storm_IoCallDriver$6$Entry#1;
+
+ inline$storm_IoCallDriver$6$Entry#1:
+ inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$2$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$6$start#1;
+
+ inline$storm_IoCallDriver$6$start#1:
+ inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$6$label_3#1;
+
+ inline$storm_IoCallDriver$6$label_3#1:
+ goto inline$storm_IoCallDriver$6$label_4#1;
+
+ inline$storm_IoCallDriver$6$label_4#1:
+ goto inline$storm_IoCallDriver$6$label_5#1;
+
+ inline$storm_IoCallDriver$6$label_5#1:
+ goto inline$storm_IoCallDriver$6$label_6#1;
+
+ inline$storm_IoCallDriver$6$label_6#1:
+ call inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$6$label_9#1;
+
+ inline$storm_IoCallDriver$6$label_9#1:
+ goto inline$storm_IoCallDriver$6$label_9_true#1, inline$storm_IoCallDriver$6$label_9_false#1;
+
+ inline$storm_IoCallDriver$6$label_9_false#1:
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$6$label_10#1;
+
+ inline$storm_IoCallDriver$6$label_9_true#1:
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$6$label_13#1;
+
+ inline$storm_IoCallDriver$6$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$6$anon12_Then#1, inline$storm_IoCallDriver$6$anon12_Else#1;
+
+ inline$storm_IoCallDriver$6$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$6$anon3#1;
+
+ inline$storm_IoCallDriver$6$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$6$label_14#1;
+
+ inline$storm_IoCallDriver$6$label_14#1:
+ goto inline$storm_IoCallDriver$6$label_14_true#1, inline$storm_IoCallDriver$6$label_14_false#1;
+
+ inline$storm_IoCallDriver$6$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$6$label_15#1;
+
+ inline$storm_IoCallDriver$6$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$6$label_1#1;
+
+ inline$storm_IoCallDriver$6$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$6$label_10#1;
+
+ inline$storm_IoCallDriver$6$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$7$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$7$Entry#1:
+ inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$7$start#1;
+
+ inline$IoSetNextIrpStackLocation$7$start#1:
+ inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$7$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$7$label_3_true#1, inline$IoSetNextIrpStackLocation$7$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$7$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$7$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_4#1:
+ call inline$IoSetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$7$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_7#1:
+ call inline$IoSetNextIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$7$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$7$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$7$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$7$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_5#1:
+ inline$IoSetNextIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$7$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$7$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$7$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$7$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$7$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$7$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$7$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$7$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$7$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$7$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$7$Return#1;
+
+ inline$IoSetNextIrpStackLocation$7$Return#1:
+ goto inline$storm_IoCallDriver$6$label_10$1#1;
+
+ inline$storm_IoCallDriver$6$label_10$1#1:
+ goto inline$storm_IoCallDriver$6$anon11_Then#1, inline$storm_IoCallDriver$6$anon11_Else#1;
+
+ inline$storm_IoCallDriver$6$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$6$anon1#1;
+
+ inline$storm_IoCallDriver$6$anon1#1:
+ goto inline$storm_IoCallDriver$6$label_18#1;
+
+ inline$storm_IoCallDriver$6$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$63$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$63$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$start#1:
+ inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$63$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$63$label_3_true#1, inline$IoGetCurrentIrpStackLocation$63$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$63$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$63$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$63$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$63$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$63$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$63$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$63$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$63$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$63$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$63$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$63$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$63$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$63$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$63$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$63$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$63$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$63$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$63$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$63$Return#1:
+ goto inline$storm_IoCallDriver$6$label_18$1#1;
+
+ inline$storm_IoCallDriver$6$label_18$1#1:
+ goto inline$storm_IoCallDriver$6$anon13_Then#1, inline$storm_IoCallDriver$6$anon13_Else#1;
+
+ inline$storm_IoCallDriver$6$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$6$anon6#1;
+
+ inline$storm_IoCallDriver$6$anon6#1:
+ goto inline$storm_IoCallDriver$6$label_21#1;
+
+ inline$storm_IoCallDriver$6$label_21#1:
+ goto inline$storm_IoCallDriver$6$label_22#1;
+
+ inline$storm_IoCallDriver$6$label_22#1:
+ goto inline$storm_IoCallDriver$6$label_23#1;
+
+ inline$storm_IoCallDriver$6$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$6$label_24#1;
+
+ inline$storm_IoCallDriver$6$label_24#1:
+ call inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$6$label_27#1;
+
+ inline$storm_IoCallDriver$6$label_27#1:
+ goto inline$storm_IoCallDriver$6$label_27_case_0#1, inline$storm_IoCallDriver$6$label_27_case_1#1, inline$storm_IoCallDriver$6$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$6$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$6$label_30#1;
+
+ inline$storm_IoCallDriver$6$label_30#1:
+ inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$6$label_31#1;
+
+ inline$storm_IoCallDriver$6$label_31#1:
+ goto inline$storm_IoCallDriver$6$label_32#1;
+
+ inline$storm_IoCallDriver$6$label_32#1:
+ goto inline$storm_IoCallDriver$6$label_33#1;
+
+ inline$storm_IoCallDriver$6$label_33#1:
+ goto inline$CallCompletionRoutine$12$Entry#1;
+
+ inline$CallCompletionRoutine$12$Entry#1:
+ inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$12$start#1;
+
+ inline$CallCompletionRoutine$12$start#1:
+ inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$12$label_3#1;
+
+ inline$CallCompletionRoutine$12$label_3#1:
+ goto inline$CallCompletionRoutine$12$label_4#1;
+
+ inline$CallCompletionRoutine$12$label_4#1:
+ goto inline$CallCompletionRoutine$12$label_5#1;
+
+ inline$CallCompletionRoutine$12$label_5#1:
+ goto inline$CallCompletionRoutine$12$label_6#1;
+
+ inline$CallCompletionRoutine$12$label_6#1:
+ goto inline$CallCompletionRoutine$12$label_7#1;
+
+ inline$CallCompletionRoutine$12$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$64$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$64$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$start#1:
+ inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$64$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$64$label_3_true#1, inline$IoGetCurrentIrpStackLocation$64$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$64$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$64$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$64$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$64$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$64$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$64$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$64$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$64$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$64$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$64$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$64$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$64$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$64$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$64$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$64$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$64$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$64$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$64$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$64$Return#1:
+ goto inline$CallCompletionRoutine$12$label_7$1#1;
+
+ inline$CallCompletionRoutine$12$label_7$1#1:
+ goto inline$CallCompletionRoutine$12$anon10_Then#1, inline$CallCompletionRoutine$12$anon10_Else#1;
+
+ inline$CallCompletionRoutine$12$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$12$anon1#1;
+
+ inline$CallCompletionRoutine$12$anon1#1:
+ goto inline$CallCompletionRoutine$12$label_10#1;
+
+ inline$CallCompletionRoutine$12$label_10#1:
+ goto inline$CallCompletionRoutine$12$label_11#1;
+
+ inline$CallCompletionRoutine$12$label_11#1:
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$CallCompletionRoutine$12$label_12#1;
+
+ inline$CallCompletionRoutine$12$label_12#1:
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$CallCompletionRoutine$12$label_13#1;
+
+ inline$CallCompletionRoutine$12$label_13#1:
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$CallCompletionRoutine$12$label_14#1;
+
+ inline$CallCompletionRoutine$12$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$65$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$65$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$start#1:
+ inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$65$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$65$label_3_true#1, inline$IoGetCurrentIrpStackLocation$65$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$65$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$65$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$65$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$65$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$65$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$65$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$65$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$65$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$65$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$65$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$65$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$65$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$65$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$65$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$65$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$65$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$65$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$65$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$65$Return#1:
+ goto inline$CallCompletionRoutine$12$label_14$1#1;
+
+ inline$CallCompletionRoutine$12$label_14$1#1:
+ goto inline$CallCompletionRoutine$12$anon11_Then#1, inline$CallCompletionRoutine$12$anon11_Else#1;
+
+ inline$CallCompletionRoutine$12$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$12$anon3#1;
+
+ inline$CallCompletionRoutine$12$anon3#1:
+ goto inline$CallCompletionRoutine$12$label_17#1;
+
+ inline$CallCompletionRoutine$12$label_17#1:
+ goto inline$CallCompletionRoutine$12$label_18#1;
+
+ inline$CallCompletionRoutine$12$label_18#1:
+ goto inline$CallCompletionRoutine$12$label_18_true#1, inline$CallCompletionRoutine$12$label_18_false#1;
+
+ inline$CallCompletionRoutine$12$label_18_false#1:
+ assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$12$label_1#1;
+
+ inline$CallCompletionRoutine$12$label_18_true#1:
+ assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$12$label_19#1;
+
+ inline$CallCompletionRoutine$12$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$12$label_20#1;
+
+ inline$CallCompletionRoutine$12$label_20#1:
+ goto inline$CallCompletionRoutine$12$label_20_icall_1#1, inline$CallCompletionRoutine$12$label_20_icall_2#1, inline$CallCompletionRoutine$12$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$12$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$12$Entry#1:
+ inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$12$start#1;
+
+ inline$BDLSystemPowerIoCompletion$12$start#1:
+ call inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$12$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_4#1:
+ inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_6#1:
+ inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$12$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$67$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$67$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$start#1:
+ inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$67$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$67$label_3_true#1, inline$IoGetCurrentIrpStackLocation$67$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$67$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$67$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$67$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$67$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$67$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$67$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$67$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$67$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$67$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$67$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$67$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$67$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$67$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$67$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$67$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$67$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$67$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$67$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$67$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon36_Then#1, inline$BDLSystemPowerIoCompletion$12$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_15#1:
+ goto inline$BDLGetDebugLevel$337$Entry#1;
+
+ inline$BDLGetDebugLevel$337$Entry#1:
+ goto inline$BDLGetDebugLevel$337$start#1;
+
+ inline$BDLGetDebugLevel$337$start#1:
+ goto inline$BDLGetDebugLevel$337$label_3#1;
+
+ inline$BDLGetDebugLevel$337$label_3#1:
+ havoc inline$BDLGetDebugLevel$337$myNondetVar_0;
+ inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$337$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$337$label_1#1;
+
+ inline$BDLGetDebugLevel$337$label_1#1:
+ goto inline$BDLGetDebugLevel$337$Return#1;
+
+ inline$BDLGetDebugLevel$337$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$337$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon37_Then#1, inline$BDLSystemPowerIoCompletion$12$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_18_true#1, inline$BDLSystemPowerIoCompletion$12$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_19#1:
+ goto inline$BDLGetDebugLevel$338$Entry#1;
+
+ inline$BDLGetDebugLevel$338$Entry#1:
+ goto inline$BDLGetDebugLevel$338$start#1;
+
+ inline$BDLGetDebugLevel$338$start#1:
+ goto inline$BDLGetDebugLevel$338$label_3#1;
+
+ inline$BDLGetDebugLevel$338$label_3#1:
+ havoc inline$BDLGetDebugLevel$338$myNondetVar_0;
+ inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$338$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$338$label_1#1;
+
+ inline$BDLGetDebugLevel$338$label_1#1:
+ goto inline$BDLGetDebugLevel$338$Return#1;
+
+ inline$BDLGetDebugLevel$338$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$338$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon38_Then#1, inline$BDLSystemPowerIoCompletion$12$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_28_true#1, inline$BDLSystemPowerIoCompletion$12$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_29#1:
+ goto inline$BDLGetDebugLevel$339$Entry#1;
+
+ inline$BDLGetDebugLevel$339$Entry#1:
+ goto inline$BDLGetDebugLevel$339$start#1;
+
+ inline$BDLGetDebugLevel$339$start#1:
+ goto inline$BDLGetDebugLevel$339$label_3#1;
+
+ inline$BDLGetDebugLevel$339$label_3#1:
+ havoc inline$BDLGetDebugLevel$339$myNondetVar_0;
+ inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$339$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$339$label_1#1;
+
+ inline$BDLGetDebugLevel$339$label_1#1:
+ goto inline$BDLGetDebugLevel$339$Return#1;
+
+ inline$BDLGetDebugLevel$339$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$339$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon39_Then#1, inline$BDLSystemPowerIoCompletion$12$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_38_true#1, inline$BDLSystemPowerIoCompletion$12$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_39_true#1, inline$BDLSystemPowerIoCompletion$12$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$12$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$12$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$12$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$12$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$12$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_106_true#1, inline$BDLSystemPowerIoCompletion$12$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$12$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$12$myNondetVar_0, inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$12$anon50_Then#1, inline$BDLSystemPowerIoCompletion$12$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_112#1:
+ inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_113_true#1, inline$BDLSystemPowerIoCompletion$12$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$12$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_117#1:
+ goto inline$BDLGetDebugLevel$346$Entry#1;
+
+ inline$BDLGetDebugLevel$346$Entry#1:
+ goto inline$BDLGetDebugLevel$346$start#1;
+
+ inline$BDLGetDebugLevel$346$start#1:
+ goto inline$BDLGetDebugLevel$346$label_3#1;
+
+ inline$BDLGetDebugLevel$346$label_3#1:
+ havoc inline$BDLGetDebugLevel$346$myNondetVar_0;
+ inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$346$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$346$label_1#1;
+
+ inline$BDLGetDebugLevel$346$label_1#1:
+ goto inline$BDLGetDebugLevel$346$Return#1;
+
+ inline$BDLGetDebugLevel$346$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$346$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon51_Then#1, inline$BDLSystemPowerIoCompletion$12$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_120_true#1, inline$BDLSystemPowerIoCompletion$12$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_121#1:
+ goto inline$BDLGetDebugLevel$347$Entry#1;
+
+ inline$BDLGetDebugLevel$347$Entry#1:
+ goto inline$BDLGetDebugLevel$347$start#1;
+
+ inline$BDLGetDebugLevel$347$start#1:
+ goto inline$BDLGetDebugLevel$347$label_3#1;
+
+ inline$BDLGetDebugLevel$347$label_3#1:
+ havoc inline$BDLGetDebugLevel$347$myNondetVar_0;
+ inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$347$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$347$label_1#1;
+
+ inline$BDLGetDebugLevel$347$label_1#1:
+ goto inline$BDLGetDebugLevel$347$Return#1;
+
+ inline$BDLGetDebugLevel$347$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$347$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon52_Then#1, inline$BDLSystemPowerIoCompletion$12$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_130_true#1, inline$BDLSystemPowerIoCompletion$12$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_131#1:
+ goto inline$BDLGetDebugLevel$348$Entry#1;
+
+ inline$BDLGetDebugLevel$348$Entry#1:
+ goto inline$BDLGetDebugLevel$348$start#1;
+
+ inline$BDLGetDebugLevel$348$start#1:
+ goto inline$BDLGetDebugLevel$348$label_3#1;
+
+ inline$BDLGetDebugLevel$348$label_3#1:
+ havoc inline$BDLGetDebugLevel$348$myNondetVar_0;
+ inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$348$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$348$label_1#1;
+
+ inline$BDLGetDebugLevel$348$label_1#1:
+ goto inline$BDLGetDebugLevel$348$Return#1;
+
+ inline$BDLGetDebugLevel$348$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$348$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon53_Then#1, inline$BDLSystemPowerIoCompletion$12$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_140_true#1, inline$BDLSystemPowerIoCompletion$12$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$12$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_115#1:
+ inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$12$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$12$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$12$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_43#1:
+ goto inline$BDLGetDebugLevel$340$Entry#1;
+
+ inline$BDLGetDebugLevel$340$Entry#1:
+ goto inline$BDLGetDebugLevel$340$start#1;
+
+ inline$BDLGetDebugLevel$340$start#1:
+ goto inline$BDLGetDebugLevel$340$label_3#1;
+
+ inline$BDLGetDebugLevel$340$label_3#1:
+ havoc inline$BDLGetDebugLevel$340$myNondetVar_0;
+ inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$340$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$340$label_1#1;
+
+ inline$BDLGetDebugLevel$340$label_1#1:
+ goto inline$BDLGetDebugLevel$340$Return#1;
+
+ inline$BDLGetDebugLevel$340$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$340$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon40_Then#1, inline$BDLSystemPowerIoCompletion$12$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_46_true#1, inline$BDLSystemPowerIoCompletion$12$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_47#1:
+ goto inline$BDLGetDebugLevel$341$Entry#1;
+
+ inline$BDLGetDebugLevel$341$Entry#1:
+ goto inline$BDLGetDebugLevel$341$start#1;
+
+ inline$BDLGetDebugLevel$341$start#1:
+ goto inline$BDLGetDebugLevel$341$label_3#1;
+
+ inline$BDLGetDebugLevel$341$label_3#1:
+ havoc inline$BDLGetDebugLevel$341$myNondetVar_0;
+ inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$341$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$341$label_1#1;
+
+ inline$BDLGetDebugLevel$341$label_1#1:
+ goto inline$BDLGetDebugLevel$341$Return#1;
+
+ inline$BDLGetDebugLevel$341$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$341$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon41_Then#1, inline$BDLSystemPowerIoCompletion$12$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_56_true#1, inline$BDLSystemPowerIoCompletion$12$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_57#1:
+ goto inline$BDLGetDebugLevel$342$Entry#1;
+
+ inline$BDLGetDebugLevel$342$Entry#1:
+ goto inline$BDLGetDebugLevel$342$start#1;
+
+ inline$BDLGetDebugLevel$342$start#1:
+ goto inline$BDLGetDebugLevel$342$label_3#1;
+
+ inline$BDLGetDebugLevel$342$label_3#1:
+ havoc inline$BDLGetDebugLevel$342$myNondetVar_0;
+ inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$342$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$342$label_1#1;
+
+ inline$BDLGetDebugLevel$342$label_1#1:
+ goto inline$BDLGetDebugLevel$342$Return#1;
+
+ inline$BDLGetDebugLevel$342$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$342$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon42_Then#1, inline$BDLSystemPowerIoCompletion$12$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_66_true#1, inline$BDLSystemPowerIoCompletion$12$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$12$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$12$anon43_Then#1, inline$BDLSystemPowerIoCompletion$12$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$12$anon44_Then#1, inline$BDLSystemPowerIoCompletion$12$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_74#1:
+ goto inline$storm_IoCompleteRequest$26$Entry#1;
+
+ inline$storm_IoCompleteRequest$26$Entry#1:
+ inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$12$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$26$start#1;
+
+ inline$storm_IoCompleteRequest$26$start#1:
+ inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$26$label_3#1;
+
+ inline$storm_IoCompleteRequest$26$label_3#1:
+ call inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$26$label_6#1;
+
+ inline$storm_IoCompleteRequest$26$label_6#1:
+ goto inline$storm_IoCompleteRequest$26$label_6_true#1, inline$storm_IoCompleteRequest$26$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$26$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$26$label_7#1;
+
+ inline$storm_IoCompleteRequest$26$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$26$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$26$label_8#1;
+
+ inline$storm_IoCompleteRequest$26$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$26$anon3_Then#1, inline$storm_IoCompleteRequest$26$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$26$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$26$anon1#1;
+
+ inline$storm_IoCompleteRequest$26$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$26$label_9#1;
+
+ inline$storm_IoCompleteRequest$26$label_9#1:
+ goto inline$storm_IoCompleteRequest$26$label_9_true#1, inline$storm_IoCompleteRequest$26$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$26$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$26$label_10#1;
+
+ inline$storm_IoCompleteRequest$26$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$26$label_1#1;
+
+ inline$storm_IoCompleteRequest$26$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$26$label_7#1;
+
+ inline$storm_IoCompleteRequest$26$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$26$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$26$label_1#1;
+
+ inline$storm_IoCompleteRequest$26$label_1#1:
+ goto inline$storm_IoCompleteRequest$26$Return#1;
+
+ inline$storm_IoCompleteRequest$26$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$26$Return#1;
+
+ inline$storm_IoCompleteRequest$26$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon45_Then#1, inline$BDLSystemPowerIoCompletion$12$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$12$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$12$anon46_Then#1, inline$BDLSystemPowerIoCompletion$12$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_80#1:
+ goto inline$BDLGetDebugLevel$343$Entry#1;
+
+ inline$BDLGetDebugLevel$343$Entry#1:
+ goto inline$BDLGetDebugLevel$343$start#1;
+
+ inline$BDLGetDebugLevel$343$start#1:
+ goto inline$BDLGetDebugLevel$343$label_3#1;
+
+ inline$BDLGetDebugLevel$343$label_3#1:
+ havoc inline$BDLGetDebugLevel$343$myNondetVar_0;
+ inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$343$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$343$label_1#1;
+
+ inline$BDLGetDebugLevel$343$label_1#1:
+ goto inline$BDLGetDebugLevel$343$Return#1;
+
+ inline$BDLGetDebugLevel$343$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$343$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon47_Then#1, inline$BDLSystemPowerIoCompletion$12$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_83_true#1, inline$BDLSystemPowerIoCompletion$12$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_84#1:
+ goto inline$BDLGetDebugLevel$344$Entry#1;
+
+ inline$BDLGetDebugLevel$344$Entry#1:
+ goto inline$BDLGetDebugLevel$344$start#1;
+
+ inline$BDLGetDebugLevel$344$start#1:
+ goto inline$BDLGetDebugLevel$344$label_3#1;
+
+ inline$BDLGetDebugLevel$344$label_3#1:
+ havoc inline$BDLGetDebugLevel$344$myNondetVar_0;
+ inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$344$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$344$label_1#1;
+
+ inline$BDLGetDebugLevel$344$label_1#1:
+ goto inline$BDLGetDebugLevel$344$Return#1;
+
+ inline$BDLGetDebugLevel$344$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$344$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon48_Then#1, inline$BDLSystemPowerIoCompletion$12$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_93_true#1, inline$BDLSystemPowerIoCompletion$12$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$12$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$12$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_94#1:
+ goto inline$BDLGetDebugLevel$345$Entry#1;
+
+ inline$BDLGetDebugLevel$345$Entry#1:
+ goto inline$BDLGetDebugLevel$345$start#1;
+
+ inline$BDLGetDebugLevel$345$start#1:
+ goto inline$BDLGetDebugLevel$345$label_3#1;
+
+ inline$BDLGetDebugLevel$345$label_3#1:
+ havoc inline$BDLGetDebugLevel$345$myNondetVar_0;
+ inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$345$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$345$label_1#1;
+
+ inline$BDLGetDebugLevel$345$label_1#1:
+ goto inline$BDLGetDebugLevel$345$Return#1;
+
+ inline$BDLGetDebugLevel$345$Return#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$345$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$12$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$12$anon49_Then#1, inline$BDLSystemPowerIoCompletion$12$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_103_true#1, inline$BDLSystemPowerIoCompletion$12$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$12$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$12$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$12$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_104#1:
+ inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$12$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$12$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$12$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$12$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$12$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$12$Return#1:
+ inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$12$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$12$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$12$anon14_Then#1, inline$CallCompletionRoutine$12$anon14_Else#1;
+
+ inline$CallCompletionRoutine$12$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$12$anon9#1;
+
+ inline$CallCompletionRoutine$12$anon9#1:
+ goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$12$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$12$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$12$Entry#1:
+ inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$12$start#1;
+
+ inline$BDLDevicePowerIoCompletion$12$start#1:
+ call inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$12$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_4#1:
+ inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_6#1:
+ inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$12$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$66$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$66$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$start#1:
+ inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$66$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$66$label_3_true#1, inline$IoGetCurrentIrpStackLocation$66$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$66$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$66$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$66$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$66$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$66$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$66$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$66$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$66$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$66$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$66$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$66$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$66$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$66$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$66$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$66$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$66$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$66$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$66$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$66$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$66$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon30_Then#1, inline$BDLDevicePowerIoCompletion$12$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_13#1:
+ inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_17#1:
+ goto inline$BDLGetDebugLevel$328$Entry#1;
+
+ inline$BDLGetDebugLevel$328$Entry#1:
+ goto inline$BDLGetDebugLevel$328$start#1;
+
+ inline$BDLGetDebugLevel$328$start#1:
+ goto inline$BDLGetDebugLevel$328$label_3#1;
+
+ inline$BDLGetDebugLevel$328$label_3#1:
+ havoc inline$BDLGetDebugLevel$328$myNondetVar_0;
+ inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$328$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$328$label_1#1;
+
+ inline$BDLGetDebugLevel$328$label_1#1:
+ goto inline$BDLGetDebugLevel$328$Return#1;
+
+ inline$BDLGetDebugLevel$328$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$328$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon31_Then#1, inline$BDLDevicePowerIoCompletion$12$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_20_true#1, inline$BDLDevicePowerIoCompletion$12$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_21#1:
+ goto inline$BDLGetDebugLevel$329$Entry#1;
+
+ inline$BDLGetDebugLevel$329$Entry#1:
+ goto inline$BDLGetDebugLevel$329$start#1;
+
+ inline$BDLGetDebugLevel$329$start#1:
+ goto inline$BDLGetDebugLevel$329$label_3#1;
+
+ inline$BDLGetDebugLevel$329$label_3#1:
+ havoc inline$BDLGetDebugLevel$329$myNondetVar_0;
+ inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$329$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$329$label_1#1;
+
+ inline$BDLGetDebugLevel$329$label_1#1:
+ goto inline$BDLGetDebugLevel$329$Return#1;
+
+ inline$BDLGetDebugLevel$329$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$329$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon32_Then#1, inline$BDLDevicePowerIoCompletion$12$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_30_true#1, inline$BDLDevicePowerIoCompletion$12$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_31#1:
+ goto inline$BDLGetDebugLevel$330$Entry#1;
+
+ inline$BDLGetDebugLevel$330$Entry#1:
+ goto inline$BDLGetDebugLevel$330$start#1;
+
+ inline$BDLGetDebugLevel$330$start#1:
+ goto inline$BDLGetDebugLevel$330$label_3#1;
+
+ inline$BDLGetDebugLevel$330$label_3#1:
+ havoc inline$BDLGetDebugLevel$330$myNondetVar_0;
+ inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$330$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$330$label_1#1;
+
+ inline$BDLGetDebugLevel$330$label_1#1:
+ goto inline$BDLGetDebugLevel$330$Return#1;
+
+ inline$BDLGetDebugLevel$330$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$330$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon33_Then#1, inline$BDLDevicePowerIoCompletion$12$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_40_true#1, inline$BDLDevicePowerIoCompletion$12$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_41_true#1, inline$BDLDevicePowerIoCompletion$12$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$12$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$12$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_44_true#1, inline$BDLDevicePowerIoCompletion$12$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_45_true#1, inline$BDLDevicePowerIoCompletion$12$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$12$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$12$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$12$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_54#1:
+ inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$12$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_55_true#1, inline$BDLDevicePowerIoCompletion$12$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$12$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$12$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$12$anon34_Then#1, inline$BDLDevicePowerIoCompletion$12$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_59#1:
+ goto inline$BDLGetDebugLevel$331$Entry#1;
+
+ inline$BDLGetDebugLevel$331$Entry#1:
+ goto inline$BDLGetDebugLevel$331$start#1;
+
+ inline$BDLGetDebugLevel$331$start#1:
+ goto inline$BDLGetDebugLevel$331$label_3#1;
+
+ inline$BDLGetDebugLevel$331$label_3#1:
+ havoc inline$BDLGetDebugLevel$331$myNondetVar_0;
+ inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$331$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$331$label_1#1;
+
+ inline$BDLGetDebugLevel$331$label_1#1:
+ goto inline$BDLGetDebugLevel$331$Return#1;
+
+ inline$BDLGetDebugLevel$331$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$331$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon35_Then#1, inline$BDLDevicePowerIoCompletion$12$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_62_true#1, inline$BDLDevicePowerIoCompletion$12$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_63#1:
+ goto inline$BDLGetDebugLevel$332$Entry#1;
+
+ inline$BDLGetDebugLevel$332$Entry#1:
+ goto inline$BDLGetDebugLevel$332$start#1;
+
+ inline$BDLGetDebugLevel$332$start#1:
+ goto inline$BDLGetDebugLevel$332$label_3#1;
+
+ inline$BDLGetDebugLevel$332$label_3#1:
+ havoc inline$BDLGetDebugLevel$332$myNondetVar_0;
+ inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$332$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$332$label_1#1;
+
+ inline$BDLGetDebugLevel$332$label_1#1:
+ goto inline$BDLGetDebugLevel$332$Return#1;
+
+ inline$BDLGetDebugLevel$332$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$332$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon36_Then#1, inline$BDLDevicePowerIoCompletion$12$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_72_true#1, inline$BDLDevicePowerIoCompletion$12$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_73#1:
+ goto inline$BDLGetDebugLevel$333$Entry#1;
+
+ inline$BDLGetDebugLevel$333$Entry#1:
+ goto inline$BDLGetDebugLevel$333$start#1;
+
+ inline$BDLGetDebugLevel$333$start#1:
+ goto inline$BDLGetDebugLevel$333$label_3#1;
+
+ inline$BDLGetDebugLevel$333$label_3#1:
+ havoc inline$BDLGetDebugLevel$333$myNondetVar_0;
+ inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$333$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$333$label_1#1;
+
+ inline$BDLGetDebugLevel$333$label_1#1:
+ goto inline$BDLGetDebugLevel$333$Return#1;
+
+ inline$BDLGetDebugLevel$333$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$333$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon37_Then#1, inline$BDLDevicePowerIoCompletion$12$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_82_true#1, inline$BDLDevicePowerIoCompletion$12$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$12$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$12$anon38_Then#1, inline$BDLDevicePowerIoCompletion$12$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$12$anon39_Then#1, inline$BDLDevicePowerIoCompletion$12$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_92#1:
+ goto inline$storm_IoCompleteRequest$25$Entry#1;
+
+ inline$storm_IoCompleteRequest$25$Entry#1:
+ inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$12$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$25$start#1;
+
+ inline$storm_IoCompleteRequest$25$start#1:
+ inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$25$label_3#1;
+
+ inline$storm_IoCompleteRequest$25$label_3#1:
+ call inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$25$label_6#1;
+
+ inline$storm_IoCompleteRequest$25$label_6#1:
+ goto inline$storm_IoCompleteRequest$25$label_6_true#1, inline$storm_IoCompleteRequest$25$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$25$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$25$label_7#1;
+
+ inline$storm_IoCompleteRequest$25$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$25$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$25$label_8#1;
+
+ inline$storm_IoCompleteRequest$25$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$25$anon3_Then#1, inline$storm_IoCompleteRequest$25$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$25$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$25$anon1#1;
+
+ inline$storm_IoCompleteRequest$25$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$25$label_9#1;
+
+ inline$storm_IoCompleteRequest$25$label_9#1:
+ goto inline$storm_IoCompleteRequest$25$label_9_true#1, inline$storm_IoCompleteRequest$25$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$25$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$25$label_10#1;
+
+ inline$storm_IoCompleteRequest$25$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$25$label_1#1;
+
+ inline$storm_IoCompleteRequest$25$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$25$label_7#1;
+
+ inline$storm_IoCompleteRequest$25$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$25$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$25$label_1#1;
+
+ inline$storm_IoCompleteRequest$25$label_1#1:
+ goto inline$storm_IoCompleteRequest$25$Return#1;
+
+ inline$storm_IoCompleteRequest$25$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$25$Return#1;
+
+ inline$storm_IoCompleteRequest$25$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon40_Then#1, inline$BDLDevicePowerIoCompletion$12$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$12$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$12$anon41_Then#1, inline$BDLDevicePowerIoCompletion$12$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_98#1:
+ goto inline$BDLGetDebugLevel$334$Entry#1;
+
+ inline$BDLGetDebugLevel$334$Entry#1:
+ goto inline$BDLGetDebugLevel$334$start#1;
+
+ inline$BDLGetDebugLevel$334$start#1:
+ goto inline$BDLGetDebugLevel$334$label_3#1;
+
+ inline$BDLGetDebugLevel$334$label_3#1:
+ havoc inline$BDLGetDebugLevel$334$myNondetVar_0;
+ inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$334$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$334$label_1#1;
+
+ inline$BDLGetDebugLevel$334$label_1#1:
+ goto inline$BDLGetDebugLevel$334$Return#1;
+
+ inline$BDLGetDebugLevel$334$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$334$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon42_Then#1, inline$BDLDevicePowerIoCompletion$12$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_101_true#1, inline$BDLDevicePowerIoCompletion$12$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_102#1:
+ goto inline$BDLGetDebugLevel$335$Entry#1;
+
+ inline$BDLGetDebugLevel$335$Entry#1:
+ goto inline$BDLGetDebugLevel$335$start#1;
+
+ inline$BDLGetDebugLevel$335$start#1:
+ goto inline$BDLGetDebugLevel$335$label_3#1;
+
+ inline$BDLGetDebugLevel$335$label_3#1:
+ havoc inline$BDLGetDebugLevel$335$myNondetVar_0;
+ inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$335$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$335$label_1#1;
+
+ inline$BDLGetDebugLevel$335$label_1#1:
+ goto inline$BDLGetDebugLevel$335$Return#1;
+
+ inline$BDLGetDebugLevel$335$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$335$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon43_Then#1, inline$BDLDevicePowerIoCompletion$12$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_111_true#1, inline$BDLDevicePowerIoCompletion$12$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$12$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$12$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_112#1:
+ goto inline$BDLGetDebugLevel$336$Entry#1;
+
+ inline$BDLGetDebugLevel$336$Entry#1:
+ goto inline$BDLGetDebugLevel$336$start#1;
+
+ inline$BDLGetDebugLevel$336$start#1:
+ goto inline$BDLGetDebugLevel$336$label_3#1;
+
+ inline$BDLGetDebugLevel$336$label_3#1:
+ havoc inline$BDLGetDebugLevel$336$myNondetVar_0;
+ inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$336$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$336$label_1#1;
+
+ inline$BDLGetDebugLevel$336$label_1#1:
+ goto inline$BDLGetDebugLevel$336$Return#1;
+
+ inline$BDLGetDebugLevel$336$Return#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$336$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$12$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$12$anon44_Then#1, inline$BDLDevicePowerIoCompletion$12$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_121_true#1, inline$BDLDevicePowerIoCompletion$12$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$12$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$12$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$12$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_122#1:
+ inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$12$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$12$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$12$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$12$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$12$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$12$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$12$Return#1:
+ inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$12$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$12$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$12$anon13_Then#1, inline$CallCompletionRoutine$12$anon13_Else#1;
+
+ inline$CallCompletionRoutine$12$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$12$anon7#1;
+
+ inline$CallCompletionRoutine$12$anon7#1:
+ goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$12$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$12$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$12$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$12$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$12$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$12$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$start#1:
+ inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$12$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$label_3_true#1, inline$BDLCallDriverCompletionRoutine$12$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$12$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$12$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$12$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_6#1:
+ goto inline$storm_KeSetEvent$14$Entry#1;
+
+ inline$storm_KeSetEvent$14$Entry#1:
+ inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$12$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$14$start#1;
+
+ inline$storm_KeSetEvent$14$start#1:
+ inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$14$label_3#1;
+
+ inline$storm_KeSetEvent$14$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$14$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$14$label_1#1;
+
+ inline$storm_KeSetEvent$14$label_1#1:
+ goto inline$storm_KeSetEvent$14$Return#1;
+
+ inline$storm_KeSetEvent$14$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$12$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$12$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$12$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$12$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$12$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$12$Return#1:
+ inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$12$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$12$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$12$anon12_Then#1, inline$CallCompletionRoutine$12$anon12_Else#1;
+
+ inline$CallCompletionRoutine$12$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$12$anon5#1;
+
+ inline$CallCompletionRoutine$12$anon5#1:
+ goto inline$CallCompletionRoutine$12$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$12$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$12$label_23#1;
+
+ inline$CallCompletionRoutine$12$label_23#1:
+ inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$12$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$12$label_24#1;
+
+ inline$CallCompletionRoutine$12$label_24#1:
+ goto inline$CallCompletionRoutine$12$label_24_true#1, inline$CallCompletionRoutine$12$label_24_false#1;
+
+ inline$CallCompletionRoutine$12$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$12$label_1#1;
+
+ inline$CallCompletionRoutine$12$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$12$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$12$label_25#1;
+
+ inline$CallCompletionRoutine$12$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$12$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$12$label_1#1;
+
+ inline$CallCompletionRoutine$12$label_1#1:
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$12$Return#1;
+
+ inline$CallCompletionRoutine$12$Return#1:
+ goto inline$storm_IoCallDriver$6$label_33$1#1;
+
+ inline$storm_IoCallDriver$6$label_33$1#1:
+ goto inline$storm_IoCallDriver$6$anon14_Then#1, inline$storm_IoCallDriver$6$anon14_Else#1;
+
+ inline$storm_IoCallDriver$6$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$6$anon8#1;
+
+ inline$storm_IoCallDriver$6$anon8#1:
+ goto inline$storm_IoCallDriver$6$label_36#1;
+
+ inline$storm_IoCallDriver$6$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$6$label_29#1;
+
+ inline$storm_IoCallDriver$6$label_29#1:
+ inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$6$label_37#1;
+
+ inline$storm_IoCallDriver$6$label_37#1:
+ goto inline$storm_IoCallDriver$6$label_38#1;
+
+ inline$storm_IoCallDriver$6$label_38#1:
+ goto inline$storm_IoCallDriver$6$label_39#1;
+
+ inline$storm_IoCallDriver$6$label_39#1:
+ goto inline$CallCompletionRoutine$13$Entry#1;
+
+ inline$CallCompletionRoutine$13$Entry#1:
+ inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$13$start#1;
+
+ inline$CallCompletionRoutine$13$start#1:
+ inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$13$label_3#1;
+
+ inline$CallCompletionRoutine$13$label_3#1:
+ goto inline$CallCompletionRoutine$13$label_4#1;
+
+ inline$CallCompletionRoutine$13$label_4#1:
+ goto inline$CallCompletionRoutine$13$label_5#1;
+
+ inline$CallCompletionRoutine$13$label_5#1:
+ goto inline$CallCompletionRoutine$13$label_6#1;
+
+ inline$CallCompletionRoutine$13$label_6#1:
+ goto inline$CallCompletionRoutine$13$label_7#1;
+
+ inline$CallCompletionRoutine$13$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$68$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$68$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$start#1:
+ inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$68$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$68$label_3_true#1, inline$IoGetCurrentIrpStackLocation$68$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$68$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$68$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$68$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$68$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$68$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$68$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$68$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$68$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$68$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$68$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$68$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$68$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$68$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$68$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$68$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$68$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$68$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$68$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$68$Return#1:
+ goto inline$CallCompletionRoutine$13$label_7$1#1;
+
+ inline$CallCompletionRoutine$13$label_7$1#1:
+ goto inline$CallCompletionRoutine$13$anon10_Then#1, inline$CallCompletionRoutine$13$anon10_Else#1;
+
+ inline$CallCompletionRoutine$13$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$13$anon1#1;
+
+ inline$CallCompletionRoutine$13$anon1#1:
+ goto inline$CallCompletionRoutine$13$label_10#1;
+
+ inline$CallCompletionRoutine$13$label_10#1:
+ goto inline$CallCompletionRoutine$13$label_11#1;
+
+ inline$CallCompletionRoutine$13$label_11#1:
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$CallCompletionRoutine$13$label_12#1;
+
+ inline$CallCompletionRoutine$13$label_12#1:
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$CallCompletionRoutine$13$label_13#1;
+
+ inline$CallCompletionRoutine$13$label_13#1:
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$CallCompletionRoutine$13$label_14#1;
+
+ inline$CallCompletionRoutine$13$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$69$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$69$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$start#1:
+ inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$69$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$69$label_3_true#1, inline$IoGetCurrentIrpStackLocation$69$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$69$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$69$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$69$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$69$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$69$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$69$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$69$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$69$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$69$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$69$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$69$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$69$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$69$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$69$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$69$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$69$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$69$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$69$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$69$Return#1:
+ goto inline$CallCompletionRoutine$13$label_14$1#1;
+
+ inline$CallCompletionRoutine$13$label_14$1#1:
+ goto inline$CallCompletionRoutine$13$anon11_Then#1, inline$CallCompletionRoutine$13$anon11_Else#1;
+
+ inline$CallCompletionRoutine$13$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$13$anon3#1;
+
+ inline$CallCompletionRoutine$13$anon3#1:
+ goto inline$CallCompletionRoutine$13$label_17#1;
+
+ inline$CallCompletionRoutine$13$label_17#1:
+ goto inline$CallCompletionRoutine$13$label_18#1;
+
+ inline$CallCompletionRoutine$13$label_18#1:
+ goto inline$CallCompletionRoutine$13$label_18_true#1, inline$CallCompletionRoutine$13$label_18_false#1;
+
+ inline$CallCompletionRoutine$13$label_18_false#1:
+ assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$13$label_1#1;
+
+ inline$CallCompletionRoutine$13$label_18_true#1:
+ assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$13$label_19#1;
+
+ inline$CallCompletionRoutine$13$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$13$label_20#1;
+
+ inline$CallCompletionRoutine$13$label_20#1:
+ goto inline$CallCompletionRoutine$13$label_20_icall_1#1, inline$CallCompletionRoutine$13$label_20_icall_2#1, inline$CallCompletionRoutine$13$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$13$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$13$Entry#1:
+ inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$13$start#1;
+
+ inline$BDLSystemPowerIoCompletion$13$start#1:
+ call inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$13$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_4#1:
+ inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_6#1:
+ inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$13$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$71$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$71$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$start#1:
+ inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$71$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$71$label_3_true#1, inline$IoGetCurrentIrpStackLocation$71$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$71$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$71$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$71$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$71$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$71$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$71$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$71$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$71$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$71$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$71$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$71$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$71$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$71$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$71$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$71$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$71$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$71$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$71$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$71$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon36_Then#1, inline$BDLSystemPowerIoCompletion$13$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_15#1:
+ goto inline$BDLGetDebugLevel$358$Entry#1;
+
+ inline$BDLGetDebugLevel$358$Entry#1:
+ goto inline$BDLGetDebugLevel$358$start#1;
+
+ inline$BDLGetDebugLevel$358$start#1:
+ goto inline$BDLGetDebugLevel$358$label_3#1;
+
+ inline$BDLGetDebugLevel$358$label_3#1:
+ havoc inline$BDLGetDebugLevel$358$myNondetVar_0;
+ inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$358$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$358$label_1#1;
+
+ inline$BDLGetDebugLevel$358$label_1#1:
+ goto inline$BDLGetDebugLevel$358$Return#1;
+
+ inline$BDLGetDebugLevel$358$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$358$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon37_Then#1, inline$BDLSystemPowerIoCompletion$13$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_18_true#1, inline$BDLSystemPowerIoCompletion$13$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_19#1:
+ goto inline$BDLGetDebugLevel$359$Entry#1;
+
+ inline$BDLGetDebugLevel$359$Entry#1:
+ goto inline$BDLGetDebugLevel$359$start#1;
+
+ inline$BDLGetDebugLevel$359$start#1:
+ goto inline$BDLGetDebugLevel$359$label_3#1;
+
+ inline$BDLGetDebugLevel$359$label_3#1:
+ havoc inline$BDLGetDebugLevel$359$myNondetVar_0;
+ inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$359$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$359$label_1#1;
+
+ inline$BDLGetDebugLevel$359$label_1#1:
+ goto inline$BDLGetDebugLevel$359$Return#1;
+
+ inline$BDLGetDebugLevel$359$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$359$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon38_Then#1, inline$BDLSystemPowerIoCompletion$13$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_28_true#1, inline$BDLSystemPowerIoCompletion$13$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_29#1:
+ goto inline$BDLGetDebugLevel$360$Entry#1;
+
+ inline$BDLGetDebugLevel$360$Entry#1:
+ goto inline$BDLGetDebugLevel$360$start#1;
+
+ inline$BDLGetDebugLevel$360$start#1:
+ goto inline$BDLGetDebugLevel$360$label_3#1;
+
+ inline$BDLGetDebugLevel$360$label_3#1:
+ havoc inline$BDLGetDebugLevel$360$myNondetVar_0;
+ inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$360$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$360$label_1#1;
+
+ inline$BDLGetDebugLevel$360$label_1#1:
+ goto inline$BDLGetDebugLevel$360$Return#1;
+
+ inline$BDLGetDebugLevel$360$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$360$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon39_Then#1, inline$BDLSystemPowerIoCompletion$13$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_38_true#1, inline$BDLSystemPowerIoCompletion$13$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_39_true#1, inline$BDLSystemPowerIoCompletion$13$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$13$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$13$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$13$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$13$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$13$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_106_true#1, inline$BDLSystemPowerIoCompletion$13$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$13$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$13$myNondetVar_0, inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$13$anon50_Then#1, inline$BDLSystemPowerIoCompletion$13$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_112#1:
+ inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_113_true#1, inline$BDLSystemPowerIoCompletion$13$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$13$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_117#1:
+ goto inline$BDLGetDebugLevel$367$Entry#1;
+
+ inline$BDLGetDebugLevel$367$Entry#1:
+ goto inline$BDLGetDebugLevel$367$start#1;
+
+ inline$BDLGetDebugLevel$367$start#1:
+ goto inline$BDLGetDebugLevel$367$label_3#1;
+
+ inline$BDLGetDebugLevel$367$label_3#1:
+ havoc inline$BDLGetDebugLevel$367$myNondetVar_0;
+ inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$367$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$367$label_1#1;
+
+ inline$BDLGetDebugLevel$367$label_1#1:
+ goto inline$BDLGetDebugLevel$367$Return#1;
+
+ inline$BDLGetDebugLevel$367$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$367$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon51_Then#1, inline$BDLSystemPowerIoCompletion$13$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_120_true#1, inline$BDLSystemPowerIoCompletion$13$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_121#1:
+ goto inline$BDLGetDebugLevel$368$Entry#1;
+
+ inline$BDLGetDebugLevel$368$Entry#1:
+ goto inline$BDLGetDebugLevel$368$start#1;
+
+ inline$BDLGetDebugLevel$368$start#1:
+ goto inline$BDLGetDebugLevel$368$label_3#1;
+
+ inline$BDLGetDebugLevel$368$label_3#1:
+ havoc inline$BDLGetDebugLevel$368$myNondetVar_0;
+ inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$368$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$368$label_1#1;
+
+ inline$BDLGetDebugLevel$368$label_1#1:
+ goto inline$BDLGetDebugLevel$368$Return#1;
+
+ inline$BDLGetDebugLevel$368$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$368$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon52_Then#1, inline$BDLSystemPowerIoCompletion$13$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_130_true#1, inline$BDLSystemPowerIoCompletion$13$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_131#1:
+ goto inline$BDLGetDebugLevel$369$Entry#1;
+
+ inline$BDLGetDebugLevel$369$Entry#1:
+ goto inline$BDLGetDebugLevel$369$start#1;
+
+ inline$BDLGetDebugLevel$369$start#1:
+ goto inline$BDLGetDebugLevel$369$label_3#1;
+
+ inline$BDLGetDebugLevel$369$label_3#1:
+ havoc inline$BDLGetDebugLevel$369$myNondetVar_0;
+ inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$369$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$369$label_1#1;
+
+ inline$BDLGetDebugLevel$369$label_1#1:
+ goto inline$BDLGetDebugLevel$369$Return#1;
+
+ inline$BDLGetDebugLevel$369$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$369$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon53_Then#1, inline$BDLSystemPowerIoCompletion$13$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_140_true#1, inline$BDLSystemPowerIoCompletion$13$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$13$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_115#1:
+ inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$13$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$13$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$13$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_43#1:
+ goto inline$BDLGetDebugLevel$361$Entry#1;
+
+ inline$BDLGetDebugLevel$361$Entry#1:
+ goto inline$BDLGetDebugLevel$361$start#1;
+
+ inline$BDLGetDebugLevel$361$start#1:
+ goto inline$BDLGetDebugLevel$361$label_3#1;
+
+ inline$BDLGetDebugLevel$361$label_3#1:
+ havoc inline$BDLGetDebugLevel$361$myNondetVar_0;
+ inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$361$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$361$label_1#1;
+
+ inline$BDLGetDebugLevel$361$label_1#1:
+ goto inline$BDLGetDebugLevel$361$Return#1;
+
+ inline$BDLGetDebugLevel$361$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$361$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon40_Then#1, inline$BDLSystemPowerIoCompletion$13$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_46_true#1, inline$BDLSystemPowerIoCompletion$13$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_47#1:
+ goto inline$BDLGetDebugLevel$362$Entry#1;
+
+ inline$BDLGetDebugLevel$362$Entry#1:
+ goto inline$BDLGetDebugLevel$362$start#1;
+
+ inline$BDLGetDebugLevel$362$start#1:
+ goto inline$BDLGetDebugLevel$362$label_3#1;
+
+ inline$BDLGetDebugLevel$362$label_3#1:
+ havoc inline$BDLGetDebugLevel$362$myNondetVar_0;
+ inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$362$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$362$label_1#1;
+
+ inline$BDLGetDebugLevel$362$label_1#1:
+ goto inline$BDLGetDebugLevel$362$Return#1;
+
+ inline$BDLGetDebugLevel$362$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$362$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon41_Then#1, inline$BDLSystemPowerIoCompletion$13$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_56_true#1, inline$BDLSystemPowerIoCompletion$13$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_57#1:
+ goto inline$BDLGetDebugLevel$363$Entry#1;
+
+ inline$BDLGetDebugLevel$363$Entry#1:
+ goto inline$BDLGetDebugLevel$363$start#1;
+
+ inline$BDLGetDebugLevel$363$start#1:
+ goto inline$BDLGetDebugLevel$363$label_3#1;
+
+ inline$BDLGetDebugLevel$363$label_3#1:
+ havoc inline$BDLGetDebugLevel$363$myNondetVar_0;
+ inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$363$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$363$label_1#1;
+
+ inline$BDLGetDebugLevel$363$label_1#1:
+ goto inline$BDLGetDebugLevel$363$Return#1;
+
+ inline$BDLGetDebugLevel$363$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$363$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon42_Then#1, inline$BDLSystemPowerIoCompletion$13$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_66_true#1, inline$BDLSystemPowerIoCompletion$13$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$13$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$13$anon43_Then#1, inline$BDLSystemPowerIoCompletion$13$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$13$anon44_Then#1, inline$BDLSystemPowerIoCompletion$13$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_74#1:
+ goto inline$storm_IoCompleteRequest$28$Entry#1;
+
+ inline$storm_IoCompleteRequest$28$Entry#1:
+ inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$13$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$28$start#1;
+
+ inline$storm_IoCompleteRequest$28$start#1:
+ inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$28$label_3#1;
+
+ inline$storm_IoCompleteRequest$28$label_3#1:
+ call inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$28$label_6#1;
+
+ inline$storm_IoCompleteRequest$28$label_6#1:
+ goto inline$storm_IoCompleteRequest$28$label_6_true#1, inline$storm_IoCompleteRequest$28$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$28$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$28$label_7#1;
+
+ inline$storm_IoCompleteRequest$28$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$28$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$28$label_8#1;
+
+ inline$storm_IoCompleteRequest$28$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$28$anon3_Then#1, inline$storm_IoCompleteRequest$28$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$28$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$28$anon1#1;
+
+ inline$storm_IoCompleteRequest$28$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$28$label_9#1;
+
+ inline$storm_IoCompleteRequest$28$label_9#1:
+ goto inline$storm_IoCompleteRequest$28$label_9_true#1, inline$storm_IoCompleteRequest$28$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$28$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$28$label_10#1;
+
+ inline$storm_IoCompleteRequest$28$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$28$label_1#1;
+
+ inline$storm_IoCompleteRequest$28$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$28$label_7#1;
+
+ inline$storm_IoCompleteRequest$28$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$28$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$28$label_1#1;
+
+ inline$storm_IoCompleteRequest$28$label_1#1:
+ goto inline$storm_IoCompleteRequest$28$Return#1;
+
+ inline$storm_IoCompleteRequest$28$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$28$Return#1;
+
+ inline$storm_IoCompleteRequest$28$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon45_Then#1, inline$BDLSystemPowerIoCompletion$13$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$13$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$13$anon46_Then#1, inline$BDLSystemPowerIoCompletion$13$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_80#1:
+ goto inline$BDLGetDebugLevel$364$Entry#1;
+
+ inline$BDLGetDebugLevel$364$Entry#1:
+ goto inline$BDLGetDebugLevel$364$start#1;
+
+ inline$BDLGetDebugLevel$364$start#1:
+ goto inline$BDLGetDebugLevel$364$label_3#1;
+
+ inline$BDLGetDebugLevel$364$label_3#1:
+ havoc inline$BDLGetDebugLevel$364$myNondetVar_0;
+ inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$364$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$364$label_1#1;
+
+ inline$BDLGetDebugLevel$364$label_1#1:
+ goto inline$BDLGetDebugLevel$364$Return#1;
+
+ inline$BDLGetDebugLevel$364$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$364$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon47_Then#1, inline$BDLSystemPowerIoCompletion$13$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_83_true#1, inline$BDLSystemPowerIoCompletion$13$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_84#1:
+ goto inline$BDLGetDebugLevel$365$Entry#1;
+
+ inline$BDLGetDebugLevel$365$Entry#1:
+ goto inline$BDLGetDebugLevel$365$start#1;
+
+ inline$BDLGetDebugLevel$365$start#1:
+ goto inline$BDLGetDebugLevel$365$label_3#1;
+
+ inline$BDLGetDebugLevel$365$label_3#1:
+ havoc inline$BDLGetDebugLevel$365$myNondetVar_0;
+ inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$365$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$365$label_1#1;
+
+ inline$BDLGetDebugLevel$365$label_1#1:
+ goto inline$BDLGetDebugLevel$365$Return#1;
+
+ inline$BDLGetDebugLevel$365$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$365$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon48_Then#1, inline$BDLSystemPowerIoCompletion$13$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_93_true#1, inline$BDLSystemPowerIoCompletion$13$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$13$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$13$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_94#1:
+ goto inline$BDLGetDebugLevel$366$Entry#1;
+
+ inline$BDLGetDebugLevel$366$Entry#1:
+ goto inline$BDLGetDebugLevel$366$start#1;
+
+ inline$BDLGetDebugLevel$366$start#1:
+ goto inline$BDLGetDebugLevel$366$label_3#1;
+
+ inline$BDLGetDebugLevel$366$label_3#1:
+ havoc inline$BDLGetDebugLevel$366$myNondetVar_0;
+ inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$366$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$366$label_1#1;
+
+ inline$BDLGetDebugLevel$366$label_1#1:
+ goto inline$BDLGetDebugLevel$366$Return#1;
+
+ inline$BDLGetDebugLevel$366$Return#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$366$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$13$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$13$anon49_Then#1, inline$BDLSystemPowerIoCompletion$13$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_103_true#1, inline$BDLSystemPowerIoCompletion$13$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$13$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$13$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$13$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_104#1:
+ inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$13$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$13$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$13$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$13$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$13$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$13$Return#1:
+ inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$13$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$13$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$13$anon14_Then#1, inline$CallCompletionRoutine$13$anon14_Else#1;
+
+ inline$CallCompletionRoutine$13$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$13$anon9#1;
+
+ inline$CallCompletionRoutine$13$anon9#1:
+ goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$13$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$13$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$13$Entry#1:
+ inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$13$start#1;
+
+ inline$BDLDevicePowerIoCompletion$13$start#1:
+ call inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$13$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_4#1:
+ inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_6#1:
+ inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$13$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$70$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$70$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$start#1:
+ inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$70$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$70$label_3_true#1, inline$IoGetCurrentIrpStackLocation$70$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$70$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$70$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$70$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$70$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$70$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$70$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$70$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$70$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$70$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$70$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$70$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$70$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$70$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$70$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$70$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$70$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$70$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$70$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$70$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$70$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon30_Then#1, inline$BDLDevicePowerIoCompletion$13$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_13#1:
+ inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_17#1:
+ goto inline$BDLGetDebugLevel$349$Entry#1;
+
+ inline$BDLGetDebugLevel$349$Entry#1:
+ goto inline$BDLGetDebugLevel$349$start#1;
+
+ inline$BDLGetDebugLevel$349$start#1:
+ goto inline$BDLGetDebugLevel$349$label_3#1;
+
+ inline$BDLGetDebugLevel$349$label_3#1:
+ havoc inline$BDLGetDebugLevel$349$myNondetVar_0;
+ inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$349$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$349$label_1#1;
+
+ inline$BDLGetDebugLevel$349$label_1#1:
+ goto inline$BDLGetDebugLevel$349$Return#1;
+
+ inline$BDLGetDebugLevel$349$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$349$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon31_Then#1, inline$BDLDevicePowerIoCompletion$13$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_20_true#1, inline$BDLDevicePowerIoCompletion$13$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_21#1:
+ goto inline$BDLGetDebugLevel$350$Entry#1;
+
+ inline$BDLGetDebugLevel$350$Entry#1:
+ goto inline$BDLGetDebugLevel$350$start#1;
+
+ inline$BDLGetDebugLevel$350$start#1:
+ goto inline$BDLGetDebugLevel$350$label_3#1;
+
+ inline$BDLGetDebugLevel$350$label_3#1:
+ havoc inline$BDLGetDebugLevel$350$myNondetVar_0;
+ inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$350$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$350$label_1#1;
+
+ inline$BDLGetDebugLevel$350$label_1#1:
+ goto inline$BDLGetDebugLevel$350$Return#1;
+
+ inline$BDLGetDebugLevel$350$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$350$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon32_Then#1, inline$BDLDevicePowerIoCompletion$13$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_30_true#1, inline$BDLDevicePowerIoCompletion$13$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_31#1:
+ goto inline$BDLGetDebugLevel$351$Entry#1;
+
+ inline$BDLGetDebugLevel$351$Entry#1:
+ goto inline$BDLGetDebugLevel$351$start#1;
+
+ inline$BDLGetDebugLevel$351$start#1:
+ goto inline$BDLGetDebugLevel$351$label_3#1;
+
+ inline$BDLGetDebugLevel$351$label_3#1:
+ havoc inline$BDLGetDebugLevel$351$myNondetVar_0;
+ inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$351$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$351$label_1#1;
+
+ inline$BDLGetDebugLevel$351$label_1#1:
+ goto inline$BDLGetDebugLevel$351$Return#1;
+
+ inline$BDLGetDebugLevel$351$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$351$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon33_Then#1, inline$BDLDevicePowerIoCompletion$13$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_40_true#1, inline$BDLDevicePowerIoCompletion$13$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_41_true#1, inline$BDLDevicePowerIoCompletion$13$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$13$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$13$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_44_true#1, inline$BDLDevicePowerIoCompletion$13$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_45_true#1, inline$BDLDevicePowerIoCompletion$13$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$13$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$13$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$13$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_54#1:
+ inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$13$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_55_true#1, inline$BDLDevicePowerIoCompletion$13$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$13$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$13$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$13$anon34_Then#1, inline$BDLDevicePowerIoCompletion$13$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_59#1:
+ goto inline$BDLGetDebugLevel$352$Entry#1;
+
+ inline$BDLGetDebugLevel$352$Entry#1:
+ goto inline$BDLGetDebugLevel$352$start#1;
+
+ inline$BDLGetDebugLevel$352$start#1:
+ goto inline$BDLGetDebugLevel$352$label_3#1;
+
+ inline$BDLGetDebugLevel$352$label_3#1:
+ havoc inline$BDLGetDebugLevel$352$myNondetVar_0;
+ inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$352$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$352$label_1#1;
+
+ inline$BDLGetDebugLevel$352$label_1#1:
+ goto inline$BDLGetDebugLevel$352$Return#1;
+
+ inline$BDLGetDebugLevel$352$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$352$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon35_Then#1, inline$BDLDevicePowerIoCompletion$13$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_62_true#1, inline$BDLDevicePowerIoCompletion$13$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_63#1:
+ goto inline$BDLGetDebugLevel$353$Entry#1;
+
+ inline$BDLGetDebugLevel$353$Entry#1:
+ goto inline$BDLGetDebugLevel$353$start#1;
+
+ inline$BDLGetDebugLevel$353$start#1:
+ goto inline$BDLGetDebugLevel$353$label_3#1;
+
+ inline$BDLGetDebugLevel$353$label_3#1:
+ havoc inline$BDLGetDebugLevel$353$myNondetVar_0;
+ inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$353$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$353$label_1#1;
+
+ inline$BDLGetDebugLevel$353$label_1#1:
+ goto inline$BDLGetDebugLevel$353$Return#1;
+
+ inline$BDLGetDebugLevel$353$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$353$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon36_Then#1, inline$BDLDevicePowerIoCompletion$13$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_72_true#1, inline$BDLDevicePowerIoCompletion$13$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_73#1:
+ goto inline$BDLGetDebugLevel$354$Entry#1;
+
+ inline$BDLGetDebugLevel$354$Entry#1:
+ goto inline$BDLGetDebugLevel$354$start#1;
+
+ inline$BDLGetDebugLevel$354$start#1:
+ goto inline$BDLGetDebugLevel$354$label_3#1;
+
+ inline$BDLGetDebugLevel$354$label_3#1:
+ havoc inline$BDLGetDebugLevel$354$myNondetVar_0;
+ inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$354$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$354$label_1#1;
+
+ inline$BDLGetDebugLevel$354$label_1#1:
+ goto inline$BDLGetDebugLevel$354$Return#1;
+
+ inline$BDLGetDebugLevel$354$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$354$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon37_Then#1, inline$BDLDevicePowerIoCompletion$13$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_82_true#1, inline$BDLDevicePowerIoCompletion$13$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$13$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$13$anon38_Then#1, inline$BDLDevicePowerIoCompletion$13$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$13$anon39_Then#1, inline$BDLDevicePowerIoCompletion$13$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_92#1:
+ goto inline$storm_IoCompleteRequest$27$Entry#1;
+
+ inline$storm_IoCompleteRequest$27$Entry#1:
+ inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$13$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$27$start#1;
+
+ inline$storm_IoCompleteRequest$27$start#1:
+ inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$27$label_3#1;
+
+ inline$storm_IoCompleteRequest$27$label_3#1:
+ call inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$27$label_6#1;
+
+ inline$storm_IoCompleteRequest$27$label_6#1:
+ goto inline$storm_IoCompleteRequest$27$label_6_true#1, inline$storm_IoCompleteRequest$27$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$27$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$27$label_7#1;
+
+ inline$storm_IoCompleteRequest$27$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$27$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$27$label_8#1;
+
+ inline$storm_IoCompleteRequest$27$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$27$anon3_Then#1, inline$storm_IoCompleteRequest$27$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$27$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$27$anon1#1;
+
+ inline$storm_IoCompleteRequest$27$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$27$label_9#1;
+
+ inline$storm_IoCompleteRequest$27$label_9#1:
+ goto inline$storm_IoCompleteRequest$27$label_9_true#1, inline$storm_IoCompleteRequest$27$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$27$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$27$label_10#1;
+
+ inline$storm_IoCompleteRequest$27$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$27$label_1#1;
+
+ inline$storm_IoCompleteRequest$27$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$27$label_7#1;
+
+ inline$storm_IoCompleteRequest$27$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$27$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$27$label_1#1;
+
+ inline$storm_IoCompleteRequest$27$label_1#1:
+ goto inline$storm_IoCompleteRequest$27$Return#1;
+
+ inline$storm_IoCompleteRequest$27$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$27$Return#1;
+
+ inline$storm_IoCompleteRequest$27$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon40_Then#1, inline$BDLDevicePowerIoCompletion$13$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$13$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$13$anon41_Then#1, inline$BDLDevicePowerIoCompletion$13$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_98#1:
+ goto inline$BDLGetDebugLevel$355$Entry#1;
+
+ inline$BDLGetDebugLevel$355$Entry#1:
+ goto inline$BDLGetDebugLevel$355$start#1;
+
+ inline$BDLGetDebugLevel$355$start#1:
+ goto inline$BDLGetDebugLevel$355$label_3#1;
+
+ inline$BDLGetDebugLevel$355$label_3#1:
+ havoc inline$BDLGetDebugLevel$355$myNondetVar_0;
+ inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$355$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$355$label_1#1;
+
+ inline$BDLGetDebugLevel$355$label_1#1:
+ goto inline$BDLGetDebugLevel$355$Return#1;
+
+ inline$BDLGetDebugLevel$355$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$355$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon42_Then#1, inline$BDLDevicePowerIoCompletion$13$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_101_true#1, inline$BDLDevicePowerIoCompletion$13$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_102#1:
+ goto inline$BDLGetDebugLevel$356$Entry#1;
+
+ inline$BDLGetDebugLevel$356$Entry#1:
+ goto inline$BDLGetDebugLevel$356$start#1;
+
+ inline$BDLGetDebugLevel$356$start#1:
+ goto inline$BDLGetDebugLevel$356$label_3#1;
+
+ inline$BDLGetDebugLevel$356$label_3#1:
+ havoc inline$BDLGetDebugLevel$356$myNondetVar_0;
+ inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$356$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$356$label_1#1;
+
+ inline$BDLGetDebugLevel$356$label_1#1:
+ goto inline$BDLGetDebugLevel$356$Return#1;
+
+ inline$BDLGetDebugLevel$356$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$356$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon43_Then#1, inline$BDLDevicePowerIoCompletion$13$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_111_true#1, inline$BDLDevicePowerIoCompletion$13$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$13$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$13$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_112#1:
+ goto inline$BDLGetDebugLevel$357$Entry#1;
+
+ inline$BDLGetDebugLevel$357$Entry#1:
+ goto inline$BDLGetDebugLevel$357$start#1;
+
+ inline$BDLGetDebugLevel$357$start#1:
+ goto inline$BDLGetDebugLevel$357$label_3#1;
+
+ inline$BDLGetDebugLevel$357$label_3#1:
+ havoc inline$BDLGetDebugLevel$357$myNondetVar_0;
+ inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$357$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$357$label_1#1;
+
+ inline$BDLGetDebugLevel$357$label_1#1:
+ goto inline$BDLGetDebugLevel$357$Return#1;
+
+ inline$BDLGetDebugLevel$357$Return#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$357$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$13$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$13$anon44_Then#1, inline$BDLDevicePowerIoCompletion$13$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_121_true#1, inline$BDLDevicePowerIoCompletion$13$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$13$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$13$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$13$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_122#1:
+ inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$13$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$13$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$13$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$13$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$13$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$13$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$13$Return#1:
+ inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$13$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$13$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$13$anon13_Then#1, inline$CallCompletionRoutine$13$anon13_Else#1;
+
+ inline$CallCompletionRoutine$13$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$13$anon7#1;
+
+ inline$CallCompletionRoutine$13$anon7#1:
+ goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$13$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$13$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$13$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$13$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$13$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$13$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$start#1:
+ inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$13$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$label_3_true#1, inline$BDLCallDriverCompletionRoutine$13$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$13$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$13$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$13$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_6#1:
+ goto inline$storm_KeSetEvent$15$Entry#1;
+
+ inline$storm_KeSetEvent$15$Entry#1:
+ inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$13$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$15$start#1;
+
+ inline$storm_KeSetEvent$15$start#1:
+ inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$15$label_3#1;
+
+ inline$storm_KeSetEvent$15$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$15$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$15$label_1#1;
+
+ inline$storm_KeSetEvent$15$label_1#1:
+ goto inline$storm_KeSetEvent$15$Return#1;
+
+ inline$storm_KeSetEvent$15$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$13$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$13$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$13$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$13$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$13$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$13$Return#1:
+ inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$13$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$13$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$13$anon12_Then#1, inline$CallCompletionRoutine$13$anon12_Else#1;
+
+ inline$CallCompletionRoutine$13$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$13$anon5#1;
+
+ inline$CallCompletionRoutine$13$anon5#1:
+ goto inline$CallCompletionRoutine$13$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$13$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$13$label_23#1;
+
+ inline$CallCompletionRoutine$13$label_23#1:
+ inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$13$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$13$label_24#1;
+
+ inline$CallCompletionRoutine$13$label_24#1:
+ goto inline$CallCompletionRoutine$13$label_24_true#1, inline$CallCompletionRoutine$13$label_24_false#1;
+
+ inline$CallCompletionRoutine$13$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$13$label_1#1;
+
+ inline$CallCompletionRoutine$13$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$13$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$13$label_25#1;
+
+ inline$CallCompletionRoutine$13$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$13$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$13$label_1#1;
+
+ inline$CallCompletionRoutine$13$label_1#1:
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$13$Return#1;
+
+ inline$CallCompletionRoutine$13$Return#1:
+ goto inline$storm_IoCallDriver$6$label_39$1#1;
+
+ inline$storm_IoCallDriver$6$label_39$1#1:
+ goto inline$storm_IoCallDriver$6$anon15_Then#1, inline$storm_IoCallDriver$6$anon15_Else#1;
+
+ inline$storm_IoCallDriver$6$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$6$anon10#1;
+
+ inline$storm_IoCallDriver$6$anon10#1:
+ goto inline$storm_IoCallDriver$6$label_36#1;
+
+ inline$storm_IoCallDriver$6$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$6$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$6$label_28#1;
+
+ inline$storm_IoCallDriver$6$label_28#1:
+ inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$6$label_42#1;
+
+ inline$storm_IoCallDriver$6$label_42#1:
+ goto inline$storm_IoCallDriver$6$label_43#1;
+
+ inline$storm_IoCallDriver$6$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$6$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$6$label_36#1;
+
+ inline$storm_IoCallDriver$6$label_36#1:
+ inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$6$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$6$label_1#1;
+
+ inline$storm_IoCallDriver$6$label_1#1:
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$6$Return#1;
+
+ inline$storm_IoCallDriver$6$Return#1:
+ inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$6$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$2$label_3$1#1;
+
+ inline$storm_PoCallDriver$2$label_3$1#1:
+ goto inline$storm_PoCallDriver$2$anon2_Then#1, inline$storm_PoCallDriver$2$anon2_Else#1;
+
+ inline$storm_PoCallDriver$2$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$2$anon1#1;
+
+ inline$storm_PoCallDriver$2$anon1#1:
+ goto inline$storm_PoCallDriver$2$label_6#1;
+
+ inline$storm_PoCallDriver$2$label_6#1:
+ inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$2$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$2$label_1#1;
+
+ inline$storm_PoCallDriver$2$label_1#1:
+ goto inline$storm_PoCallDriver$2$Return#1;
+
+ inline$storm_PoCallDriver$2$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$2$Return#1;
+
+ inline$storm_PoCallDriver$2$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$2$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$2$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$2$Entry#1:
+ inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$2$start#1;
+
+ inline$storm_KeWaitForSingleObject$2$start#1:
+ inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$2$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$2$label_3_true#1, inline$storm_KeWaitForSingleObject$2$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon6_Then#1, inline$storm_KeWaitForSingleObject$2$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$2$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_13#1:
+ inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$Return#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$2$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_5#1:
+ call inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$2$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$2$label_8_true#1, inline$storm_KeWaitForSingleObject$2$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon7_Then#1, inline$storm_KeWaitForSingleObject$2$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$2$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_12#1:
+ inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$2$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$Return#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$2$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon8_Then#1, inline$storm_KeWaitForSingleObject$2$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$2$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$2$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_11#1:
+ inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$2$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$2$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$2$Return#1;
+
+ inline$storm_KeWaitForSingleObject$2$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$2$Return#1;
+
+ inline$storm_KeWaitForSingleObject$2$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$2$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$2$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$2$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$2$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$2$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$2$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$2$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$2$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$ := inline$BDLCallLowerLevelDriverAndWait$2$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_29$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_29$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon31_Then#1, inline$BDLPnPCancelRemove$0$anon31_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon7#1;
+
+ inline$BDLPnPCancelRemove$0$anon7#1:
+ goto inline$BDLPnPCancelRemove$0$label_33#1;
+
+ inline$BDLPnPCancelRemove$0$label_33#1:
+ inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$result.BDLCallLowerLevelDriverAndWait$2332.43$13$;
+ goto inline$BDLPnPCancelRemove$0$label_34#1;
+
+ inline$BDLPnPCancelRemove$0$label_34#1:
+ goto inline$BDLPnPCancelRemove$0$label_34_true#1, inline$BDLPnPCancelRemove$0$label_34_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_34_false#1:
+ assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 == 0;
+ goto inline$BDLPnPCancelRemove$0$label_35#1;
+
+ inline$BDLPnPCancelRemove$0$label_35#1:
+ call inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPCancelRemove$0$$pBDLExtension$1$2316.40$BDLPnPCancelRemove$12), 1);
+ goto inline$BDLPnPCancelRemove$0$anon32_Then#1, inline$BDLPnPCancelRemove$0$anon32_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon9#1;
+
+ inline$BDLPnPCancelRemove$0$anon9#1:
+ goto inline$BDLPnPCancelRemove$0$label_89#1;
+
+ inline$BDLPnPCancelRemove$0$label_89#1:
+ inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 := inline$BDLPnPCancelRemove$0$$result.IoSetDeviceInterfaceState$2349.38$25$;
+ goto inline$BDLPnPCancelRemove$0$label_90#1;
+
+ inline$BDLPnPCancelRemove$0$label_90#1:
+ goto inline$BDLPnPCancelRemove$0$label_90_true#1, inline$BDLPnPCancelRemove$0$label_90_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_90_false#1:
+ assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 == 0;
+ goto inline$BDLPnPCancelRemove$0$label_62#1;
+
+ inline$BDLPnPCancelRemove$0$label_90_true#1:
+ assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 != 0;
+ goto inline$BDLPnPCancelRemove$0$label_91#1;
+
+ inline$BDLPnPCancelRemove$0$label_91#1:
+ goto inline$BDLGetDebugLevel$376$Entry#1;
+
+ inline$BDLGetDebugLevel$376$Entry#1:
+ goto inline$BDLGetDebugLevel$376$start#1;
+
+ inline$BDLGetDebugLevel$376$start#1:
+ goto inline$BDLGetDebugLevel$376$label_3#1;
+
+ inline$BDLGetDebugLevel$376$label_3#1:
+ havoc inline$BDLGetDebugLevel$376$myNondetVar_0;
+ inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$376$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$376$label_1#1;
+
+ inline$BDLGetDebugLevel$376$label_1#1:
+ goto inline$BDLGetDebugLevel$376$Return#1;
+
+ inline$BDLGetDebugLevel$376$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$ := inline$BDLGetDebugLevel$376$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_91$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_91$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon39_Then#1, inline$BDLPnPCancelRemove$0$anon39_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon23#1;
+
+ inline$BDLPnPCancelRemove$0$anon23#1:
+ goto inline$BDLPnPCancelRemove$0$label_94#1;
+
+ inline$BDLPnPCancelRemove$0$label_94#1:
+ goto inline$BDLPnPCancelRemove$0$label_94_true#1, inline$BDLPnPCancelRemove$0$label_94_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_94_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_95#1;
+
+ inline$BDLPnPCancelRemove$0$label_94_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$26$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_98#1;
+
+ inline$BDLPnPCancelRemove$0$label_98#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_99#1;
+
+ inline$BDLPnPCancelRemove$0$label_99#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_100#1;
+
+ inline$BDLPnPCancelRemove$0$label_100#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_101#1;
+
+ inline$BDLPnPCancelRemove$0$label_101#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$27$;
+ goto inline$BDLPnPCancelRemove$0$label_95#1;
+
+ inline$BDLPnPCancelRemove$0$label_95#1:
+ goto inline$BDLGetDebugLevel$377$Entry#1;
+
+ inline$BDLGetDebugLevel$377$Entry#1:
+ goto inline$BDLGetDebugLevel$377$start#1;
+
+ inline$BDLGetDebugLevel$377$start#1:
+ goto inline$BDLGetDebugLevel$377$label_3#1;
+
+ inline$BDLGetDebugLevel$377$label_3#1:
+ havoc inline$BDLGetDebugLevel$377$myNondetVar_0;
+ inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$377$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$377$label_1#1;
+
+ inline$BDLGetDebugLevel$377$label_1#1:
+ goto inline$BDLGetDebugLevel$377$Return#1;
+
+ inline$BDLGetDebugLevel$377$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$ := inline$BDLGetDebugLevel$377$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_95$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_95$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon40_Then#1, inline$BDLPnPCancelRemove$0$anon40_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon25#1;
+
+ inline$BDLPnPCancelRemove$0$anon25#1:
+ goto inline$BDLPnPCancelRemove$0$label_104#1;
+
+ inline$BDLPnPCancelRemove$0$label_104#1:
+ goto inline$BDLPnPCancelRemove$0$label_104_true#1, inline$BDLPnPCancelRemove$0$label_104_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_104_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_105#1;
+
+ inline$BDLPnPCancelRemove$0$label_104_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$31$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_108#1;
+
+ inline$BDLPnPCancelRemove$0$label_108#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_109#1;
+
+ inline$BDLPnPCancelRemove$0$label_109#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_110#1;
+
+ inline$BDLPnPCancelRemove$0$label_110#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_111#1;
+
+ inline$BDLPnPCancelRemove$0$label_111#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2353.0$32$;
+ goto inline$BDLPnPCancelRemove$0$label_105#1;
+
+ inline$BDLPnPCancelRemove$0$label_105#1:
+ goto inline$BDLGetDebugLevel$378$Entry#1;
+
+ inline$BDLGetDebugLevel$378$Entry#1:
+ goto inline$BDLGetDebugLevel$378$start#1;
+
+ inline$BDLGetDebugLevel$378$start#1:
+ goto inline$BDLGetDebugLevel$378$label_3#1;
+
+ inline$BDLGetDebugLevel$378$label_3#1:
+ havoc inline$BDLGetDebugLevel$378$myNondetVar_0;
+ inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$378$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$378$label_1#1;
+
+ inline$BDLGetDebugLevel$378$label_1#1:
+ goto inline$BDLGetDebugLevel$378$Return#1;
+
+ inline$BDLGetDebugLevel$378$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$ := inline$BDLGetDebugLevel$378$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_105$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_105$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon41_Then#1, inline$BDLPnPCancelRemove$0$anon41_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon27#1;
+
+ inline$BDLPnPCancelRemove$0$anon27#1:
+ goto inline$BDLPnPCancelRemove$0$label_114#1;
+
+ inline$BDLPnPCancelRemove$0$label_114#1:
+ goto inline$BDLPnPCancelRemove$0$label_114_true#1, inline$BDLPnPCancelRemove$0$label_114_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_114_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$, 4) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_62#1;
+
+ inline$BDLPnPCancelRemove$0$label_114_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2353.0$36$, 4) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_115#1;
+
+ inline$BDLPnPCancelRemove$0$label_115#1:
+ goto inline$BDLPnPCancelRemove$0$label_62#1;
+
+ inline$BDLPnPCancelRemove$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$label_34_true#1:
+ assume inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12 != 0;
+ goto inline$BDLPnPCancelRemove$0$label_38#1;
+
+ inline$BDLPnPCancelRemove$0$label_38#1:
+ goto inline$BDLGetDebugLevel$370$Entry#1;
+
+ inline$BDLGetDebugLevel$370$Entry#1:
+ goto inline$BDLGetDebugLevel$370$start#1;
+
+ inline$BDLGetDebugLevel$370$start#1:
+ goto inline$BDLGetDebugLevel$370$label_3#1;
+
+ inline$BDLGetDebugLevel$370$label_3#1:
+ havoc inline$BDLGetDebugLevel$370$myNondetVar_0;
+ inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$370$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$370$label_1#1;
+
+ inline$BDLGetDebugLevel$370$label_1#1:
+ goto inline$BDLGetDebugLevel$370$Return#1;
+
+ inline$BDLGetDebugLevel$370$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$ := inline$BDLGetDebugLevel$370$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_38$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_38$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon33_Then#1, inline$BDLPnPCancelRemove$0$anon33_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon11#1;
+
+ inline$BDLPnPCancelRemove$0$anon11#1:
+ goto inline$BDLPnPCancelRemove$0$label_41#1;
+
+ inline$BDLPnPCancelRemove$0$label_41#1:
+ goto inline$BDLPnPCancelRemove$0$label_41_true#1, inline$BDLPnPCancelRemove$0$label_41_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_41_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_42#1;
+
+ inline$BDLPnPCancelRemove$0$label_41_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$14$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_45#1;
+
+ inline$BDLPnPCancelRemove$0$label_45#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_46#1;
+
+ inline$BDLPnPCancelRemove$0$label_46#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_47#1;
+
+ inline$BDLPnPCancelRemove$0$label_47#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_48#1;
+
+ inline$BDLPnPCancelRemove$0$label_48#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$15$;
+ goto inline$BDLPnPCancelRemove$0$label_42#1;
+
+ inline$BDLPnPCancelRemove$0$label_42#1:
+ goto inline$BDLGetDebugLevel$371$Entry#1;
+
+ inline$BDLGetDebugLevel$371$Entry#1:
+ goto inline$BDLGetDebugLevel$371$start#1;
+
+ inline$BDLGetDebugLevel$371$start#1:
+ goto inline$BDLGetDebugLevel$371$label_3#1;
+
+ inline$BDLGetDebugLevel$371$label_3#1:
+ havoc inline$BDLGetDebugLevel$371$myNondetVar_0;
+ inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$371$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$371$label_1#1;
+
+ inline$BDLGetDebugLevel$371$label_1#1:
+ goto inline$BDLGetDebugLevel$371$Return#1;
+
+ inline$BDLGetDebugLevel$371$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$ := inline$BDLGetDebugLevel$371$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_42$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_42$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon34_Then#1, inline$BDLPnPCancelRemove$0$anon34_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon13#1;
+
+ inline$BDLPnPCancelRemove$0$anon13#1:
+ goto inline$BDLPnPCancelRemove$0$label_51#1;
+
+ inline$BDLPnPCancelRemove$0$label_51#1:
+ goto inline$BDLPnPCancelRemove$0$label_51_true#1, inline$BDLPnPCancelRemove$0$label_51_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_51_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_52#1;
+
+ inline$BDLPnPCancelRemove$0$label_51_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$19$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_55#1;
+
+ inline$BDLPnPCancelRemove$0$label_55#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_56#1;
+
+ inline$BDLPnPCancelRemove$0$label_56#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_57#1;
+
+ inline$BDLPnPCancelRemove$0$label_57#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_58#1;
+
+ inline$BDLPnPCancelRemove$0$label_58#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2336.0$20$;
+ goto inline$BDLPnPCancelRemove$0$label_52#1;
+
+ inline$BDLPnPCancelRemove$0$label_52#1:
+ goto inline$BDLGetDebugLevel$372$Entry#1;
+
+ inline$BDLGetDebugLevel$372$Entry#1:
+ goto inline$BDLGetDebugLevel$372$start#1;
+
+ inline$BDLGetDebugLevel$372$start#1:
+ goto inline$BDLGetDebugLevel$372$label_3#1;
+
+ inline$BDLGetDebugLevel$372$label_3#1:
+ havoc inline$BDLGetDebugLevel$372$myNondetVar_0;
+ inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$372$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$372$label_1#1;
+
+ inline$BDLGetDebugLevel$372$label_1#1:
+ goto inline$BDLGetDebugLevel$372$Return#1;
+
+ inline$BDLGetDebugLevel$372$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$ := inline$BDLGetDebugLevel$372$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_52$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_52$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon35_Then#1, inline$BDLPnPCancelRemove$0$anon35_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon15#1;
+
+ inline$BDLPnPCancelRemove$0$anon15#1:
+ goto inline$BDLPnPCancelRemove$0$label_61#1;
+
+ inline$BDLPnPCancelRemove$0$label_61#1:
+ goto inline$BDLPnPCancelRemove$0$label_61_true#1, inline$BDLPnPCancelRemove$0$label_61_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_61_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$, 4) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_62#1;
+
+ inline$BDLPnPCancelRemove$0$label_61_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2336.0$24$, 4) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_65#1;
+
+ inline$BDLPnPCancelRemove$0$label_65#1:
+ goto inline$BDLPnPCancelRemove$0$label_62#1;
+
+ inline$BDLPnPCancelRemove$0$label_62#1:
+ goto inline$BDLGetDebugLevel$373$Entry#1;
+
+ inline$BDLGetDebugLevel$373$Entry#1:
+ goto inline$BDLGetDebugLevel$373$start#1;
+
+ inline$BDLGetDebugLevel$373$start#1:
+ goto inline$BDLGetDebugLevel$373$label_3#1;
+
+ inline$BDLGetDebugLevel$373$label_3#1:
+ havoc inline$BDLGetDebugLevel$373$myNondetVar_0;
+ inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$373$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$373$label_1#1;
+
+ inline$BDLGetDebugLevel$373$label_1#1:
+ goto inline$BDLGetDebugLevel$373$Return#1;
+
+ inline$BDLGetDebugLevel$373$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$ := inline$BDLGetDebugLevel$373$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_62$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_62$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon36_Then#1, inline$BDLPnPCancelRemove$0$anon36_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon17#1;
+
+ inline$BDLPnPCancelRemove$0$anon17#1:
+ goto inline$BDLPnPCancelRemove$0$label_66#1;
+
+ inline$BDLPnPCancelRemove$0$label_66#1:
+ goto inline$BDLPnPCancelRemove$0$label_66_true#1, inline$BDLPnPCancelRemove$0$label_66_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_66_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_67#1;
+
+ inline$BDLPnPCancelRemove$0$label_66_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$37$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_70#1;
+
+ inline$BDLPnPCancelRemove$0$label_70#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_71#1;
+
+ inline$BDLPnPCancelRemove$0$label_71#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_72#1;
+
+ inline$BDLPnPCancelRemove$0$label_72#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_73#1;
+
+ inline$BDLPnPCancelRemove$0$label_73#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$38$;
+ goto inline$BDLPnPCancelRemove$0$label_67#1;
+
+ inline$BDLPnPCancelRemove$0$label_67#1:
+ goto inline$BDLGetDebugLevel$374$Entry#1;
+
+ inline$BDLGetDebugLevel$374$Entry#1:
+ goto inline$BDLGetDebugLevel$374$start#1;
+
+ inline$BDLGetDebugLevel$374$start#1:
+ goto inline$BDLGetDebugLevel$374$label_3#1;
+
+ inline$BDLGetDebugLevel$374$label_3#1:
+ havoc inline$BDLGetDebugLevel$374$myNondetVar_0;
+ inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$374$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$374$label_1#1;
+
+ inline$BDLGetDebugLevel$374$label_1#1:
+ goto inline$BDLGetDebugLevel$374$Return#1;
+
+ inline$BDLGetDebugLevel$374$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$ := inline$BDLGetDebugLevel$374$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_67$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_67$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon37_Then#1, inline$BDLPnPCancelRemove$0$anon37_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon19#1;
+
+ inline$BDLPnPCancelRemove$0$anon19#1:
+ goto inline$BDLPnPCancelRemove$0$label_76#1;
+
+ inline$BDLPnPCancelRemove$0$label_76#1:
+ goto inline$BDLPnPCancelRemove$0$label_76_true#1, inline$BDLPnPCancelRemove$0$label_76_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_76_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_77#1;
+
+ inline$BDLPnPCancelRemove$0$label_76_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$42$) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_80#1;
+
+ inline$BDLPnPCancelRemove$0$label_80#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_81#1;
+
+ inline$BDLPnPCancelRemove$0$label_81#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_82#1;
+
+ inline$BDLPnPCancelRemove$0$label_82#1:
+ call inline$BDLPnPCancelRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPCancelRemove$0$label_83#1;
+
+ inline$BDLPnPCancelRemove$0$label_83#1:
+ havoc inline$BDLPnPCancelRemove$0$$result.DbgPrint$2365.0$43$;
+ goto inline$BDLPnPCancelRemove$0$label_77#1;
+
+ inline$BDLPnPCancelRemove$0$label_77#1:
+ goto inline$BDLGetDebugLevel$375$Entry#1;
+
+ inline$BDLGetDebugLevel$375$Entry#1:
+ goto inline$BDLGetDebugLevel$375$start#1;
+
+ inline$BDLGetDebugLevel$375$start#1:
+ goto inline$BDLGetDebugLevel$375$label_3#1;
+
+ inline$BDLGetDebugLevel$375$label_3#1:
+ havoc inline$BDLGetDebugLevel$375$myNondetVar_0;
+ inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$375$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$375$label_1#1;
+
+ inline$BDLGetDebugLevel$375$label_1#1:
+ goto inline$BDLGetDebugLevel$375$Return#1;
+
+ inline$BDLGetDebugLevel$375$Return#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$ := inline$BDLGetDebugLevel$375$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPCancelRemove$0$label_77$1#1;
+
+ inline$BDLPnPCancelRemove$0$label_77$1#1:
+ goto inline$BDLPnPCancelRemove$0$anon38_Then#1, inline$BDLPnPCancelRemove$0$anon38_Else#1;
+
+ inline$BDLPnPCancelRemove$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPCancelRemove$0$anon21#1;
+
+ inline$BDLPnPCancelRemove$0$anon21#1:
+ goto inline$BDLPnPCancelRemove$0$label_86#1;
+
+ inline$BDLPnPCancelRemove$0$label_86#1:
+ goto inline$BDLPnPCancelRemove$0$label_86_true#1, inline$BDLPnPCancelRemove$0$label_86_false#1;
+
+ inline$BDLPnPCancelRemove$0$label_86_false#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$, 4) == 0;
+ goto inline$BDLPnPCancelRemove$0$label_87#1;
+
+ inline$BDLPnPCancelRemove$0$label_86_true#1:
+ assume BIT_BAND(inline$BDLPnPCancelRemove$0$$result.BDLGetDebugLevel$2365.0$47$, 4) != 0;
+ goto inline$BDLPnPCancelRemove$0$label_88#1;
+
+ inline$BDLPnPCancelRemove$0$label_88#1:
+ goto inline$BDLPnPCancelRemove$0$label_87#1;
+
+ inline$BDLPnPCancelRemove$0$label_87#1:
+ inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$ := inline$BDLPnPCancelRemove$0$$status$4$2321.28$BDLPnPCancelRemove$12;
+ goto inline$BDLPnPCancelRemove$0$label_1#1;
+
+ inline$BDLPnPCancelRemove$0$label_1#1:
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPCancelRemove$0$Return#1;
+
+ inline$BDLPnPCancelRemove$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$ := inline$BDLPnPCancelRemove$0$$result.BDLPnPCancelRemove$2314.0$1$;
+ goto inline$BDLPnP$0$label_122$1#1;
+
+ inline$BDLPnP$0$label_122$1#1:
+ goto inline$BDLPnP$0$anon70_Then#1, inline$BDLPnP$0$anon70_Else#1;
+
+ inline$BDLPnP$0$anon70_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon33#1;
+
+ inline$BDLPnP$0$anon33#1:
+ goto inline$BDLPnP$0$label_151#1;
+
+ inline$BDLPnP$0$label_151#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPCancelRemove$952.35$33$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon70_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_3#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 2;
+ goto inline$BDLPnP$0$label_119#1;
+
+ inline$BDLPnP$0$label_119#1:
+ goto inline$BDLPnPRemove$0$Entry#1;
+
+ inline$BDLPnPRemove$0$Entry#1:
+ inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pDeviceObject$1$855.24$BDLPnP$8;
+ inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pAttachedDeviceObject$6$862.36$BDLPnP$8;
+ inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPRemove$0$start#1;
+
+ inline$BDLPnPRemove$0$start#1:
+ inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16_.1;
+ inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16_.1;
+ inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16_.1;
+ inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16 := inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16_.1;
+ goto inline$BDLPnPRemove$0$label_3#1;
+
+ inline$BDLPnPRemove$0$label_3#1:
+ goto inline$BDLPnPRemove$0$label_4#1;
+
+ inline$BDLPnPRemove$0$label_4#1:
+ inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16 := 0;
+ goto inline$BDLPnPRemove$0$label_5#1;
+
+ inline$BDLPnPRemove$0$label_5#1:
+ goto inline$BDLGetDebugLevel$202$Entry#1;
+
+ inline$BDLGetDebugLevel$202$Entry#1:
+ goto inline$BDLGetDebugLevel$202$start#1;
+
+ inline$BDLGetDebugLevel$202$start#1:
+ goto inline$BDLGetDebugLevel$202$label_3#1;
+
+ inline$BDLGetDebugLevel$202$label_3#1:
+ havoc inline$BDLGetDebugLevel$202$myNondetVar_0;
+ inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$202$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$202$label_1#1;
+
+ inline$BDLGetDebugLevel$202$label_1#1:
+ goto inline$BDLGetDebugLevel$202$Return#1;
+
+ inline$BDLGetDebugLevel$202$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$ := inline$BDLGetDebugLevel$202$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_5$1#1;
+
+ inline$BDLPnPRemove$0$label_5$1#1:
+ goto inline$BDLPnPRemove$0$anon24_Then#1, inline$BDLPnPRemove$0$anon24_Else#1;
+
+ inline$BDLPnPRemove$0$anon24_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon1#1;
+
+ inline$BDLPnPRemove$0$anon1#1:
+ goto inline$BDLPnPRemove$0$label_8#1;
+
+ inline$BDLPnPRemove$0$label_8#1:
+ goto inline$BDLPnPRemove$0$label_8_true#1, inline$BDLPnPRemove$0$label_8_false#1;
+
+ inline$BDLPnPRemove$0$label_8_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$) == 0;
+ goto inline$BDLPnPRemove$0$label_9#1;
+
+ inline$BDLPnPRemove$0$label_8_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$2$) != 0;
+ goto inline$BDLPnPRemove$0$label_12#1;
+
+ inline$BDLPnPRemove$0$label_12#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_13#1;
+
+ inline$BDLPnPRemove$0$label_13#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_14#1;
+
+ inline$BDLPnPRemove$0$label_14#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_15#1;
+
+ inline$BDLPnPRemove$0$label_15#1:
+ havoc inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$3$;
+ goto inline$BDLPnPRemove$0$label_9#1;
+
+ inline$BDLPnPRemove$0$label_9#1:
+ goto inline$BDLGetDebugLevel$203$Entry#1;
+
+ inline$BDLGetDebugLevel$203$Entry#1:
+ goto inline$BDLGetDebugLevel$203$start#1;
+
+ inline$BDLGetDebugLevel$203$start#1:
+ goto inline$BDLGetDebugLevel$203$label_3#1;
+
+ inline$BDLGetDebugLevel$203$label_3#1:
+ havoc inline$BDLGetDebugLevel$203$myNondetVar_0;
+ inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$203$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$203$label_1#1;
+
+ inline$BDLGetDebugLevel$203$label_1#1:
+ goto inline$BDLGetDebugLevel$203$Return#1;
+
+ inline$BDLGetDebugLevel$203$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$ := inline$BDLGetDebugLevel$203$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_9$1#1;
+
+ inline$BDLPnPRemove$0$label_9$1#1:
+ goto inline$BDLPnPRemove$0$anon25_Then#1, inline$BDLPnPRemove$0$anon25_Else#1;
+
+ inline$BDLPnPRemove$0$anon25_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon3#1;
+
+ inline$BDLPnPRemove$0$anon3#1:
+ goto inline$BDLPnPRemove$0$label_18#1;
+
+ inline$BDLPnPRemove$0$label_18#1:
+ goto inline$BDLPnPRemove$0$label_18_true#1, inline$BDLPnPRemove$0$label_18_false#1;
+
+ inline$BDLPnPRemove$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$) == 0;
+ goto inline$BDLPnPRemove$0$label_19#1;
+
+ inline$BDLPnPRemove$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$7$) != 0;
+ goto inline$BDLPnPRemove$0$label_22#1;
+
+ inline$BDLPnPRemove$0$label_22#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_23#1;
+
+ inline$BDLPnPRemove$0$label_23#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_24#1;
+
+ inline$BDLPnPRemove$0$label_24#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_25#1;
+
+ inline$BDLPnPRemove$0$label_25#1:
+ havoc inline$BDLPnPRemove$0$$result.DbgPrint$2486.0$8$;
+ goto inline$BDLPnPRemove$0$label_19#1;
+
+ inline$BDLPnPRemove$0$label_19#1:
+ goto inline$BDLGetDebugLevel$204$Entry#1;
+
+ inline$BDLGetDebugLevel$204$Entry#1:
+ goto inline$BDLGetDebugLevel$204$start#1;
+
+ inline$BDLGetDebugLevel$204$start#1:
+ goto inline$BDLGetDebugLevel$204$label_3#1;
+
+ inline$BDLGetDebugLevel$204$label_3#1:
+ havoc inline$BDLGetDebugLevel$204$myNondetVar_0;
+ inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$204$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$204$label_1#1;
+
+ inline$BDLGetDebugLevel$204$label_1#1:
+ goto inline$BDLGetDebugLevel$204$Return#1;
+
+ inline$BDLGetDebugLevel$204$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$ := inline$BDLGetDebugLevel$204$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_19$1#1;
+
+ inline$BDLPnPRemove$0$label_19$1#1:
+ goto inline$BDLPnPRemove$0$anon26_Then#1, inline$BDLPnPRemove$0$anon26_Else#1;
+
+ inline$BDLPnPRemove$0$anon26_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon5#1;
+
+ inline$BDLPnPRemove$0$anon5#1:
+ goto inline$BDLPnPRemove$0$label_28#1;
+
+ inline$BDLPnPRemove$0$label_28#1:
+ goto inline$BDLPnPRemove$0$label_28_true#1, inline$BDLPnPRemove$0$label_28_false#1;
+
+ inline$BDLPnPRemove$0$label_28_false#1:
+ assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$, 4) == 0;
+ goto inline$BDLPnPRemove$0$label_29#1;
+
+ inline$BDLPnPRemove$0$label_28_true#1:
+ assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2486.0$12$, 4) != 0;
+ goto inline$BDLPnPRemove$0$label_30#1;
+
+ inline$BDLPnPRemove$0$label_30#1:
+ goto inline$BDLPnPRemove$0$label_29#1;
+
+ inline$BDLPnPRemove$0$label_29#1:
+ goto inline$BDLPnPRemove$0$label_29_true#1, inline$BDLPnPRemove$0$label_29_false#1;
+
+ inline$BDLPnPRemove$0$label_29_false#1:
+ havoc inline$BDLPnPRemove$0$myNondetVar_0;
+ assume inline$BDLPnPRemove$0$myNondetVar_0 == 0;
+ goto inline$BDLPnPRemove$0$label_31#1;
+
+ inline$BDLPnPRemove$0$label_31#1:
+ goto inline$BDLPnPRemove$0$label_73#1;
+
+ inline$BDLPnPRemove$0$label_73#1:
+ goto inline$BDLHandleRemove$0$Entry#1;
+
+ inline$BDLHandleRemove$0$Entry#1:
+ inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1 := inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16;
+ inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1 := inline$BDLPnPRemove$0$$pIrp$4$2481.40$BDLPnPRemove$16;
+ goto inline$BDLHandleRemove$0$start#1;
+
+ inline$BDLHandleRemove$0$start#1:
+ inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12_.1;
+ inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12_.1;
+ goto inline$BDLHandleRemove$0$label_3#1;
+
+ inline$BDLHandleRemove$0$label_3#1:
+ goto inline$BDLHandleRemove$0$label_4#1;
+
+ inline$BDLHandleRemove$0$label_4#1:
+ inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := 0;
+ goto inline$BDLHandleRemove$0$label_5#1;
+
+ inline$BDLHandleRemove$0$label_5#1:
+ goto inline$BDLHandleRemove$0$label_6#1;
+
+ inline$BDLHandleRemove$0$label_6#1:
+ havoc inline$BDLHandleRemove$0$myNondetVar_0;
+ goto inline$BDLHandleRemove$0$label_7#1;
+
+ inline$BDLHandleRemove$0$label_7#1:
+ goto inline$BDLGetDebugLevel$208$Entry#1;
+
+ inline$BDLGetDebugLevel$208$Entry#1:
+ goto inline$BDLGetDebugLevel$208$start#1;
+
+ inline$BDLGetDebugLevel$208$start#1:
+ goto inline$BDLGetDebugLevel$208$label_3#1;
+
+ inline$BDLGetDebugLevel$208$label_3#1:
+ havoc inline$BDLGetDebugLevel$208$myNondetVar_0;
+ inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$208$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$208$label_1#1;
+
+ inline$BDLGetDebugLevel$208$label_1#1:
+ goto inline$BDLGetDebugLevel$208$Return#1;
+
+ inline$BDLGetDebugLevel$208$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$ := inline$BDLGetDebugLevel$208$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_7$1#1;
+
+ inline$BDLHandleRemove$0$label_7$1#1:
+ goto inline$BDLHandleRemove$0$anon42_Then#1, inline$BDLHandleRemove$0$anon42_Else#1;
+
+ inline$BDLHandleRemove$0$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon1#1;
+
+ inline$BDLHandleRemove$0$anon1#1:
+ goto inline$BDLHandleRemove$0$label_10#1;
+
+ inline$BDLHandleRemove$0$label_10#1:
+ goto inline$BDLHandleRemove$0$label_10_true#1, inline$BDLHandleRemove$0$label_10_false#1;
+
+ inline$BDLHandleRemove$0$label_10_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$) == 0;
+ goto inline$BDLHandleRemove$0$label_11#1;
+
+ inline$BDLHandleRemove$0$label_10_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$2$) != 0;
+ goto inline$BDLHandleRemove$0$label_14#1;
+
+ inline$BDLHandleRemove$0$label_14#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_15#1;
+
+ inline$BDLHandleRemove$0$label_15#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_16#1;
+
+ inline$BDLHandleRemove$0$label_16#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_17#1;
+
+ inline$BDLHandleRemove$0$label_17#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$3$;
+ goto inline$BDLHandleRemove$0$label_11#1;
+
+ inline$BDLHandleRemove$0$label_11#1:
+ goto inline$BDLGetDebugLevel$209$Entry#1;
+
+ inline$BDLGetDebugLevel$209$Entry#1:
+ goto inline$BDLGetDebugLevel$209$start#1;
+
+ inline$BDLGetDebugLevel$209$start#1:
+ goto inline$BDLGetDebugLevel$209$label_3#1;
+
+ inline$BDLGetDebugLevel$209$label_3#1:
+ havoc inline$BDLGetDebugLevel$209$myNondetVar_0;
+ inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$209$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$209$label_1#1;
+
+ inline$BDLGetDebugLevel$209$label_1#1:
+ goto inline$BDLGetDebugLevel$209$Return#1;
+
+ inline$BDLGetDebugLevel$209$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$ := inline$BDLGetDebugLevel$209$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_11$1#1;
+
+ inline$BDLHandleRemove$0$label_11$1#1:
+ goto inline$BDLHandleRemove$0$anon43_Then#1, inline$BDLHandleRemove$0$anon43_Else#1;
+
+ inline$BDLHandleRemove$0$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon3#1;
+
+ inline$BDLHandleRemove$0$anon3#1:
+ goto inline$BDLHandleRemove$0$label_20#1;
+
+ inline$BDLHandleRemove$0$label_20#1:
+ goto inline$BDLHandleRemove$0$label_20_true#1, inline$BDLHandleRemove$0$label_20_false#1;
+
+ inline$BDLHandleRemove$0$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$) == 0;
+ goto inline$BDLHandleRemove$0$label_21#1;
+
+ inline$BDLHandleRemove$0$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$7$) != 0;
+ goto inline$BDLHandleRemove$0$label_24#1;
+
+ inline$BDLHandleRemove$0$label_24#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_25#1;
+
+ inline$BDLHandleRemove$0$label_25#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_26#1;
+
+ inline$BDLHandleRemove$0$label_26#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_27#1;
+
+ inline$BDLHandleRemove$0$label_27#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2386.0$8$;
+ goto inline$BDLHandleRemove$0$label_21#1;
+
+ inline$BDLHandleRemove$0$label_21#1:
+ goto inline$BDLGetDebugLevel$210$Entry#1;
+
+ inline$BDLGetDebugLevel$210$Entry#1:
+ goto inline$BDLGetDebugLevel$210$start#1;
+
+ inline$BDLGetDebugLevel$210$start#1:
+ goto inline$BDLGetDebugLevel$210$label_3#1;
+
+ inline$BDLGetDebugLevel$210$label_3#1:
+ havoc inline$BDLGetDebugLevel$210$myNondetVar_0;
+ inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$210$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$210$label_1#1;
+
+ inline$BDLGetDebugLevel$210$label_1#1:
+ goto inline$BDLGetDebugLevel$210$Return#1;
+
+ inline$BDLGetDebugLevel$210$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$ := inline$BDLGetDebugLevel$210$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_21$1#1;
+
+ inline$BDLHandleRemove$0$label_21$1#1:
+ goto inline$BDLHandleRemove$0$anon44_Then#1, inline$BDLHandleRemove$0$anon44_Else#1;
+
+ inline$BDLHandleRemove$0$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon5#1;
+
+ inline$BDLHandleRemove$0$anon5#1:
+ goto inline$BDLHandleRemove$0$label_30#1;
+
+ inline$BDLHandleRemove$0$label_30#1:
+ goto inline$BDLHandleRemove$0$label_30_true#1, inline$BDLHandleRemove$0$label_30_false#1;
+
+ inline$BDLHandleRemove$0$label_30_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$, 4) == 0;
+ goto inline$BDLHandleRemove$0$label_31#1;
+
+ inline$BDLHandleRemove$0$label_30_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2386.0$12$, 4) != 0;
+ goto inline$BDLHandleRemove$0$label_34#1;
+
+ inline$BDLHandleRemove$0$label_34#1:
+ goto inline$BDLHandleRemove$0$label_31#1;
+
+ inline$BDLHandleRemove$0$label_31#1:
+ goto inline$storm_KeSetEvent$9$Entry#1;
+
+ inline$storm_KeSetEvent$9$Entry#1:
+ inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12);
+ goto inline$storm_KeSetEvent$9$start#1;
+
+ inline$storm_KeSetEvent$9$start#1:
+ inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$9$label_3#1;
+
+ inline$storm_KeSetEvent$9$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$9$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$9$label_1#1;
+
+ inline$storm_KeSetEvent$9$label_1#1:
+ goto inline$storm_KeSetEvent$9$Return#1;
+
+ inline$storm_KeSetEvent$9$Return#1:
+ goto inline$BDLHandleRemove$0$label_31$1#1;
+
+ inline$BDLHandleRemove$0$label_31$1#1:
+ goto inline$BDLHandleRemove$0$anon45_Then#1, inline$BDLHandleRemove$0$anon45_Else#1;
+
+ inline$BDLHandleRemove$0$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon7#1;
+
+ inline$BDLHandleRemove$0$anon7#1:
+ goto inline$BDLHandleRemove$0$label_35#1;
+
+ inline$BDLHandleRemove$0$label_35#1:
+ call inline$BDLHandleRemove$0$$result.IoSetDeviceInterfaceState$2406.29$14$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12), 0);
+ goto inline$BDLHandleRemove$0$anon46_Then#1, inline$BDLHandleRemove$0$anon46_Else#1;
+
+ inline$BDLHandleRemove$0$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon9#1;
+
+ inline$BDLHandleRemove$0$anon9#1:
+ goto inline$BDLHandleRemove$0$label_38#1;
+
+ inline$BDLHandleRemove$0$label_38#1:
+ goto inline$BDLCleanupNotificationStruct$0$Entry#1;
+
+ inline$BDLCleanupNotificationStruct$0$Entry#1:
+ inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
+ goto inline$BDLCleanupNotificationStruct$0$start#1;
+
+ inline$BDLCleanupNotificationStruct$0$start#1:
+ call inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(20);
+ call inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4 := __HAVOC_malloc(1);
+ inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4_.1;
+ goto inline$BDLCleanupNotificationStruct$0$label_3#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_3#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_4#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_4#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_5#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_5#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_6#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_6#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_7#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_7#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_8#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_8#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_9#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_9#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_10#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_10#1:
+ inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_11#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_11#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_12#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_12#1:
+ inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_13#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_13#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_14#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_14#1:
+ inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_15#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_15#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_16#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_16#1:
+ inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_17#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_17#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_18#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_18#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_19#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_19#1:
+ goto inline$BDLGetDebugLevel$211$Entry#1;
+
+ inline$BDLGetDebugLevel$211$Entry#1:
+ goto inline$BDLGetDebugLevel$211$start#1;
+
+ inline$BDLGetDebugLevel$211$start#1:
+ goto inline$BDLGetDebugLevel$211$label_3#1;
+
+ inline$BDLGetDebugLevel$211$label_3#1:
+ havoc inline$BDLGetDebugLevel$211$myNondetVar_0;
+ inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$211$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$211$label_1#1;
+
+ inline$BDLGetDebugLevel$211$label_1#1:
+ goto inline$BDLGetDebugLevel$211$Return#1;
+
+ inline$BDLGetDebugLevel$211$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$ := inline$BDLGetDebugLevel$211$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_19$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_19$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon46_Then#1, inline$BDLCleanupNotificationStruct$0$anon46_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon1#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon1#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_22#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_22#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_22_true#1, inline$BDLCleanupNotificationStruct$0$label_22_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_22_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_23#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_22_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$1$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_26#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_26#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_27#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_27#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_28#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_28#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_29#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_29#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$2$;
+ goto inline$BDLCleanupNotificationStruct$0$label_23#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_23#1:
+ goto inline$BDLGetDebugLevel$212$Entry#1;
+
+ inline$BDLGetDebugLevel$212$Entry#1:
+ goto inline$BDLGetDebugLevel$212$start#1;
+
+ inline$BDLGetDebugLevel$212$start#1:
+ goto inline$BDLGetDebugLevel$212$label_3#1;
+
+ inline$BDLGetDebugLevel$212$label_3#1:
+ havoc inline$BDLGetDebugLevel$212$myNondetVar_0;
+ inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$212$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$212$label_1#1;
+
+ inline$BDLGetDebugLevel$212$label_1#1:
+ goto inline$BDLGetDebugLevel$212$Return#1;
+
+ inline$BDLGetDebugLevel$212$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$ := inline$BDLGetDebugLevel$212$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_23$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_23$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon47_Then#1, inline$BDLCleanupNotificationStruct$0$anon47_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon3#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon3#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_32#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_32#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_32_true#1, inline$BDLCleanupNotificationStruct$0$label_32_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_32_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_33#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_32_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$6$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_36#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_36#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_37#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_37#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_38#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_38#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_39#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_39#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3123.0$7$;
+ goto inline$BDLCleanupNotificationStruct$0$label_33#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_33#1:
+ goto inline$BDLGetDebugLevel$213$Entry#1;
+
+ inline$BDLGetDebugLevel$213$Entry#1:
+ goto inline$BDLGetDebugLevel$213$start#1;
+
+ inline$BDLGetDebugLevel$213$start#1:
+ goto inline$BDLGetDebugLevel$213$label_3#1;
+
+ inline$BDLGetDebugLevel$213$label_3#1:
+ havoc inline$BDLGetDebugLevel$213$myNondetVar_0;
+ inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$213$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$213$label_1#1;
+
+ inline$BDLGetDebugLevel$213$label_1#1:
+ goto inline$BDLGetDebugLevel$213$Return#1;
+
+ inline$BDLGetDebugLevel$213$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$ := inline$BDLGetDebugLevel$213$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_33$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_33$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon48_Then#1, inline$BDLCleanupNotificationStruct$0$anon48_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon5#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon5#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_42#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_42#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_42_true#1, inline$BDLCleanupNotificationStruct$0$label_42_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_42_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_43#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_42_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3123.0$11$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_44#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_44#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_43#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_43#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_45#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_45#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_45_head#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_45_head#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_45_true#1, inline$BDLCleanupNotificationStruct$0$label_45_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_45_false#1:
+ assume 1 == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_46#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_45_true#1:
+ assume 1 != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_49#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_49#1:
+ call inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$ := KfRaiseIrql(2);
+ goto inline$BDLCleanupNotificationStruct$0$anon50_Then#1, inline$BDLCleanupNotificationStruct$0$anon50_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon9#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon9#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_52#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_52#1:
+ inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.KfRaiseIrql$3141.8$12$;
+ goto inline$BDLCleanupNotificationStruct$0$label_53#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_53#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$0$Entry#1;
+
+ inline$storm_KeAcquireSpinLock$0$Entry#1:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeAcquireSpinLock$0$start#1;
+
+ inline$storm_KeAcquireSpinLock$0$start#1:
+ inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
+ goto inline$storm_KeAcquireSpinLock$0$label_3#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_3#1:
+ goto inline$storm_KeAcquireSpinLock$0$label_4#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_4#1:
+ goto inline$storm_getThreadID$0$Entry#1;
+
+ inline$storm_getThreadID$0$Entry#1:
+ goto inline$storm_getThreadID$0$anon0#1;
+
+ inline$storm_getThreadID$0$anon0#1:
+ inline$storm_getThreadID$0$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$0$Return#1;
+
+ inline$storm_getThreadID$0$Return#1:
+ inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$0$tid;
+ goto inline$storm_KeAcquireSpinLock$0$label_4$1#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_4$1#1:
+ goto inline$storm_KeAcquireSpinLock$0$label_7#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_7#1:
+ inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$0$label_8#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_8#1:
+ goto inline$storm_KeAcquireSpinLock$0$label_9#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_9#1:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$0$label_12#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_12#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon7_Then#1, inline$storm_KeAcquireSpinLock$0$anon7_Else#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon1#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_13#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_13#1:
+ goto inline$storm_KeAcquireSpinLock$0$label_13_true#1, inline$storm_KeAcquireSpinLock$0$label_13_false#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_false#1:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$0$label_14#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_14#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$0$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_13_true#1:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$0$label_17#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_17#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon8_Then#1, inline$storm_KeAcquireSpinLock$0$anon8_Else#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$anon4#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon4#1:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$0$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
+ goto inline$storm_KeAcquireSpinLock$0$label_18#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_18#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$0$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$0$$tid$3$128.6$storm_KeAcquireSpinLock$8];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_19#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_19#1:
+ goto inline$storm_KeAcquireSpinLock$0$anon9_Then#1, inline$storm_KeAcquireSpinLock$0$anon9_Else#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$0$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$0$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon6#1:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$0$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$0$label_1#1:
+ goto inline$storm_KeAcquireSpinLock$0$Return#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#1;
+
+ inline$storm_KeAcquireSpinLock$0$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$0$Return#1;
+
+ inline$storm_KeAcquireSpinLock$0$Return#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_53$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_53$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon51_Then#1, inline$BDLCleanupNotificationStruct$0$anon51_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon11#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon11#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_56#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_56#1:
+ goto inline$IsListEmpty$1$Entry#1;
+
+ inline$IsListEmpty$1$Entry#1:
+ inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1 := ControlChangeRegistrationList__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$1$start#1;
+
+ inline$IsListEmpty$1$start#1:
+ inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$1$label_3#1;
+
+ inline$IsListEmpty$1$label_3#1:
+ havoc inline$IsListEmpty$1$myNondetVar_0;
+ inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$1$myNondetVar_0, inline$IsListEmpty$1$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$1$label_1#1;
+
+ inline$IsListEmpty$1$label_1#1:
+ goto inline$IsListEmpty$1$Return#1;
+
+ inline$IsListEmpty$1$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ := inline$IsListEmpty$1$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_56$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_56$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon52_Then#1, inline$BDLCleanupNotificationStruct$0$anon52_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon13#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon13#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_59#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_59#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_59_true#1, inline$BDLCleanupNotificationStruct$0$label_59_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_59_false#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_60#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_60#1:
+ goto inline$RemoveHeadList$0$Entry#1;
+
+ inline$RemoveHeadList$0$Entry#1:
+ goto inline$RemoveHeadList$0$start#1;
+
+ inline$RemoveHeadList$0$start#1:
+ goto inline$RemoveHeadList$0$label_3#1;
+
+ inline$RemoveHeadList$0$label_3#1:
+ goto inline$RemoveHeadList$0$label_4#1;
+
+ inline$RemoveHeadList$0$label_4#1:
+ goto inline$RemoveHeadList$0$label_5#1;
+
+ inline$RemoveHeadList$0$label_5#1:
+ havoc inline$RemoveHeadList$0$myNondetVar_0;
+ inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$0$myNondetVar_0;
+ goto inline$RemoveHeadList$0$label_6#1;
+
+ inline$RemoveHeadList$0$label_6#1:
+ havoc inline$RemoveHeadList$0$myNondetVar_0;
+ goto inline$RemoveHeadList$0$label_7#1;
+
+ inline$RemoveHeadList$0$label_7#1:
+ goto inline$RemoveHeadList$0$label_8#1;
+
+ inline$RemoveHeadList$0$label_8#1:
+ goto inline$RemoveHeadList$0$label_9#1;
+
+ inline$RemoveHeadList$0$label_9#1:
+ inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$0$$Entry$3$8126.16$RemoveHeadList$4;
+ goto inline$RemoveHeadList$0$label_1#1;
+
+ inline$RemoveHeadList$0$label_1#1:
+ goto inline$RemoveHeadList$0$Return#1;
+
+ inline$RemoveHeadList$0$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$ := inline$RemoveHeadList$0$$result.RemoveHeadList$8121.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_60$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_60$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon53_Then#1, inline$BDLCleanupNotificationStruct$0$anon53_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon15#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon15#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_63#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_63#1:
+ inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3153.26$14$;
+ goto inline$BDLCleanupNotificationStruct$0$label_64#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_64#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$0$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$0$Entry#1:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeReleaseSpinLock$0$start#1;
+
+ inline$storm_KeReleaseSpinLock$0$start#1:
+ inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$0$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$0$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$0$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon5_Then#1, inline$storm_KeReleaseSpinLock$0$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_8#1:
+ goto inline$storm_getThreadID$1$Entry#1;
+
+ inline$storm_getThreadID$1$Entry#1:
+ goto inline$storm_getThreadID$1$anon0#1;
+
+ inline$storm_getThreadID$1$anon0#1:
+ inline$storm_getThreadID$1$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$1$Return#1;
+
+ inline$storm_getThreadID$1$Return#1:
+ inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$1$tid;
+ goto inline$storm_KeReleaseSpinLock$0$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$0$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$0$label_11_true#1, inline$storm_KeReleaseSpinLock$0$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$0$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$0$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$0$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$0$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$0$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$0$anon6_Then#1, inline$storm_KeReleaseSpinLock$0$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$0$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$0$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$0$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$0$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$0$Return#1;
+
+ inline$storm_KeReleaseSpinLock$0$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$0$Return#1;
+
+ inline$storm_KeReleaseSpinLock$0$Return#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_64$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_64$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon54_Then#1, inline$BDLCleanupNotificationStruct$0$anon54_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon54_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon17#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon17#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_67#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_67#1:
+ call KfLowerIrql(inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$0$anon55_Then#1, inline$BDLCleanupNotificationStruct$0$anon55_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon55_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon19#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon19#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_70#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_70#1:
+ inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$0$$pRegistrationListEntry$9$3119.36$BDLCleanupNotificationStruct$4, 1, 12);
+ goto inline$BDLCleanupNotificationStruct$0$label_71#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_71#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_72#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_72#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_73#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_73#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_74#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_74#1:
+ call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$0$$pControlChangeRegistration$8$3118.37$BDLCleanupNotificationStruct$4, 541869122);
+ goto inline$BDLCleanupNotificationStruct$0$anon56_Then#1, inline$BDLCleanupNotificationStruct$0$anon56_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon56_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon21#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon21#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_77#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_77#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_80#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_80#1:
+ inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$callresult.$3172.84$15$;
+ goto inline$BDLCleanupNotificationStruct$0$label_81#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_81#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_81_true#1, inline$BDLCleanupNotificationStruct$0$label_81_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_81_false#1:
+ assume inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$0$label_81_true#1:
+ assume inline$BDLCleanupNotificationStruct$0$$status$11$3121.36$BDLCleanupNotificationStruct$4 != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_82#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_82#1:
+ goto inline$BDLGetDebugLevel$214$Entry#1;
+
+ inline$BDLGetDebugLevel$214$Entry#1:
+ goto inline$BDLGetDebugLevel$214$start#1;
+
+ inline$BDLGetDebugLevel$214$start#1:
+ goto inline$BDLGetDebugLevel$214$label_3#1;
+
+ inline$BDLGetDebugLevel$214$label_3#1:
+ havoc inline$BDLGetDebugLevel$214$myNondetVar_0;
+ inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$214$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$214$label_1#1;
+
+ inline$BDLGetDebugLevel$214$label_1#1:
+ goto inline$BDLGetDebugLevel$214$Return#1;
+
+ inline$BDLGetDebugLevel$214$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$ := inline$BDLGetDebugLevel$214$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_82$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_82$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon57_Then#1, inline$BDLCleanupNotificationStruct$0$anon57_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon57_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon23#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon23#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_85#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_85#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_85_true#1, inline$BDLCleanupNotificationStruct$0$label_85_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_85_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_86#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_85_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$16$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_89#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_89#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_90#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_90#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_91#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_91#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_92#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_92#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$17$;
+ goto inline$BDLCleanupNotificationStruct$0$label_86#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_86#1:
+ goto inline$BDLGetDebugLevel$215$Entry#1;
+
+ inline$BDLGetDebugLevel$215$Entry#1:
+ goto inline$BDLGetDebugLevel$215$start#1;
+
+ inline$BDLGetDebugLevel$215$start#1:
+ goto inline$BDLGetDebugLevel$215$label_3#1;
+
+ inline$BDLGetDebugLevel$215$label_3#1:
+ havoc inline$BDLGetDebugLevel$215$myNondetVar_0;
+ inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$215$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$215$label_1#1;
+
+ inline$BDLGetDebugLevel$215$label_1#1:
+ goto inline$BDLGetDebugLevel$215$Return#1;
+
+ inline$BDLGetDebugLevel$215$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$ := inline$BDLGetDebugLevel$215$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_86$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_86$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon58_Then#1, inline$BDLCleanupNotificationStruct$0$anon58_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon58_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon25#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon25#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_95#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_95#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_95_true#1, inline$BDLCleanupNotificationStruct$0$label_95_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_95_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_96#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_95_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$21$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_99#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_99#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_100#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_100#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_101#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_101#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_102#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_102#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3178.0$22$;
+ goto inline$BDLCleanupNotificationStruct$0$label_96#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_96#1:
+ goto inline$BDLGetDebugLevel$216$Entry#1;
+
+ inline$BDLGetDebugLevel$216$Entry#1:
+ goto inline$BDLGetDebugLevel$216$start#1;
+
+ inline$BDLGetDebugLevel$216$start#1:
+ goto inline$BDLGetDebugLevel$216$label_3#1;
+
+ inline$BDLGetDebugLevel$216$label_3#1:
+ havoc inline$BDLGetDebugLevel$216$myNondetVar_0;
+ inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$216$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$216$label_1#1;
+
+ inline$BDLGetDebugLevel$216$label_1#1:
+ goto inline$BDLGetDebugLevel$216$Return#1;
+
+ inline$BDLGetDebugLevel$216$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$ := inline$BDLGetDebugLevel$216$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_96$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_96$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon59_Then#1, inline$BDLCleanupNotificationStruct$0$anon59_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon59_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon27#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon27#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_105#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_105#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_105_true#1, inline$BDLCleanupNotificationStruct$0$label_105_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_105_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$0$label_105_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3178.0$26$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_106#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_106#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_45_head#0;
+
+ inline$BDLCleanupNotificationStruct$0$label_45_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$0$anon59_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon58_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon57_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon56_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon55_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon54_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_59_true#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3144.23$13$ != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_46#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_46#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_46_head#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_46_head#1:
+ goto inline$IsListEmpty$0$Entry#1;
+
+ inline$IsListEmpty$0$Entry#1:
+ inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1 := ISRControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$0$start#1;
+
+ inline$IsListEmpty$0$start#1:
+ inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$0$label_3#1;
+
+ inline$IsListEmpty$0$label_3#1:
+ havoc inline$IsListEmpty$0$myNondetVar_0;
+ inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$0$myNondetVar_0, inline$IsListEmpty$0$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$0$label_1#1;
+
+ inline$IsListEmpty$0$label_1#1:
+ goto inline$IsListEmpty$0$Return#1;
+
+ inline$IsListEmpty$0$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ := inline$IsListEmpty$0$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_46_head$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_46_head$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon49_Then#1, inline$BDLCleanupNotificationStruct$0$anon49_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon7#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon7#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_107#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_107#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_107_true#1, inline$BDLCleanupNotificationStruct$0$label_107_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_107_false#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_108#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_108#1:
+ goto inline$RemoveHeadList$1$Entry#1;
+
+ inline$RemoveHeadList$1$Entry#1:
+ goto inline$RemoveHeadList$1$start#1;
+
+ inline$RemoveHeadList$1$start#1:
+ goto inline$RemoveHeadList$1$label_3#1;
+
+ inline$RemoveHeadList$1$label_3#1:
+ goto inline$RemoveHeadList$1$label_4#1;
+
+ inline$RemoveHeadList$1$label_4#1:
+ goto inline$RemoveHeadList$1$label_5#1;
+
+ inline$RemoveHeadList$1$label_5#1:
+ havoc inline$RemoveHeadList$1$myNondetVar_0;
+ goto inline$RemoveHeadList$1$label_6#1;
+
+ inline$RemoveHeadList$1$label_6#1:
+ havoc inline$RemoveHeadList$1$myNondetVar_0;
+ goto inline$RemoveHeadList$1$label_7#1;
+
+ inline$RemoveHeadList$1$label_7#1:
+ goto inline$RemoveHeadList$1$label_8#1;
+
+ inline$RemoveHeadList$1$label_8#1:
+ goto inline$RemoveHeadList$1$label_9#1;
+
+ inline$RemoveHeadList$1$label_9#1:
+ goto inline$RemoveHeadList$1$label_1#1;
+
+ inline$RemoveHeadList$1$label_1#1:
+ goto inline$RemoveHeadList$1$Return#1;
+
+ inline$RemoveHeadList$1$Return#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_108$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_108$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon60_Then#1, inline$BDLCleanupNotificationStruct$0$anon60_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon60_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon29#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon29#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_154#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_154#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_155#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_155#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_156#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_156#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_46_head#0;
+
+ inline$BDLCleanupNotificationStruct$0$label_46_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$0$anon60_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_107_true#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3199.23$27$ != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_111#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_111#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_111_head#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_111_head#1:
+ goto inline$IsListEmpty$2$Entry#1;
+
+ inline$IsListEmpty$2$Entry#1:
+ inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1 := IOCTLControlChangeQueue__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$IsListEmpty$2$start#1;
+
+ inline$IsListEmpty$2$start#1:
+ inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4 := inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4_.1;
+ goto inline$IsListEmpty$2$label_3#1;
+
+ inline$IsListEmpty$2$label_3#1:
+ havoc inline$IsListEmpty$2$myNondetVar_0;
+ inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$ := LIFT(INT_EQ(inline$IsListEmpty$2$myNondetVar_0, inline$IsListEmpty$2$$ListHead$1$8097.28$IsListEmpty$4));
+ goto inline$IsListEmpty$2$label_1#1;
+
+ inline$IsListEmpty$2$label_1#1:
+ goto inline$IsListEmpty$2$Return#1;
+
+ inline$IsListEmpty$2$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ := inline$IsListEmpty$2$$result.IsListEmpty$8096.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_111_head$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_111_head$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon61_Then#1, inline$BDLCleanupNotificationStruct$0$anon61_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon61_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon31#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon31#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_114#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_114#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_114_true#1, inline$BDLCleanupNotificationStruct$0$label_114_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_114_false#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_115#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_115#1:
+ goto inline$RemoveHeadList$2$Entry#1;
+
+ inline$RemoveHeadList$2$Entry#1:
+ goto inline$RemoveHeadList$2$start#1;
+
+ inline$RemoveHeadList$2$start#1:
+ goto inline$RemoveHeadList$2$label_3#1;
+
+ inline$RemoveHeadList$2$label_3#1:
+ goto inline$RemoveHeadList$2$label_4#1;
+
+ inline$RemoveHeadList$2$label_4#1:
+ goto inline$RemoveHeadList$2$label_5#1;
+
+ inline$RemoveHeadList$2$label_5#1:
+ havoc inline$RemoveHeadList$2$myNondetVar_0;
+ inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4 := inline$RemoveHeadList$2$myNondetVar_0;
+ goto inline$RemoveHeadList$2$label_6#1;
+
+ inline$RemoveHeadList$2$label_6#1:
+ havoc inline$RemoveHeadList$2$myNondetVar_0;
+ goto inline$RemoveHeadList$2$label_7#1;
+
+ inline$RemoveHeadList$2$label_7#1:
+ goto inline$RemoveHeadList$2$label_8#1;
+
+ inline$RemoveHeadList$2$label_8#1:
+ goto inline$RemoveHeadList$2$label_9#1;
+
+ inline$RemoveHeadList$2$label_9#1:
+ inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$ := inline$RemoveHeadList$2$$Entry$3$8126.16$RemoveHeadList$4;
+ goto inline$RemoveHeadList$2$label_1#1;
+
+ inline$RemoveHeadList$2$label_1#1:
+ goto inline$RemoveHeadList$2$Return#1;
+
+ inline$RemoveHeadList$2$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$ := inline$RemoveHeadList$2$$result.RemoveHeadList$8121.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_115$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_115$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon62_Then#1, inline$BDLCleanupNotificationStruct$0$anon62_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon62_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon33#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon33#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_149#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_149#1:
+ inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4 := inline$BDLCleanupNotificationStruct$0$$result.RemoveHeadList$3218.26$30$;
+ goto inline$BDLCleanupNotificationStruct$0$label_150#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_150#1:
+ inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4 := MINUS_LEFT_PTR(inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeEntry$7$3117.36$BDLCleanupNotificationStruct$4, 1, 16);
+ goto inline$BDLCleanupNotificationStruct$0$label_151#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_151#1:
+ call ExFreePoolWithTag(inline$BDLCleanupNotificationStruct$0$$pIOCTLControlChangeItem$6$3116.37$BDLCleanupNotificationStruct$4, 541869122);
+ goto inline$BDLCleanupNotificationStruct$0$anon68_Then#1, inline$BDLCleanupNotificationStruct$0$anon68_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon68_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon45#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon45#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_111_head#0;
+
+ inline$BDLCleanupNotificationStruct$0$label_111_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupNotificationStruct$0$anon68_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon62_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_114_true#1:
+ assume inline$BDLCleanupNotificationStruct$0$$result.IsListEmpty$3215.23$29$ != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_118#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_118#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ assume inline$BDLCleanupNotificationStruct$0$myNondetVar_0 == inline$BDLCleanupNotificationStruct$0$myNondetVar_1;
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$storm_KeReleaseSpinLock$1$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$1$Entry#1:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := ControlChangeLock__BDL_CONTROL_CHANGE_STRUCT(ControlChangeStruct__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLCleanupNotificationStruct$0$$pBDLExtension$1$3110.40$BDLCleanupNotificationStruct$4));
+ goto inline$storm_KeReleaseSpinLock$1$start#1;
+
+ inline$storm_KeReleaseSpinLock$1$start#1:
+ inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$1$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$1$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$1$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon5_Then#1, inline$storm_KeReleaseSpinLock$1$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_8#1:
+ goto inline$storm_getThreadID$2$Entry#1;
+
+ inline$storm_getThreadID$2$Entry#1:
+ goto inline$storm_getThreadID$2$anon0#1;
+
+ inline$storm_getThreadID$2$anon0#1:
+ inline$storm_getThreadID$2$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$2$Return#1;
+
+ inline$storm_getThreadID$2$Return#1:
+ inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$2$tid;
+ goto inline$storm_KeReleaseSpinLock$1$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$1$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$1$label_11_true#1, inline$storm_KeReleaseSpinLock$1$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$1$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$1$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$1$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$1$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$1$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$1$anon6_Then#1, inline$storm_KeReleaseSpinLock$1$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$1$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$1$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$1$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$1$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$1$Return#1;
+
+ inline$storm_KeReleaseSpinLock$1$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$1$Return#1;
+
+ inline$storm_KeReleaseSpinLock$1$Return#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_118$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_118$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon63_Then#1, inline$BDLCleanupNotificationStruct$0$anon63_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon63_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon35#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon35#1:
+ havoc inline$BDLCleanupNotificationStruct$0$myNondetVar_0;
+ goto inline$BDLCleanupNotificationStruct$0$label_121#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_121#1:
+ call KfLowerIrql(inline$BDLCleanupNotificationStruct$0$$OldIrql$2$3113.36$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$0$anon64_Then#1, inline$BDLCleanupNotificationStruct$0$anon64_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon64_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon37#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon37#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_124#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_124#1:
+ goto inline$BDLGetDebugLevel$217$Entry#1;
+
+ inline$BDLGetDebugLevel$217$Entry#1:
+ goto inline$BDLGetDebugLevel$217$start#1;
+
+ inline$BDLGetDebugLevel$217$start#1:
+ goto inline$BDLGetDebugLevel$217$label_3#1;
+
+ inline$BDLGetDebugLevel$217$label_3#1:
+ havoc inline$BDLGetDebugLevel$217$myNondetVar_0;
+ inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$217$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$217$label_1#1;
+
+ inline$BDLGetDebugLevel$217$label_1#1:
+ goto inline$BDLGetDebugLevel$217$Return#1;
+
+ inline$BDLGetDebugLevel$217$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$ := inline$BDLGetDebugLevel$217$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_124$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_124$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon65_Then#1, inline$BDLCleanupNotificationStruct$0$anon65_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon65_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon39#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon39#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_127#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_127#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_127_true#1, inline$BDLCleanupNotificationStruct$0$label_127_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_127_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_128#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_127_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$31$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_131#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_131#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_132#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_132#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_133#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_133#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_134#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_134#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$32$;
+ goto inline$BDLCleanupNotificationStruct$0$label_128#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_128#1:
+ goto inline$BDLGetDebugLevel$218$Entry#1;
+
+ inline$BDLGetDebugLevel$218$Entry#1:
+ goto inline$BDLGetDebugLevel$218$start#1;
+
+ inline$BDLGetDebugLevel$218$start#1:
+ goto inline$BDLGetDebugLevel$218$label_3#1;
+
+ inline$BDLGetDebugLevel$218$label_3#1:
+ havoc inline$BDLGetDebugLevel$218$myNondetVar_0;
+ inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$218$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$218$label_1#1;
+
+ inline$BDLGetDebugLevel$218$label_1#1:
+ goto inline$BDLGetDebugLevel$218$Return#1;
+
+ inline$BDLGetDebugLevel$218$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$ := inline$BDLGetDebugLevel$218$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_128$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_128$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon66_Then#1, inline$BDLCleanupNotificationStruct$0$anon66_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon66_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon41#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon41#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_137#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_137#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_137_true#1, inline$BDLCleanupNotificationStruct$0$label_137_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_137_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_138#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_137_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$36$) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_141#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_141#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_142#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_142#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_143#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_143#1:
+ call inline$BDLCleanupNotificationStruct$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupNotificationStruct$0$label_144#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_144#1:
+ havoc inline$BDLCleanupNotificationStruct$0$$result.DbgPrint$3231.0$37$;
+ goto inline$BDLCleanupNotificationStruct$0$label_138#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_138#1:
+ goto inline$BDLGetDebugLevel$219$Entry#1;
+
+ inline$BDLGetDebugLevel$219$Entry#1:
+ goto inline$BDLGetDebugLevel$219$start#1;
+
+ inline$BDLGetDebugLevel$219$start#1:
+ goto inline$BDLGetDebugLevel$219$label_3#1;
+
+ inline$BDLGetDebugLevel$219$label_3#1:
+ havoc inline$BDLGetDebugLevel$219$myNondetVar_0;
+ inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$219$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$219$label_1#1;
+
+ inline$BDLGetDebugLevel$219$label_1#1:
+ goto inline$BDLGetDebugLevel$219$Return#1;
+
+ inline$BDLGetDebugLevel$219$Return#1:
+ inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$ := inline$BDLGetDebugLevel$219$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupNotificationStruct$0$label_138$1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_138$1#1:
+ goto inline$BDLCleanupNotificationStruct$0$anon67_Then#1, inline$BDLCleanupNotificationStruct$0$anon67_Else#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon67_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$anon43#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon43#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_147#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_147#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_147_true#1, inline$BDLCleanupNotificationStruct$0$label_147_false#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_147_false#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$, 4) == 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_147_true#1:
+ assume BIT_BAND(inline$BDLCleanupNotificationStruct$0$$result.BDLGetDebugLevel$3231.0$41$, 4) != 0;
+ goto inline$BDLCleanupNotificationStruct$0$label_148#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_148#1:
+ goto inline$BDLCleanupNotificationStruct$0$label_1#1;
+
+ inline$BDLCleanupNotificationStruct$0$label_1#1:
+ call __HAVOC_free(inline$BDLCleanupNotificationStruct$0$$bddiRegisterNotifyParams$10$3120.36$BDLCleanupNotificationStruct$4);
+ call __HAVOC_free(inline$BDLCleanupNotificationStruct$0$$irql$3$3113.45$BDLCleanupNotificationStruct$4);
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon67_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon66_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon65_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon64_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon63_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon61_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupNotificationStruct$0$Return#1;
+
+ inline$BDLCleanupNotificationStruct$0$Return#1:
+ goto inline$BDLHandleRemove$0$label_38$1#1;
+
+ inline$BDLHandleRemove$0$label_38$1#1:
+ goto inline$BDLHandleRemove$0$anon47_Then#1, inline$BDLHandleRemove$0$anon47_Else#1;
+
+ inline$BDLHandleRemove$0$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon11#1;
+
+ inline$BDLHandleRemove$0$anon11#1:
+ goto inline$BDLHandleRemove$0$label_41#1;
+
+ inline$BDLHandleRemove$0$label_41#1:
+ goto inline$BDLCleanupDataHandles$0$Entry#1;
+
+ inline$BDLCleanupDataHandles$0$Entry#1:
+ inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1 := inline$BDLHandleRemove$0$$pBDLExtension$1$2378.40$BDLHandleRemove$12;
+ goto inline$BDLCleanupDataHandles$0$start#1;
+
+ inline$BDLCleanupDataHandles$0$start#1:
+ call inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(4);
+ call inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(8);
+ call inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4 := __HAVOC_malloc(1);
+ inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4_.1;
+ goto inline$BDLCleanupDataHandles$0$label_3#1;
+
+ inline$BDLCleanupDataHandles$0$label_3#1:
+ goto inline$BDLCleanupDataHandles$0$label_4#1;
+
+ inline$BDLCleanupDataHandles$0$label_4#1:
+ goto inline$BDLCleanupDataHandles$0$label_5#1;
+
+ inline$BDLCleanupDataHandles$0$label_5#1:
+ inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := 0;
+ goto inline$BDLCleanupDataHandles$0$label_6#1;
+
+ inline$BDLCleanupDataHandles$0$label_6#1:
+ goto inline$BDLCleanupDataHandles$0$label_7#1;
+
+ inline$BDLCleanupDataHandles$0$label_7#1:
+ goto inline$BDLCleanupDataHandles$0$label_8#1;
+
+ inline$BDLCleanupDataHandles$0$label_8#1:
+ goto inline$BDLCleanupDataHandles$0$label_9#1;
+
+ inline$BDLCleanupDataHandles$0$label_9#1:
+ goto inline$BDLGetDebugLevel$220$Entry#1;
+
+ inline$BDLGetDebugLevel$220$Entry#1:
+ goto inline$BDLGetDebugLevel$220$start#1;
+
+ inline$BDLGetDebugLevel$220$start#1:
+ goto inline$BDLGetDebugLevel$220$label_3#1;
+
+ inline$BDLGetDebugLevel$220$label_3#1:
+ havoc inline$BDLGetDebugLevel$220$myNondetVar_0;
+ inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$220$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$220$label_1#1;
+
+ inline$BDLGetDebugLevel$220$label_1#1:
+ goto inline$BDLGetDebugLevel$220$Return#1;
+
+ inline$BDLGetDebugLevel$220$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$ := inline$BDLGetDebugLevel$220$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_9$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_9$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon30_Then#1, inline$BDLCleanupDataHandles$0$anon30_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon1#1;
+
+ inline$BDLCleanupDataHandles$0$anon1#1:
+ goto inline$BDLCleanupDataHandles$0$label_12#1;
+
+ inline$BDLCleanupDataHandles$0$label_12#1:
+ goto inline$BDLCleanupDataHandles$0$label_12_true#1, inline$BDLCleanupDataHandles$0$label_12_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_12_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_13#1;
+
+ inline$BDLCleanupDataHandles$0$label_12_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$1$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_16#1;
+
+ inline$BDLCleanupDataHandles$0$label_16#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_17#1;
+
+ inline$BDLCleanupDataHandles$0$label_17#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_18#1;
+
+ inline$BDLCleanupDataHandles$0$label_18#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_19#1;
+
+ inline$BDLCleanupDataHandles$0$label_19#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$2$;
+ goto inline$BDLCleanupDataHandles$0$label_13#1;
+
+ inline$BDLCleanupDataHandles$0$label_13#1:
+ goto inline$BDLGetDebugLevel$221$Entry#1;
+
+ inline$BDLGetDebugLevel$221$Entry#1:
+ goto inline$BDLGetDebugLevel$221$start#1;
+
+ inline$BDLGetDebugLevel$221$start#1:
+ goto inline$BDLGetDebugLevel$221$label_3#1;
+
+ inline$BDLGetDebugLevel$221$label_3#1:
+ havoc inline$BDLGetDebugLevel$221$myNondetVar_0;
+ inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$221$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$221$label_1#1;
+
+ inline$BDLGetDebugLevel$221$label_1#1:
+ goto inline$BDLGetDebugLevel$221$Return#1;
+
+ inline$BDLGetDebugLevel$221$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$ := inline$BDLGetDebugLevel$221$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_13$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_13$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon31_Then#1, inline$BDLCleanupDataHandles$0$anon31_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon3#1;
+
+ inline$BDLCleanupDataHandles$0$anon3#1:
+ goto inline$BDLCleanupDataHandles$0$label_22#1;
+
+ inline$BDLCleanupDataHandles$0$label_22#1:
+ goto inline$BDLCleanupDataHandles$0$label_22_true#1, inline$BDLCleanupDataHandles$0$label_22_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_22_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_23#1;
+
+ inline$BDLCleanupDataHandles$0$label_22_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$6$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_26#1;
+
+ inline$BDLCleanupDataHandles$0$label_26#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_27#1;
+
+ inline$BDLCleanupDataHandles$0$label_27#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_28#1;
+
+ inline$BDLCleanupDataHandles$0$label_28#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_29#1;
+
+ inline$BDLCleanupDataHandles$0$label_29#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3251.0$7$;
+ goto inline$BDLCleanupDataHandles$0$label_23#1;
+
+ inline$BDLCleanupDataHandles$0$label_23#1:
+ goto inline$BDLGetDebugLevel$222$Entry#1;
+
+ inline$BDLGetDebugLevel$222$Entry#1:
+ goto inline$BDLGetDebugLevel$222$start#1;
+
+ inline$BDLGetDebugLevel$222$start#1:
+ goto inline$BDLGetDebugLevel$222$label_3#1;
+
+ inline$BDLGetDebugLevel$222$label_3#1:
+ havoc inline$BDLGetDebugLevel$222$myNondetVar_0;
+ inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$222$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$222$label_1#1;
+
+ inline$BDLGetDebugLevel$222$label_1#1:
+ goto inline$BDLGetDebugLevel$222$Return#1;
+
+ inline$BDLGetDebugLevel$222$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$ := inline$BDLGetDebugLevel$222$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_23$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_23$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon32_Then#1, inline$BDLCleanupDataHandles$0$anon32_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon5#1;
+
+ inline$BDLCleanupDataHandles$0$anon5#1:
+ goto inline$BDLCleanupDataHandles$0$label_32#1;
+
+ inline$BDLCleanupDataHandles$0$label_32#1:
+ goto inline$BDLCleanupDataHandles$0$label_32_true#1, inline$BDLCleanupDataHandles$0$label_32_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_32_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_33#1;
+
+ inline$BDLCleanupDataHandles$0$label_32_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3251.0$11$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_34#1;
+
+ inline$BDLCleanupDataHandles$0$label_34#1:
+ goto inline$BDLCleanupDataHandles$0$label_33#1;
+
+ inline$BDLCleanupDataHandles$0$label_33#1:
+ goto inline$BDLCleanupDataHandles$0$label_35#1;
+
+ inline$BDLCleanupDataHandles$0$label_35#1:
+ goto inline$BDLLockHandleList$0$Entry#1;
+
+ inline$BDLLockHandleList$0$Entry#1:
+ inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
+ goto inline$BDLLockHandleList$0$start#1;
+
+ inline$BDLLockHandleList$0$start#1:
+ inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8 := inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8_.1;
+ goto inline$BDLLockHandleList$0$label_3#1;
+
+ inline$BDLLockHandleList$0$label_3#1:
+ havoc inline$BDLLockHandleList$0$myNondetVar_0;
+ havoc inline$BDLLockHandleList$0$myNondetVar_1;
+ assume inline$BDLLockHandleList$0$myNondetVar_0 == inline$BDLLockHandleList$0$myNondetVar_1;
+ goto inline$storm_KeAcquireSpinLock$1$Entry#1;
+
+ inline$storm_KeAcquireSpinLock$1$Entry#1:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLLockHandleList$0$$pBDLExtension$1$134.40$BDLLockHandleList$8);
+ goto inline$storm_KeAcquireSpinLock$1$start#1;
+
+ inline$storm_KeAcquireSpinLock$1$start#1:
+ inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8_.1;
+ goto inline$storm_KeAcquireSpinLock$1$label_3#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_3#1:
+ goto inline$storm_KeAcquireSpinLock$1$label_4#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_4#1:
+ goto inline$storm_getThreadID$3$Entry#1;
+
+ inline$storm_getThreadID$3$Entry#1:
+ goto inline$storm_getThreadID$3$anon0#1;
+
+ inline$storm_getThreadID$3$anon0#1:
+ inline$storm_getThreadID$3$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$3$Return#1;
+
+ inline$storm_getThreadID$3$Return#1:
+ inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$ := inline$storm_getThreadID$3$tid;
+ goto inline$storm_KeAcquireSpinLock$1$label_4$1#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_4$1#1:
+ goto inline$storm_KeAcquireSpinLock$1$label_7#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_7#1:
+ inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$result.storm_getThreadID$128.29$1$;
+ goto inline$storm_KeAcquireSpinLock$1$label_8#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_8#1:
+ goto inline$storm_KeAcquireSpinLock$1$label_9#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_9#1:
+ __storm_atomic := true;
+ goto inline$storm_KeAcquireSpinLock$1$label_12#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_12#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon7_Then#1, inline$storm_KeAcquireSpinLock$1$anon7_Else#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon1#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8], inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_13#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_13#1:
+ goto inline$storm_KeAcquireSpinLock$1$label_13_true#1, inline$storm_KeAcquireSpinLock$1$label_13_false#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_false#1:
+ assume !INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$1$label_14#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_14#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeAcquireSpinLock$1$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_13_true#1:
+ assume INT_NEQ(inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8, inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8);
+ goto inline$storm_KeAcquireSpinLock$1$label_17#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_17#1:
+ havoc raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon8_Then#1, inline$storm_KeAcquireSpinLock$1$anon8_Else#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$anon4#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon4#1:
+ assume INT_EQ(inline$storm_KeAcquireSpinLock$1$$lockStatus$4$129.6$storm_KeAcquireSpinLock$8, 0);
+ goto inline$storm_KeAcquireSpinLock$1$label_18#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_18#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeAcquireSpinLock$1$$SpinLock$1$124.17$storm_KeAcquireSpinLock$8 := inline$storm_KeAcquireSpinLock$1$$tid$3$128.6$storm_KeAcquireSpinLock$8];
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_19#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_19#1:
+ goto inline$storm_KeAcquireSpinLock$1$anon9_Then#1, inline$storm_KeAcquireSpinLock$1$anon9_Else#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeAcquireSpinLock$1$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeAcquireSpinLock$1$anon6#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon6#1:
+ call contextSwitch();
+ goto inline$storm_KeAcquireSpinLock$1$label_1#1;
+
+ inline$storm_KeAcquireSpinLock$1$label_1#1:
+ goto inline$storm_KeAcquireSpinLock$1$Return#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#1;
+
+ inline$storm_KeAcquireSpinLock$1$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeAcquireSpinLock$1$Return#1;
+
+ inline$storm_KeAcquireSpinLock$1$Return#1:
+ goto inline$BDLLockHandleList$0$label_3$1#1;
+
+ inline$BDLLockHandleList$0$label_3$1#1:
+ goto inline$BDLLockHandleList$0$anon2_Then#1, inline$BDLLockHandleList$0$anon2_Else#1;
+
+ inline$BDLLockHandleList$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLLockHandleList$0$anon1#1;
+
+ inline$BDLLockHandleList$0$anon1#1:
+ havoc inline$BDLLockHandleList$0$myNondetVar_0;
+ goto inline$BDLLockHandleList$0$label_1#1;
+
+ inline$BDLLockHandleList$0$label_1#1:
+ goto inline$BDLLockHandleList$0$Return#1;
+
+ inline$BDLLockHandleList$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLLockHandleList$0$Return#1;
+
+ inline$BDLLockHandleList$0$Return#1:
+ goto inline$BDLCleanupDataHandles$0$label_35$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_35$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon33_Then#1, inline$BDLCleanupDataHandles$0$anon33_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon7#1;
+
+ inline$BDLCleanupDataHandles$0$anon7#1:
+ goto inline$BDLCleanupDataHandles$0$label_38#1;
+
+ inline$BDLCleanupDataHandles$0$label_38#1:
+ goto inline$BDLCleanupDataHandles$0$label_38_head#1;
+
+ inline$BDLCleanupDataHandles$0$label_38_head#1:
+ goto inline$BDLGetFirstHandle$0$Entry#1;
+
+ inline$BDLGetFirstHandle$0$Entry#1:
+ goto inline$BDLGetFirstHandle$0$start#1;
+
+ inline$BDLGetFirstHandle$0$start#1:
+ goto inline$BDLGetFirstHandle$0$label_3#1;
+
+ inline$BDLGetFirstHandle$0$label_3#1:
+ goto inline$BDLGetFirstHandle$0$label_3_true#1, inline$BDLGetFirstHandle$0$label_3_false#1;
+
+ inline$BDLGetFirstHandle$0$label_3_false#1:
+ havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
+ assume inline$BDLGetFirstHandle$0$myNondetVar_0 == 0;
+ goto inline$BDLGetFirstHandle$0$label_4#1;
+
+ inline$BDLGetFirstHandle$0$label_4#1:
+ inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$ := 0;
+ goto inline$BDLGetFirstHandle$0$label_1#1;
+
+ inline$BDLGetFirstHandle$0$label_3_true#1:
+ havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
+ assume inline$BDLGetFirstHandle$0$myNondetVar_0 != 0;
+ goto inline$BDLGetFirstHandle$0$label_5#1;
+
+ inline$BDLGetFirstHandle$0$label_5#1:
+ havoc inline$BDLGetFirstHandle$0$myNondetVar_0;
+ havoc inline$BDLGetFirstHandle$0$myNondetVar_1;
+ goto inline$BDLGetFirstHandle$0$label_6#1;
+
+ inline$BDLGetFirstHandle$0$label_6#1:
+ inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$ := 1;
+ goto inline$BDLGetFirstHandle$0$label_1#1;
+
+ inline$BDLGetFirstHandle$0$label_1#1:
+ goto inline$BDLGetFirstHandle$0$Return#1;
+
+ inline$BDLGetFirstHandle$0$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$ := inline$BDLGetFirstHandle$0$$result.BDLGetFirstHandle$276.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_38_head$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_38_head$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon34_Then#1, inline$BDLCleanupDataHandles$0$anon34_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon9#1;
+
+ inline$BDLCleanupDataHandles$0$anon9#1:
+ goto inline$BDLCleanupDataHandles$0$label_41#1;
+
+ inline$BDLCleanupDataHandles$0$label_41#1:
+ goto inline$BDLCleanupDataHandles$0$label_41_true#1, inline$BDLCleanupDataHandles$0$label_41_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_41_false#1:
+ assume !INT_EQ(inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$, 1);
+ goto inline$BDLCleanupDataHandles$0$label_42#1;
+
+ inline$BDLCleanupDataHandles$0$label_42#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$BDLReleaseHandleList$0$Entry#1;
+
+ inline$BDLReleaseHandleList$0$Entry#1:
+ inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1 := inline$BDLCleanupDataHandles$0$$pBDLExtension$1$3242.40$BDLCleanupDataHandles$4;
+ goto inline$BDLReleaseHandleList$0$start#1;
+
+ inline$BDLReleaseHandleList$0$start#1:
+ inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8 := inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8_.1;
+ goto inline$BDLReleaseHandleList$0$label_3#1;
+
+ inline$BDLReleaseHandleList$0$label_3#1:
+ havoc inline$BDLReleaseHandleList$0$myNondetVar_0;
+ havoc inline$BDLReleaseHandleList$0$myNondetVar_1;
+ assume inline$BDLReleaseHandleList$0$myNondetVar_0 == inline$BDLReleaseHandleList$0$myNondetVar_1;
+ goto inline$storm_KeReleaseSpinLock$2$Entry#1;
+
+ inline$storm_KeReleaseSpinLock$2$Entry#1:
+ inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1 := HandleListLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLReleaseHandleList$0$$pBDLExtension$1$145.40$BDLReleaseHandleList$8);
+ goto inline$storm_KeReleaseSpinLock$2$start#1;
+
+ inline$storm_KeReleaseSpinLock$2$start#1:
+ inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8_.1;
+ goto inline$storm_KeReleaseSpinLock$2$label_3#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_3#1:
+ goto inline$storm_KeReleaseSpinLock$2$label_4#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_4#1:
+ __storm_atomic := true;
+ goto inline$storm_KeReleaseSpinLock$2$label_7#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_7#1:
+ havoc raiseException;
+ goto inline$storm_KeReleaseSpinLock$2$anon5_Then#1, inline$storm_KeReleaseSpinLock$2$anon5_Else#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeReleaseSpinLock$2$anon1#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_LOCK[inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8], inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8);
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$2$label_8#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_8#1:
+ goto inline$storm_getThreadID$4$Entry#1;
+
+ inline$storm_getThreadID$4$Entry#1:
+ goto inline$storm_getThreadID$4$anon0#1;
+
+ inline$storm_getThreadID$4$anon0#1:
+ inline$storm_getThreadID$4$tid := __storm_thread_id;
+ goto inline$storm_getThreadID$4$Return#1;
+
+ inline$storm_getThreadID$4$Return#1:
+ inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$ := inline$storm_getThreadID$4$tid;
+ goto inline$storm_KeReleaseSpinLock$2$label_8$1#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_8$1#1:
+ goto inline$storm_KeReleaseSpinLock$2$label_11#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_11#1:
+ goto inline$storm_KeReleaseSpinLock$2$label_11_true#1, inline$storm_KeReleaseSpinLock$2$label_11_false#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_11_false#1:
+ assume !INT_EQ(inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$2$label_12#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_12#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_KeReleaseSpinLock$2$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_11_true#1:
+ assume INT_EQ(inline$storm_KeReleaseSpinLock$2$$lockStatus$3$144.6$storm_KeReleaseSpinLock$8, inline$storm_KeReleaseSpinLock$2$$result.storm_getThreadID$145.0$1$);
+ goto inline$storm_KeReleaseSpinLock$2$label_15#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_15#1:
+ Res_0_LOCK := Res_0_LOCK[inline$storm_KeReleaseSpinLock$2$$SpinLock$1$140.17$storm_KeReleaseSpinLock$8 := 0];
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$2$label_16#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_16#1:
+ goto inline$storm_KeReleaseSpinLock$2$anon6_Then#1, inline$storm_KeReleaseSpinLock$2$anon6_Else#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon6_Else#1:
+ assume __storm_init;
+ goto inline$storm_KeReleaseSpinLock$2$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon6_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_KeReleaseSpinLock$2$anon4#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon4#1:
+ call contextSwitch();
+ goto inline$storm_KeReleaseSpinLock$2$label_1#1;
+
+ inline$storm_KeReleaseSpinLock$2$label_1#1:
+ goto inline$storm_KeReleaseSpinLock$2$Return#1;
+
+ inline$storm_KeReleaseSpinLock$2$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_KeReleaseSpinLock$2$Return#1;
+
+ inline$storm_KeReleaseSpinLock$2$Return#1:
+ goto inline$BDLReleaseHandleList$0$label_3$1#1;
+
+ inline$BDLReleaseHandleList$0$label_3$1#1:
+ goto inline$BDLReleaseHandleList$0$anon2_Then#1, inline$BDLReleaseHandleList$0$anon2_Else#1;
+
+ inline$BDLReleaseHandleList$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLReleaseHandleList$0$anon1#1;
+
+ inline$BDLReleaseHandleList$0$anon1#1:
+ havoc inline$BDLReleaseHandleList$0$myNondetVar_0;
+ goto inline$BDLReleaseHandleList$0$label_1#1;
+
+ inline$BDLReleaseHandleList$0$label_1#1:
+ goto inline$BDLReleaseHandleList$0$Return#1;
+
+ inline$BDLReleaseHandleList$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLReleaseHandleList$0$Return#1;
+
+ inline$BDLReleaseHandleList$0$Return#1:
+ goto inline$BDLCleanupDataHandles$0$label_42$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_42$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon35_Then#1, inline$BDLCleanupDataHandles$0$anon35_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon11#1;
+
+ inline$BDLCleanupDataHandles$0$anon11#1:
+ goto inline$BDLCleanupDataHandles$0$label_87#1;
+
+ inline$BDLCleanupDataHandles$0$label_87#1:
+ goto inline$BDLGetDebugLevel$226$Entry#1;
+
+ inline$BDLGetDebugLevel$226$Entry#1:
+ goto inline$BDLGetDebugLevel$226$start#1;
+
+ inline$BDLGetDebugLevel$226$start#1:
+ goto inline$BDLGetDebugLevel$226$label_3#1;
+
+ inline$BDLGetDebugLevel$226$label_3#1:
+ havoc inline$BDLGetDebugLevel$226$myNondetVar_0;
+ inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$226$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$226$label_1#1;
+
+ inline$BDLGetDebugLevel$226$label_1#1:
+ goto inline$BDLGetDebugLevel$226$Return#1;
+
+ inline$BDLGetDebugLevel$226$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$ := inline$BDLGetDebugLevel$226$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_87$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_87$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon42_Then#1, inline$BDLCleanupDataHandles$0$anon42_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon25#1;
+
+ inline$BDLCleanupDataHandles$0$anon25#1:
+ goto inline$BDLCleanupDataHandles$0$label_90#1;
+
+ inline$BDLCleanupDataHandles$0$label_90#1:
+ goto inline$BDLCleanupDataHandles$0$label_90_true#1, inline$BDLCleanupDataHandles$0$label_90_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_90_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_91#1;
+
+ inline$BDLCleanupDataHandles$0$label_90_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$26$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_94#1;
+
+ inline$BDLCleanupDataHandles$0$label_94#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_95#1;
+
+ inline$BDLCleanupDataHandles$0$label_95#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_96#1;
+
+ inline$BDLCleanupDataHandles$0$label_96#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_97#1;
+
+ inline$BDLCleanupDataHandles$0$label_97#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$27$;
+ goto inline$BDLCleanupDataHandles$0$label_91#1;
+
+ inline$BDLCleanupDataHandles$0$label_91#1:
+ goto inline$BDLGetDebugLevel$227$Entry#1;
+
+ inline$BDLGetDebugLevel$227$Entry#1:
+ goto inline$BDLGetDebugLevel$227$start#1;
+
+ inline$BDLGetDebugLevel$227$start#1:
+ goto inline$BDLGetDebugLevel$227$label_3#1;
+
+ inline$BDLGetDebugLevel$227$label_3#1:
+ havoc inline$BDLGetDebugLevel$227$myNondetVar_0;
+ inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$227$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$227$label_1#1;
+
+ inline$BDLGetDebugLevel$227$label_1#1:
+ goto inline$BDLGetDebugLevel$227$Return#1;
+
+ inline$BDLGetDebugLevel$227$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$ := inline$BDLGetDebugLevel$227$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_91$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_91$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon43_Then#1, inline$BDLCleanupDataHandles$0$anon43_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon27#1;
+
+ inline$BDLCleanupDataHandles$0$anon27#1:
+ goto inline$BDLCleanupDataHandles$0$label_100#1;
+
+ inline$BDLCleanupDataHandles$0$label_100#1:
+ goto inline$BDLCleanupDataHandles$0$label_100_true#1, inline$BDLCleanupDataHandles$0$label_100_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_100_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_101#1;
+
+ inline$BDLCleanupDataHandles$0$label_100_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$31$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_104#1;
+
+ inline$BDLCleanupDataHandles$0$label_104#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_105#1;
+
+ inline$BDLCleanupDataHandles$0$label_105#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_106#1;
+
+ inline$BDLCleanupDataHandles$0$label_106#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_107#1;
+
+ inline$BDLCleanupDataHandles$0$label_107#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3308.0$32$;
+ goto inline$BDLCleanupDataHandles$0$label_101#1;
+
+ inline$BDLCleanupDataHandles$0$label_101#1:
+ goto inline$BDLGetDebugLevel$228$Entry#1;
+
+ inline$BDLGetDebugLevel$228$Entry#1:
+ goto inline$BDLGetDebugLevel$228$start#1;
+
+ inline$BDLGetDebugLevel$228$start#1:
+ goto inline$BDLGetDebugLevel$228$label_3#1;
+
+ inline$BDLGetDebugLevel$228$label_3#1:
+ havoc inline$BDLGetDebugLevel$228$myNondetVar_0;
+ inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$228$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$228$label_1#1;
+
+ inline$BDLGetDebugLevel$228$label_1#1:
+ goto inline$BDLGetDebugLevel$228$Return#1;
+
+ inline$BDLGetDebugLevel$228$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$ := inline$BDLGetDebugLevel$228$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_101$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_101$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon44_Then#1, inline$BDLCleanupDataHandles$0$anon44_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon29#1;
+
+ inline$BDLCleanupDataHandles$0$anon29#1:
+ goto inline$BDLCleanupDataHandles$0$label_110#1;
+
+ inline$BDLCleanupDataHandles$0$label_110#1:
+ goto inline$BDLCleanupDataHandles$0$label_110_true#1, inline$BDLCleanupDataHandles$0$label_110_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_110_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_1#1;
+
+ inline$BDLCleanupDataHandles$0$label_110_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3308.0$36$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_111#1;
+
+ inline$BDLCleanupDataHandles$0$label_111#1:
+ goto inline$BDLCleanupDataHandles$0$label_1#1;
+
+ inline$BDLCleanupDataHandles$0$label_1#1:
+ call __HAVOC_free(inline$BDLCleanupDataHandles$0$$bddDataHandle$4$3247.28$BDLCleanupDataHandles$4);
+ call __HAVOC_free(inline$BDLCleanupDataHandles$0$$bddiCloseHandleParams$5$3248.28$BDLCleanupDataHandles$4);
+ call __HAVOC_free(inline$BDLCleanupDataHandles$0$$irql$6$3249.28$BDLCleanupDataHandles$4);
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$label_41_true#1:
+ assume INT_EQ(inline$BDLCleanupDataHandles$0$$result.BDLGetFirstHandle$3264.27$12$, 1);
+ goto inline$BDLCleanupDataHandles$0$label_45#1;
+
+ inline$BDLCleanupDataHandles$0$label_45#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$Entry#1;
+
+ inline$BDLRemoveHandleFromList$0$Entry#1:
+ inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$start#1;
+
+ inline$BDLRemoveHandleFromList$0$start#1:
+ inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8_.1;
+ goto inline$BDLRemoveHandleFromList$0$label_3#1;
+
+ inline$BDLRemoveHandleFromList$0$label_3#1:
+ goto inline$BDLRemoveHandleFromList$0$label_4#1;
+
+ inline$BDLRemoveHandleFromList$0$label_4#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_5#1;
+
+ inline$BDLRemoveHandleFromList$0$label_5#1:
+ goto inline$BDLRemoveHandleFromList$0$label_6#1;
+
+ inline$BDLRemoveHandleFromList$0$label_6#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_7#1;
+
+ inline$BDLRemoveHandleFromList$0$label_7#1:
+ goto inline$BDLRemoveHandleFromList$0$label_7_true#1, inline$BDLRemoveHandleFromList$0$label_7_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_7_false#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$0$label_8#1;
+
+ inline$BDLRemoveHandleFromList$0$label_8#1:
+ goto inline$BDLRemoveHandleFromList$0$label_1#1;
+
+ inline$BDLRemoveHandleFromList$0$label_7_true#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$0$label_9#1;
+
+ inline$BDLRemoveHandleFromList$0$label_9#1:
+ goto inline$BDLRemoveHandleFromList$0$label_9_true#1, inline$BDLRemoveHandleFromList$0$label_9_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_9_false#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_10#1;
+
+ inline$BDLRemoveHandleFromList$0$label_10#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_20#1;
+
+ inline$BDLRemoveHandleFromList$0$label_20#1:
+ goto inline$BDLRemoveHandleFromList$0$label_20_head#1;
+
+ inline$BDLRemoveHandleFromList$0$label_20_head#1:
+ goto inline$BDLRemoveHandleFromList$0$label_20_true#1, inline$BDLRemoveHandleFromList$0$label_20_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_20_false#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$0$label_21#1;
+
+ inline$BDLRemoveHandleFromList$0$label_20_true#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$0$label_22#1;
+
+ inline$BDLRemoveHandleFromList$0$label_22#1:
+ goto inline$BDLRemoveHandleFromList$0$label_22_true#1, inline$BDLRemoveHandleFromList$0$label_22_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_22_false#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume !INT_NEQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_21#1;
+
+ inline$BDLRemoveHandleFromList$0$label_21#1:
+ goto inline$BDLRemoveHandleFromList$0$label_21_true#1, inline$BDLRemoveHandleFromList$0$label_21_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_21_false#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 == 0;
+ goto inline$BDLRemoveHandleFromList$0$label_25#1;
+
+ inline$BDLRemoveHandleFromList$0$label_25#1:
+ goto inline$BDLRemoveHandleFromList$0$label_1#1;
+
+ inline$BDLRemoveHandleFromList$0$label_21_true#1:
+ assume inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 != 0;
+ goto inline$BDLRemoveHandleFromList$0$label_26#1;
+
+ inline$BDLRemoveHandleFromList$0$label_26#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_27#1;
+
+ inline$BDLRemoveHandleFromList$0$label_27#1:
+ goto inline$BDLRemoveHandleFromList$0$label_27_true#1, inline$BDLRemoveHandleFromList$0$label_27_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_27_false#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_15#1;
+
+ inline$BDLRemoveHandleFromList$0$label_27_true#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_28#1;
+
+ inline$BDLRemoveHandleFromList$0$label_28#1:
+ goto inline$BDLRemoveHandleFromList$0$label_15#1;
+
+ inline$BDLRemoveHandleFromList$0$label_22_true#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume INT_NEQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_23#1;
+
+ inline$BDLRemoveHandleFromList$0$label_23#1:
+ goto inline$BDLRemoveHandleFromList$0$label_24#1;
+
+ inline$BDLRemoveHandleFromList$0$label_24#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8 := inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_20_head#0;
+
+ inline$BDLRemoveHandleFromList$0$label_20_head#0:
+ assume false;
+ return;
+
+ inline$BDLRemoveHandleFromList$0$label_9_true#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$$handle$2$218.24$BDLRemoveHandleFromList$8);
+ goto inline$BDLRemoveHandleFromList$0$label_11#1;
+
+ inline$BDLRemoveHandleFromList$0$label_11#1:
+ goto inline$BDLRemoveHandleFromList$0$label_11_true#1, inline$BDLRemoveHandleFromList$0$label_11_false#1;
+
+ inline$BDLRemoveHandleFromList$0$label_11_false#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_1;
+ assume !INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$myNondetVar_1);
+ goto inline$BDLRemoveHandleFromList$0$label_12#1;
+
+ inline$BDLRemoveHandleFromList$0$label_12#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_15#1;
+
+ inline$BDLRemoveHandleFromList$0$label_11_true#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_1;
+ assume INT_EQ(inline$BDLRemoveHandleFromList$0$myNondetVar_0, inline$BDLRemoveHandleFromList$0$myNondetVar_1);
+ goto inline$BDLRemoveHandleFromList$0$label_13#1;
+
+ inline$BDLRemoveHandleFromList$0$label_13#1:
+ goto inline$BDLRemoveHandleFromList$0$label_14#1;
+
+ inline$BDLRemoveHandleFromList$0$label_14#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_15#1;
+
+ inline$BDLRemoveHandleFromList$0$label_15#1:
+ havoc inline$BDLRemoveHandleFromList$0$myNondetVar_0;
+ goto inline$BDLRemoveHandleFromList$0$label_16#1;
+
+ inline$BDLRemoveHandleFromList$0$label_16#1:
+ call ExFreePoolWithTag(inline$BDLRemoveHandleFromList$0$$pListNodeToDelete$3$221.15$BDLRemoveHandleFromList$8, 1280066626);
+ goto inline$BDLRemoveHandleFromList$0$anon2_Then#1, inline$BDLRemoveHandleFromList$0$anon2_Else#1;
+
+ inline$BDLRemoveHandleFromList$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLRemoveHandleFromList$0$anon1#1;
+
+ inline$BDLRemoveHandleFromList$0$anon1#1:
+ goto inline$BDLRemoveHandleFromList$0$label_19#1;
+
+ inline$BDLRemoveHandleFromList$0$label_19#1:
+ goto inline$BDLRemoveHandleFromList$0$label_1#1;
+
+ inline$BDLRemoveHandleFromList$0$label_1#1:
+ goto inline$BDLRemoveHandleFromList$0$Return#1;
+
+ inline$BDLRemoveHandleFromList$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLRemoveHandleFromList$0$Return#1;
+
+ inline$BDLRemoveHandleFromList$0$Return#1:
+ goto inline$BDLCleanupDataHandles$0$label_45$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_45$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon36_Then#1, inline$BDLCleanupDataHandles$0$anon36_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon13#1;
+
+ inline$BDLCleanupDataHandles$0$anon13#1:
+ goto inline$BDLCleanupDataHandles$0$label_48#1;
+
+ inline$BDLCleanupDataHandles$0$label_48#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$BDLCleanupDataHandles$0$label_49#1;
+
+ inline$BDLCleanupDataHandles$0$label_49#1:
+ goto inline$BDLCleanupDataHandles$0$label_49_true#1, inline$BDLCleanupDataHandles$0$label_49_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_49_false#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCleanupDataHandles$0$myNondetVar_0, 2);
+ goto inline$BDLCleanupDataHandles$0$label_50#1;
+
+ inline$BDLCleanupDataHandles$0$label_50#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$BDLCleanupDataHandles$0$label_57#1;
+
+ inline$BDLCleanupDataHandles$0$label_57#1:
+ goto inline$BDLCleanupDataHandles$0$label_60#1;
+
+ inline$BDLCleanupDataHandles$0$label_60#1:
+ inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 := inline$BDLCleanupDataHandles$0$$callresult.$3284.85$14$;
+ goto inline$BDLCleanupDataHandles$0$label_61#1;
+
+ inline$BDLCleanupDataHandles$0$label_61#1:
+ goto inline$BDLCleanupDataHandles$0$label_61_true#1, inline$BDLCleanupDataHandles$0$label_61_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_61_false#1:
+ assume inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 == 0;
+ goto inline$BDLCleanupDataHandles$0$label_54#1;
+
+ inline$BDLCleanupDataHandles$0$label_61_true#1:
+ assume inline$BDLCleanupDataHandles$0$$status$2$3245.28$BDLCleanupDataHandles$4 != 0;
+ goto inline$BDLCleanupDataHandles$0$label_62#1;
+
+ inline$BDLCleanupDataHandles$0$label_62#1:
+ goto inline$BDLGetDebugLevel$223$Entry#1;
+
+ inline$BDLGetDebugLevel$223$Entry#1:
+ goto inline$BDLGetDebugLevel$223$start#1;
+
+ inline$BDLGetDebugLevel$223$start#1:
+ goto inline$BDLGetDebugLevel$223$label_3#1;
+
+ inline$BDLGetDebugLevel$223$label_3#1:
+ havoc inline$BDLGetDebugLevel$223$myNondetVar_0;
+ inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$223$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$223$label_1#1;
+
+ inline$BDLGetDebugLevel$223$label_1#1:
+ goto inline$BDLGetDebugLevel$223$Return#1;
+
+ inline$BDLGetDebugLevel$223$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$ := inline$BDLGetDebugLevel$223$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_62$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_62$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon39_Then#1, inline$BDLCleanupDataHandles$0$anon39_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon19#1;
+
+ inline$BDLCleanupDataHandles$0$anon19#1:
+ goto inline$BDLCleanupDataHandles$0$label_65#1;
+
+ inline$BDLCleanupDataHandles$0$label_65#1:
+ goto inline$BDLCleanupDataHandles$0$label_65_true#1, inline$BDLCleanupDataHandles$0$label_65_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_65_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_66#1;
+
+ inline$BDLCleanupDataHandles$0$label_65_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$15$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_69#1;
+
+ inline$BDLCleanupDataHandles$0$label_69#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_70#1;
+
+ inline$BDLCleanupDataHandles$0$label_70#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_71#1;
+
+ inline$BDLCleanupDataHandles$0$label_71#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_72#1;
+
+ inline$BDLCleanupDataHandles$0$label_72#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$16$;
+ goto inline$BDLCleanupDataHandles$0$label_66#1;
+
+ inline$BDLCleanupDataHandles$0$label_66#1:
+ goto inline$BDLGetDebugLevel$224$Entry#1;
+
+ inline$BDLGetDebugLevel$224$Entry#1:
+ goto inline$BDLGetDebugLevel$224$start#1;
+
+ inline$BDLGetDebugLevel$224$start#1:
+ goto inline$BDLGetDebugLevel$224$label_3#1;
+
+ inline$BDLGetDebugLevel$224$label_3#1:
+ havoc inline$BDLGetDebugLevel$224$myNondetVar_0;
+ inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$224$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$224$label_1#1;
+
+ inline$BDLGetDebugLevel$224$label_1#1:
+ goto inline$BDLGetDebugLevel$224$Return#1;
+
+ inline$BDLGetDebugLevel$224$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$ := inline$BDLGetDebugLevel$224$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_66$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_66$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon40_Then#1, inline$BDLCleanupDataHandles$0$anon40_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon21#1;
+
+ inline$BDLCleanupDataHandles$0$anon21#1:
+ goto inline$BDLCleanupDataHandles$0$label_75#1;
+
+ inline$BDLCleanupDataHandles$0$label_75#1:
+ goto inline$BDLCleanupDataHandles$0$label_75_true#1, inline$BDLCleanupDataHandles$0$label_75_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_75_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_76#1;
+
+ inline$BDLCleanupDataHandles$0$label_75_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$20$) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_79#1;
+
+ inline$BDLCleanupDataHandles$0$label_79#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_80#1;
+
+ inline$BDLCleanupDataHandles$0$label_80#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_81#1;
+
+ inline$BDLCleanupDataHandles$0$label_81#1:
+ call inline$BDLCleanupDataHandles$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCleanupDataHandles$0$label_82#1;
+
+ inline$BDLCleanupDataHandles$0$label_82#1:
+ havoc inline$BDLCleanupDataHandles$0$$result.DbgPrint$3290.0$21$;
+ goto inline$BDLCleanupDataHandles$0$label_76#1;
+
+ inline$BDLCleanupDataHandles$0$label_76#1:
+ goto inline$BDLGetDebugLevel$225$Entry#1;
+
+ inline$BDLGetDebugLevel$225$Entry#1:
+ goto inline$BDLGetDebugLevel$225$start#1;
+
+ inline$BDLGetDebugLevel$225$start#1:
+ goto inline$BDLGetDebugLevel$225$label_3#1;
+
+ inline$BDLGetDebugLevel$225$label_3#1:
+ havoc inline$BDLGetDebugLevel$225$myNondetVar_0;
+ inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$225$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$225$label_1#1;
+
+ inline$BDLGetDebugLevel$225$label_1#1:
+ goto inline$BDLGetDebugLevel$225$Return#1;
+
+ inline$BDLGetDebugLevel$225$Return#1:
+ inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$ := inline$BDLGetDebugLevel$225$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLCleanupDataHandles$0$label_76$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_76$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon41_Then#1, inline$BDLCleanupDataHandles$0$anon41_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon23#1;
+
+ inline$BDLCleanupDataHandles$0$anon23#1:
+ goto inline$BDLCleanupDataHandles$0$label_85#1;
+
+ inline$BDLCleanupDataHandles$0$label_85#1:
+ goto inline$BDLCleanupDataHandles$0$label_85_true#1, inline$BDLCleanupDataHandles$0$label_85_false#1;
+
+ inline$BDLCleanupDataHandles$0$label_85_false#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$, 4) == 0;
+ goto inline$BDLCleanupDataHandles$0$label_54#1;
+
+ inline$BDLCleanupDataHandles$0$label_85_true#1:
+ assume BIT_BAND(inline$BDLCleanupDataHandles$0$$result.BDLGetDebugLevel$3290.0$25$, 4) != 0;
+ goto inline$BDLCleanupDataHandles$0$label_86#1;
+
+ inline$BDLCleanupDataHandles$0$label_86#1:
+ goto inline$BDLCleanupDataHandles$0$label_54#1;
+
+ inline$BDLCleanupDataHandles$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$label_49_true#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLCleanupDataHandles$0$myNondetVar_0, 2);
+ goto inline$BDLCleanupDataHandles$0$label_51#1;
+
+ inline$BDLCleanupDataHandles$0$label_51#1:
+ havoc inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$bdliFree$0$Entry#1;
+
+ inline$bdliFree$0$Entry#1:
+ inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1 := inline$BDLCleanupDataHandles$0$myNondetVar_0;
+ goto inline$bdliFree$0$start#1;
+
+ inline$bdliFree$0$start#1:
+ inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4 := inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4_.1;
+ goto inline$bdliFree$0$label_3#1;
+
+ inline$bdliFree$0$label_3#1:
+ call ExFreePoolWithTag(inline$bdliFree$0$$pvBlock$1$2740.28$bdliFree$4, 1229734978);
+ goto inline$bdliFree$0$anon2_Then#1, inline$bdliFree$0$anon2_Else#1;
+
+ inline$bdliFree$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$bdliFree$0$anon1#1;
+
+ inline$bdliFree$0$anon1#1:
+ goto inline$bdliFree$0$label_1#1;
+
+ inline$bdliFree$0$label_1#1:
+ goto inline$bdliFree$0$Return#1;
+
+ inline$bdliFree$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$bdliFree$0$Return#1;
+
+ inline$bdliFree$0$Return#1:
+ goto inline$BDLCleanupDataHandles$0$label_51$1#1;
+
+ inline$BDLCleanupDataHandles$0$label_51$1#1:
+ goto inline$BDLCleanupDataHandles$0$anon37_Then#1, inline$BDLCleanupDataHandles$0$anon37_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon15#1;
+
+ inline$BDLCleanupDataHandles$0$anon15#1:
+ goto inline$BDLCleanupDataHandles$0$label_54#1;
+
+ inline$BDLCleanupDataHandles$0$label_54#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDataHandles$0$$pBDDIItem$3$3246.29$BDLCleanupDataHandles$4, 541869122);
+ goto inline$BDLCleanupDataHandles$0$anon38_Then#1, inline$BDLCleanupDataHandles$0$anon38_Else#1;
+
+ inline$BDLCleanupDataHandles$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDataHandles$0$anon17#1;
+
+ inline$BDLCleanupDataHandles$0$anon17#1:
+ goto inline$BDLCleanupDataHandles$0$label_38_head#0;
+
+ inline$BDLCleanupDataHandles$0$label_38_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupDataHandles$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDataHandles$0$Return#1;
+
+ inline$BDLCleanupDataHandles$0$Return#1:
+ goto inline$BDLHandleRemove$0$label_41$1#1;
+
+ inline$BDLHandleRemove$0$label_41$1#1:
+ goto inline$BDLHandleRemove$0$anon48_Then#1, inline$BDLHandleRemove$0$anon48_Else#1;
+
+ inline$BDLHandleRemove$0$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon13#1;
+
+ inline$BDLHandleRemove$0$anon13#1:
+ goto inline$BDLHandleRemove$0$label_44#1;
+
+ inline$BDLHandleRemove$0$label_44#1:
+ goto inline$BDLHandleRemove$0$label_44_true#1, inline$BDLHandleRemove$0$label_44_false#1;
+
+ inline$BDLHandleRemove$0$label_44_false#1:
+ havoc inline$BDLHandleRemove$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLHandleRemove$0$myNondetVar_0, 1);
+ goto inline$BDLHandleRemove$0$label_45#1;
+
+ inline$BDLHandleRemove$0$label_44_true#1:
+ havoc inline$BDLHandleRemove$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLHandleRemove$0$myNondetVar_0, 1);
+ goto inline$BDLHandleRemove$0$label_48#1;
+
+ inline$BDLHandleRemove$0$label_48#1:
+ goto inline$BDLHandleRemove$0$label_51#1;
+
+ inline$BDLHandleRemove$0$label_51#1:
+ inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$callresult.$2419.71$15$;
+ goto inline$BDLHandleRemove$0$label_52#1;
+
+ inline$BDLHandleRemove$0$label_52#1:
+ goto inline$BDLHandleRemove$0$label_52_true#1, inline$BDLHandleRemove$0$label_52_false#1;
+
+ inline$BDLHandleRemove$0$label_52_false#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$0$label_53#1;
+
+ inline$BDLHandleRemove$0$label_52_true#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$0$label_54#1;
+
+ inline$BDLHandleRemove$0$label_54#1:
+ goto inline$BDLGetDebugLevel$229$Entry#1;
+
+ inline$BDLGetDebugLevel$229$Entry#1:
+ goto inline$BDLGetDebugLevel$229$start#1;
+
+ inline$BDLGetDebugLevel$229$start#1:
+ goto inline$BDLGetDebugLevel$229$label_3#1;
+
+ inline$BDLGetDebugLevel$229$label_3#1:
+ havoc inline$BDLGetDebugLevel$229$myNondetVar_0;
+ inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$229$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$229$label_1#1;
+
+ inline$BDLGetDebugLevel$229$label_1#1:
+ goto inline$BDLGetDebugLevel$229$Return#1;
+
+ inline$BDLGetDebugLevel$229$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$ := inline$BDLGetDebugLevel$229$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_54$1#1;
+
+ inline$BDLHandleRemove$0$label_54$1#1:
+ goto inline$BDLHandleRemove$0$anon49_Then#1, inline$BDLHandleRemove$0$anon49_Else#1;
+
+ inline$BDLHandleRemove$0$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon15#1;
+
+ inline$BDLHandleRemove$0$anon15#1:
+ goto inline$BDLHandleRemove$0$label_57#1;
+
+ inline$BDLHandleRemove$0$label_57#1:
+ goto inline$BDLHandleRemove$0$label_57_true#1, inline$BDLHandleRemove$0$label_57_false#1;
+
+ inline$BDLHandleRemove$0$label_57_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$) == 0;
+ goto inline$BDLHandleRemove$0$label_58#1;
+
+ inline$BDLHandleRemove$0$label_57_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$16$) != 0;
+ goto inline$BDLHandleRemove$0$label_61#1;
+
+ inline$BDLHandleRemove$0$label_61#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_62#1;
+
+ inline$BDLHandleRemove$0$label_62#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_63#1;
+
+ inline$BDLHandleRemove$0$label_63#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_64#1;
+
+ inline$BDLHandleRemove$0$label_64#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$17$;
+ goto inline$BDLHandleRemove$0$label_58#1;
+
+ inline$BDLHandleRemove$0$label_58#1:
+ goto inline$BDLGetDebugLevel$230$Entry#1;
+
+ inline$BDLGetDebugLevel$230$Entry#1:
+ goto inline$BDLGetDebugLevel$230$start#1;
+
+ inline$BDLGetDebugLevel$230$start#1:
+ goto inline$BDLGetDebugLevel$230$label_3#1;
+
+ inline$BDLGetDebugLevel$230$label_3#1:
+ havoc inline$BDLGetDebugLevel$230$myNondetVar_0;
+ inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$230$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$230$label_1#1;
+
+ inline$BDLGetDebugLevel$230$label_1#1:
+ goto inline$BDLGetDebugLevel$230$Return#1;
+
+ inline$BDLGetDebugLevel$230$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$ := inline$BDLGetDebugLevel$230$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_58$1#1;
+
+ inline$BDLHandleRemove$0$label_58$1#1:
+ goto inline$BDLHandleRemove$0$anon50_Then#1, inline$BDLHandleRemove$0$anon50_Else#1;
+
+ inline$BDLHandleRemove$0$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon17#1;
+
+ inline$BDLHandleRemove$0$anon17#1:
+ goto inline$BDLHandleRemove$0$label_67#1;
+
+ inline$BDLHandleRemove$0$label_67#1:
+ goto inline$BDLHandleRemove$0$label_67_true#1, inline$BDLHandleRemove$0$label_67_false#1;
+
+ inline$BDLHandleRemove$0$label_67_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$) == 0;
+ goto inline$BDLHandleRemove$0$label_68#1;
+
+ inline$BDLHandleRemove$0$label_67_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$21$) != 0;
+ goto inline$BDLHandleRemove$0$label_71#1;
+
+ inline$BDLHandleRemove$0$label_71#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_72#1;
+
+ inline$BDLHandleRemove$0$label_72#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_73#1;
+
+ inline$BDLHandleRemove$0$label_73#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_74#1;
+
+ inline$BDLHandleRemove$0$label_74#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2423.0$22$;
+ goto inline$BDLHandleRemove$0$label_68#1;
+
+ inline$BDLHandleRemove$0$label_68#1:
+ goto inline$BDLGetDebugLevel$231$Entry#1;
+
+ inline$BDLGetDebugLevel$231$Entry#1:
+ goto inline$BDLGetDebugLevel$231$start#1;
+
+ inline$BDLGetDebugLevel$231$start#1:
+ goto inline$BDLGetDebugLevel$231$label_3#1;
+
+ inline$BDLGetDebugLevel$231$label_3#1:
+ havoc inline$BDLGetDebugLevel$231$myNondetVar_0;
+ inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$231$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$231$label_1#1;
+
+ inline$BDLGetDebugLevel$231$label_1#1:
+ goto inline$BDLGetDebugLevel$231$Return#1;
+
+ inline$BDLGetDebugLevel$231$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$ := inline$BDLGetDebugLevel$231$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_68$1#1;
+
+ inline$BDLHandleRemove$0$label_68$1#1:
+ goto inline$BDLHandleRemove$0$anon51_Then#1, inline$BDLHandleRemove$0$anon51_Else#1;
+
+ inline$BDLHandleRemove$0$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon19#1;
+
+ inline$BDLHandleRemove$0$anon19#1:
+ goto inline$BDLHandleRemove$0$label_77#1;
+
+ inline$BDLHandleRemove$0$label_77#1:
+ goto inline$BDLHandleRemove$0$label_77_true#1, inline$BDLHandleRemove$0$label_77_false#1;
+
+ inline$BDLHandleRemove$0$label_77_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$, 4) == 0;
+ goto inline$BDLHandleRemove$0$label_53#1;
+
+ inline$BDLHandleRemove$0$label_77_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2423.0$26$, 4) != 0;
+ goto inline$BDLHandleRemove$0$label_78#1;
+
+ inline$BDLHandleRemove$0$label_78#1:
+ goto inline$BDLHandleRemove$0$label_53#1;
+
+ inline$BDLHandleRemove$0$label_53#1:
+ goto inline$BDLHandleRemove$0$label_45#1;
+
+ inline$BDLHandleRemove$0$label_45#1:
+ goto inline$BDLHandleRemove$0$label_79#1;
+
+ inline$BDLHandleRemove$0$label_79#1:
+ inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$callresult.$2437.63$27$;
+ goto inline$BDLHandleRemove$0$label_80#1;
+
+ inline$BDLHandleRemove$0$label_80#1:
+ goto inline$BDLHandleRemove$0$label_80_true#1, inline$BDLHandleRemove$0$label_80_false#1;
+
+ inline$BDLHandleRemove$0$label_80_false#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$0$label_81#1;
+
+ inline$BDLHandleRemove$0$label_80_true#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$0$label_84#1;
+
+ inline$BDLHandleRemove$0$label_84#1:
+ goto inline$BDLGetDebugLevel$232$Entry#1;
+
+ inline$BDLGetDebugLevel$232$Entry#1:
+ goto inline$BDLGetDebugLevel$232$start#1;
+
+ inline$BDLGetDebugLevel$232$start#1:
+ goto inline$BDLGetDebugLevel$232$label_3#1;
+
+ inline$BDLGetDebugLevel$232$label_3#1:
+ havoc inline$BDLGetDebugLevel$232$myNondetVar_0;
+ inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$232$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$232$label_1#1;
+
+ inline$BDLGetDebugLevel$232$label_1#1:
+ goto inline$BDLGetDebugLevel$232$Return#1;
+
+ inline$BDLGetDebugLevel$232$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$ := inline$BDLGetDebugLevel$232$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_84$1#1;
+
+ inline$BDLHandleRemove$0$label_84$1#1:
+ goto inline$BDLHandleRemove$0$anon53_Then#1, inline$BDLHandleRemove$0$anon53_Else#1;
+
+ inline$BDLHandleRemove$0$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon23#1;
+
+ inline$BDLHandleRemove$0$anon23#1:
+ goto inline$BDLHandleRemove$0$label_87#1;
+
+ inline$BDLHandleRemove$0$label_87#1:
+ goto inline$BDLHandleRemove$0$label_87_true#1, inline$BDLHandleRemove$0$label_87_false#1;
+
+ inline$BDLHandleRemove$0$label_87_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$) == 0;
+ goto inline$BDLHandleRemove$0$label_88#1;
+
+ inline$BDLHandleRemove$0$label_87_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$28$) != 0;
+ goto inline$BDLHandleRemove$0$label_91#1;
+
+ inline$BDLHandleRemove$0$label_91#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_92#1;
+
+ inline$BDLHandleRemove$0$label_92#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_93#1;
+
+ inline$BDLHandleRemove$0$label_93#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_94#1;
+
+ inline$BDLHandleRemove$0$label_94#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$29$;
+ goto inline$BDLHandleRemove$0$label_88#1;
+
+ inline$BDLHandleRemove$0$label_88#1:
+ goto inline$BDLGetDebugLevel$233$Entry#1;
+
+ inline$BDLGetDebugLevel$233$Entry#1:
+ goto inline$BDLGetDebugLevel$233$start#1;
+
+ inline$BDLGetDebugLevel$233$start#1:
+ goto inline$BDLGetDebugLevel$233$label_3#1;
+
+ inline$BDLGetDebugLevel$233$label_3#1:
+ havoc inline$BDLGetDebugLevel$233$myNondetVar_0;
+ inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$233$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$233$label_1#1;
+
+ inline$BDLGetDebugLevel$233$label_1#1:
+ goto inline$BDLGetDebugLevel$233$Return#1;
+
+ inline$BDLGetDebugLevel$233$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$ := inline$BDLGetDebugLevel$233$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_88$1#1;
+
+ inline$BDLHandleRemove$0$label_88$1#1:
+ goto inline$BDLHandleRemove$0$anon54_Then#1, inline$BDLHandleRemove$0$anon54_Else#1;
+
+ inline$BDLHandleRemove$0$anon54_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon25#1;
+
+ inline$BDLHandleRemove$0$anon25#1:
+ goto inline$BDLHandleRemove$0$label_97#1;
+
+ inline$BDLHandleRemove$0$label_97#1:
+ goto inline$BDLHandleRemove$0$label_97_true#1, inline$BDLHandleRemove$0$label_97_false#1;
+
+ inline$BDLHandleRemove$0$label_97_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$) == 0;
+ goto inline$BDLHandleRemove$0$label_98#1;
+
+ inline$BDLHandleRemove$0$label_97_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$33$) != 0;
+ goto inline$BDLHandleRemove$0$label_101#1;
+
+ inline$BDLHandleRemove$0$label_101#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_102#1;
+
+ inline$BDLHandleRemove$0$label_102#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_103#1;
+
+ inline$BDLHandleRemove$0$label_103#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_104#1;
+
+ inline$BDLHandleRemove$0$label_104#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2441.0$34$;
+ goto inline$BDLHandleRemove$0$label_98#1;
+
+ inline$BDLHandleRemove$0$label_98#1:
+ goto inline$BDLGetDebugLevel$234$Entry#1;
+
+ inline$BDLGetDebugLevel$234$Entry#1:
+ goto inline$BDLGetDebugLevel$234$start#1;
+
+ inline$BDLGetDebugLevel$234$start#1:
+ goto inline$BDLGetDebugLevel$234$label_3#1;
+
+ inline$BDLGetDebugLevel$234$label_3#1:
+ havoc inline$BDLGetDebugLevel$234$myNondetVar_0;
+ inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$234$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$234$label_1#1;
+
+ inline$BDLGetDebugLevel$234$label_1#1:
+ goto inline$BDLGetDebugLevel$234$Return#1;
+
+ inline$BDLGetDebugLevel$234$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$ := inline$BDLGetDebugLevel$234$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_98$1#1;
+
+ inline$BDLHandleRemove$0$label_98$1#1:
+ goto inline$BDLHandleRemove$0$anon55_Then#1, inline$BDLHandleRemove$0$anon55_Else#1;
+
+ inline$BDLHandleRemove$0$anon55_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon27#1;
+
+ inline$BDLHandleRemove$0$anon27#1:
+ goto inline$BDLHandleRemove$0$label_107#1;
+
+ inline$BDLHandleRemove$0$label_107#1:
+ goto inline$BDLHandleRemove$0$label_107_true#1, inline$BDLHandleRemove$0$label_107_false#1;
+
+ inline$BDLHandleRemove$0$label_107_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$, 4) == 0;
+ goto inline$BDLHandleRemove$0$label_81#1;
+
+ inline$BDLHandleRemove$0$label_107_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2441.0$38$, 4) != 0;
+ goto inline$BDLHandleRemove$0$label_108#1;
+
+ inline$BDLHandleRemove$0$label_108#1:
+ goto inline$BDLHandleRemove$0$label_81#1;
+
+ inline$BDLHandleRemove$0$label_81#1:
+ goto inline$IoSkipCurrentIrpStackLocation$0$Entry#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$Entry#1:
+ inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12;
+ goto inline$IoSkipCurrentIrpStackLocation$0$start#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$start#1:
+ inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_3#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_3#1:
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$0$label_3_false#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_3_false#1:
+ inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, inline$IoSkipCurrentIrpStackLocation$0$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_4#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_4#1:
+ call inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_7#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_7#1:
+ call inline$IoSkipCurrentIrpStackLocation$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_8#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_8#1:
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_3_true#1:
+ inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$0$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, inline$IoSkipCurrentIrpStackLocation$0$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_5#1:
+ inline$IoSkipCurrentIrpStackLocation$0$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$0$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$0$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_6#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_6#1:
+ havoc inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0;
+ inline$IoSkipCurrentIrpStackLocation$0$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$0$myNondetVar_0, 36, 1);
+ goto inline$IoSkipCurrentIrpStackLocation$0$label_1#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$label_1#1:
+ goto inline$IoSkipCurrentIrpStackLocation$0$Return#1;
+
+ inline$IoSkipCurrentIrpStackLocation$0$Return#1:
+ goto inline$BDLHandleRemove$0$label_81$1#1;
+
+ inline$BDLHandleRemove$0$label_81$1#1:
+ goto inline$BDLHandleRemove$0$anon52_Then#1, inline$BDLHandleRemove$0$anon52_Else#1;
+
+ inline$BDLHandleRemove$0$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon21#1;
+
+ inline$BDLHandleRemove$0$anon21#1:
+ goto inline$BDLHandleRemove$0$label_109#1;
+
+ inline$BDLHandleRemove$0$label_109#1:
+ goto inline$storm_IoCallDriver$4$Entry#1;
+
+ inline$storm_IoCallDriver$4$Entry#1:
+ inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLHandleRemove$0$$pIrp$3$2380.40$BDLHandleRemove$12;
+ goto inline$storm_IoCallDriver$4$start#1;
+
+ inline$storm_IoCallDriver$4$start#1:
+ inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$4$label_3#1;
+
+ inline$storm_IoCallDriver$4$label_3#1:
+ goto inline$storm_IoCallDriver$4$label_4#1;
+
+ inline$storm_IoCallDriver$4$label_4#1:
+ goto inline$storm_IoCallDriver$4$label_5#1;
+
+ inline$storm_IoCallDriver$4$label_5#1:
+ goto inline$storm_IoCallDriver$4$label_6#1;
+
+ inline$storm_IoCallDriver$4$label_6#1:
+ call inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$4$label_9#1;
+
+ inline$storm_IoCallDriver$4$label_9#1:
+ goto inline$storm_IoCallDriver$4$label_9_true#1, inline$storm_IoCallDriver$4$label_9_false#1;
+
+ inline$storm_IoCallDriver$4$label_9_false#1:
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$4$label_10#1;
+
+ inline$storm_IoCallDriver$4$label_9_true#1:
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$4$label_13#1;
+
+ inline$storm_IoCallDriver$4$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$4$anon12_Then#1, inline$storm_IoCallDriver$4$anon12_Else#1;
+
+ inline$storm_IoCallDriver$4$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$4$anon3#1;
+
+ inline$storm_IoCallDriver$4$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$4$label_14#1;
+
+ inline$storm_IoCallDriver$4$label_14#1:
+ goto inline$storm_IoCallDriver$4$label_14_true#1, inline$storm_IoCallDriver$4$label_14_false#1;
+
+ inline$storm_IoCallDriver$4$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$4$label_15#1;
+
+ inline$storm_IoCallDriver$4$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$4$label_1#1;
+
+ inline$storm_IoCallDriver$4$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$4$label_10#1;
+
+ inline$storm_IoCallDriver$4$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$5$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$5$Entry#1:
+ inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$5$start#1;
+
+ inline$IoSetNextIrpStackLocation$5$start#1:
+ inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$5$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$5$label_3_true#1, inline$IoSetNextIrpStackLocation$5$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$5$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$5$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_4#1:
+ call inline$IoSetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$5$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_7#1:
+ call inline$IoSetNextIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$5$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$5$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$5$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$5$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_5#1:
+ inline$IoSetNextIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$5$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$5$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$5$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$5$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$5$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$5$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$5$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$5$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$5$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$5$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$5$Return#1;
+
+ inline$IoSetNextIrpStackLocation$5$Return#1:
+ goto inline$storm_IoCallDriver$4$label_10$1#1;
+
+ inline$storm_IoCallDriver$4$label_10$1#1:
+ goto inline$storm_IoCallDriver$4$anon11_Then#1, inline$storm_IoCallDriver$4$anon11_Else#1;
+
+ inline$storm_IoCallDriver$4$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$4$anon1#1;
+
+ inline$storm_IoCallDriver$4$anon1#1:
+ goto inline$storm_IoCallDriver$4$label_18#1;
+
+ inline$storm_IoCallDriver$4$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$43$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$43$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$start#1:
+ inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$43$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$43$label_3_true#1, inline$IoGetCurrentIrpStackLocation$43$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$43$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$43$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$43$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$43$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$43$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$43$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$43$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$43$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$43$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$43$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$43$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$43$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$43$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$43$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$43$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$43$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$43$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$43$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$43$Return#1:
+ goto inline$storm_IoCallDriver$4$label_18$1#1;
+
+ inline$storm_IoCallDriver$4$label_18$1#1:
+ goto inline$storm_IoCallDriver$4$anon13_Then#1, inline$storm_IoCallDriver$4$anon13_Else#1;
+
+ inline$storm_IoCallDriver$4$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$4$anon6#1;
+
+ inline$storm_IoCallDriver$4$anon6#1:
+ goto inline$storm_IoCallDriver$4$label_21#1;
+
+ inline$storm_IoCallDriver$4$label_21#1:
+ goto inline$storm_IoCallDriver$4$label_22#1;
+
+ inline$storm_IoCallDriver$4$label_22#1:
+ goto inline$storm_IoCallDriver$4$label_23#1;
+
+ inline$storm_IoCallDriver$4$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$4$label_24#1;
+
+ inline$storm_IoCallDriver$4$label_24#1:
+ call inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$4$label_27#1;
+
+ inline$storm_IoCallDriver$4$label_27#1:
+ goto inline$storm_IoCallDriver$4$label_27_case_0#1, inline$storm_IoCallDriver$4$label_27_case_1#1, inline$storm_IoCallDriver$4$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$4$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$4$label_30#1;
+
+ inline$storm_IoCallDriver$4$label_30#1:
+ inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$4$label_31#1;
+
+ inline$storm_IoCallDriver$4$label_31#1:
+ goto inline$storm_IoCallDriver$4$label_32#1;
+
+ inline$storm_IoCallDriver$4$label_32#1:
+ goto inline$storm_IoCallDriver$4$label_33#1;
+
+ inline$storm_IoCallDriver$4$label_33#1:
+ goto inline$CallCompletionRoutine$8$Entry#1;
+
+ inline$CallCompletionRoutine$8$Entry#1:
+ inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$8$start#1;
+
+ inline$CallCompletionRoutine$8$start#1:
+ inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$8$label_3#1;
+
+ inline$CallCompletionRoutine$8$label_3#1:
+ goto inline$CallCompletionRoutine$8$label_4#1;
+
+ inline$CallCompletionRoutine$8$label_4#1:
+ goto inline$CallCompletionRoutine$8$label_5#1;
+
+ inline$CallCompletionRoutine$8$label_5#1:
+ goto inline$CallCompletionRoutine$8$label_6#1;
+
+ inline$CallCompletionRoutine$8$label_6#1:
+ goto inline$CallCompletionRoutine$8$label_7#1;
+
+ inline$CallCompletionRoutine$8$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$44$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$44$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$start#1:
+ inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$44$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$44$label_3_true#1, inline$IoGetCurrentIrpStackLocation$44$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$44$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$44$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$44$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$44$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$44$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$44$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$44$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$44$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$44$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$44$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$44$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$44$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$44$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$44$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$44$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$44$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$44$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$44$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$44$Return#1:
+ goto inline$CallCompletionRoutine$8$label_7$1#1;
+
+ inline$CallCompletionRoutine$8$label_7$1#1:
+ goto inline$CallCompletionRoutine$8$anon10_Then#1, inline$CallCompletionRoutine$8$anon10_Else#1;
+
+ inline$CallCompletionRoutine$8$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$8$anon1#1;
+
+ inline$CallCompletionRoutine$8$anon1#1:
+ goto inline$CallCompletionRoutine$8$label_10#1;
+
+ inline$CallCompletionRoutine$8$label_10#1:
+ goto inline$CallCompletionRoutine$8$label_11#1;
+
+ inline$CallCompletionRoutine$8$label_11#1:
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$CallCompletionRoutine$8$label_12#1;
+
+ inline$CallCompletionRoutine$8$label_12#1:
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$CallCompletionRoutine$8$label_13#1;
+
+ inline$CallCompletionRoutine$8$label_13#1:
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$CallCompletionRoutine$8$label_14#1;
+
+ inline$CallCompletionRoutine$8$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$45$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$45$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$start#1:
+ inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$45$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$45$label_3_true#1, inline$IoGetCurrentIrpStackLocation$45$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$45$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$45$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$45$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$45$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$45$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$45$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$45$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$45$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$45$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$45$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$45$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$45$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$45$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$45$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$45$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$45$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$45$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$45$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$45$Return#1:
+ goto inline$CallCompletionRoutine$8$label_14$1#1;
+
+ inline$CallCompletionRoutine$8$label_14$1#1:
+ goto inline$CallCompletionRoutine$8$anon11_Then#1, inline$CallCompletionRoutine$8$anon11_Else#1;
+
+ inline$CallCompletionRoutine$8$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$8$anon3#1;
+
+ inline$CallCompletionRoutine$8$anon3#1:
+ goto inline$CallCompletionRoutine$8$label_17#1;
+
+ inline$CallCompletionRoutine$8$label_17#1:
+ goto inline$CallCompletionRoutine$8$label_18#1;
+
+ inline$CallCompletionRoutine$8$label_18#1:
+ goto inline$CallCompletionRoutine$8$label_18_true#1, inline$CallCompletionRoutine$8$label_18_false#1;
+
+ inline$CallCompletionRoutine$8$label_18_false#1:
+ assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$8$label_1#1;
+
+ inline$CallCompletionRoutine$8$label_18_true#1:
+ assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$8$label_19#1;
+
+ inline$CallCompletionRoutine$8$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$8$label_20#1;
+
+ inline$CallCompletionRoutine$8$label_20#1:
+ goto inline$CallCompletionRoutine$8$label_20_icall_1#1, inline$CallCompletionRoutine$8$label_20_icall_2#1, inline$CallCompletionRoutine$8$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$8$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$8$Entry#1:
+ inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$8$start#1;
+
+ inline$BDLSystemPowerIoCompletion$8$start#1:
+ call inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$8$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_4#1:
+ inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_6#1:
+ inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$8$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$47$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$47$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$start#1:
+ inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$47$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$47$label_3_true#1, inline$IoGetCurrentIrpStackLocation$47$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$47$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$47$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$47$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$47$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$47$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$47$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$47$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$47$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$47$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$47$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$47$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$47$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$47$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$47$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$47$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$47$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$47$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$47$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$47$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon36_Then#1, inline$BDLSystemPowerIoCompletion$8$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_15#1:
+ goto inline$BDLGetDebugLevel$244$Entry#1;
+
+ inline$BDLGetDebugLevel$244$Entry#1:
+ goto inline$BDLGetDebugLevel$244$start#1;
+
+ inline$BDLGetDebugLevel$244$start#1:
+ goto inline$BDLGetDebugLevel$244$label_3#1;
+
+ inline$BDLGetDebugLevel$244$label_3#1:
+ havoc inline$BDLGetDebugLevel$244$myNondetVar_0;
+ inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$244$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$244$label_1#1;
+
+ inline$BDLGetDebugLevel$244$label_1#1:
+ goto inline$BDLGetDebugLevel$244$Return#1;
+
+ inline$BDLGetDebugLevel$244$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$244$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon37_Then#1, inline$BDLSystemPowerIoCompletion$8$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_18_true#1, inline$BDLSystemPowerIoCompletion$8$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_19#1:
+ goto inline$BDLGetDebugLevel$245$Entry#1;
+
+ inline$BDLGetDebugLevel$245$Entry#1:
+ goto inline$BDLGetDebugLevel$245$start#1;
+
+ inline$BDLGetDebugLevel$245$start#1:
+ goto inline$BDLGetDebugLevel$245$label_3#1;
+
+ inline$BDLGetDebugLevel$245$label_3#1:
+ havoc inline$BDLGetDebugLevel$245$myNondetVar_0;
+ inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$245$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$245$label_1#1;
+
+ inline$BDLGetDebugLevel$245$label_1#1:
+ goto inline$BDLGetDebugLevel$245$Return#1;
+
+ inline$BDLGetDebugLevel$245$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$245$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon38_Then#1, inline$BDLSystemPowerIoCompletion$8$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_28_true#1, inline$BDLSystemPowerIoCompletion$8$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_29#1:
+ goto inline$BDLGetDebugLevel$246$Entry#1;
+
+ inline$BDLGetDebugLevel$246$Entry#1:
+ goto inline$BDLGetDebugLevel$246$start#1;
+
+ inline$BDLGetDebugLevel$246$start#1:
+ goto inline$BDLGetDebugLevel$246$label_3#1;
+
+ inline$BDLGetDebugLevel$246$label_3#1:
+ havoc inline$BDLGetDebugLevel$246$myNondetVar_0;
+ inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$246$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$246$label_1#1;
+
+ inline$BDLGetDebugLevel$246$label_1#1:
+ goto inline$BDLGetDebugLevel$246$Return#1;
+
+ inline$BDLGetDebugLevel$246$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$246$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon39_Then#1, inline$BDLSystemPowerIoCompletion$8$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_38_true#1, inline$BDLSystemPowerIoCompletion$8$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_39_true#1, inline$BDLSystemPowerIoCompletion$8$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$8$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$8$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$8$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$8$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$8$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_106_true#1, inline$BDLSystemPowerIoCompletion$8$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$8$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$8$myNondetVar_0, inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$8$anon50_Then#1, inline$BDLSystemPowerIoCompletion$8$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_112#1:
+ inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_113_true#1, inline$BDLSystemPowerIoCompletion$8$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$8$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_117#1:
+ goto inline$BDLGetDebugLevel$253$Entry#1;
+
+ inline$BDLGetDebugLevel$253$Entry#1:
+ goto inline$BDLGetDebugLevel$253$start#1;
+
+ inline$BDLGetDebugLevel$253$start#1:
+ goto inline$BDLGetDebugLevel$253$label_3#1;
+
+ inline$BDLGetDebugLevel$253$label_3#1:
+ havoc inline$BDLGetDebugLevel$253$myNondetVar_0;
+ inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$253$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$253$label_1#1;
+
+ inline$BDLGetDebugLevel$253$label_1#1:
+ goto inline$BDLGetDebugLevel$253$Return#1;
+
+ inline$BDLGetDebugLevel$253$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$253$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon51_Then#1, inline$BDLSystemPowerIoCompletion$8$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_120_true#1, inline$BDLSystemPowerIoCompletion$8$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_121#1:
+ goto inline$BDLGetDebugLevel$254$Entry#1;
+
+ inline$BDLGetDebugLevel$254$Entry#1:
+ goto inline$BDLGetDebugLevel$254$start#1;
+
+ inline$BDLGetDebugLevel$254$start#1:
+ goto inline$BDLGetDebugLevel$254$label_3#1;
+
+ inline$BDLGetDebugLevel$254$label_3#1:
+ havoc inline$BDLGetDebugLevel$254$myNondetVar_0;
+ inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$254$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$254$label_1#1;
+
+ inline$BDLGetDebugLevel$254$label_1#1:
+ goto inline$BDLGetDebugLevel$254$Return#1;
+
+ inline$BDLGetDebugLevel$254$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$254$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon52_Then#1, inline$BDLSystemPowerIoCompletion$8$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_130_true#1, inline$BDLSystemPowerIoCompletion$8$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_131#1:
+ goto inline$BDLGetDebugLevel$255$Entry#1;
+
+ inline$BDLGetDebugLevel$255$Entry#1:
+ goto inline$BDLGetDebugLevel$255$start#1;
+
+ inline$BDLGetDebugLevel$255$start#1:
+ goto inline$BDLGetDebugLevel$255$label_3#1;
+
+ inline$BDLGetDebugLevel$255$label_3#1:
+ havoc inline$BDLGetDebugLevel$255$myNondetVar_0;
+ inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$255$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$255$label_1#1;
+
+ inline$BDLGetDebugLevel$255$label_1#1:
+ goto inline$BDLGetDebugLevel$255$Return#1;
+
+ inline$BDLGetDebugLevel$255$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$255$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon53_Then#1, inline$BDLSystemPowerIoCompletion$8$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_140_true#1, inline$BDLSystemPowerIoCompletion$8$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$8$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_115#1:
+ inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$8$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$8$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$8$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_43#1:
+ goto inline$BDLGetDebugLevel$247$Entry#1;
+
+ inline$BDLGetDebugLevel$247$Entry#1:
+ goto inline$BDLGetDebugLevel$247$start#1;
+
+ inline$BDLGetDebugLevel$247$start#1:
+ goto inline$BDLGetDebugLevel$247$label_3#1;
+
+ inline$BDLGetDebugLevel$247$label_3#1:
+ havoc inline$BDLGetDebugLevel$247$myNondetVar_0;
+ inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$247$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$247$label_1#1;
+
+ inline$BDLGetDebugLevel$247$label_1#1:
+ goto inline$BDLGetDebugLevel$247$Return#1;
+
+ inline$BDLGetDebugLevel$247$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$247$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon40_Then#1, inline$BDLSystemPowerIoCompletion$8$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_46_true#1, inline$BDLSystemPowerIoCompletion$8$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_47#1:
+ goto inline$BDLGetDebugLevel$248$Entry#1;
+
+ inline$BDLGetDebugLevel$248$Entry#1:
+ goto inline$BDLGetDebugLevel$248$start#1;
+
+ inline$BDLGetDebugLevel$248$start#1:
+ goto inline$BDLGetDebugLevel$248$label_3#1;
+
+ inline$BDLGetDebugLevel$248$label_3#1:
+ havoc inline$BDLGetDebugLevel$248$myNondetVar_0;
+ inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$248$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$248$label_1#1;
+
+ inline$BDLGetDebugLevel$248$label_1#1:
+ goto inline$BDLGetDebugLevel$248$Return#1;
+
+ inline$BDLGetDebugLevel$248$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$248$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon41_Then#1, inline$BDLSystemPowerIoCompletion$8$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_56_true#1, inline$BDLSystemPowerIoCompletion$8$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_57#1:
+ goto inline$BDLGetDebugLevel$249$Entry#1;
+
+ inline$BDLGetDebugLevel$249$Entry#1:
+ goto inline$BDLGetDebugLevel$249$start#1;
+
+ inline$BDLGetDebugLevel$249$start#1:
+ goto inline$BDLGetDebugLevel$249$label_3#1;
+
+ inline$BDLGetDebugLevel$249$label_3#1:
+ havoc inline$BDLGetDebugLevel$249$myNondetVar_0;
+ inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$249$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$249$label_1#1;
+
+ inline$BDLGetDebugLevel$249$label_1#1:
+ goto inline$BDLGetDebugLevel$249$Return#1;
+
+ inline$BDLGetDebugLevel$249$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$249$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon42_Then#1, inline$BDLSystemPowerIoCompletion$8$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_66_true#1, inline$BDLSystemPowerIoCompletion$8$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$8$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$8$anon43_Then#1, inline$BDLSystemPowerIoCompletion$8$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$8$anon44_Then#1, inline$BDLSystemPowerIoCompletion$8$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_74#1:
+ goto inline$storm_IoCompleteRequest$18$Entry#1;
+
+ inline$storm_IoCompleteRequest$18$Entry#1:
+ inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$8$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$18$start#1;
+
+ inline$storm_IoCompleteRequest$18$start#1:
+ inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$18$label_3#1;
+
+ inline$storm_IoCompleteRequest$18$label_3#1:
+ call inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$18$label_6#1;
+
+ inline$storm_IoCompleteRequest$18$label_6#1:
+ goto inline$storm_IoCompleteRequest$18$label_6_true#1, inline$storm_IoCompleteRequest$18$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$18$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$18$label_7#1;
+
+ inline$storm_IoCompleteRequest$18$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$18$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$18$label_8#1;
+
+ inline$storm_IoCompleteRequest$18$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$18$anon3_Then#1, inline$storm_IoCompleteRequest$18$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$18$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$18$anon1#1;
+
+ inline$storm_IoCompleteRequest$18$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$18$label_9#1;
+
+ inline$storm_IoCompleteRequest$18$label_9#1:
+ goto inline$storm_IoCompleteRequest$18$label_9_true#1, inline$storm_IoCompleteRequest$18$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$18$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$18$label_10#1;
+
+ inline$storm_IoCompleteRequest$18$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$18$label_1#1;
+
+ inline$storm_IoCompleteRequest$18$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$18$label_7#1;
+
+ inline$storm_IoCompleteRequest$18$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$18$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$18$label_1#1;
+
+ inline$storm_IoCompleteRequest$18$label_1#1:
+ goto inline$storm_IoCompleteRequest$18$Return#1;
+
+ inline$storm_IoCompleteRequest$18$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$18$Return#1;
+
+ inline$storm_IoCompleteRequest$18$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon45_Then#1, inline$BDLSystemPowerIoCompletion$8$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$8$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$8$anon46_Then#1, inline$BDLSystemPowerIoCompletion$8$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_80#1:
+ goto inline$BDLGetDebugLevel$250$Entry#1;
+
+ inline$BDLGetDebugLevel$250$Entry#1:
+ goto inline$BDLGetDebugLevel$250$start#1;
+
+ inline$BDLGetDebugLevel$250$start#1:
+ goto inline$BDLGetDebugLevel$250$label_3#1;
+
+ inline$BDLGetDebugLevel$250$label_3#1:
+ havoc inline$BDLGetDebugLevel$250$myNondetVar_0;
+ inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$250$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$250$label_1#1;
+
+ inline$BDLGetDebugLevel$250$label_1#1:
+ goto inline$BDLGetDebugLevel$250$Return#1;
+
+ inline$BDLGetDebugLevel$250$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$250$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon47_Then#1, inline$BDLSystemPowerIoCompletion$8$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_83_true#1, inline$BDLSystemPowerIoCompletion$8$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_84#1:
+ goto inline$BDLGetDebugLevel$251$Entry#1;
+
+ inline$BDLGetDebugLevel$251$Entry#1:
+ goto inline$BDLGetDebugLevel$251$start#1;
+
+ inline$BDLGetDebugLevel$251$start#1:
+ goto inline$BDLGetDebugLevel$251$label_3#1;
+
+ inline$BDLGetDebugLevel$251$label_3#1:
+ havoc inline$BDLGetDebugLevel$251$myNondetVar_0;
+ inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$251$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$251$label_1#1;
+
+ inline$BDLGetDebugLevel$251$label_1#1:
+ goto inline$BDLGetDebugLevel$251$Return#1;
+
+ inline$BDLGetDebugLevel$251$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$251$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon48_Then#1, inline$BDLSystemPowerIoCompletion$8$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_93_true#1, inline$BDLSystemPowerIoCompletion$8$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$8$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$8$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_94#1:
+ goto inline$BDLGetDebugLevel$252$Entry#1;
+
+ inline$BDLGetDebugLevel$252$Entry#1:
+ goto inline$BDLGetDebugLevel$252$start#1;
+
+ inline$BDLGetDebugLevel$252$start#1:
+ goto inline$BDLGetDebugLevel$252$label_3#1;
+
+ inline$BDLGetDebugLevel$252$label_3#1:
+ havoc inline$BDLGetDebugLevel$252$myNondetVar_0;
+ inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$252$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$252$label_1#1;
+
+ inline$BDLGetDebugLevel$252$label_1#1:
+ goto inline$BDLGetDebugLevel$252$Return#1;
+
+ inline$BDLGetDebugLevel$252$Return#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$252$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$8$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$8$anon49_Then#1, inline$BDLSystemPowerIoCompletion$8$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_103_true#1, inline$BDLSystemPowerIoCompletion$8$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$8$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$8$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$8$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_104#1:
+ inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$8$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$8$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$8$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$8$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$8$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$8$Return#1:
+ inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$8$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$8$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$8$anon14_Then#1, inline$CallCompletionRoutine$8$anon14_Else#1;
+
+ inline$CallCompletionRoutine$8$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$8$anon9#1;
+
+ inline$CallCompletionRoutine$8$anon9#1:
+ goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$8$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$8$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$8$Entry#1:
+ inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$8$start#1;
+
+ inline$BDLDevicePowerIoCompletion$8$start#1:
+ call inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$8$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_4#1:
+ inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_6#1:
+ inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$8$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$46$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$46$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$start#1:
+ inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$46$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$46$label_3_true#1, inline$IoGetCurrentIrpStackLocation$46$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$46$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$46$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$46$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$46$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$46$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$46$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$46$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$46$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$46$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$46$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$46$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$46$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$46$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$46$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$46$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$46$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$46$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$46$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$46$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$46$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon30_Then#1, inline$BDLDevicePowerIoCompletion$8$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_13#1:
+ inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_17#1:
+ goto inline$BDLGetDebugLevel$235$Entry#1;
+
+ inline$BDLGetDebugLevel$235$Entry#1:
+ goto inline$BDLGetDebugLevel$235$start#1;
+
+ inline$BDLGetDebugLevel$235$start#1:
+ goto inline$BDLGetDebugLevel$235$label_3#1;
+
+ inline$BDLGetDebugLevel$235$label_3#1:
+ havoc inline$BDLGetDebugLevel$235$myNondetVar_0;
+ inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$235$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$235$label_1#1;
+
+ inline$BDLGetDebugLevel$235$label_1#1:
+ goto inline$BDLGetDebugLevel$235$Return#1;
+
+ inline$BDLGetDebugLevel$235$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$235$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon31_Then#1, inline$BDLDevicePowerIoCompletion$8$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_20_true#1, inline$BDLDevicePowerIoCompletion$8$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_21#1:
+ goto inline$BDLGetDebugLevel$236$Entry#1;
+
+ inline$BDLGetDebugLevel$236$Entry#1:
+ goto inline$BDLGetDebugLevel$236$start#1;
+
+ inline$BDLGetDebugLevel$236$start#1:
+ goto inline$BDLGetDebugLevel$236$label_3#1;
+
+ inline$BDLGetDebugLevel$236$label_3#1:
+ havoc inline$BDLGetDebugLevel$236$myNondetVar_0;
+ inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$236$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$236$label_1#1;
+
+ inline$BDLGetDebugLevel$236$label_1#1:
+ goto inline$BDLGetDebugLevel$236$Return#1;
+
+ inline$BDLGetDebugLevel$236$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$236$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon32_Then#1, inline$BDLDevicePowerIoCompletion$8$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_30_true#1, inline$BDLDevicePowerIoCompletion$8$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_31#1:
+ goto inline$BDLGetDebugLevel$237$Entry#1;
+
+ inline$BDLGetDebugLevel$237$Entry#1:
+ goto inline$BDLGetDebugLevel$237$start#1;
+
+ inline$BDLGetDebugLevel$237$start#1:
+ goto inline$BDLGetDebugLevel$237$label_3#1;
+
+ inline$BDLGetDebugLevel$237$label_3#1:
+ havoc inline$BDLGetDebugLevel$237$myNondetVar_0;
+ inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$237$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$237$label_1#1;
+
+ inline$BDLGetDebugLevel$237$label_1#1:
+ goto inline$BDLGetDebugLevel$237$Return#1;
+
+ inline$BDLGetDebugLevel$237$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$237$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon33_Then#1, inline$BDLDevicePowerIoCompletion$8$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_40_true#1, inline$BDLDevicePowerIoCompletion$8$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_41_true#1, inline$BDLDevicePowerIoCompletion$8$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$8$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$8$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_44_true#1, inline$BDLDevicePowerIoCompletion$8$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_45_true#1, inline$BDLDevicePowerIoCompletion$8$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$8$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$8$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$8$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_54#1:
+ inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$8$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_55_true#1, inline$BDLDevicePowerIoCompletion$8$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$8$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$8$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$8$anon34_Then#1, inline$BDLDevicePowerIoCompletion$8$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_59#1:
+ goto inline$BDLGetDebugLevel$238$Entry#1;
+
+ inline$BDLGetDebugLevel$238$Entry#1:
+ goto inline$BDLGetDebugLevel$238$start#1;
+
+ inline$BDLGetDebugLevel$238$start#1:
+ goto inline$BDLGetDebugLevel$238$label_3#1;
+
+ inline$BDLGetDebugLevel$238$label_3#1:
+ havoc inline$BDLGetDebugLevel$238$myNondetVar_0;
+ inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$238$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$238$label_1#1;
+
+ inline$BDLGetDebugLevel$238$label_1#1:
+ goto inline$BDLGetDebugLevel$238$Return#1;
+
+ inline$BDLGetDebugLevel$238$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$238$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon35_Then#1, inline$BDLDevicePowerIoCompletion$8$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_62_true#1, inline$BDLDevicePowerIoCompletion$8$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_63#1:
+ goto inline$BDLGetDebugLevel$239$Entry#1;
+
+ inline$BDLGetDebugLevel$239$Entry#1:
+ goto inline$BDLGetDebugLevel$239$start#1;
+
+ inline$BDLGetDebugLevel$239$start#1:
+ goto inline$BDLGetDebugLevel$239$label_3#1;
+
+ inline$BDLGetDebugLevel$239$label_3#1:
+ havoc inline$BDLGetDebugLevel$239$myNondetVar_0;
+ inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$239$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$239$label_1#1;
+
+ inline$BDLGetDebugLevel$239$label_1#1:
+ goto inline$BDLGetDebugLevel$239$Return#1;
+
+ inline$BDLGetDebugLevel$239$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$239$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon36_Then#1, inline$BDLDevicePowerIoCompletion$8$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_72_true#1, inline$BDLDevicePowerIoCompletion$8$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_73#1:
+ goto inline$BDLGetDebugLevel$240$Entry#1;
+
+ inline$BDLGetDebugLevel$240$Entry#1:
+ goto inline$BDLGetDebugLevel$240$start#1;
+
+ inline$BDLGetDebugLevel$240$start#1:
+ goto inline$BDLGetDebugLevel$240$label_3#1;
+
+ inline$BDLGetDebugLevel$240$label_3#1:
+ havoc inline$BDLGetDebugLevel$240$myNondetVar_0;
+ inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$240$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$240$label_1#1;
+
+ inline$BDLGetDebugLevel$240$label_1#1:
+ goto inline$BDLGetDebugLevel$240$Return#1;
+
+ inline$BDLGetDebugLevel$240$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$240$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon37_Then#1, inline$BDLDevicePowerIoCompletion$8$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_82_true#1, inline$BDLDevicePowerIoCompletion$8$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$8$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$8$anon38_Then#1, inline$BDLDevicePowerIoCompletion$8$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$8$anon39_Then#1, inline$BDLDevicePowerIoCompletion$8$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_92#1:
+ goto inline$storm_IoCompleteRequest$17$Entry#1;
+
+ inline$storm_IoCompleteRequest$17$Entry#1:
+ inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$8$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$17$start#1;
+
+ inline$storm_IoCompleteRequest$17$start#1:
+ inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$17$label_3#1;
+
+ inline$storm_IoCompleteRequest$17$label_3#1:
+ call inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$17$label_6#1;
+
+ inline$storm_IoCompleteRequest$17$label_6#1:
+ goto inline$storm_IoCompleteRequest$17$label_6_true#1, inline$storm_IoCompleteRequest$17$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$17$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$17$label_7#1;
+
+ inline$storm_IoCompleteRequest$17$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$17$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$17$label_8#1;
+
+ inline$storm_IoCompleteRequest$17$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$17$anon3_Then#1, inline$storm_IoCompleteRequest$17$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$17$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$17$anon1#1;
+
+ inline$storm_IoCompleteRequest$17$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$17$label_9#1;
+
+ inline$storm_IoCompleteRequest$17$label_9#1:
+ goto inline$storm_IoCompleteRequest$17$label_9_true#1, inline$storm_IoCompleteRequest$17$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$17$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$17$label_10#1;
+
+ inline$storm_IoCompleteRequest$17$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$17$label_1#1;
+
+ inline$storm_IoCompleteRequest$17$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$17$label_7#1;
+
+ inline$storm_IoCompleteRequest$17$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$17$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$17$label_1#1;
+
+ inline$storm_IoCompleteRequest$17$label_1#1:
+ goto inline$storm_IoCompleteRequest$17$Return#1;
+
+ inline$storm_IoCompleteRequest$17$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$17$Return#1;
+
+ inline$storm_IoCompleteRequest$17$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon40_Then#1, inline$BDLDevicePowerIoCompletion$8$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$8$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$8$anon41_Then#1, inline$BDLDevicePowerIoCompletion$8$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_98#1:
+ goto inline$BDLGetDebugLevel$241$Entry#1;
+
+ inline$BDLGetDebugLevel$241$Entry#1:
+ goto inline$BDLGetDebugLevel$241$start#1;
+
+ inline$BDLGetDebugLevel$241$start#1:
+ goto inline$BDLGetDebugLevel$241$label_3#1;
+
+ inline$BDLGetDebugLevel$241$label_3#1:
+ havoc inline$BDLGetDebugLevel$241$myNondetVar_0;
+ inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$241$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$241$label_1#1;
+
+ inline$BDLGetDebugLevel$241$label_1#1:
+ goto inline$BDLGetDebugLevel$241$Return#1;
+
+ inline$BDLGetDebugLevel$241$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$241$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon42_Then#1, inline$BDLDevicePowerIoCompletion$8$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_101_true#1, inline$BDLDevicePowerIoCompletion$8$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_102#1:
+ goto inline$BDLGetDebugLevel$242$Entry#1;
+
+ inline$BDLGetDebugLevel$242$Entry#1:
+ goto inline$BDLGetDebugLevel$242$start#1;
+
+ inline$BDLGetDebugLevel$242$start#1:
+ goto inline$BDLGetDebugLevel$242$label_3#1;
+
+ inline$BDLGetDebugLevel$242$label_3#1:
+ havoc inline$BDLGetDebugLevel$242$myNondetVar_0;
+ inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$242$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$242$label_1#1;
+
+ inline$BDLGetDebugLevel$242$label_1#1:
+ goto inline$BDLGetDebugLevel$242$Return#1;
+
+ inline$BDLGetDebugLevel$242$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$242$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon43_Then#1, inline$BDLDevicePowerIoCompletion$8$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_111_true#1, inline$BDLDevicePowerIoCompletion$8$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$8$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$8$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_112#1:
+ goto inline$BDLGetDebugLevel$243$Entry#1;
+
+ inline$BDLGetDebugLevel$243$Entry#1:
+ goto inline$BDLGetDebugLevel$243$start#1;
+
+ inline$BDLGetDebugLevel$243$start#1:
+ goto inline$BDLGetDebugLevel$243$label_3#1;
+
+ inline$BDLGetDebugLevel$243$label_3#1:
+ havoc inline$BDLGetDebugLevel$243$myNondetVar_0;
+ inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$243$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$243$label_1#1;
+
+ inline$BDLGetDebugLevel$243$label_1#1:
+ goto inline$BDLGetDebugLevel$243$Return#1;
+
+ inline$BDLGetDebugLevel$243$Return#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$243$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$8$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$8$anon44_Then#1, inline$BDLDevicePowerIoCompletion$8$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_121_true#1, inline$BDLDevicePowerIoCompletion$8$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$8$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$8$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$8$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_122#1:
+ inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$8$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$8$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$8$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$8$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$8$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$8$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$8$Return#1:
+ inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$8$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$8$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$8$anon13_Then#1, inline$CallCompletionRoutine$8$anon13_Else#1;
+
+ inline$CallCompletionRoutine$8$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$8$anon7#1;
+
+ inline$CallCompletionRoutine$8$anon7#1:
+ goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$8$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$8$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$8$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$8$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$8$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$8$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$start#1:
+ inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$8$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$label_3_true#1, inline$BDLCallDriverCompletionRoutine$8$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$8$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$8$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$8$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_6#1:
+ goto inline$storm_KeSetEvent$10$Entry#1;
+
+ inline$storm_KeSetEvent$10$Entry#1:
+ inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$8$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$10$start#1;
+
+ inline$storm_KeSetEvent$10$start#1:
+ inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$10$label_3#1;
+
+ inline$storm_KeSetEvent$10$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$10$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$10$label_1#1;
+
+ inline$storm_KeSetEvent$10$label_1#1:
+ goto inline$storm_KeSetEvent$10$Return#1;
+
+ inline$storm_KeSetEvent$10$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$8$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$8$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$8$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$8$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$8$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$8$Return#1:
+ inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$8$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$8$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$8$anon12_Then#1, inline$CallCompletionRoutine$8$anon12_Else#1;
+
+ inline$CallCompletionRoutine$8$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$8$anon5#1;
+
+ inline$CallCompletionRoutine$8$anon5#1:
+ goto inline$CallCompletionRoutine$8$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$8$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$8$label_23#1;
+
+ inline$CallCompletionRoutine$8$label_23#1:
+ inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$8$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$8$label_24#1;
+
+ inline$CallCompletionRoutine$8$label_24#1:
+ goto inline$CallCompletionRoutine$8$label_24_true#1, inline$CallCompletionRoutine$8$label_24_false#1;
+
+ inline$CallCompletionRoutine$8$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$8$label_1#1;
+
+ inline$CallCompletionRoutine$8$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$8$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$8$label_25#1;
+
+ inline$CallCompletionRoutine$8$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$8$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$8$label_1#1;
+
+ inline$CallCompletionRoutine$8$label_1#1:
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$8$Return#1;
+
+ inline$CallCompletionRoutine$8$Return#1:
+ goto inline$storm_IoCallDriver$4$label_33$1#1;
+
+ inline$storm_IoCallDriver$4$label_33$1#1:
+ goto inline$storm_IoCallDriver$4$anon14_Then#1, inline$storm_IoCallDriver$4$anon14_Else#1;
+
+ inline$storm_IoCallDriver$4$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$4$anon8#1;
+
+ inline$storm_IoCallDriver$4$anon8#1:
+ goto inline$storm_IoCallDriver$4$label_36#1;
+
+ inline$storm_IoCallDriver$4$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$4$label_29#1;
+
+ inline$storm_IoCallDriver$4$label_29#1:
+ inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$4$label_37#1;
+
+ inline$storm_IoCallDriver$4$label_37#1:
+ goto inline$storm_IoCallDriver$4$label_38#1;
+
+ inline$storm_IoCallDriver$4$label_38#1:
+ goto inline$storm_IoCallDriver$4$label_39#1;
+
+ inline$storm_IoCallDriver$4$label_39#1:
+ goto inline$CallCompletionRoutine$9$Entry#1;
+
+ inline$CallCompletionRoutine$9$Entry#1:
+ inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$9$start#1;
+
+ inline$CallCompletionRoutine$9$start#1:
+ inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$9$label_3#1;
+
+ inline$CallCompletionRoutine$9$label_3#1:
+ goto inline$CallCompletionRoutine$9$label_4#1;
+
+ inline$CallCompletionRoutine$9$label_4#1:
+ goto inline$CallCompletionRoutine$9$label_5#1;
+
+ inline$CallCompletionRoutine$9$label_5#1:
+ goto inline$CallCompletionRoutine$9$label_6#1;
+
+ inline$CallCompletionRoutine$9$label_6#1:
+ goto inline$CallCompletionRoutine$9$label_7#1;
+
+ inline$CallCompletionRoutine$9$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$48$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$48$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$start#1:
+ inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$48$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$48$label_3_true#1, inline$IoGetCurrentIrpStackLocation$48$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$48$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$48$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$48$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$48$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$48$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$48$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$48$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$48$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$48$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$48$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$48$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$48$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$48$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$48$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$48$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$48$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$48$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$48$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$48$Return#1:
+ goto inline$CallCompletionRoutine$9$label_7$1#1;
+
+ inline$CallCompletionRoutine$9$label_7$1#1:
+ goto inline$CallCompletionRoutine$9$anon10_Then#1, inline$CallCompletionRoutine$9$anon10_Else#1;
+
+ inline$CallCompletionRoutine$9$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$9$anon1#1;
+
+ inline$CallCompletionRoutine$9$anon1#1:
+ goto inline$CallCompletionRoutine$9$label_10#1;
+
+ inline$CallCompletionRoutine$9$label_10#1:
+ goto inline$CallCompletionRoutine$9$label_11#1;
+
+ inline$CallCompletionRoutine$9$label_11#1:
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$CallCompletionRoutine$9$label_12#1;
+
+ inline$CallCompletionRoutine$9$label_12#1:
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$CallCompletionRoutine$9$label_13#1;
+
+ inline$CallCompletionRoutine$9$label_13#1:
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$CallCompletionRoutine$9$label_14#1;
+
+ inline$CallCompletionRoutine$9$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$49$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$49$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$start#1:
+ inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$49$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$49$label_3_true#1, inline$IoGetCurrentIrpStackLocation$49$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$49$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$49$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$49$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$49$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$49$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$49$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$49$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$49$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$49$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$49$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$49$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$49$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$49$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$49$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$49$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$49$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$49$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$49$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$49$Return#1:
+ goto inline$CallCompletionRoutine$9$label_14$1#1;
+
+ inline$CallCompletionRoutine$9$label_14$1#1:
+ goto inline$CallCompletionRoutine$9$anon11_Then#1, inline$CallCompletionRoutine$9$anon11_Else#1;
+
+ inline$CallCompletionRoutine$9$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$9$anon3#1;
+
+ inline$CallCompletionRoutine$9$anon3#1:
+ goto inline$CallCompletionRoutine$9$label_17#1;
+
+ inline$CallCompletionRoutine$9$label_17#1:
+ goto inline$CallCompletionRoutine$9$label_18#1;
+
+ inline$CallCompletionRoutine$9$label_18#1:
+ goto inline$CallCompletionRoutine$9$label_18_true#1, inline$CallCompletionRoutine$9$label_18_false#1;
+
+ inline$CallCompletionRoutine$9$label_18_false#1:
+ assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$9$label_1#1;
+
+ inline$CallCompletionRoutine$9$label_18_true#1:
+ assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$9$label_19#1;
+
+ inline$CallCompletionRoutine$9$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$9$label_20#1;
+
+ inline$CallCompletionRoutine$9$label_20#1:
+ goto inline$CallCompletionRoutine$9$label_20_icall_1#1, inline$CallCompletionRoutine$9$label_20_icall_2#1, inline$CallCompletionRoutine$9$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$9$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$9$Entry#1:
+ inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$9$start#1;
+
+ inline$BDLSystemPowerIoCompletion$9$start#1:
+ call inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$9$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_4#1:
+ inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_6#1:
+ inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$9$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$51$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$51$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$start#1:
+ inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$51$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$51$label_3_true#1, inline$IoGetCurrentIrpStackLocation$51$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$51$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$51$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$51$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$51$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$51$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$51$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$51$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$51$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$51$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$51$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$51$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$51$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$51$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$51$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$51$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$51$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$51$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$51$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$51$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon36_Then#1, inline$BDLSystemPowerIoCompletion$9$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_15#1:
+ goto inline$BDLGetDebugLevel$265$Entry#1;
+
+ inline$BDLGetDebugLevel$265$Entry#1:
+ goto inline$BDLGetDebugLevel$265$start#1;
+
+ inline$BDLGetDebugLevel$265$start#1:
+ goto inline$BDLGetDebugLevel$265$label_3#1;
+
+ inline$BDLGetDebugLevel$265$label_3#1:
+ havoc inline$BDLGetDebugLevel$265$myNondetVar_0;
+ inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$265$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$265$label_1#1;
+
+ inline$BDLGetDebugLevel$265$label_1#1:
+ goto inline$BDLGetDebugLevel$265$Return#1;
+
+ inline$BDLGetDebugLevel$265$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$265$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon37_Then#1, inline$BDLSystemPowerIoCompletion$9$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_18_true#1, inline$BDLSystemPowerIoCompletion$9$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_19#1:
+ goto inline$BDLGetDebugLevel$266$Entry#1;
+
+ inline$BDLGetDebugLevel$266$Entry#1:
+ goto inline$BDLGetDebugLevel$266$start#1;
+
+ inline$BDLGetDebugLevel$266$start#1:
+ goto inline$BDLGetDebugLevel$266$label_3#1;
+
+ inline$BDLGetDebugLevel$266$label_3#1:
+ havoc inline$BDLGetDebugLevel$266$myNondetVar_0;
+ inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$266$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$266$label_1#1;
+
+ inline$BDLGetDebugLevel$266$label_1#1:
+ goto inline$BDLGetDebugLevel$266$Return#1;
+
+ inline$BDLGetDebugLevel$266$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$266$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon38_Then#1, inline$BDLSystemPowerIoCompletion$9$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_28_true#1, inline$BDLSystemPowerIoCompletion$9$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_29#1:
+ goto inline$BDLGetDebugLevel$267$Entry#1;
+
+ inline$BDLGetDebugLevel$267$Entry#1:
+ goto inline$BDLGetDebugLevel$267$start#1;
+
+ inline$BDLGetDebugLevel$267$start#1:
+ goto inline$BDLGetDebugLevel$267$label_3#1;
+
+ inline$BDLGetDebugLevel$267$label_3#1:
+ havoc inline$BDLGetDebugLevel$267$myNondetVar_0;
+ inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$267$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$267$label_1#1;
+
+ inline$BDLGetDebugLevel$267$label_1#1:
+ goto inline$BDLGetDebugLevel$267$Return#1;
+
+ inline$BDLGetDebugLevel$267$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$267$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon39_Then#1, inline$BDLSystemPowerIoCompletion$9$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_38_true#1, inline$BDLSystemPowerIoCompletion$9$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_39_true#1, inline$BDLSystemPowerIoCompletion$9$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$9$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$9$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$9$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$9$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$9$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_106_true#1, inline$BDLSystemPowerIoCompletion$9$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$9$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$9$myNondetVar_0, inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$9$anon50_Then#1, inline$BDLSystemPowerIoCompletion$9$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_112#1:
+ inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_113_true#1, inline$BDLSystemPowerIoCompletion$9$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$9$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_117#1:
+ goto inline$BDLGetDebugLevel$274$Entry#1;
+
+ inline$BDLGetDebugLevel$274$Entry#1:
+ goto inline$BDLGetDebugLevel$274$start#1;
+
+ inline$BDLGetDebugLevel$274$start#1:
+ goto inline$BDLGetDebugLevel$274$label_3#1;
+
+ inline$BDLGetDebugLevel$274$label_3#1:
+ havoc inline$BDLGetDebugLevel$274$myNondetVar_0;
+ inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$274$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$274$label_1#1;
+
+ inline$BDLGetDebugLevel$274$label_1#1:
+ goto inline$BDLGetDebugLevel$274$Return#1;
+
+ inline$BDLGetDebugLevel$274$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$274$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon51_Then#1, inline$BDLSystemPowerIoCompletion$9$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_120_true#1, inline$BDLSystemPowerIoCompletion$9$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_121#1:
+ goto inline$BDLGetDebugLevel$275$Entry#1;
+
+ inline$BDLGetDebugLevel$275$Entry#1:
+ goto inline$BDLGetDebugLevel$275$start#1;
+
+ inline$BDLGetDebugLevel$275$start#1:
+ goto inline$BDLGetDebugLevel$275$label_3#1;
+
+ inline$BDLGetDebugLevel$275$label_3#1:
+ havoc inline$BDLGetDebugLevel$275$myNondetVar_0;
+ inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$275$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$275$label_1#1;
+
+ inline$BDLGetDebugLevel$275$label_1#1:
+ goto inline$BDLGetDebugLevel$275$Return#1;
+
+ inline$BDLGetDebugLevel$275$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$275$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon52_Then#1, inline$BDLSystemPowerIoCompletion$9$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_130_true#1, inline$BDLSystemPowerIoCompletion$9$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_131#1:
+ goto inline$BDLGetDebugLevel$276$Entry#1;
+
+ inline$BDLGetDebugLevel$276$Entry#1:
+ goto inline$BDLGetDebugLevel$276$start#1;
+
+ inline$BDLGetDebugLevel$276$start#1:
+ goto inline$BDLGetDebugLevel$276$label_3#1;
+
+ inline$BDLGetDebugLevel$276$label_3#1:
+ havoc inline$BDLGetDebugLevel$276$myNondetVar_0;
+ inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$276$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$276$label_1#1;
+
+ inline$BDLGetDebugLevel$276$label_1#1:
+ goto inline$BDLGetDebugLevel$276$Return#1;
+
+ inline$BDLGetDebugLevel$276$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$276$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon53_Then#1, inline$BDLSystemPowerIoCompletion$9$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_140_true#1, inline$BDLSystemPowerIoCompletion$9$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$9$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_115#1:
+ inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$9$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$9$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$9$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_43#1:
+ goto inline$BDLGetDebugLevel$268$Entry#1;
+
+ inline$BDLGetDebugLevel$268$Entry#1:
+ goto inline$BDLGetDebugLevel$268$start#1;
+
+ inline$BDLGetDebugLevel$268$start#1:
+ goto inline$BDLGetDebugLevel$268$label_3#1;
+
+ inline$BDLGetDebugLevel$268$label_3#1:
+ havoc inline$BDLGetDebugLevel$268$myNondetVar_0;
+ inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$268$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$268$label_1#1;
+
+ inline$BDLGetDebugLevel$268$label_1#1:
+ goto inline$BDLGetDebugLevel$268$Return#1;
+
+ inline$BDLGetDebugLevel$268$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$268$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon40_Then#1, inline$BDLSystemPowerIoCompletion$9$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_46_true#1, inline$BDLSystemPowerIoCompletion$9$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_47#1:
+ goto inline$BDLGetDebugLevel$269$Entry#1;
+
+ inline$BDLGetDebugLevel$269$Entry#1:
+ goto inline$BDLGetDebugLevel$269$start#1;
+
+ inline$BDLGetDebugLevel$269$start#1:
+ goto inline$BDLGetDebugLevel$269$label_3#1;
+
+ inline$BDLGetDebugLevel$269$label_3#1:
+ havoc inline$BDLGetDebugLevel$269$myNondetVar_0;
+ inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$269$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$269$label_1#1;
+
+ inline$BDLGetDebugLevel$269$label_1#1:
+ goto inline$BDLGetDebugLevel$269$Return#1;
+
+ inline$BDLGetDebugLevel$269$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$269$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon41_Then#1, inline$BDLSystemPowerIoCompletion$9$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_56_true#1, inline$BDLSystemPowerIoCompletion$9$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_57#1:
+ goto inline$BDLGetDebugLevel$270$Entry#1;
+
+ inline$BDLGetDebugLevel$270$Entry#1:
+ goto inline$BDLGetDebugLevel$270$start#1;
+
+ inline$BDLGetDebugLevel$270$start#1:
+ goto inline$BDLGetDebugLevel$270$label_3#1;
+
+ inline$BDLGetDebugLevel$270$label_3#1:
+ havoc inline$BDLGetDebugLevel$270$myNondetVar_0;
+ inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$270$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$270$label_1#1;
+
+ inline$BDLGetDebugLevel$270$label_1#1:
+ goto inline$BDLGetDebugLevel$270$Return#1;
+
+ inline$BDLGetDebugLevel$270$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$270$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon42_Then#1, inline$BDLSystemPowerIoCompletion$9$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_66_true#1, inline$BDLSystemPowerIoCompletion$9$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$9$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$9$anon43_Then#1, inline$BDLSystemPowerIoCompletion$9$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$9$anon44_Then#1, inline$BDLSystemPowerIoCompletion$9$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_74#1:
+ goto inline$storm_IoCompleteRequest$20$Entry#1;
+
+ inline$storm_IoCompleteRequest$20$Entry#1:
+ inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$9$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$20$start#1;
+
+ inline$storm_IoCompleteRequest$20$start#1:
+ inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$20$label_3#1;
+
+ inline$storm_IoCompleteRequest$20$label_3#1:
+ call inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$20$label_6#1;
+
+ inline$storm_IoCompleteRequest$20$label_6#1:
+ goto inline$storm_IoCompleteRequest$20$label_6_true#1, inline$storm_IoCompleteRequest$20$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$20$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$20$label_7#1;
+
+ inline$storm_IoCompleteRequest$20$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$20$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$20$label_8#1;
+
+ inline$storm_IoCompleteRequest$20$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$20$anon3_Then#1, inline$storm_IoCompleteRequest$20$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$20$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$20$anon1#1;
+
+ inline$storm_IoCompleteRequest$20$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$20$label_9#1;
+
+ inline$storm_IoCompleteRequest$20$label_9#1:
+ goto inline$storm_IoCompleteRequest$20$label_9_true#1, inline$storm_IoCompleteRequest$20$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$20$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$20$label_10#1;
+
+ inline$storm_IoCompleteRequest$20$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$20$label_1#1;
+
+ inline$storm_IoCompleteRequest$20$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$20$label_7#1;
+
+ inline$storm_IoCompleteRequest$20$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$20$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$20$label_1#1;
+
+ inline$storm_IoCompleteRequest$20$label_1#1:
+ goto inline$storm_IoCompleteRequest$20$Return#1;
+
+ inline$storm_IoCompleteRequest$20$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$20$Return#1;
+
+ inline$storm_IoCompleteRequest$20$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon45_Then#1, inline$BDLSystemPowerIoCompletion$9$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$9$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$9$anon46_Then#1, inline$BDLSystemPowerIoCompletion$9$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_80#1:
+ goto inline$BDLGetDebugLevel$271$Entry#1;
+
+ inline$BDLGetDebugLevel$271$Entry#1:
+ goto inline$BDLGetDebugLevel$271$start#1;
+
+ inline$BDLGetDebugLevel$271$start#1:
+ goto inline$BDLGetDebugLevel$271$label_3#1;
+
+ inline$BDLGetDebugLevel$271$label_3#1:
+ havoc inline$BDLGetDebugLevel$271$myNondetVar_0;
+ inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$271$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$271$label_1#1;
+
+ inline$BDLGetDebugLevel$271$label_1#1:
+ goto inline$BDLGetDebugLevel$271$Return#1;
+
+ inline$BDLGetDebugLevel$271$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$271$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon47_Then#1, inline$BDLSystemPowerIoCompletion$9$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_83_true#1, inline$BDLSystemPowerIoCompletion$9$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_84#1:
+ goto inline$BDLGetDebugLevel$272$Entry#1;
+
+ inline$BDLGetDebugLevel$272$Entry#1:
+ goto inline$BDLGetDebugLevel$272$start#1;
+
+ inline$BDLGetDebugLevel$272$start#1:
+ goto inline$BDLGetDebugLevel$272$label_3#1;
+
+ inline$BDLGetDebugLevel$272$label_3#1:
+ havoc inline$BDLGetDebugLevel$272$myNondetVar_0;
+ inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$272$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$272$label_1#1;
+
+ inline$BDLGetDebugLevel$272$label_1#1:
+ goto inline$BDLGetDebugLevel$272$Return#1;
+
+ inline$BDLGetDebugLevel$272$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$272$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon48_Then#1, inline$BDLSystemPowerIoCompletion$9$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_93_true#1, inline$BDLSystemPowerIoCompletion$9$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$9$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$9$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_94#1:
+ goto inline$BDLGetDebugLevel$273$Entry#1;
+
+ inline$BDLGetDebugLevel$273$Entry#1:
+ goto inline$BDLGetDebugLevel$273$start#1;
+
+ inline$BDLGetDebugLevel$273$start#1:
+ goto inline$BDLGetDebugLevel$273$label_3#1;
+
+ inline$BDLGetDebugLevel$273$label_3#1:
+ havoc inline$BDLGetDebugLevel$273$myNondetVar_0;
+ inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$273$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$273$label_1#1;
+
+ inline$BDLGetDebugLevel$273$label_1#1:
+ goto inline$BDLGetDebugLevel$273$Return#1;
+
+ inline$BDLGetDebugLevel$273$Return#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$273$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$9$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$9$anon49_Then#1, inline$BDLSystemPowerIoCompletion$9$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_103_true#1, inline$BDLSystemPowerIoCompletion$9$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$9$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$9$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$9$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_104#1:
+ inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$9$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$9$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$9$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$9$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$9$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$9$Return#1:
+ inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$9$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$9$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$9$anon14_Then#1, inline$CallCompletionRoutine$9$anon14_Else#1;
+
+ inline$CallCompletionRoutine$9$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$9$anon9#1;
+
+ inline$CallCompletionRoutine$9$anon9#1:
+ goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$9$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$9$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$9$Entry#1:
+ inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$9$start#1;
+
+ inline$BDLDevicePowerIoCompletion$9$start#1:
+ call inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$9$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_4#1:
+ inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_6#1:
+ inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$9$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$50$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$50$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$start#1:
+ inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$50$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$50$label_3_true#1, inline$IoGetCurrentIrpStackLocation$50$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$50$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$50$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$50$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$50$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$50$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$50$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$50$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$50$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$50$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$50$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$50$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$50$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$50$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$50$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$50$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$50$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$50$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$50$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$50$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$50$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon30_Then#1, inline$BDLDevicePowerIoCompletion$9$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_13#1:
+ inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_17#1:
+ goto inline$BDLGetDebugLevel$256$Entry#1;
+
+ inline$BDLGetDebugLevel$256$Entry#1:
+ goto inline$BDLGetDebugLevel$256$start#1;
+
+ inline$BDLGetDebugLevel$256$start#1:
+ goto inline$BDLGetDebugLevel$256$label_3#1;
+
+ inline$BDLGetDebugLevel$256$label_3#1:
+ havoc inline$BDLGetDebugLevel$256$myNondetVar_0;
+ inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$256$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$256$label_1#1;
+
+ inline$BDLGetDebugLevel$256$label_1#1:
+ goto inline$BDLGetDebugLevel$256$Return#1;
+
+ inline$BDLGetDebugLevel$256$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$256$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon31_Then#1, inline$BDLDevicePowerIoCompletion$9$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_20_true#1, inline$BDLDevicePowerIoCompletion$9$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_21#1:
+ goto inline$BDLGetDebugLevel$257$Entry#1;
+
+ inline$BDLGetDebugLevel$257$Entry#1:
+ goto inline$BDLGetDebugLevel$257$start#1;
+
+ inline$BDLGetDebugLevel$257$start#1:
+ goto inline$BDLGetDebugLevel$257$label_3#1;
+
+ inline$BDLGetDebugLevel$257$label_3#1:
+ havoc inline$BDLGetDebugLevel$257$myNondetVar_0;
+ inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$257$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$257$label_1#1;
+
+ inline$BDLGetDebugLevel$257$label_1#1:
+ goto inline$BDLGetDebugLevel$257$Return#1;
+
+ inline$BDLGetDebugLevel$257$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$257$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon32_Then#1, inline$BDLDevicePowerIoCompletion$9$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_30_true#1, inline$BDLDevicePowerIoCompletion$9$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_31#1:
+ goto inline$BDLGetDebugLevel$258$Entry#1;
+
+ inline$BDLGetDebugLevel$258$Entry#1:
+ goto inline$BDLGetDebugLevel$258$start#1;
+
+ inline$BDLGetDebugLevel$258$start#1:
+ goto inline$BDLGetDebugLevel$258$label_3#1;
+
+ inline$BDLGetDebugLevel$258$label_3#1:
+ havoc inline$BDLGetDebugLevel$258$myNondetVar_0;
+ inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$258$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$258$label_1#1;
+
+ inline$BDLGetDebugLevel$258$label_1#1:
+ goto inline$BDLGetDebugLevel$258$Return#1;
+
+ inline$BDLGetDebugLevel$258$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$258$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon33_Then#1, inline$BDLDevicePowerIoCompletion$9$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_40_true#1, inline$BDLDevicePowerIoCompletion$9$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_41_true#1, inline$BDLDevicePowerIoCompletion$9$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$9$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$9$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_44_true#1, inline$BDLDevicePowerIoCompletion$9$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_45_true#1, inline$BDLDevicePowerIoCompletion$9$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$9$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$9$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$9$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_54#1:
+ inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$9$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_55_true#1, inline$BDLDevicePowerIoCompletion$9$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$9$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$9$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$9$anon34_Then#1, inline$BDLDevicePowerIoCompletion$9$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_59#1:
+ goto inline$BDLGetDebugLevel$259$Entry#1;
+
+ inline$BDLGetDebugLevel$259$Entry#1:
+ goto inline$BDLGetDebugLevel$259$start#1;
+
+ inline$BDLGetDebugLevel$259$start#1:
+ goto inline$BDLGetDebugLevel$259$label_3#1;
+
+ inline$BDLGetDebugLevel$259$label_3#1:
+ havoc inline$BDLGetDebugLevel$259$myNondetVar_0;
+ inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$259$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$259$label_1#1;
+
+ inline$BDLGetDebugLevel$259$label_1#1:
+ goto inline$BDLGetDebugLevel$259$Return#1;
+
+ inline$BDLGetDebugLevel$259$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$259$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon35_Then#1, inline$BDLDevicePowerIoCompletion$9$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_62_true#1, inline$BDLDevicePowerIoCompletion$9$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_63#1:
+ goto inline$BDLGetDebugLevel$260$Entry#1;
+
+ inline$BDLGetDebugLevel$260$Entry#1:
+ goto inline$BDLGetDebugLevel$260$start#1;
+
+ inline$BDLGetDebugLevel$260$start#1:
+ goto inline$BDLGetDebugLevel$260$label_3#1;
+
+ inline$BDLGetDebugLevel$260$label_3#1:
+ havoc inline$BDLGetDebugLevel$260$myNondetVar_0;
+ inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$260$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$260$label_1#1;
+
+ inline$BDLGetDebugLevel$260$label_1#1:
+ goto inline$BDLGetDebugLevel$260$Return#1;
+
+ inline$BDLGetDebugLevel$260$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$260$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon36_Then#1, inline$BDLDevicePowerIoCompletion$9$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_72_true#1, inline$BDLDevicePowerIoCompletion$9$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_73#1:
+ goto inline$BDLGetDebugLevel$261$Entry#1;
+
+ inline$BDLGetDebugLevel$261$Entry#1:
+ goto inline$BDLGetDebugLevel$261$start#1;
+
+ inline$BDLGetDebugLevel$261$start#1:
+ goto inline$BDLGetDebugLevel$261$label_3#1;
+
+ inline$BDLGetDebugLevel$261$label_3#1:
+ havoc inline$BDLGetDebugLevel$261$myNondetVar_0;
+ inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$261$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$261$label_1#1;
+
+ inline$BDLGetDebugLevel$261$label_1#1:
+ goto inline$BDLGetDebugLevel$261$Return#1;
+
+ inline$BDLGetDebugLevel$261$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$261$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon37_Then#1, inline$BDLDevicePowerIoCompletion$9$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_82_true#1, inline$BDLDevicePowerIoCompletion$9$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$9$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$9$anon38_Then#1, inline$BDLDevicePowerIoCompletion$9$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$9$anon39_Then#1, inline$BDLDevicePowerIoCompletion$9$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_92#1:
+ goto inline$storm_IoCompleteRequest$19$Entry#1;
+
+ inline$storm_IoCompleteRequest$19$Entry#1:
+ inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$9$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$19$start#1;
+
+ inline$storm_IoCompleteRequest$19$start#1:
+ inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$19$label_3#1;
+
+ inline$storm_IoCompleteRequest$19$label_3#1:
+ call inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$19$label_6#1;
+
+ inline$storm_IoCompleteRequest$19$label_6#1:
+ goto inline$storm_IoCompleteRequest$19$label_6_true#1, inline$storm_IoCompleteRequest$19$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$19$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$19$label_7#1;
+
+ inline$storm_IoCompleteRequest$19$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$19$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$19$label_8#1;
+
+ inline$storm_IoCompleteRequest$19$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$19$anon3_Then#1, inline$storm_IoCompleteRequest$19$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$19$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$19$anon1#1;
+
+ inline$storm_IoCompleteRequest$19$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$19$label_9#1;
+
+ inline$storm_IoCompleteRequest$19$label_9#1:
+ goto inline$storm_IoCompleteRequest$19$label_9_true#1, inline$storm_IoCompleteRequest$19$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$19$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$19$label_10#1;
+
+ inline$storm_IoCompleteRequest$19$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$19$label_1#1;
+
+ inline$storm_IoCompleteRequest$19$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$19$label_7#1;
+
+ inline$storm_IoCompleteRequest$19$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$19$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$19$label_1#1;
+
+ inline$storm_IoCompleteRequest$19$label_1#1:
+ goto inline$storm_IoCompleteRequest$19$Return#1;
+
+ inline$storm_IoCompleteRequest$19$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$19$Return#1;
+
+ inline$storm_IoCompleteRequest$19$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon40_Then#1, inline$BDLDevicePowerIoCompletion$9$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$9$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$9$anon41_Then#1, inline$BDLDevicePowerIoCompletion$9$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_98#1:
+ goto inline$BDLGetDebugLevel$262$Entry#1;
+
+ inline$BDLGetDebugLevel$262$Entry#1:
+ goto inline$BDLGetDebugLevel$262$start#1;
+
+ inline$BDLGetDebugLevel$262$start#1:
+ goto inline$BDLGetDebugLevel$262$label_3#1;
+
+ inline$BDLGetDebugLevel$262$label_3#1:
+ havoc inline$BDLGetDebugLevel$262$myNondetVar_0;
+ inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$262$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$262$label_1#1;
+
+ inline$BDLGetDebugLevel$262$label_1#1:
+ goto inline$BDLGetDebugLevel$262$Return#1;
+
+ inline$BDLGetDebugLevel$262$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$262$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon42_Then#1, inline$BDLDevicePowerIoCompletion$9$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_101_true#1, inline$BDLDevicePowerIoCompletion$9$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_102#1:
+ goto inline$BDLGetDebugLevel$263$Entry#1;
+
+ inline$BDLGetDebugLevel$263$Entry#1:
+ goto inline$BDLGetDebugLevel$263$start#1;
+
+ inline$BDLGetDebugLevel$263$start#1:
+ goto inline$BDLGetDebugLevel$263$label_3#1;
+
+ inline$BDLGetDebugLevel$263$label_3#1:
+ havoc inline$BDLGetDebugLevel$263$myNondetVar_0;
+ inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$263$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$263$label_1#1;
+
+ inline$BDLGetDebugLevel$263$label_1#1:
+ goto inline$BDLGetDebugLevel$263$Return#1;
+
+ inline$BDLGetDebugLevel$263$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$263$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon43_Then#1, inline$BDLDevicePowerIoCompletion$9$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_111_true#1, inline$BDLDevicePowerIoCompletion$9$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$9$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$9$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_112#1:
+ goto inline$BDLGetDebugLevel$264$Entry#1;
+
+ inline$BDLGetDebugLevel$264$Entry#1:
+ goto inline$BDLGetDebugLevel$264$start#1;
+
+ inline$BDLGetDebugLevel$264$start#1:
+ goto inline$BDLGetDebugLevel$264$label_3#1;
+
+ inline$BDLGetDebugLevel$264$label_3#1:
+ havoc inline$BDLGetDebugLevel$264$myNondetVar_0;
+ inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$264$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$264$label_1#1;
+
+ inline$BDLGetDebugLevel$264$label_1#1:
+ goto inline$BDLGetDebugLevel$264$Return#1;
+
+ inline$BDLGetDebugLevel$264$Return#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$264$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$9$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$9$anon44_Then#1, inline$BDLDevicePowerIoCompletion$9$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_121_true#1, inline$BDLDevicePowerIoCompletion$9$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$9$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$9$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$9$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_122#1:
+ inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$9$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$9$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$9$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$9$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$9$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$9$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$9$Return#1:
+ inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$9$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$9$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$9$anon13_Then#1, inline$CallCompletionRoutine$9$anon13_Else#1;
+
+ inline$CallCompletionRoutine$9$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$9$anon7#1;
+
+ inline$CallCompletionRoutine$9$anon7#1:
+ goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$9$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$9$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$9$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$9$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$9$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$9$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$start#1:
+ inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$9$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$label_3_true#1, inline$BDLCallDriverCompletionRoutine$9$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$9$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$9$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$9$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_6#1:
+ goto inline$storm_KeSetEvent$11$Entry#1;
+
+ inline$storm_KeSetEvent$11$Entry#1:
+ inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$9$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$11$start#1;
+
+ inline$storm_KeSetEvent$11$start#1:
+ inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$11$label_3#1;
+
+ inline$storm_KeSetEvent$11$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$11$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$11$label_1#1;
+
+ inline$storm_KeSetEvent$11$label_1#1:
+ goto inline$storm_KeSetEvent$11$Return#1;
+
+ inline$storm_KeSetEvent$11$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$9$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$9$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$9$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$9$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$9$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$9$Return#1:
+ inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$9$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$9$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$9$anon12_Then#1, inline$CallCompletionRoutine$9$anon12_Else#1;
+
+ inline$CallCompletionRoutine$9$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$9$anon5#1;
+
+ inline$CallCompletionRoutine$9$anon5#1:
+ goto inline$CallCompletionRoutine$9$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$9$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$9$label_23#1;
+
+ inline$CallCompletionRoutine$9$label_23#1:
+ inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$9$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$9$label_24#1;
+
+ inline$CallCompletionRoutine$9$label_24#1:
+ goto inline$CallCompletionRoutine$9$label_24_true#1, inline$CallCompletionRoutine$9$label_24_false#1;
+
+ inline$CallCompletionRoutine$9$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$9$label_1#1;
+
+ inline$CallCompletionRoutine$9$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$9$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$9$label_25#1;
+
+ inline$CallCompletionRoutine$9$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$9$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$9$label_1#1;
+
+ inline$CallCompletionRoutine$9$label_1#1:
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$9$Return#1;
+
+ inline$CallCompletionRoutine$9$Return#1:
+ goto inline$storm_IoCallDriver$4$label_39$1#1;
+
+ inline$storm_IoCallDriver$4$label_39$1#1:
+ goto inline$storm_IoCallDriver$4$anon15_Then#1, inline$storm_IoCallDriver$4$anon15_Else#1;
+
+ inline$storm_IoCallDriver$4$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$4$anon10#1;
+
+ inline$storm_IoCallDriver$4$anon10#1:
+ goto inline$storm_IoCallDriver$4$label_36#1;
+
+ inline$storm_IoCallDriver$4$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$4$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$4$label_28#1;
+
+ inline$storm_IoCallDriver$4$label_28#1:
+ inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$4$label_42#1;
+
+ inline$storm_IoCallDriver$4$label_42#1:
+ goto inline$storm_IoCallDriver$4$label_43#1;
+
+ inline$storm_IoCallDriver$4$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$4$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$4$label_36#1;
+
+ inline$storm_IoCallDriver$4$label_36#1:
+ inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$4$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$4$label_1#1;
+
+ inline$storm_IoCallDriver$4$label_1#1:
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$4$Return#1;
+
+ inline$storm_IoCallDriver$4$Return#1:
+ inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$ := inline$storm_IoCallDriver$4$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLHandleRemove$0$label_109$1#1;
+
+ inline$BDLHandleRemove$0$label_109$1#1:
+ goto inline$BDLHandleRemove$0$anon56_Then#1, inline$BDLHandleRemove$0$anon56_Else#1;
+
+ inline$BDLHandleRemove$0$anon56_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon29#1;
+
+ inline$BDLHandleRemove$0$anon29#1:
+ goto inline$BDLHandleRemove$0$label_112#1;
+
+ inline$BDLHandleRemove$0$label_112#1:
+ inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 := inline$BDLHandleRemove$0$$result.storm_IoCallDriver$2453.25$39$;
+ goto inline$BDLHandleRemove$0$label_113#1;
+
+ inline$BDLHandleRemove$0$label_113#1:
+ goto inline$BDLHandleRemove$0$label_113_true#1, inline$BDLHandleRemove$0$label_113_false#1;
+
+ inline$BDLHandleRemove$0$label_113_false#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 == 0;
+ goto inline$BDLHandleRemove$0$label_114#1;
+
+ inline$BDLHandleRemove$0$label_113_true#1:
+ assume inline$BDLHandleRemove$0$$status$4$2383.28$BDLHandleRemove$12 != 0;
+ goto inline$BDLHandleRemove$0$label_117#1;
+
+ inline$BDLHandleRemove$0$label_117#1:
+ goto inline$BDLGetDebugLevel$278$Entry#1;
+
+ inline$BDLGetDebugLevel$278$Entry#1:
+ goto inline$BDLGetDebugLevel$278$start#1;
+
+ inline$BDLGetDebugLevel$278$start#1:
+ goto inline$BDLGetDebugLevel$278$label_3#1;
+
+ inline$BDLGetDebugLevel$278$label_3#1:
+ havoc inline$BDLGetDebugLevel$278$myNondetVar_0;
+ inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$278$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$278$label_1#1;
+
+ inline$BDLGetDebugLevel$278$label_1#1:
+ goto inline$BDLGetDebugLevel$278$Return#1;
+
+ inline$BDLGetDebugLevel$278$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$ := inline$BDLGetDebugLevel$278$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_117$1#1;
+
+ inline$BDLHandleRemove$0$label_117$1#1:
+ goto inline$BDLHandleRemove$0$anon58_Then#1, inline$BDLHandleRemove$0$anon58_Else#1;
+
+ inline$BDLHandleRemove$0$anon58_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon33#1;
+
+ inline$BDLHandleRemove$0$anon33#1:
+ goto inline$BDLHandleRemove$0$label_120#1;
+
+ inline$BDLHandleRemove$0$label_120#1:
+ goto inline$BDLHandleRemove$0$label_120_true#1, inline$BDLHandleRemove$0$label_120_false#1;
+
+ inline$BDLHandleRemove$0$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$) == 0;
+ goto inline$BDLHandleRemove$0$label_121#1;
+
+ inline$BDLHandleRemove$0$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$40$) != 0;
+ goto inline$BDLHandleRemove$0$label_124#1;
+
+ inline$BDLHandleRemove$0$label_124#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_125#1;
+
+ inline$BDLHandleRemove$0$label_125#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_126#1;
+
+ inline$BDLHandleRemove$0$label_126#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_127#1;
+
+ inline$BDLHandleRemove$0$label_127#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$41$;
+ goto inline$BDLHandleRemove$0$label_121#1;
+
+ inline$BDLHandleRemove$0$label_121#1:
+ goto inline$BDLGetDebugLevel$279$Entry#1;
+
+ inline$BDLGetDebugLevel$279$Entry#1:
+ goto inline$BDLGetDebugLevel$279$start#1;
+
+ inline$BDLGetDebugLevel$279$start#1:
+ goto inline$BDLGetDebugLevel$279$label_3#1;
+
+ inline$BDLGetDebugLevel$279$label_3#1:
+ havoc inline$BDLGetDebugLevel$279$myNondetVar_0;
+ inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$279$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$279$label_1#1;
+
+ inline$BDLGetDebugLevel$279$label_1#1:
+ goto inline$BDLGetDebugLevel$279$Return#1;
+
+ inline$BDLGetDebugLevel$279$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$ := inline$BDLGetDebugLevel$279$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_121$1#1;
+
+ inline$BDLHandleRemove$0$label_121$1#1:
+ goto inline$BDLHandleRemove$0$anon59_Then#1, inline$BDLHandleRemove$0$anon59_Else#1;
+
+ inline$BDLHandleRemove$0$anon59_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon35#1;
+
+ inline$BDLHandleRemove$0$anon35#1:
+ goto inline$BDLHandleRemove$0$label_130#1;
+
+ inline$BDLHandleRemove$0$label_130#1:
+ goto inline$BDLHandleRemove$0$label_130_true#1, inline$BDLHandleRemove$0$label_130_false#1;
+
+ inline$BDLHandleRemove$0$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$) == 0;
+ goto inline$BDLHandleRemove$0$label_131#1;
+
+ inline$BDLHandleRemove$0$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$45$) != 0;
+ goto inline$BDLHandleRemove$0$label_134#1;
+
+ inline$BDLHandleRemove$0$label_134#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_135#1;
+
+ inline$BDLHandleRemove$0$label_135#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_136#1;
+
+ inline$BDLHandleRemove$0$label_136#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_137#1;
+
+ inline$BDLHandleRemove$0$label_137#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2457.0$46$;
+ goto inline$BDLHandleRemove$0$label_131#1;
+
+ inline$BDLHandleRemove$0$label_131#1:
+ goto inline$BDLGetDebugLevel$280$Entry#1;
+
+ inline$BDLGetDebugLevel$280$Entry#1:
+ goto inline$BDLGetDebugLevel$280$start#1;
+
+ inline$BDLGetDebugLevel$280$start#1:
+ goto inline$BDLGetDebugLevel$280$label_3#1;
+
+ inline$BDLGetDebugLevel$280$label_3#1:
+ havoc inline$BDLGetDebugLevel$280$myNondetVar_0;
+ inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$280$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$280$label_1#1;
+
+ inline$BDLGetDebugLevel$280$label_1#1:
+ goto inline$BDLGetDebugLevel$280$Return#1;
+
+ inline$BDLGetDebugLevel$280$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$ := inline$BDLGetDebugLevel$280$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_131$1#1;
+
+ inline$BDLHandleRemove$0$label_131$1#1:
+ goto inline$BDLHandleRemove$0$anon60_Then#1, inline$BDLHandleRemove$0$anon60_Else#1;
+
+ inline$BDLHandleRemove$0$anon60_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon37#1;
+
+ inline$BDLHandleRemove$0$anon37#1:
+ goto inline$BDLHandleRemove$0$label_140#1;
+
+ inline$BDLHandleRemove$0$label_140#1:
+ goto inline$BDLHandleRemove$0$label_140_true#1, inline$BDLHandleRemove$0$label_140_false#1;
+
+ inline$BDLHandleRemove$0$label_140_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$, 4) == 0;
+ goto inline$BDLHandleRemove$0$label_114#1;
+
+ inline$BDLHandleRemove$0$label_140_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2457.0$50$, 4) != 0;
+ goto inline$BDLHandleRemove$0$label_141#1;
+
+ inline$BDLHandleRemove$0$label_141#1:
+ goto inline$BDLHandleRemove$0$label_114#1;
+
+ inline$BDLHandleRemove$0$label_114#1:
+ goto inline$BDLGetDebugLevel$277$Entry#1;
+
+ inline$BDLGetDebugLevel$277$Entry#1:
+ goto inline$BDLGetDebugLevel$277$start#1;
+
+ inline$BDLGetDebugLevel$277$start#1:
+ goto inline$BDLGetDebugLevel$277$label_3#1;
+
+ inline$BDLGetDebugLevel$277$label_3#1:
+ havoc inline$BDLGetDebugLevel$277$myNondetVar_0;
+ inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$277$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$277$label_1#1;
+
+ inline$BDLGetDebugLevel$277$label_1#1:
+ goto inline$BDLGetDebugLevel$277$Return#1;
+
+ inline$BDLGetDebugLevel$277$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$ := inline$BDLGetDebugLevel$277$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_114$1#1;
+
+ inline$BDLHandleRemove$0$label_114$1#1:
+ goto inline$BDLHandleRemove$0$anon57_Then#1, inline$BDLHandleRemove$0$anon57_Else#1;
+
+ inline$BDLHandleRemove$0$anon57_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon31#1;
+
+ inline$BDLHandleRemove$0$anon31#1:
+ goto inline$BDLHandleRemove$0$label_142#1;
+
+ inline$BDLHandleRemove$0$label_142#1:
+ goto inline$BDLHandleRemove$0$label_142_true#1, inline$BDLHandleRemove$0$label_142_false#1;
+
+ inline$BDLHandleRemove$0$label_142_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$) == 0;
+ goto inline$BDLHandleRemove$0$label_143#1;
+
+ inline$BDLHandleRemove$0$label_142_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$51$) != 0;
+ goto inline$BDLHandleRemove$0$label_146#1;
+
+ inline$BDLHandleRemove$0$label_146#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_147#1;
+
+ inline$BDLHandleRemove$0$label_147#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_148#1;
+
+ inline$BDLHandleRemove$0$label_148#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_149#1;
+
+ inline$BDLHandleRemove$0$label_149#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$52$;
+ goto inline$BDLHandleRemove$0$label_143#1;
+
+ inline$BDLHandleRemove$0$label_143#1:
+ goto inline$BDLGetDebugLevel$281$Entry#1;
+
+ inline$BDLGetDebugLevel$281$Entry#1:
+ goto inline$BDLGetDebugLevel$281$start#1;
+
+ inline$BDLGetDebugLevel$281$start#1:
+ goto inline$BDLGetDebugLevel$281$label_3#1;
+
+ inline$BDLGetDebugLevel$281$label_3#1:
+ havoc inline$BDLGetDebugLevel$281$myNondetVar_0;
+ inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$281$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$281$label_1#1;
+
+ inline$BDLGetDebugLevel$281$label_1#1:
+ goto inline$BDLGetDebugLevel$281$Return#1;
+
+ inline$BDLGetDebugLevel$281$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$ := inline$BDLGetDebugLevel$281$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_143$1#1;
+
+ inline$BDLHandleRemove$0$label_143$1#1:
+ goto inline$BDLHandleRemove$0$anon61_Then#1, inline$BDLHandleRemove$0$anon61_Else#1;
+
+ inline$BDLHandleRemove$0$anon61_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon39#1;
+
+ inline$BDLHandleRemove$0$anon39#1:
+ goto inline$BDLHandleRemove$0$label_152#1;
+
+ inline$BDLHandleRemove$0$label_152#1:
+ goto inline$BDLHandleRemove$0$label_152_true#1, inline$BDLHandleRemove$0$label_152_false#1;
+
+ inline$BDLHandleRemove$0$label_152_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$) == 0;
+ goto inline$BDLHandleRemove$0$label_153#1;
+
+ inline$BDLHandleRemove$0$label_152_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$56$) != 0;
+ goto inline$BDLHandleRemove$0$label_156#1;
+
+ inline$BDLHandleRemove$0$label_156#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_157#1;
+
+ inline$BDLHandleRemove$0$label_157#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_158#1;
+
+ inline$BDLHandleRemove$0$label_158#1:
+ call inline$BDLHandleRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLHandleRemove$0$label_159#1;
+
+ inline$BDLHandleRemove$0$label_159#1:
+ havoc inline$BDLHandleRemove$0$$result.DbgPrint$2465.0$57$;
+ goto inline$BDLHandleRemove$0$label_153#1;
+
+ inline$BDLHandleRemove$0$label_153#1:
+ goto inline$BDLGetDebugLevel$282$Entry#1;
+
+ inline$BDLGetDebugLevel$282$Entry#1:
+ goto inline$BDLGetDebugLevel$282$start#1;
+
+ inline$BDLGetDebugLevel$282$start#1:
+ goto inline$BDLGetDebugLevel$282$label_3#1;
+
+ inline$BDLGetDebugLevel$282$label_3#1:
+ havoc inline$BDLGetDebugLevel$282$myNondetVar_0;
+ inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$282$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$282$label_1#1;
+
+ inline$BDLGetDebugLevel$282$label_1#1:
+ goto inline$BDLGetDebugLevel$282$Return#1;
+
+ inline$BDLGetDebugLevel$282$Return#1:
+ inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$ := inline$BDLGetDebugLevel$282$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLHandleRemove$0$label_153$1#1;
+
+ inline$BDLHandleRemove$0$label_153$1#1:
+ goto inline$BDLHandleRemove$0$anon62_Then#1, inline$BDLHandleRemove$0$anon62_Else#1;
+
+ inline$BDLHandleRemove$0$anon62_Else#1:
+ assume !raiseException;
+ goto inline$BDLHandleRemove$0$anon41#1;
+
+ inline$BDLHandleRemove$0$anon41#1:
+ goto inline$BDLHandleRemove$0$label_162#1;
+
+ inline$BDLHandleRemove$0$label_162#1:
+ goto inline$BDLHandleRemove$0$label_162_true#1, inline$BDLHandleRemove$0$label_162_false#1;
+
+ inline$BDLHandleRemove$0$label_162_false#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$, 4) == 0;
+ goto inline$BDLHandleRemove$0$label_163#1;
+
+ inline$BDLHandleRemove$0$label_162_true#1:
+ assume BIT_BAND(inline$BDLHandleRemove$0$$result.BDLGetDebugLevel$2465.0$61$, 4) != 0;
+ goto inline$BDLHandleRemove$0$label_164#1;
+
+ inline$BDLHandleRemove$0$label_164#1:
+ goto inline$BDLHandleRemove$0$label_163#1;
+
+ inline$BDLHandleRemove$0$label_163#1:
+ goto inline$BDLHandleRemove$0$label_1#1;
+
+ inline$BDLHandleRemove$0$label_1#1:
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon62_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon61_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon57_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon60_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon59_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon58_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon56_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon55_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon54_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLHandleRemove$0$Return#1;
+
+ inline$BDLHandleRemove$0$Return#1:
+ goto inline$BDLPnPRemove$0$label_73$1#1;
+
+ inline$BDLPnPRemove$0$label_73$1#1:
+ goto inline$BDLPnPRemove$0$anon35_Then#1, inline$BDLPnPRemove$0$anon35_Else#1;
+
+ inline$BDLPnPRemove$0$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon23#1;
+
+ inline$BDLPnPRemove$0$anon23#1:
+ goto inline$BDLPnPRemove$0$label_32#1;
+
+ inline$BDLPnPRemove$0$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$label_29_true#1:
+ havoc inline$BDLPnPRemove$0$myNondetVar_0;
+ assume inline$BDLPnPRemove$0$myNondetVar_0 != 0;
+ goto inline$BDLPnPRemove$0$label_32#1;
+
+ inline$BDLPnPRemove$0$label_32#1:
+ call IoReleaseRemoveLockAndWaitEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16), 544632146, 88);
+ goto inline$BDLPnPRemove$0$anon27_Then#1, inline$BDLPnPRemove$0$anon27_Else#1;
+
+ inline$BDLPnPRemove$0$anon27_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon7#1;
+
+ inline$BDLPnPRemove$0$anon7#1:
+ goto inline$BDLPnPRemove$0$label_35#1;
+
+ inline$BDLPnPRemove$0$label_35#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$Entry#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$Entry#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$start#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$start#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_3#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_3#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_4#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_4#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_5#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_5#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_6#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_6#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_7#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_7#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_7_true#1, inline$BDLCleanupDeviceCapabilities$0$label_7_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_7_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_8#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_7_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_9#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_9#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon14_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon14_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon14_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon1#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon1#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_12#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_12#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_8#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_8#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_8_true#1, inline$BDLCleanupDeviceCapabilities$0$label_8_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_8_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_1#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_8_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_13#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_13#1:
+ inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4 := 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_14#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_14#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_14_head#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_14_head#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_14_true#1, inline$BDLCleanupDeviceCapabilities$0$label_14_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_14_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume !INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_15#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_15#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon15_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon15_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon15_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon3#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon3#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_47#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_47#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_1#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_1#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon15_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_14_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ assume INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_18#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_18#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_18_true#1, inline$BDLCleanupDeviceCapabilities$0$label_18_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_18_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_19#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_18_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_20#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_20#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_21#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_21#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$1$, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon16_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon16_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon5#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon5#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_19#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_19#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_19_true#1, inline$BDLCleanupDeviceCapabilities$0$label_19_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_19_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_24#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_19_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_25#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_25#1:
+ inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4 := 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_26#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_26#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_26_head#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_26_head#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_26_true#1, inline$BDLCleanupDeviceCapabilities$0$label_26_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_26_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume !INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_27#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_27#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_44#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_44#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$5$, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon20_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon20_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon13#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon13#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_24#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_24#1:
+ inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4 := PLUS(inline$BDLCleanupDeviceCapabilities$0$$i$3$1733.10$BDLCleanupDeviceCapabilities$4, 1, 1);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_14_head#0;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_14_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_26_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ assume INT_ULT(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_28#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_28#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_28_true#1, inline$BDLCleanupDeviceCapabilities$0$label_28_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_28_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_29#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_28_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_30#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_30#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_31#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_31#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$2$, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon17_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon17_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon7#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon7#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_29#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_29#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_29_true#1, inline$BDLCleanupDeviceCapabilities$0$label_29_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_29_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_34#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_29_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_35#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_35#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_36#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_36#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$3$, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon18_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon18_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon9#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon9#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_34#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_34#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_34_true#1, inline$BDLCleanupDeviceCapabilities$0$label_34_false#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_34_false#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 == 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_39#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_34_true#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ assume inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2 != 0;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_40#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_40#1:
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_0;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_1;
+ havoc inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$ := inline$BDLCleanupDeviceCapabilities$0$myNondetVar_2;
+ goto inline$BDLCleanupDeviceCapabilities$0$label_41#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_41#1:
+ call ExFreePoolWithTag(inline$BDLCleanupDeviceCapabilities$0$$ExFreePoolWithTag.arg.1$4$, 541869122);
+ goto inline$BDLCleanupDeviceCapabilities$0$anon19_Then#1, inline$BDLCleanupDeviceCapabilities$0$anon19_Else#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$anon11#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon11#1:
+ goto inline$BDLCleanupDeviceCapabilities$0$label_39#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_39#1:
+ inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4 := PLUS(inline$BDLCleanupDeviceCapabilities$0$$j$4$1733.13$BDLCleanupDeviceCapabilities$4, 1, 1);
+ goto inline$BDLCleanupDeviceCapabilities$0$label_26_head#0;
+
+ inline$BDLCleanupDeviceCapabilities$0$label_26_head#0:
+ assume false;
+ return;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$anon14_Then#1:
+ assume raiseException;
+ goto inline$BDLCleanupDeviceCapabilities$0$Return#1;
+
+ inline$BDLCleanupDeviceCapabilities$0$Return#1:
+ goto inline$BDLPnPRemove$0$label_35$1#1;
+
+ inline$BDLPnPRemove$0$label_35$1#1:
+ goto inline$BDLPnPRemove$0$anon28_Then#1, inline$BDLPnPRemove$0$anon28_Else#1;
+
+ inline$BDLPnPRemove$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon9#1;
+
+ inline$BDLPnPRemove$0$anon9#1:
+ goto inline$BDLPnPRemove$0$label_38#1;
+
+ inline$BDLPnPRemove$0$label_38#1:
+ call IoDetachDevice(inline$BDLPnPRemove$0$$pAttachedDeviceObject$3$2480.40$BDLPnPRemove$16);
+ goto inline$BDLPnPRemove$0$anon29_Then#1, inline$BDLPnPRemove$0$anon29_Else#1;
+
+ inline$BDLPnPRemove$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon11#1;
+
+ inline$BDLPnPRemove$0$anon11#1:
+ goto inline$BDLPnPRemove$0$label_41#1;
+
+ inline$BDLPnPRemove$0$label_41#1:
+ call RtlFreeUnicodeString(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPRemove$0$$pBDLExtension$1$2478.40$BDLPnPRemove$16));
+ goto inline$BDLPnPRemove$0$anon30_Then#1, inline$BDLPnPRemove$0$anon30_Else#1;
+
+ inline$BDLPnPRemove$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon13#1;
+
+ inline$BDLPnPRemove$0$anon13#1:
+ goto inline$BDLPnPRemove$0$label_44#1;
+
+ inline$BDLPnPRemove$0$label_44#1:
+ call IoDeleteDevice(inline$BDLPnPRemove$0$$pDeviceObject$2$2479.40$BDLPnPRemove$16);
+ goto inline$BDLPnPRemove$0$anon31_Then#1, inline$BDLPnPRemove$0$anon31_Else#1;
+
+ inline$BDLPnPRemove$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon15#1;
+
+ inline$BDLPnPRemove$0$anon15#1:
+ goto inline$BDLPnPRemove$0$label_47#1;
+
+ inline$BDLPnPRemove$0$label_47#1:
+ goto inline$BDLGetDebugLevel$205$Entry#1;
+
+ inline$BDLGetDebugLevel$205$Entry#1:
+ goto inline$BDLGetDebugLevel$205$start#1;
+
+ inline$BDLGetDebugLevel$205$start#1:
+ goto inline$BDLGetDebugLevel$205$label_3#1;
+
+ inline$BDLGetDebugLevel$205$label_3#1:
+ havoc inline$BDLGetDebugLevel$205$myNondetVar_0;
+ inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$205$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$205$label_1#1;
+
+ inline$BDLGetDebugLevel$205$label_1#1:
+ goto inline$BDLGetDebugLevel$205$Return#1;
+
+ inline$BDLGetDebugLevel$205$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$ := inline$BDLGetDebugLevel$205$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_47$1#1;
+
+ inline$BDLPnPRemove$0$label_47$1#1:
+ goto inline$BDLPnPRemove$0$anon32_Then#1, inline$BDLPnPRemove$0$anon32_Else#1;
+
+ inline$BDLPnPRemove$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon17#1;
+
+ inline$BDLPnPRemove$0$anon17#1:
+ goto inline$BDLPnPRemove$0$label_50#1;
+
+ inline$BDLPnPRemove$0$label_50#1:
+ goto inline$BDLPnPRemove$0$label_50_true#1, inline$BDLPnPRemove$0$label_50_false#1;
+
+ inline$BDLPnPRemove$0$label_50_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$) == 0;
+ goto inline$BDLPnPRemove$0$label_51#1;
+
+ inline$BDLPnPRemove$0$label_50_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$14$) != 0;
+ goto inline$BDLPnPRemove$0$label_54#1;
+
+ inline$BDLPnPRemove$0$label_54#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_55#1;
+
+ inline$BDLPnPRemove$0$label_55#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_56#1;
+
+ inline$BDLPnPRemove$0$label_56#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_57#1;
+
+ inline$BDLPnPRemove$0$label_57#1:
+ havoc inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$15$;
+ goto inline$BDLPnPRemove$0$label_51#1;
+
+ inline$BDLPnPRemove$0$label_51#1:
+ goto inline$BDLGetDebugLevel$206$Entry#1;
+
+ inline$BDLGetDebugLevel$206$Entry#1:
+ goto inline$BDLGetDebugLevel$206$start#1;
+
+ inline$BDLGetDebugLevel$206$start#1:
+ goto inline$BDLGetDebugLevel$206$label_3#1;
+
+ inline$BDLGetDebugLevel$206$label_3#1:
+ havoc inline$BDLGetDebugLevel$206$myNondetVar_0;
+ inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$206$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$206$label_1#1;
+
+ inline$BDLGetDebugLevel$206$label_1#1:
+ goto inline$BDLGetDebugLevel$206$Return#1;
+
+ inline$BDLGetDebugLevel$206$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$ := inline$BDLGetDebugLevel$206$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_51$1#1;
+
+ inline$BDLPnPRemove$0$label_51$1#1:
+ goto inline$BDLPnPRemove$0$anon33_Then#1, inline$BDLPnPRemove$0$anon33_Else#1;
+
+ inline$BDLPnPRemove$0$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon19#1;
+
+ inline$BDLPnPRemove$0$anon19#1:
+ goto inline$BDLPnPRemove$0$label_60#1;
+
+ inline$BDLPnPRemove$0$label_60#1:
+ goto inline$BDLPnPRemove$0$label_60_true#1, inline$BDLPnPRemove$0$label_60_false#1;
+
+ inline$BDLPnPRemove$0$label_60_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$) == 0;
+ goto inline$BDLPnPRemove$0$label_61#1;
+
+ inline$BDLPnPRemove$0$label_60_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$19$) != 0;
+ goto inline$BDLPnPRemove$0$label_64#1;
+
+ inline$BDLPnPRemove$0$label_64#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_65#1;
+
+ inline$BDLPnPRemove$0$label_65#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_66#1;
+
+ inline$BDLPnPRemove$0$label_66#1:
+ call inline$BDLPnPRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPRemove$0$label_67#1;
+
+ inline$BDLPnPRemove$0$label_67#1:
+ havoc inline$BDLPnPRemove$0$$result.DbgPrint$2516.0$20$;
+ goto inline$BDLPnPRemove$0$label_61#1;
+
+ inline$BDLPnPRemove$0$label_61#1:
+ goto inline$BDLGetDebugLevel$207$Entry#1;
+
+ inline$BDLGetDebugLevel$207$Entry#1:
+ goto inline$BDLGetDebugLevel$207$start#1;
+
+ inline$BDLGetDebugLevel$207$start#1:
+ goto inline$BDLGetDebugLevel$207$label_3#1;
+
+ inline$BDLGetDebugLevel$207$label_3#1:
+ havoc inline$BDLGetDebugLevel$207$myNondetVar_0;
+ inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$207$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$207$label_1#1;
+
+ inline$BDLGetDebugLevel$207$label_1#1:
+ goto inline$BDLGetDebugLevel$207$Return#1;
+
+ inline$BDLGetDebugLevel$207$Return#1:
+ inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$ := inline$BDLGetDebugLevel$207$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPRemove$0$label_61$1#1;
+
+ inline$BDLPnPRemove$0$label_61$1#1:
+ goto inline$BDLPnPRemove$0$anon34_Then#1, inline$BDLPnPRemove$0$anon34_Else#1;
+
+ inline$BDLPnPRemove$0$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPRemove$0$anon21#1;
+
+ inline$BDLPnPRemove$0$anon21#1:
+ goto inline$BDLPnPRemove$0$label_70#1;
+
+ inline$BDLPnPRemove$0$label_70#1:
+ goto inline$BDLPnPRemove$0$label_70_true#1, inline$BDLPnPRemove$0$label_70_false#1;
+
+ inline$BDLPnPRemove$0$label_70_false#1:
+ assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$, 4) == 0;
+ goto inline$BDLPnPRemove$0$label_71#1;
+
+ inline$BDLPnPRemove$0$label_70_true#1:
+ assume BIT_BAND(inline$BDLPnPRemove$0$$result.BDLGetDebugLevel$2516.0$24$, 4) != 0;
+ goto inline$BDLPnPRemove$0$label_72#1;
+
+ inline$BDLPnPRemove$0$label_72#1:
+ goto inline$BDLPnPRemove$0$label_71#1;
+
+ inline$BDLPnPRemove$0$label_71#1:
+ inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$ := inline$BDLPnPRemove$0$$status$5$2484.16$BDLPnPRemove$16;
+ goto inline$BDLPnPRemove$0$label_1#1;
+
+ inline$BDLPnPRemove$0$label_1#1:
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon27_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon26_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon25_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$anon24_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPRemove$0$Return#1;
+
+ inline$BDLPnPRemove$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$ := inline$BDLPnPRemove$0$$result.BDLPnPRemove$2476.0$1$;
+ goto inline$BDLPnP$0$label_119$1#1;
+
+ inline$BDLPnP$0$label_119$1#1:
+ goto inline$BDLPnP$0$anon69_Then#1, inline$BDLPnP$0$anon69_Else#1;
+
+ inline$BDLPnP$0$anon69_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon31#1;
+
+ inline$BDLPnP$0$anon31#1:
+ goto inline$BDLPnP$0$label_152#1;
+
+ inline$BDLPnP$0$label_152#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPRemove$961.29$34$;
+ goto inline$BDLPnP$0$label_153#1;
+
+ inline$BDLPnP$0$label_153#1:
+ inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon69_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_2#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 1;
+ goto inline$BDLPnP$0$label_116#1;
+
+ inline$BDLPnP$0$label_116#1:
+ goto inline$BDLPnPQueryRemove$0$Entry#1;
+
+ inline$BDLPnPQueryRemove$0$Entry#1:
+ inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPQueryRemove$0$start#1;
+
+ inline$BDLPnPQueryRemove$0$start#1:
+ inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12_.1;
+ inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12_.1;
+ goto inline$BDLPnPQueryRemove$0$label_3#1;
+
+ inline$BDLPnPQueryRemove$0$label_3#1:
+ goto inline$BDLPnPQueryRemove$0$label_4#1;
+
+ inline$BDLPnPQueryRemove$0$label_4#1:
+ inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := 0;
+ goto inline$BDLPnPQueryRemove$0$label_5#1;
+
+ inline$BDLPnPQueryRemove$0$label_5#1:
+ goto inline$BDLGetDebugLevel$109$Entry#1;
+
+ inline$BDLGetDebugLevel$109$Entry#1:
+ goto inline$BDLGetDebugLevel$109$start#1;
+
+ inline$BDLGetDebugLevel$109$start#1:
+ goto inline$BDLGetDebugLevel$109$label_3#1;
+
+ inline$BDLGetDebugLevel$109$label_3#1:
+ havoc inline$BDLGetDebugLevel$109$myNondetVar_0;
+ inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$109$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$109$label_1#1;
+
+ inline$BDLGetDebugLevel$109$label_1#1:
+ goto inline$BDLGetDebugLevel$109$Return#1;
+
+ inline$BDLGetDebugLevel$109$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$ := inline$BDLGetDebugLevel$109$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_5$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_5$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon22_Then#1, inline$BDLPnPQueryRemove$0$anon22_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon1#1;
+
+ inline$BDLPnPQueryRemove$0$anon1#1:
+ goto inline$BDLPnPQueryRemove$0$label_8#1;
+
+ inline$BDLPnPQueryRemove$0$label_8#1:
+ goto inline$BDLPnPQueryRemove$0$label_8_true#1, inline$BDLPnPQueryRemove$0$label_8_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_8_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_9#1;
+
+ inline$BDLPnPQueryRemove$0$label_8_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$2$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_12#1;
+
+ inline$BDLPnPQueryRemove$0$label_12#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_13#1;
+
+ inline$BDLPnPQueryRemove$0$label_13#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_14#1;
+
+ inline$BDLPnPQueryRemove$0$label_14#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_15#1;
+
+ inline$BDLPnPQueryRemove$0$label_15#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$3$;
+ goto inline$BDLPnPQueryRemove$0$label_9#1;
+
+ inline$BDLPnPQueryRemove$0$label_9#1:
+ goto inline$BDLGetDebugLevel$110$Entry#1;
+
+ inline$BDLGetDebugLevel$110$Entry#1:
+ goto inline$BDLGetDebugLevel$110$start#1;
+
+ inline$BDLGetDebugLevel$110$start#1:
+ goto inline$BDLGetDebugLevel$110$label_3#1;
+
+ inline$BDLGetDebugLevel$110$label_3#1:
+ havoc inline$BDLGetDebugLevel$110$myNondetVar_0;
+ inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$110$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$110$label_1#1;
+
+ inline$BDLGetDebugLevel$110$label_1#1:
+ goto inline$BDLGetDebugLevel$110$Return#1;
+
+ inline$BDLGetDebugLevel$110$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$ := inline$BDLGetDebugLevel$110$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_9$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_9$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon23_Then#1, inline$BDLPnPQueryRemove$0$anon23_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon3#1;
+
+ inline$BDLPnPQueryRemove$0$anon3#1:
+ goto inline$BDLPnPQueryRemove$0$label_18#1;
+
+ inline$BDLPnPQueryRemove$0$label_18#1:
+ goto inline$BDLPnPQueryRemove$0$label_18_true#1, inline$BDLPnPQueryRemove$0$label_18_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_19#1;
+
+ inline$BDLPnPQueryRemove$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$7$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_22#1;
+
+ inline$BDLPnPQueryRemove$0$label_22#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_23#1;
+
+ inline$BDLPnPQueryRemove$0$label_23#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_24#1;
+
+ inline$BDLPnPQueryRemove$0$label_24#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_25#1;
+
+ inline$BDLPnPQueryRemove$0$label_25#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2263.0$8$;
+ goto inline$BDLPnPQueryRemove$0$label_19#1;
+
+ inline$BDLPnPQueryRemove$0$label_19#1:
+ goto inline$BDLGetDebugLevel$111$Entry#1;
+
+ inline$BDLGetDebugLevel$111$Entry#1:
+ goto inline$BDLGetDebugLevel$111$start#1;
+
+ inline$BDLGetDebugLevel$111$start#1:
+ goto inline$BDLGetDebugLevel$111$label_3#1;
+
+ inline$BDLGetDebugLevel$111$label_3#1:
+ havoc inline$BDLGetDebugLevel$111$myNondetVar_0;
+ inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$111$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$111$label_1#1;
+
+ inline$BDLGetDebugLevel$111$label_1#1:
+ goto inline$BDLGetDebugLevel$111$Return#1;
+
+ inline$BDLGetDebugLevel$111$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$ := inline$BDLGetDebugLevel$111$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_19$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_19$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon24_Then#1, inline$BDLPnPQueryRemove$0$anon24_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon24_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon5#1;
+
+ inline$BDLPnPQueryRemove$0$anon5#1:
+ goto inline$BDLPnPQueryRemove$0$label_28#1;
+
+ inline$BDLPnPQueryRemove$0$label_28#1:
+ goto inline$BDLPnPQueryRemove$0$label_28_true#1, inline$BDLPnPQueryRemove$0$label_28_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_28_false#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$, 4) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_29#1;
+
+ inline$BDLPnPQueryRemove$0$label_28_true#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2263.0$12$, 4) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_32#1;
+
+ inline$BDLPnPQueryRemove$0$label_32#1:
+ goto inline$BDLPnPQueryRemove$0$label_29#1;
+
+ inline$BDLPnPQueryRemove$0$label_29#1:
+ call inline$BDLPnPQueryRemove$0$$result.IoSetDeviceInterfaceState$2272.29$13$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPQueryRemove$0$$pBDLExtension$1$2256.40$BDLPnPQueryRemove$12), 0);
+ goto inline$BDLPnPQueryRemove$0$anon25_Then#1, inline$BDLPnPQueryRemove$0$anon25_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon25_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon7#1;
+
+ inline$BDLPnPQueryRemove$0$anon7#1:
+ goto inline$BDLPnPQueryRemove$0$label_33#1;
+
+ inline$BDLPnPQueryRemove$0$label_33#1:
+ goto inline$BDLPnPQueryRemove$0$label_33_true#1, inline$BDLPnPQueryRemove$0$label_33_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_33_false#1:
+ havoc inline$BDLPnPQueryRemove$0$myNondetVar_0;
+ assume inline$BDLPnPQueryRemove$0$myNondetVar_0 == 0;
+ goto inline$BDLPnPQueryRemove$0$label_34#1;
+
+ inline$BDLPnPQueryRemove$0$label_34#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPQueryRemove$0$$pIrp$3$2258.40$BDLPnPQueryRemove$12;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$23$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$23$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$start#1:
+ inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$23$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$23$label_3_true#1, inline$IoGetCurrentIrpStackLocation$23$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$23$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$23$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$23$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$23$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$23$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$23$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$23$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$23$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$23$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$23$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$23$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$23$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$23$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$23$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$23$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$23$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$23$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$23$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$23$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$3$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$3$Entry#1:
+ inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$1$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$3$start#1;
+
+ inline$IoGetNextIrpStackLocation$3$start#1:
+ inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$3$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$3$label_3_true#1, inline$IoGetNextIrpStackLocation$3$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$3$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$3$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_4#1:
+ call inline$IoGetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$3$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_6#1:
+ call inline$IoGetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$3$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$3$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$3$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$3$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$3$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$3$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$3$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$3$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$3$Return#1;
+
+ inline$IoGetNextIrpStackLocation$3$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$1$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$1$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_9#1:
+ goto inline$storm_KeInitializeEvent$1$Entry#1;
+
+ inline$storm_KeInitializeEvent$1$Entry#1:
+ inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$1$start#1;
+
+ inline$storm_KeInitializeEvent$1$start#1:
+ inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$1$label_3#1;
+
+ inline$storm_KeInitializeEvent$1$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$1$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$1$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$1$label_1#1;
+
+ inline$storm_KeInitializeEvent$1$label_1#1:
+ goto inline$storm_KeInitializeEvent$1$Return#1;
+
+ inline$storm_KeInitializeEvent$1$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$1$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$1$Entry#1:
+ inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$1$start#1;
+
+ inline$storm_IoSetCompletionRoutine$1$start#1:
+ inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$1$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$1$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_7_true#1, inline$storm_IoSetCompletionRoutine$1$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$1$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$1$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$1$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$1$anon6_Then#1, inline$storm_IoSetCompletionRoutine$1$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$1$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$1$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_12_true#1, inline$storm_IoSetCompletionRoutine$1$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$1$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$1$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$1$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$4$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$4$Entry#1:
+ inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$1$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$4$start#1;
+
+ inline$IoGetNextIrpStackLocation$4$start#1:
+ inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$4$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$4$label_3_true#1, inline$IoGetNextIrpStackLocation$4$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$4$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$4$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_4#1:
+ call inline$IoGetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$4$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_6#1:
+ call inline$IoGetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$4$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$4$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$4$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$4$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$4$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$4$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$4$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$4$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$4$Return#1;
+
+ inline$IoGetNextIrpStackLocation$4$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$1$anon5_Then#1, inline$storm_IoSetCompletionRoutine$1$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$1$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$1$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$1$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$1$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$1$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$1$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$1$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$1$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$24$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$24$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$start#1:
+ inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$24$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$24$label_3_true#1, inline$IoGetCurrentIrpStackLocation$24$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$24$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$24$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$24$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$24$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$24$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$24$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$24$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$24$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$24$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$24$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$24$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$24$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$24$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$24$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$24$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$24$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$24$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$24$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$24$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_19#1:
+ goto inline$storm_IoCallDriver$2$Entry#1;
+
+ inline$storm_IoCallDriver$2$Entry#1:
+ inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$2$start#1;
+
+ inline$storm_IoCallDriver$2$start#1:
+ inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$2$label_3#1;
+
+ inline$storm_IoCallDriver$2$label_3#1:
+ goto inline$storm_IoCallDriver$2$label_4#1;
+
+ inline$storm_IoCallDriver$2$label_4#1:
+ goto inline$storm_IoCallDriver$2$label_5#1;
+
+ inline$storm_IoCallDriver$2$label_5#1:
+ goto inline$storm_IoCallDriver$2$label_6#1;
+
+ inline$storm_IoCallDriver$2$label_6#1:
+ call inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$2$label_9#1;
+
+ inline$storm_IoCallDriver$2$label_9#1:
+ goto inline$storm_IoCallDriver$2$label_9_true#1, inline$storm_IoCallDriver$2$label_9_false#1;
+
+ inline$storm_IoCallDriver$2$label_9_false#1:
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$2$label_10#1;
+
+ inline$storm_IoCallDriver$2$label_9_true#1:
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$2$label_13#1;
+
+ inline$storm_IoCallDriver$2$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$2$anon12_Then#1, inline$storm_IoCallDriver$2$anon12_Else#1;
+
+ inline$storm_IoCallDriver$2$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$2$anon3#1;
+
+ inline$storm_IoCallDriver$2$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$2$label_14#1;
+
+ inline$storm_IoCallDriver$2$label_14#1:
+ goto inline$storm_IoCallDriver$2$label_14_true#1, inline$storm_IoCallDriver$2$label_14_false#1;
+
+ inline$storm_IoCallDriver$2$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$2$label_15#1;
+
+ inline$storm_IoCallDriver$2$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$2$label_1#1;
+
+ inline$storm_IoCallDriver$2$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$2$label_10#1;
+
+ inline$storm_IoCallDriver$2$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$3$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$3$Entry#1:
+ inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$3$start#1;
+
+ inline$IoSetNextIrpStackLocation$3$start#1:
+ inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$3$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$3$label_3_true#1, inline$IoSetNextIrpStackLocation$3$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$3$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$3$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_4#1:
+ call inline$IoSetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$3$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_7#1:
+ call inline$IoSetNextIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$3$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$3$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$3$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$3$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_5#1:
+ inline$IoSetNextIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$3$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$3$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$3$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$3$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$3$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$3$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$3$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$3$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$3$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$3$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$3$Return#1;
+
+ inline$IoSetNextIrpStackLocation$3$Return#1:
+ goto inline$storm_IoCallDriver$2$label_10$1#1;
+
+ inline$storm_IoCallDriver$2$label_10$1#1:
+ goto inline$storm_IoCallDriver$2$anon11_Then#1, inline$storm_IoCallDriver$2$anon11_Else#1;
+
+ inline$storm_IoCallDriver$2$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$2$anon1#1;
+
+ inline$storm_IoCallDriver$2$anon1#1:
+ goto inline$storm_IoCallDriver$2$label_18#1;
+
+ inline$storm_IoCallDriver$2$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$25$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$25$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$start#1:
+ inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$25$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$25$label_3_true#1, inline$IoGetCurrentIrpStackLocation$25$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$25$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$25$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$25$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$25$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$25$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$25$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$25$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$25$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$25$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$25$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$25$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$25$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$25$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$25$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$25$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$25$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$25$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$25$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$25$Return#1:
+ goto inline$storm_IoCallDriver$2$label_18$1#1;
+
+ inline$storm_IoCallDriver$2$label_18$1#1:
+ goto inline$storm_IoCallDriver$2$anon13_Then#1, inline$storm_IoCallDriver$2$anon13_Else#1;
+
+ inline$storm_IoCallDriver$2$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$2$anon6#1;
+
+ inline$storm_IoCallDriver$2$anon6#1:
+ goto inline$storm_IoCallDriver$2$label_21#1;
+
+ inline$storm_IoCallDriver$2$label_21#1:
+ goto inline$storm_IoCallDriver$2$label_22#1;
+
+ inline$storm_IoCallDriver$2$label_22#1:
+ goto inline$storm_IoCallDriver$2$label_23#1;
+
+ inline$storm_IoCallDriver$2$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$2$label_24#1;
+
+ inline$storm_IoCallDriver$2$label_24#1:
+ call inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$2$label_27#1;
+
+ inline$storm_IoCallDriver$2$label_27#1:
+ goto inline$storm_IoCallDriver$2$label_27_case_0#1, inline$storm_IoCallDriver$2$label_27_case_1#1, inline$storm_IoCallDriver$2$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$2$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$2$label_30#1;
+
+ inline$storm_IoCallDriver$2$label_30#1:
+ inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$2$label_31#1;
+
+ inline$storm_IoCallDriver$2$label_31#1:
+ goto inline$storm_IoCallDriver$2$label_32#1;
+
+ inline$storm_IoCallDriver$2$label_32#1:
+ goto inline$storm_IoCallDriver$2$label_33#1;
+
+ inline$storm_IoCallDriver$2$label_33#1:
+ goto inline$CallCompletionRoutine$4$Entry#1;
+
+ inline$CallCompletionRoutine$4$Entry#1:
+ inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$4$start#1;
+
+ inline$CallCompletionRoutine$4$start#1:
+ inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$4$label_3#1;
+
+ inline$CallCompletionRoutine$4$label_3#1:
+ goto inline$CallCompletionRoutine$4$label_4#1;
+
+ inline$CallCompletionRoutine$4$label_4#1:
+ goto inline$CallCompletionRoutine$4$label_5#1;
+
+ inline$CallCompletionRoutine$4$label_5#1:
+ goto inline$CallCompletionRoutine$4$label_6#1;
+
+ inline$CallCompletionRoutine$4$label_6#1:
+ goto inline$CallCompletionRoutine$4$label_7#1;
+
+ inline$CallCompletionRoutine$4$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$26$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$26$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$start#1:
+ inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$26$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$26$label_3_true#1, inline$IoGetCurrentIrpStackLocation$26$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$26$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$26$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$26$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$26$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$26$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$26$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$26$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$26$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$26$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$26$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$26$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$26$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$26$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$26$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$26$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$26$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$26$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$26$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$26$Return#1:
+ goto inline$CallCompletionRoutine$4$label_7$1#1;
+
+ inline$CallCompletionRoutine$4$label_7$1#1:
+ goto inline$CallCompletionRoutine$4$anon10_Then#1, inline$CallCompletionRoutine$4$anon10_Else#1;
+
+ inline$CallCompletionRoutine$4$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$4$anon1#1;
+
+ inline$CallCompletionRoutine$4$anon1#1:
+ goto inline$CallCompletionRoutine$4$label_10#1;
+
+ inline$CallCompletionRoutine$4$label_10#1:
+ goto inline$CallCompletionRoutine$4$label_11#1;
+
+ inline$CallCompletionRoutine$4$label_11#1:
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$CallCompletionRoutine$4$label_12#1;
+
+ inline$CallCompletionRoutine$4$label_12#1:
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$CallCompletionRoutine$4$label_13#1;
+
+ inline$CallCompletionRoutine$4$label_13#1:
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$CallCompletionRoutine$4$label_14#1;
+
+ inline$CallCompletionRoutine$4$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$27$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$27$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$start#1:
+ inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$27$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$27$label_3_true#1, inline$IoGetCurrentIrpStackLocation$27$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$27$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$27$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$27$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$27$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$27$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$27$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$27$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$27$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$27$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$27$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$27$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$27$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$27$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$27$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$27$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$27$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$27$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$27$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$27$Return#1:
+ goto inline$CallCompletionRoutine$4$label_14$1#1;
+
+ inline$CallCompletionRoutine$4$label_14$1#1:
+ goto inline$CallCompletionRoutine$4$anon11_Then#1, inline$CallCompletionRoutine$4$anon11_Else#1;
+
+ inline$CallCompletionRoutine$4$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$4$anon3#1;
+
+ inline$CallCompletionRoutine$4$anon3#1:
+ goto inline$CallCompletionRoutine$4$label_17#1;
+
+ inline$CallCompletionRoutine$4$label_17#1:
+ goto inline$CallCompletionRoutine$4$label_18#1;
+
+ inline$CallCompletionRoutine$4$label_18#1:
+ goto inline$CallCompletionRoutine$4$label_18_true#1, inline$CallCompletionRoutine$4$label_18_false#1;
+
+ inline$CallCompletionRoutine$4$label_18_false#1:
+ assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$4$label_1#1;
+
+ inline$CallCompletionRoutine$4$label_18_true#1:
+ assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$4$label_19#1;
+
+ inline$CallCompletionRoutine$4$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$4$label_20#1;
+
+ inline$CallCompletionRoutine$4$label_20#1:
+ goto inline$CallCompletionRoutine$4$label_20_icall_1#1, inline$CallCompletionRoutine$4$label_20_icall_2#1, inline$CallCompletionRoutine$4$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$4$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$4$Entry#1:
+ inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$4$start#1;
+
+ inline$BDLSystemPowerIoCompletion$4$start#1:
+ call inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$4$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_4#1:
+ inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_6#1:
+ inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$4$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$29$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$29$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$start#1:
+ inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$29$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$29$label_3_true#1, inline$IoGetCurrentIrpStackLocation$29$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$29$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$29$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$29$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$29$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$29$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$29$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$29$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$29$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$29$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$29$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$29$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$29$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$29$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$29$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$29$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$29$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$29$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$29$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon36_Then#1, inline$BDLSystemPowerIoCompletion$4$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_15#1:
+ goto inline$BDLGetDebugLevel$121$Entry#1;
+
+ inline$BDLGetDebugLevel$121$Entry#1:
+ goto inline$BDLGetDebugLevel$121$start#1;
+
+ inline$BDLGetDebugLevel$121$start#1:
+ goto inline$BDLGetDebugLevel$121$label_3#1;
+
+ inline$BDLGetDebugLevel$121$label_3#1:
+ havoc inline$BDLGetDebugLevel$121$myNondetVar_0;
+ inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$121$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$121$label_1#1;
+
+ inline$BDLGetDebugLevel$121$label_1#1:
+ goto inline$BDLGetDebugLevel$121$Return#1;
+
+ inline$BDLGetDebugLevel$121$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$121$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon37_Then#1, inline$BDLSystemPowerIoCompletion$4$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_18_true#1, inline$BDLSystemPowerIoCompletion$4$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_19#1:
+ goto inline$BDLGetDebugLevel$122$Entry#1;
+
+ inline$BDLGetDebugLevel$122$Entry#1:
+ goto inline$BDLGetDebugLevel$122$start#1;
+
+ inline$BDLGetDebugLevel$122$start#1:
+ goto inline$BDLGetDebugLevel$122$label_3#1;
+
+ inline$BDLGetDebugLevel$122$label_3#1:
+ havoc inline$BDLGetDebugLevel$122$myNondetVar_0;
+ inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$122$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$122$label_1#1;
+
+ inline$BDLGetDebugLevel$122$label_1#1:
+ goto inline$BDLGetDebugLevel$122$Return#1;
+
+ inline$BDLGetDebugLevel$122$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$122$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon38_Then#1, inline$BDLSystemPowerIoCompletion$4$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_28_true#1, inline$BDLSystemPowerIoCompletion$4$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_29#1:
+ goto inline$BDLGetDebugLevel$123$Entry#1;
+
+ inline$BDLGetDebugLevel$123$Entry#1:
+ goto inline$BDLGetDebugLevel$123$start#1;
+
+ inline$BDLGetDebugLevel$123$start#1:
+ goto inline$BDLGetDebugLevel$123$label_3#1;
+
+ inline$BDLGetDebugLevel$123$label_3#1:
+ havoc inline$BDLGetDebugLevel$123$myNondetVar_0;
+ inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$123$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$123$label_1#1;
+
+ inline$BDLGetDebugLevel$123$label_1#1:
+ goto inline$BDLGetDebugLevel$123$Return#1;
+
+ inline$BDLGetDebugLevel$123$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$123$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon39_Then#1, inline$BDLSystemPowerIoCompletion$4$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_38_true#1, inline$BDLSystemPowerIoCompletion$4$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_39_true#1, inline$BDLSystemPowerIoCompletion$4$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$4$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$4$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$4$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$4$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$4$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_106_true#1, inline$BDLSystemPowerIoCompletion$4$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$4$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$4$myNondetVar_0, inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$4$anon50_Then#1, inline$BDLSystemPowerIoCompletion$4$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_112#1:
+ inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_113_true#1, inline$BDLSystemPowerIoCompletion$4$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$4$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_117#1:
+ goto inline$BDLGetDebugLevel$130$Entry#1;
+
+ inline$BDLGetDebugLevel$130$Entry#1:
+ goto inline$BDLGetDebugLevel$130$start#1;
+
+ inline$BDLGetDebugLevel$130$start#1:
+ goto inline$BDLGetDebugLevel$130$label_3#1;
+
+ inline$BDLGetDebugLevel$130$label_3#1:
+ havoc inline$BDLGetDebugLevel$130$myNondetVar_0;
+ inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$130$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$130$label_1#1;
+
+ inline$BDLGetDebugLevel$130$label_1#1:
+ goto inline$BDLGetDebugLevel$130$Return#1;
+
+ inline$BDLGetDebugLevel$130$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$130$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon51_Then#1, inline$BDLSystemPowerIoCompletion$4$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_120_true#1, inline$BDLSystemPowerIoCompletion$4$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_121#1:
+ goto inline$BDLGetDebugLevel$131$Entry#1;
+
+ inline$BDLGetDebugLevel$131$Entry#1:
+ goto inline$BDLGetDebugLevel$131$start#1;
+
+ inline$BDLGetDebugLevel$131$start#1:
+ goto inline$BDLGetDebugLevel$131$label_3#1;
+
+ inline$BDLGetDebugLevel$131$label_3#1:
+ havoc inline$BDLGetDebugLevel$131$myNondetVar_0;
+ inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$131$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$131$label_1#1;
+
+ inline$BDLGetDebugLevel$131$label_1#1:
+ goto inline$BDLGetDebugLevel$131$Return#1;
+
+ inline$BDLGetDebugLevel$131$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$131$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon52_Then#1, inline$BDLSystemPowerIoCompletion$4$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_130_true#1, inline$BDLSystemPowerIoCompletion$4$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_131#1:
+ goto inline$BDLGetDebugLevel$132$Entry#1;
+
+ inline$BDLGetDebugLevel$132$Entry#1:
+ goto inline$BDLGetDebugLevel$132$start#1;
+
+ inline$BDLGetDebugLevel$132$start#1:
+ goto inline$BDLGetDebugLevel$132$label_3#1;
+
+ inline$BDLGetDebugLevel$132$label_3#1:
+ havoc inline$BDLGetDebugLevel$132$myNondetVar_0;
+ inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$132$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$132$label_1#1;
+
+ inline$BDLGetDebugLevel$132$label_1#1:
+ goto inline$BDLGetDebugLevel$132$Return#1;
+
+ inline$BDLGetDebugLevel$132$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$132$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon53_Then#1, inline$BDLSystemPowerIoCompletion$4$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_140_true#1, inline$BDLSystemPowerIoCompletion$4$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$4$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_115#1:
+ inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$4$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$4$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$4$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_43#1:
+ goto inline$BDLGetDebugLevel$124$Entry#1;
+
+ inline$BDLGetDebugLevel$124$Entry#1:
+ goto inline$BDLGetDebugLevel$124$start#1;
+
+ inline$BDLGetDebugLevel$124$start#1:
+ goto inline$BDLGetDebugLevel$124$label_3#1;
+
+ inline$BDLGetDebugLevel$124$label_3#1:
+ havoc inline$BDLGetDebugLevel$124$myNondetVar_0;
+ inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$124$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$124$label_1#1;
+
+ inline$BDLGetDebugLevel$124$label_1#1:
+ goto inline$BDLGetDebugLevel$124$Return#1;
+
+ inline$BDLGetDebugLevel$124$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$124$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon40_Then#1, inline$BDLSystemPowerIoCompletion$4$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_46_true#1, inline$BDLSystemPowerIoCompletion$4$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_47#1:
+ goto inline$BDLGetDebugLevel$125$Entry#1;
+
+ inline$BDLGetDebugLevel$125$Entry#1:
+ goto inline$BDLGetDebugLevel$125$start#1;
+
+ inline$BDLGetDebugLevel$125$start#1:
+ goto inline$BDLGetDebugLevel$125$label_3#1;
+
+ inline$BDLGetDebugLevel$125$label_3#1:
+ havoc inline$BDLGetDebugLevel$125$myNondetVar_0;
+ inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$125$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$125$label_1#1;
+
+ inline$BDLGetDebugLevel$125$label_1#1:
+ goto inline$BDLGetDebugLevel$125$Return#1;
+
+ inline$BDLGetDebugLevel$125$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$125$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon41_Then#1, inline$BDLSystemPowerIoCompletion$4$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_56_true#1, inline$BDLSystemPowerIoCompletion$4$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_57#1:
+ goto inline$BDLGetDebugLevel$126$Entry#1;
+
+ inline$BDLGetDebugLevel$126$Entry#1:
+ goto inline$BDLGetDebugLevel$126$start#1;
+
+ inline$BDLGetDebugLevel$126$start#1:
+ goto inline$BDLGetDebugLevel$126$label_3#1;
+
+ inline$BDLGetDebugLevel$126$label_3#1:
+ havoc inline$BDLGetDebugLevel$126$myNondetVar_0;
+ inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$126$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$126$label_1#1;
+
+ inline$BDLGetDebugLevel$126$label_1#1:
+ goto inline$BDLGetDebugLevel$126$Return#1;
+
+ inline$BDLGetDebugLevel$126$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$126$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon42_Then#1, inline$BDLSystemPowerIoCompletion$4$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_66_true#1, inline$BDLSystemPowerIoCompletion$4$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$4$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$4$anon43_Then#1, inline$BDLSystemPowerIoCompletion$4$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$4$anon44_Then#1, inline$BDLSystemPowerIoCompletion$4$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_74#1:
+ goto inline$storm_IoCompleteRequest$10$Entry#1;
+
+ inline$storm_IoCompleteRequest$10$Entry#1:
+ inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$4$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$10$start#1;
+
+ inline$storm_IoCompleteRequest$10$start#1:
+ inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$10$label_3#1;
+
+ inline$storm_IoCompleteRequest$10$label_3#1:
+ call inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$10$label_6#1;
+
+ inline$storm_IoCompleteRequest$10$label_6#1:
+ goto inline$storm_IoCompleteRequest$10$label_6_true#1, inline$storm_IoCompleteRequest$10$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$10$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$10$label_7#1;
+
+ inline$storm_IoCompleteRequest$10$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$10$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$10$label_8#1;
+
+ inline$storm_IoCompleteRequest$10$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$10$anon3_Then#1, inline$storm_IoCompleteRequest$10$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$10$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$10$anon1#1;
+
+ inline$storm_IoCompleteRequest$10$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$10$label_9#1;
+
+ inline$storm_IoCompleteRequest$10$label_9#1:
+ goto inline$storm_IoCompleteRequest$10$label_9_true#1, inline$storm_IoCompleteRequest$10$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$10$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$10$label_10#1;
+
+ inline$storm_IoCompleteRequest$10$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$10$label_1#1;
+
+ inline$storm_IoCompleteRequest$10$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$10$label_7#1;
+
+ inline$storm_IoCompleteRequest$10$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$10$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$10$label_1#1;
+
+ inline$storm_IoCompleteRequest$10$label_1#1:
+ goto inline$storm_IoCompleteRequest$10$Return#1;
+
+ inline$storm_IoCompleteRequest$10$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$10$Return#1;
+
+ inline$storm_IoCompleteRequest$10$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon45_Then#1, inline$BDLSystemPowerIoCompletion$4$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$4$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$4$anon46_Then#1, inline$BDLSystemPowerIoCompletion$4$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_80#1:
+ goto inline$BDLGetDebugLevel$127$Entry#1;
+
+ inline$BDLGetDebugLevel$127$Entry#1:
+ goto inline$BDLGetDebugLevel$127$start#1;
+
+ inline$BDLGetDebugLevel$127$start#1:
+ goto inline$BDLGetDebugLevel$127$label_3#1;
+
+ inline$BDLGetDebugLevel$127$label_3#1:
+ havoc inline$BDLGetDebugLevel$127$myNondetVar_0;
+ inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$127$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$127$label_1#1;
+
+ inline$BDLGetDebugLevel$127$label_1#1:
+ goto inline$BDLGetDebugLevel$127$Return#1;
+
+ inline$BDLGetDebugLevel$127$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$127$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon47_Then#1, inline$BDLSystemPowerIoCompletion$4$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_83_true#1, inline$BDLSystemPowerIoCompletion$4$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_84#1:
+ goto inline$BDLGetDebugLevel$128$Entry#1;
+
+ inline$BDLGetDebugLevel$128$Entry#1:
+ goto inline$BDLGetDebugLevel$128$start#1;
+
+ inline$BDLGetDebugLevel$128$start#1:
+ goto inline$BDLGetDebugLevel$128$label_3#1;
+
+ inline$BDLGetDebugLevel$128$label_3#1:
+ havoc inline$BDLGetDebugLevel$128$myNondetVar_0;
+ inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$128$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$128$label_1#1;
+
+ inline$BDLGetDebugLevel$128$label_1#1:
+ goto inline$BDLGetDebugLevel$128$Return#1;
+
+ inline$BDLGetDebugLevel$128$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$128$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon48_Then#1, inline$BDLSystemPowerIoCompletion$4$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_93_true#1, inline$BDLSystemPowerIoCompletion$4$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$4$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$4$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_94#1:
+ goto inline$BDLGetDebugLevel$129$Entry#1;
+
+ inline$BDLGetDebugLevel$129$Entry#1:
+ goto inline$BDLGetDebugLevel$129$start#1;
+
+ inline$BDLGetDebugLevel$129$start#1:
+ goto inline$BDLGetDebugLevel$129$label_3#1;
+
+ inline$BDLGetDebugLevel$129$label_3#1:
+ havoc inline$BDLGetDebugLevel$129$myNondetVar_0;
+ inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$129$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$129$label_1#1;
+
+ inline$BDLGetDebugLevel$129$label_1#1:
+ goto inline$BDLGetDebugLevel$129$Return#1;
+
+ inline$BDLGetDebugLevel$129$Return#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$129$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$4$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$4$anon49_Then#1, inline$BDLSystemPowerIoCompletion$4$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_103_true#1, inline$BDLSystemPowerIoCompletion$4$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$4$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$4$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$4$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_104#1:
+ inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$4$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$4$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$4$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$4$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$4$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$4$Return#1:
+ inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$4$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$4$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$4$anon14_Then#1, inline$CallCompletionRoutine$4$anon14_Else#1;
+
+ inline$CallCompletionRoutine$4$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$4$anon9#1;
+
+ inline$CallCompletionRoutine$4$anon9#1:
+ goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$4$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$4$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$4$Entry#1:
+ inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$4$start#1;
+
+ inline$BDLDevicePowerIoCompletion$4$start#1:
+ call inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$4$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_4#1:
+ inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_6#1:
+ inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$4$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$28$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$28$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$start#1:
+ inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$28$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$28$label_3_true#1, inline$IoGetCurrentIrpStackLocation$28$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$28$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$28$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$28$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$28$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$28$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$28$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$28$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$28$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$28$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$28$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$28$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$28$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$28$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$28$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$28$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$28$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$28$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$28$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$28$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon30_Then#1, inline$BDLDevicePowerIoCompletion$4$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_13#1:
+ inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_17#1:
+ goto inline$BDLGetDebugLevel$112$Entry#1;
+
+ inline$BDLGetDebugLevel$112$Entry#1:
+ goto inline$BDLGetDebugLevel$112$start#1;
+
+ inline$BDLGetDebugLevel$112$start#1:
+ goto inline$BDLGetDebugLevel$112$label_3#1;
+
+ inline$BDLGetDebugLevel$112$label_3#1:
+ havoc inline$BDLGetDebugLevel$112$myNondetVar_0;
+ inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$112$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$112$label_1#1;
+
+ inline$BDLGetDebugLevel$112$label_1#1:
+ goto inline$BDLGetDebugLevel$112$Return#1;
+
+ inline$BDLGetDebugLevel$112$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$112$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon31_Then#1, inline$BDLDevicePowerIoCompletion$4$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_20_true#1, inline$BDLDevicePowerIoCompletion$4$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_21#1:
+ goto inline$BDLGetDebugLevel$113$Entry#1;
+
+ inline$BDLGetDebugLevel$113$Entry#1:
+ goto inline$BDLGetDebugLevel$113$start#1;
+
+ inline$BDLGetDebugLevel$113$start#1:
+ goto inline$BDLGetDebugLevel$113$label_3#1;
+
+ inline$BDLGetDebugLevel$113$label_3#1:
+ havoc inline$BDLGetDebugLevel$113$myNondetVar_0;
+ inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$113$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$113$label_1#1;
+
+ inline$BDLGetDebugLevel$113$label_1#1:
+ goto inline$BDLGetDebugLevel$113$Return#1;
+
+ inline$BDLGetDebugLevel$113$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$113$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon32_Then#1, inline$BDLDevicePowerIoCompletion$4$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_30_true#1, inline$BDLDevicePowerIoCompletion$4$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_31#1:
+ goto inline$BDLGetDebugLevel$114$Entry#1;
+
+ inline$BDLGetDebugLevel$114$Entry#1:
+ goto inline$BDLGetDebugLevel$114$start#1;
+
+ inline$BDLGetDebugLevel$114$start#1:
+ goto inline$BDLGetDebugLevel$114$label_3#1;
+
+ inline$BDLGetDebugLevel$114$label_3#1:
+ havoc inline$BDLGetDebugLevel$114$myNondetVar_0;
+ inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$114$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$114$label_1#1;
+
+ inline$BDLGetDebugLevel$114$label_1#1:
+ goto inline$BDLGetDebugLevel$114$Return#1;
+
+ inline$BDLGetDebugLevel$114$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$114$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon33_Then#1, inline$BDLDevicePowerIoCompletion$4$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_40_true#1, inline$BDLDevicePowerIoCompletion$4$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_41_true#1, inline$BDLDevicePowerIoCompletion$4$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$4$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$4$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_44_true#1, inline$BDLDevicePowerIoCompletion$4$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_45_true#1, inline$BDLDevicePowerIoCompletion$4$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$4$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$4$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$4$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_54#1:
+ inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$4$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_55_true#1, inline$BDLDevicePowerIoCompletion$4$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$4$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$4$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$4$anon34_Then#1, inline$BDLDevicePowerIoCompletion$4$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_59#1:
+ goto inline$BDLGetDebugLevel$115$Entry#1;
+
+ inline$BDLGetDebugLevel$115$Entry#1:
+ goto inline$BDLGetDebugLevel$115$start#1;
+
+ inline$BDLGetDebugLevel$115$start#1:
+ goto inline$BDLGetDebugLevel$115$label_3#1;
+
+ inline$BDLGetDebugLevel$115$label_3#1:
+ havoc inline$BDLGetDebugLevel$115$myNondetVar_0;
+ inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$115$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$115$label_1#1;
+
+ inline$BDLGetDebugLevel$115$label_1#1:
+ goto inline$BDLGetDebugLevel$115$Return#1;
+
+ inline$BDLGetDebugLevel$115$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$115$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon35_Then#1, inline$BDLDevicePowerIoCompletion$4$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_62_true#1, inline$BDLDevicePowerIoCompletion$4$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_63#1:
+ goto inline$BDLGetDebugLevel$116$Entry#1;
+
+ inline$BDLGetDebugLevel$116$Entry#1:
+ goto inline$BDLGetDebugLevel$116$start#1;
+
+ inline$BDLGetDebugLevel$116$start#1:
+ goto inline$BDLGetDebugLevel$116$label_3#1;
+
+ inline$BDLGetDebugLevel$116$label_3#1:
+ havoc inline$BDLGetDebugLevel$116$myNondetVar_0;
+ inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$116$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$116$label_1#1;
+
+ inline$BDLGetDebugLevel$116$label_1#1:
+ goto inline$BDLGetDebugLevel$116$Return#1;
+
+ inline$BDLGetDebugLevel$116$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$116$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon36_Then#1, inline$BDLDevicePowerIoCompletion$4$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_72_true#1, inline$BDLDevicePowerIoCompletion$4$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_73#1:
+ goto inline$BDLGetDebugLevel$117$Entry#1;
+
+ inline$BDLGetDebugLevel$117$Entry#1:
+ goto inline$BDLGetDebugLevel$117$start#1;
+
+ inline$BDLGetDebugLevel$117$start#1:
+ goto inline$BDLGetDebugLevel$117$label_3#1;
+
+ inline$BDLGetDebugLevel$117$label_3#1:
+ havoc inline$BDLGetDebugLevel$117$myNondetVar_0;
+ inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$117$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$117$label_1#1;
+
+ inline$BDLGetDebugLevel$117$label_1#1:
+ goto inline$BDLGetDebugLevel$117$Return#1;
+
+ inline$BDLGetDebugLevel$117$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$117$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon37_Then#1, inline$BDLDevicePowerIoCompletion$4$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_82_true#1, inline$BDLDevicePowerIoCompletion$4$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$4$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$4$anon38_Then#1, inline$BDLDevicePowerIoCompletion$4$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$4$anon39_Then#1, inline$BDLDevicePowerIoCompletion$4$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_92#1:
+ goto inline$storm_IoCompleteRequest$9$Entry#1;
+
+ inline$storm_IoCompleteRequest$9$Entry#1:
+ inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$4$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$9$start#1;
+
+ inline$storm_IoCompleteRequest$9$start#1:
+ inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$9$label_3#1;
+
+ inline$storm_IoCompleteRequest$9$label_3#1:
+ call inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$9$label_6#1;
+
+ inline$storm_IoCompleteRequest$9$label_6#1:
+ goto inline$storm_IoCompleteRequest$9$label_6_true#1, inline$storm_IoCompleteRequest$9$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$9$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$9$label_7#1;
+
+ inline$storm_IoCompleteRequest$9$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$9$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$9$label_8#1;
+
+ inline$storm_IoCompleteRequest$9$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$9$anon3_Then#1, inline$storm_IoCompleteRequest$9$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$9$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$9$anon1#1;
+
+ inline$storm_IoCompleteRequest$9$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$9$label_9#1;
+
+ inline$storm_IoCompleteRequest$9$label_9#1:
+ goto inline$storm_IoCompleteRequest$9$label_9_true#1, inline$storm_IoCompleteRequest$9$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$9$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$9$label_10#1;
+
+ inline$storm_IoCompleteRequest$9$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$9$label_1#1;
+
+ inline$storm_IoCompleteRequest$9$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$9$label_7#1;
+
+ inline$storm_IoCompleteRequest$9$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$9$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$9$label_1#1;
+
+ inline$storm_IoCompleteRequest$9$label_1#1:
+ goto inline$storm_IoCompleteRequest$9$Return#1;
+
+ inline$storm_IoCompleteRequest$9$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$9$Return#1;
+
+ inline$storm_IoCompleteRequest$9$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon40_Then#1, inline$BDLDevicePowerIoCompletion$4$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$4$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$4$anon41_Then#1, inline$BDLDevicePowerIoCompletion$4$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_98#1:
+ goto inline$BDLGetDebugLevel$118$Entry#1;
+
+ inline$BDLGetDebugLevel$118$Entry#1:
+ goto inline$BDLGetDebugLevel$118$start#1;
+
+ inline$BDLGetDebugLevel$118$start#1:
+ goto inline$BDLGetDebugLevel$118$label_3#1;
+
+ inline$BDLGetDebugLevel$118$label_3#1:
+ havoc inline$BDLGetDebugLevel$118$myNondetVar_0;
+ inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$118$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$118$label_1#1;
+
+ inline$BDLGetDebugLevel$118$label_1#1:
+ goto inline$BDLGetDebugLevel$118$Return#1;
+
+ inline$BDLGetDebugLevel$118$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$118$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon42_Then#1, inline$BDLDevicePowerIoCompletion$4$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_101_true#1, inline$BDLDevicePowerIoCompletion$4$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_102#1:
+ goto inline$BDLGetDebugLevel$119$Entry#1;
+
+ inline$BDLGetDebugLevel$119$Entry#1:
+ goto inline$BDLGetDebugLevel$119$start#1;
+
+ inline$BDLGetDebugLevel$119$start#1:
+ goto inline$BDLGetDebugLevel$119$label_3#1;
+
+ inline$BDLGetDebugLevel$119$label_3#1:
+ havoc inline$BDLGetDebugLevel$119$myNondetVar_0;
+ inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$119$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$119$label_1#1;
+
+ inline$BDLGetDebugLevel$119$label_1#1:
+ goto inline$BDLGetDebugLevel$119$Return#1;
+
+ inline$BDLGetDebugLevel$119$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$119$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon43_Then#1, inline$BDLDevicePowerIoCompletion$4$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_111_true#1, inline$BDLDevicePowerIoCompletion$4$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$4$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$4$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_112#1:
+ goto inline$BDLGetDebugLevel$120$Entry#1;
+
+ inline$BDLGetDebugLevel$120$Entry#1:
+ goto inline$BDLGetDebugLevel$120$start#1;
+
+ inline$BDLGetDebugLevel$120$start#1:
+ goto inline$BDLGetDebugLevel$120$label_3#1;
+
+ inline$BDLGetDebugLevel$120$label_3#1:
+ havoc inline$BDLGetDebugLevel$120$myNondetVar_0;
+ inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$120$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$120$label_1#1;
+
+ inline$BDLGetDebugLevel$120$label_1#1:
+ goto inline$BDLGetDebugLevel$120$Return#1;
+
+ inline$BDLGetDebugLevel$120$Return#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$120$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$4$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$4$anon44_Then#1, inline$BDLDevicePowerIoCompletion$4$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_121_true#1, inline$BDLDevicePowerIoCompletion$4$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$4$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$4$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$4$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_122#1:
+ inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$4$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$4$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$4$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$4$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$4$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$4$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$4$Return#1:
+ inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$4$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$4$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$4$anon13_Then#1, inline$CallCompletionRoutine$4$anon13_Else#1;
+
+ inline$CallCompletionRoutine$4$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$4$anon7#1;
+
+ inline$CallCompletionRoutine$4$anon7#1:
+ goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$4$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$4$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$4$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$4$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$4$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$4$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$start#1:
+ inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$4$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$label_3_true#1, inline$BDLCallDriverCompletionRoutine$4$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$4$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$4$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$4$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_6#1:
+ goto inline$storm_KeSetEvent$5$Entry#1;
+
+ inline$storm_KeSetEvent$5$Entry#1:
+ inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$4$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$5$start#1;
+
+ inline$storm_KeSetEvent$5$start#1:
+ inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$5$label_3#1;
+
+ inline$storm_KeSetEvent$5$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$5$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$5$label_1#1;
+
+ inline$storm_KeSetEvent$5$label_1#1:
+ goto inline$storm_KeSetEvent$5$Return#1;
+
+ inline$storm_KeSetEvent$5$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$4$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$4$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$4$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$4$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$4$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$4$Return#1:
+ inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$4$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$4$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$4$anon12_Then#1, inline$CallCompletionRoutine$4$anon12_Else#1;
+
+ inline$CallCompletionRoutine$4$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$4$anon5#1;
+
+ inline$CallCompletionRoutine$4$anon5#1:
+ goto inline$CallCompletionRoutine$4$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$4$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$4$label_23#1;
+
+ inline$CallCompletionRoutine$4$label_23#1:
+ inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$4$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$4$label_24#1;
+
+ inline$CallCompletionRoutine$4$label_24#1:
+ goto inline$CallCompletionRoutine$4$label_24_true#1, inline$CallCompletionRoutine$4$label_24_false#1;
+
+ inline$CallCompletionRoutine$4$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$4$label_1#1;
+
+ inline$CallCompletionRoutine$4$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$4$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$4$label_25#1;
+
+ inline$CallCompletionRoutine$4$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$4$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$4$label_1#1;
+
+ inline$CallCompletionRoutine$4$label_1#1:
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$4$Return#1;
+
+ inline$CallCompletionRoutine$4$Return#1:
+ goto inline$storm_IoCallDriver$2$label_33$1#1;
+
+ inline$storm_IoCallDriver$2$label_33$1#1:
+ goto inline$storm_IoCallDriver$2$anon14_Then#1, inline$storm_IoCallDriver$2$anon14_Else#1;
+
+ inline$storm_IoCallDriver$2$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$2$anon8#1;
+
+ inline$storm_IoCallDriver$2$anon8#1:
+ goto inline$storm_IoCallDriver$2$label_36#1;
+
+ inline$storm_IoCallDriver$2$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$2$label_29#1;
+
+ inline$storm_IoCallDriver$2$label_29#1:
+ inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$2$label_37#1;
+
+ inline$storm_IoCallDriver$2$label_37#1:
+ goto inline$storm_IoCallDriver$2$label_38#1;
+
+ inline$storm_IoCallDriver$2$label_38#1:
+ goto inline$storm_IoCallDriver$2$label_39#1;
+
+ inline$storm_IoCallDriver$2$label_39#1:
+ goto inline$CallCompletionRoutine$5$Entry#1;
+
+ inline$CallCompletionRoutine$5$Entry#1:
+ inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$5$start#1;
+
+ inline$CallCompletionRoutine$5$start#1:
+ inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$5$label_3#1;
+
+ inline$CallCompletionRoutine$5$label_3#1:
+ goto inline$CallCompletionRoutine$5$label_4#1;
+
+ inline$CallCompletionRoutine$5$label_4#1:
+ goto inline$CallCompletionRoutine$5$label_5#1;
+
+ inline$CallCompletionRoutine$5$label_5#1:
+ goto inline$CallCompletionRoutine$5$label_6#1;
+
+ inline$CallCompletionRoutine$5$label_6#1:
+ goto inline$CallCompletionRoutine$5$label_7#1;
+
+ inline$CallCompletionRoutine$5$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$30$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$30$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$start#1:
+ inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$30$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$30$label_3_true#1, inline$IoGetCurrentIrpStackLocation$30$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$30$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$30$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$30$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$30$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$30$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$30$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$30$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$30$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$30$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$30$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$30$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$30$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$30$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$30$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$30$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$30$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$30$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$30$Return#1:
+ goto inline$CallCompletionRoutine$5$label_7$1#1;
+
+ inline$CallCompletionRoutine$5$label_7$1#1:
+ goto inline$CallCompletionRoutine$5$anon10_Then#1, inline$CallCompletionRoutine$5$anon10_Else#1;
+
+ inline$CallCompletionRoutine$5$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$5$anon1#1;
+
+ inline$CallCompletionRoutine$5$anon1#1:
+ goto inline$CallCompletionRoutine$5$label_10#1;
+
+ inline$CallCompletionRoutine$5$label_10#1:
+ goto inline$CallCompletionRoutine$5$label_11#1;
+
+ inline$CallCompletionRoutine$5$label_11#1:
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$CallCompletionRoutine$5$label_12#1;
+
+ inline$CallCompletionRoutine$5$label_12#1:
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$CallCompletionRoutine$5$label_13#1;
+
+ inline$CallCompletionRoutine$5$label_13#1:
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$CallCompletionRoutine$5$label_14#1;
+
+ inline$CallCompletionRoutine$5$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$31$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$31$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$start#1:
+ inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$31$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$31$label_3_true#1, inline$IoGetCurrentIrpStackLocation$31$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$31$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$31$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$31$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$31$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$31$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$31$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$31$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$31$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$31$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$31$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$31$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$31$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$31$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$31$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$31$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$31$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$31$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$31$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$31$Return#1:
+ goto inline$CallCompletionRoutine$5$label_14$1#1;
+
+ inline$CallCompletionRoutine$5$label_14$1#1:
+ goto inline$CallCompletionRoutine$5$anon11_Then#1, inline$CallCompletionRoutine$5$anon11_Else#1;
+
+ inline$CallCompletionRoutine$5$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$5$anon3#1;
+
+ inline$CallCompletionRoutine$5$anon3#1:
+ goto inline$CallCompletionRoutine$5$label_17#1;
+
+ inline$CallCompletionRoutine$5$label_17#1:
+ goto inline$CallCompletionRoutine$5$label_18#1;
+
+ inline$CallCompletionRoutine$5$label_18#1:
+ goto inline$CallCompletionRoutine$5$label_18_true#1, inline$CallCompletionRoutine$5$label_18_false#1;
+
+ inline$CallCompletionRoutine$5$label_18_false#1:
+ assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$5$label_1#1;
+
+ inline$CallCompletionRoutine$5$label_18_true#1:
+ assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$5$label_19#1;
+
+ inline$CallCompletionRoutine$5$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$5$label_20#1;
+
+ inline$CallCompletionRoutine$5$label_20#1:
+ goto inline$CallCompletionRoutine$5$label_20_icall_1#1, inline$CallCompletionRoutine$5$label_20_icall_2#1, inline$CallCompletionRoutine$5$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$5$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$5$Entry#1:
+ inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$5$start#1;
+
+ inline$BDLSystemPowerIoCompletion$5$start#1:
+ call inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$5$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_4#1:
+ inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_6#1:
+ inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$5$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$33$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$33$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$start#1:
+ inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$33$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$33$label_3_true#1, inline$IoGetCurrentIrpStackLocation$33$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$33$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$33$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$33$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$33$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$33$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$33$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$33$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$33$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$33$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$33$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$33$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$33$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$33$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$33$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$33$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$33$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$33$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$33$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$33$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon36_Then#1, inline$BDLSystemPowerIoCompletion$5$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_15#1:
+ goto inline$BDLGetDebugLevel$142$Entry#1;
+
+ inline$BDLGetDebugLevel$142$Entry#1:
+ goto inline$BDLGetDebugLevel$142$start#1;
+
+ inline$BDLGetDebugLevel$142$start#1:
+ goto inline$BDLGetDebugLevel$142$label_3#1;
+
+ inline$BDLGetDebugLevel$142$label_3#1:
+ havoc inline$BDLGetDebugLevel$142$myNondetVar_0;
+ inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$142$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$142$label_1#1;
+
+ inline$BDLGetDebugLevel$142$label_1#1:
+ goto inline$BDLGetDebugLevel$142$Return#1;
+
+ inline$BDLGetDebugLevel$142$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$142$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon37_Then#1, inline$BDLSystemPowerIoCompletion$5$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_18_true#1, inline$BDLSystemPowerIoCompletion$5$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_19#1:
+ goto inline$BDLGetDebugLevel$143$Entry#1;
+
+ inline$BDLGetDebugLevel$143$Entry#1:
+ goto inline$BDLGetDebugLevel$143$start#1;
+
+ inline$BDLGetDebugLevel$143$start#1:
+ goto inline$BDLGetDebugLevel$143$label_3#1;
+
+ inline$BDLGetDebugLevel$143$label_3#1:
+ havoc inline$BDLGetDebugLevel$143$myNondetVar_0;
+ inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$143$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$143$label_1#1;
+
+ inline$BDLGetDebugLevel$143$label_1#1:
+ goto inline$BDLGetDebugLevel$143$Return#1;
+
+ inline$BDLGetDebugLevel$143$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$143$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon38_Then#1, inline$BDLSystemPowerIoCompletion$5$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_28_true#1, inline$BDLSystemPowerIoCompletion$5$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_29#1:
+ goto inline$BDLGetDebugLevel$144$Entry#1;
+
+ inline$BDLGetDebugLevel$144$Entry#1:
+ goto inline$BDLGetDebugLevel$144$start#1;
+
+ inline$BDLGetDebugLevel$144$start#1:
+ goto inline$BDLGetDebugLevel$144$label_3#1;
+
+ inline$BDLGetDebugLevel$144$label_3#1:
+ havoc inline$BDLGetDebugLevel$144$myNondetVar_0;
+ inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$144$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$144$label_1#1;
+
+ inline$BDLGetDebugLevel$144$label_1#1:
+ goto inline$BDLGetDebugLevel$144$Return#1;
+
+ inline$BDLGetDebugLevel$144$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$144$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon39_Then#1, inline$BDLSystemPowerIoCompletion$5$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_38_true#1, inline$BDLSystemPowerIoCompletion$5$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_39_true#1, inline$BDLSystemPowerIoCompletion$5$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$5$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$5$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$5$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$5$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$5$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_106_true#1, inline$BDLSystemPowerIoCompletion$5$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$5$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$5$myNondetVar_0, inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$5$anon50_Then#1, inline$BDLSystemPowerIoCompletion$5$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_112#1:
+ inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_113_true#1, inline$BDLSystemPowerIoCompletion$5$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$5$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_117#1:
+ goto inline$BDLGetDebugLevel$151$Entry#1;
+
+ inline$BDLGetDebugLevel$151$Entry#1:
+ goto inline$BDLGetDebugLevel$151$start#1;
+
+ inline$BDLGetDebugLevel$151$start#1:
+ goto inline$BDLGetDebugLevel$151$label_3#1;
+
+ inline$BDLGetDebugLevel$151$label_3#1:
+ havoc inline$BDLGetDebugLevel$151$myNondetVar_0;
+ inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$151$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$151$label_1#1;
+
+ inline$BDLGetDebugLevel$151$label_1#1:
+ goto inline$BDLGetDebugLevel$151$Return#1;
+
+ inline$BDLGetDebugLevel$151$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$151$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon51_Then#1, inline$BDLSystemPowerIoCompletion$5$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_120_true#1, inline$BDLSystemPowerIoCompletion$5$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_121#1:
+ goto inline$BDLGetDebugLevel$152$Entry#1;
+
+ inline$BDLGetDebugLevel$152$Entry#1:
+ goto inline$BDLGetDebugLevel$152$start#1;
+
+ inline$BDLGetDebugLevel$152$start#1:
+ goto inline$BDLGetDebugLevel$152$label_3#1;
+
+ inline$BDLGetDebugLevel$152$label_3#1:
+ havoc inline$BDLGetDebugLevel$152$myNondetVar_0;
+ inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$152$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$152$label_1#1;
+
+ inline$BDLGetDebugLevel$152$label_1#1:
+ goto inline$BDLGetDebugLevel$152$Return#1;
+
+ inline$BDLGetDebugLevel$152$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$152$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon52_Then#1, inline$BDLSystemPowerIoCompletion$5$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_130_true#1, inline$BDLSystemPowerIoCompletion$5$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_131#1:
+ goto inline$BDLGetDebugLevel$153$Entry#1;
+
+ inline$BDLGetDebugLevel$153$Entry#1:
+ goto inline$BDLGetDebugLevel$153$start#1;
+
+ inline$BDLGetDebugLevel$153$start#1:
+ goto inline$BDLGetDebugLevel$153$label_3#1;
+
+ inline$BDLGetDebugLevel$153$label_3#1:
+ havoc inline$BDLGetDebugLevel$153$myNondetVar_0;
+ inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$153$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$153$label_1#1;
+
+ inline$BDLGetDebugLevel$153$label_1#1:
+ goto inline$BDLGetDebugLevel$153$Return#1;
+
+ inline$BDLGetDebugLevel$153$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$153$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon53_Then#1, inline$BDLSystemPowerIoCompletion$5$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_140_true#1, inline$BDLSystemPowerIoCompletion$5$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$5$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_115#1:
+ inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$5$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$5$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$5$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_43#1:
+ goto inline$BDLGetDebugLevel$145$Entry#1;
+
+ inline$BDLGetDebugLevel$145$Entry#1:
+ goto inline$BDLGetDebugLevel$145$start#1;
+
+ inline$BDLGetDebugLevel$145$start#1:
+ goto inline$BDLGetDebugLevel$145$label_3#1;
+
+ inline$BDLGetDebugLevel$145$label_3#1:
+ havoc inline$BDLGetDebugLevel$145$myNondetVar_0;
+ inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$145$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$145$label_1#1;
+
+ inline$BDLGetDebugLevel$145$label_1#1:
+ goto inline$BDLGetDebugLevel$145$Return#1;
+
+ inline$BDLGetDebugLevel$145$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$145$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon40_Then#1, inline$BDLSystemPowerIoCompletion$5$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_46_true#1, inline$BDLSystemPowerIoCompletion$5$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_47#1:
+ goto inline$BDLGetDebugLevel$146$Entry#1;
+
+ inline$BDLGetDebugLevel$146$Entry#1:
+ goto inline$BDLGetDebugLevel$146$start#1;
+
+ inline$BDLGetDebugLevel$146$start#1:
+ goto inline$BDLGetDebugLevel$146$label_3#1;
+
+ inline$BDLGetDebugLevel$146$label_3#1:
+ havoc inline$BDLGetDebugLevel$146$myNondetVar_0;
+ inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$146$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$146$label_1#1;
+
+ inline$BDLGetDebugLevel$146$label_1#1:
+ goto inline$BDLGetDebugLevel$146$Return#1;
+
+ inline$BDLGetDebugLevel$146$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$146$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon41_Then#1, inline$BDLSystemPowerIoCompletion$5$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_56_true#1, inline$BDLSystemPowerIoCompletion$5$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_57#1:
+ goto inline$BDLGetDebugLevel$147$Entry#1;
+
+ inline$BDLGetDebugLevel$147$Entry#1:
+ goto inline$BDLGetDebugLevel$147$start#1;
+
+ inline$BDLGetDebugLevel$147$start#1:
+ goto inline$BDLGetDebugLevel$147$label_3#1;
+
+ inline$BDLGetDebugLevel$147$label_3#1:
+ havoc inline$BDLGetDebugLevel$147$myNondetVar_0;
+ inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$147$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$147$label_1#1;
+
+ inline$BDLGetDebugLevel$147$label_1#1:
+ goto inline$BDLGetDebugLevel$147$Return#1;
+
+ inline$BDLGetDebugLevel$147$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$147$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon42_Then#1, inline$BDLSystemPowerIoCompletion$5$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_66_true#1, inline$BDLSystemPowerIoCompletion$5$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$5$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$5$anon43_Then#1, inline$BDLSystemPowerIoCompletion$5$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$5$anon44_Then#1, inline$BDLSystemPowerIoCompletion$5$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_74#1:
+ goto inline$storm_IoCompleteRequest$12$Entry#1;
+
+ inline$storm_IoCompleteRequest$12$Entry#1:
+ inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$5$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$12$start#1;
+
+ inline$storm_IoCompleteRequest$12$start#1:
+ inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$12$label_3#1;
+
+ inline$storm_IoCompleteRequest$12$label_3#1:
+ call inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$12$label_6#1;
+
+ inline$storm_IoCompleteRequest$12$label_6#1:
+ goto inline$storm_IoCompleteRequest$12$label_6_true#1, inline$storm_IoCompleteRequest$12$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$12$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$12$label_7#1;
+
+ inline$storm_IoCompleteRequest$12$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$12$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$12$label_8#1;
+
+ inline$storm_IoCompleteRequest$12$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$12$anon3_Then#1, inline$storm_IoCompleteRequest$12$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$12$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$12$anon1#1;
+
+ inline$storm_IoCompleteRequest$12$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$12$label_9#1;
+
+ inline$storm_IoCompleteRequest$12$label_9#1:
+ goto inline$storm_IoCompleteRequest$12$label_9_true#1, inline$storm_IoCompleteRequest$12$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$12$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$12$label_10#1;
+
+ inline$storm_IoCompleteRequest$12$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$12$label_1#1;
+
+ inline$storm_IoCompleteRequest$12$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$12$label_7#1;
+
+ inline$storm_IoCompleteRequest$12$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$12$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$12$label_1#1;
+
+ inline$storm_IoCompleteRequest$12$label_1#1:
+ goto inline$storm_IoCompleteRequest$12$Return#1;
+
+ inline$storm_IoCompleteRequest$12$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$12$Return#1;
+
+ inline$storm_IoCompleteRequest$12$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon45_Then#1, inline$BDLSystemPowerIoCompletion$5$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$5$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$5$anon46_Then#1, inline$BDLSystemPowerIoCompletion$5$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_80#1:
+ goto inline$BDLGetDebugLevel$148$Entry#1;
+
+ inline$BDLGetDebugLevel$148$Entry#1:
+ goto inline$BDLGetDebugLevel$148$start#1;
+
+ inline$BDLGetDebugLevel$148$start#1:
+ goto inline$BDLGetDebugLevel$148$label_3#1;
+
+ inline$BDLGetDebugLevel$148$label_3#1:
+ havoc inline$BDLGetDebugLevel$148$myNondetVar_0;
+ inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$148$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$148$label_1#1;
+
+ inline$BDLGetDebugLevel$148$label_1#1:
+ goto inline$BDLGetDebugLevel$148$Return#1;
+
+ inline$BDLGetDebugLevel$148$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$148$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon47_Then#1, inline$BDLSystemPowerIoCompletion$5$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_83_true#1, inline$BDLSystemPowerIoCompletion$5$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_84#1:
+ goto inline$BDLGetDebugLevel$149$Entry#1;
+
+ inline$BDLGetDebugLevel$149$Entry#1:
+ goto inline$BDLGetDebugLevel$149$start#1;
+
+ inline$BDLGetDebugLevel$149$start#1:
+ goto inline$BDLGetDebugLevel$149$label_3#1;
+
+ inline$BDLGetDebugLevel$149$label_3#1:
+ havoc inline$BDLGetDebugLevel$149$myNondetVar_0;
+ inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$149$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$149$label_1#1;
+
+ inline$BDLGetDebugLevel$149$label_1#1:
+ goto inline$BDLGetDebugLevel$149$Return#1;
+
+ inline$BDLGetDebugLevel$149$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$149$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon48_Then#1, inline$BDLSystemPowerIoCompletion$5$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_93_true#1, inline$BDLSystemPowerIoCompletion$5$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$5$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$5$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_94#1:
+ goto inline$BDLGetDebugLevel$150$Entry#1;
+
+ inline$BDLGetDebugLevel$150$Entry#1:
+ goto inline$BDLGetDebugLevel$150$start#1;
+
+ inline$BDLGetDebugLevel$150$start#1:
+ goto inline$BDLGetDebugLevel$150$label_3#1;
+
+ inline$BDLGetDebugLevel$150$label_3#1:
+ havoc inline$BDLGetDebugLevel$150$myNondetVar_0;
+ inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$150$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$150$label_1#1;
+
+ inline$BDLGetDebugLevel$150$label_1#1:
+ goto inline$BDLGetDebugLevel$150$Return#1;
+
+ inline$BDLGetDebugLevel$150$Return#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$150$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$5$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$5$anon49_Then#1, inline$BDLSystemPowerIoCompletion$5$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_103_true#1, inline$BDLSystemPowerIoCompletion$5$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$5$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$5$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$5$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_104#1:
+ inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$5$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$5$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$5$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$5$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$5$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$5$Return#1:
+ inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$5$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$5$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$5$anon14_Then#1, inline$CallCompletionRoutine$5$anon14_Else#1;
+
+ inline$CallCompletionRoutine$5$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$5$anon9#1;
+
+ inline$CallCompletionRoutine$5$anon9#1:
+ goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$5$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$5$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$5$Entry#1:
+ inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$5$start#1;
+
+ inline$BDLDevicePowerIoCompletion$5$start#1:
+ call inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$5$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_4#1:
+ inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_6#1:
+ inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$5$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$32$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$32$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$start#1:
+ inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$32$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$32$label_3_true#1, inline$IoGetCurrentIrpStackLocation$32$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$32$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$32$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$32$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$32$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$32$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$32$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$32$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$32$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$32$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$32$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$32$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$32$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$32$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$32$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$32$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$32$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$32$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$32$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$32$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$32$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon30_Then#1, inline$BDLDevicePowerIoCompletion$5$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_13#1:
+ inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_17#1:
+ goto inline$BDLGetDebugLevel$133$Entry#1;
+
+ inline$BDLGetDebugLevel$133$Entry#1:
+ goto inline$BDLGetDebugLevel$133$start#1;
+
+ inline$BDLGetDebugLevel$133$start#1:
+ goto inline$BDLGetDebugLevel$133$label_3#1;
+
+ inline$BDLGetDebugLevel$133$label_3#1:
+ havoc inline$BDLGetDebugLevel$133$myNondetVar_0;
+ inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$133$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$133$label_1#1;
+
+ inline$BDLGetDebugLevel$133$label_1#1:
+ goto inline$BDLGetDebugLevel$133$Return#1;
+
+ inline$BDLGetDebugLevel$133$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$133$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon31_Then#1, inline$BDLDevicePowerIoCompletion$5$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_20_true#1, inline$BDLDevicePowerIoCompletion$5$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_21#1:
+ goto inline$BDLGetDebugLevel$134$Entry#1;
+
+ inline$BDLGetDebugLevel$134$Entry#1:
+ goto inline$BDLGetDebugLevel$134$start#1;
+
+ inline$BDLGetDebugLevel$134$start#1:
+ goto inline$BDLGetDebugLevel$134$label_3#1;
+
+ inline$BDLGetDebugLevel$134$label_3#1:
+ havoc inline$BDLGetDebugLevel$134$myNondetVar_0;
+ inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$134$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$134$label_1#1;
+
+ inline$BDLGetDebugLevel$134$label_1#1:
+ goto inline$BDLGetDebugLevel$134$Return#1;
+
+ inline$BDLGetDebugLevel$134$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$134$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon32_Then#1, inline$BDLDevicePowerIoCompletion$5$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_30_true#1, inline$BDLDevicePowerIoCompletion$5$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_31#1:
+ goto inline$BDLGetDebugLevel$135$Entry#1;
+
+ inline$BDLGetDebugLevel$135$Entry#1:
+ goto inline$BDLGetDebugLevel$135$start#1;
+
+ inline$BDLGetDebugLevel$135$start#1:
+ goto inline$BDLGetDebugLevel$135$label_3#1;
+
+ inline$BDLGetDebugLevel$135$label_3#1:
+ havoc inline$BDLGetDebugLevel$135$myNondetVar_0;
+ inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$135$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$135$label_1#1;
+
+ inline$BDLGetDebugLevel$135$label_1#1:
+ goto inline$BDLGetDebugLevel$135$Return#1;
+
+ inline$BDLGetDebugLevel$135$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$135$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon33_Then#1, inline$BDLDevicePowerIoCompletion$5$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_40_true#1, inline$BDLDevicePowerIoCompletion$5$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_41_true#1, inline$BDLDevicePowerIoCompletion$5$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$5$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$5$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_44_true#1, inline$BDLDevicePowerIoCompletion$5$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_45_true#1, inline$BDLDevicePowerIoCompletion$5$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$5$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$5$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$5$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_54#1:
+ inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$5$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_55_true#1, inline$BDLDevicePowerIoCompletion$5$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$5$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$5$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$5$anon34_Then#1, inline$BDLDevicePowerIoCompletion$5$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_59#1:
+ goto inline$BDLGetDebugLevel$136$Entry#1;
+
+ inline$BDLGetDebugLevel$136$Entry#1:
+ goto inline$BDLGetDebugLevel$136$start#1;
+
+ inline$BDLGetDebugLevel$136$start#1:
+ goto inline$BDLGetDebugLevel$136$label_3#1;
+
+ inline$BDLGetDebugLevel$136$label_3#1:
+ havoc inline$BDLGetDebugLevel$136$myNondetVar_0;
+ inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$136$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$136$label_1#1;
+
+ inline$BDLGetDebugLevel$136$label_1#1:
+ goto inline$BDLGetDebugLevel$136$Return#1;
+
+ inline$BDLGetDebugLevel$136$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$136$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon35_Then#1, inline$BDLDevicePowerIoCompletion$5$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_62_true#1, inline$BDLDevicePowerIoCompletion$5$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_63#1:
+ goto inline$BDLGetDebugLevel$137$Entry#1;
+
+ inline$BDLGetDebugLevel$137$Entry#1:
+ goto inline$BDLGetDebugLevel$137$start#1;
+
+ inline$BDLGetDebugLevel$137$start#1:
+ goto inline$BDLGetDebugLevel$137$label_3#1;
+
+ inline$BDLGetDebugLevel$137$label_3#1:
+ havoc inline$BDLGetDebugLevel$137$myNondetVar_0;
+ inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$137$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$137$label_1#1;
+
+ inline$BDLGetDebugLevel$137$label_1#1:
+ goto inline$BDLGetDebugLevel$137$Return#1;
+
+ inline$BDLGetDebugLevel$137$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$137$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon36_Then#1, inline$BDLDevicePowerIoCompletion$5$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_72_true#1, inline$BDLDevicePowerIoCompletion$5$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_73#1:
+ goto inline$BDLGetDebugLevel$138$Entry#1;
+
+ inline$BDLGetDebugLevel$138$Entry#1:
+ goto inline$BDLGetDebugLevel$138$start#1;
+
+ inline$BDLGetDebugLevel$138$start#1:
+ goto inline$BDLGetDebugLevel$138$label_3#1;
+
+ inline$BDLGetDebugLevel$138$label_3#1:
+ havoc inline$BDLGetDebugLevel$138$myNondetVar_0;
+ inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$138$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$138$label_1#1;
+
+ inline$BDLGetDebugLevel$138$label_1#1:
+ goto inline$BDLGetDebugLevel$138$Return#1;
+
+ inline$BDLGetDebugLevel$138$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$138$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon37_Then#1, inline$BDLDevicePowerIoCompletion$5$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_82_true#1, inline$BDLDevicePowerIoCompletion$5$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$5$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$5$anon38_Then#1, inline$BDLDevicePowerIoCompletion$5$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$5$anon39_Then#1, inline$BDLDevicePowerIoCompletion$5$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_92#1:
+ goto inline$storm_IoCompleteRequest$11$Entry#1;
+
+ inline$storm_IoCompleteRequest$11$Entry#1:
+ inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$5$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$11$start#1;
+
+ inline$storm_IoCompleteRequest$11$start#1:
+ inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$11$label_3#1;
+
+ inline$storm_IoCompleteRequest$11$label_3#1:
+ call inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$11$label_6#1;
+
+ inline$storm_IoCompleteRequest$11$label_6#1:
+ goto inline$storm_IoCompleteRequest$11$label_6_true#1, inline$storm_IoCompleteRequest$11$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$11$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$11$label_7#1;
+
+ inline$storm_IoCompleteRequest$11$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$11$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$11$label_8#1;
+
+ inline$storm_IoCompleteRequest$11$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$11$anon3_Then#1, inline$storm_IoCompleteRequest$11$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$11$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$11$anon1#1;
+
+ inline$storm_IoCompleteRequest$11$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$11$label_9#1;
+
+ inline$storm_IoCompleteRequest$11$label_9#1:
+ goto inline$storm_IoCompleteRequest$11$label_9_true#1, inline$storm_IoCompleteRequest$11$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$11$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$11$label_10#1;
+
+ inline$storm_IoCompleteRequest$11$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$11$label_1#1;
+
+ inline$storm_IoCompleteRequest$11$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$11$label_7#1;
+
+ inline$storm_IoCompleteRequest$11$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$11$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$11$label_1#1;
+
+ inline$storm_IoCompleteRequest$11$label_1#1:
+ goto inline$storm_IoCompleteRequest$11$Return#1;
+
+ inline$storm_IoCompleteRequest$11$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$11$Return#1;
+
+ inline$storm_IoCompleteRequest$11$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon40_Then#1, inline$BDLDevicePowerIoCompletion$5$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$5$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$5$anon41_Then#1, inline$BDLDevicePowerIoCompletion$5$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_98#1:
+ goto inline$BDLGetDebugLevel$139$Entry#1;
+
+ inline$BDLGetDebugLevel$139$Entry#1:
+ goto inline$BDLGetDebugLevel$139$start#1;
+
+ inline$BDLGetDebugLevel$139$start#1:
+ goto inline$BDLGetDebugLevel$139$label_3#1;
+
+ inline$BDLGetDebugLevel$139$label_3#1:
+ havoc inline$BDLGetDebugLevel$139$myNondetVar_0;
+ inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$139$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$139$label_1#1;
+
+ inline$BDLGetDebugLevel$139$label_1#1:
+ goto inline$BDLGetDebugLevel$139$Return#1;
+
+ inline$BDLGetDebugLevel$139$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$139$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon42_Then#1, inline$BDLDevicePowerIoCompletion$5$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_101_true#1, inline$BDLDevicePowerIoCompletion$5$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_102#1:
+ goto inline$BDLGetDebugLevel$140$Entry#1;
+
+ inline$BDLGetDebugLevel$140$Entry#1:
+ goto inline$BDLGetDebugLevel$140$start#1;
+
+ inline$BDLGetDebugLevel$140$start#1:
+ goto inline$BDLGetDebugLevel$140$label_3#1;
+
+ inline$BDLGetDebugLevel$140$label_3#1:
+ havoc inline$BDLGetDebugLevel$140$myNondetVar_0;
+ inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$140$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$140$label_1#1;
+
+ inline$BDLGetDebugLevel$140$label_1#1:
+ goto inline$BDLGetDebugLevel$140$Return#1;
+
+ inline$BDLGetDebugLevel$140$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$140$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon43_Then#1, inline$BDLDevicePowerIoCompletion$5$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_111_true#1, inline$BDLDevicePowerIoCompletion$5$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$5$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$5$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_112#1:
+ goto inline$BDLGetDebugLevel$141$Entry#1;
+
+ inline$BDLGetDebugLevel$141$Entry#1:
+ goto inline$BDLGetDebugLevel$141$start#1;
+
+ inline$BDLGetDebugLevel$141$start#1:
+ goto inline$BDLGetDebugLevel$141$label_3#1;
+
+ inline$BDLGetDebugLevel$141$label_3#1:
+ havoc inline$BDLGetDebugLevel$141$myNondetVar_0;
+ inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$141$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$141$label_1#1;
+
+ inline$BDLGetDebugLevel$141$label_1#1:
+ goto inline$BDLGetDebugLevel$141$Return#1;
+
+ inline$BDLGetDebugLevel$141$Return#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$141$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$5$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$5$anon44_Then#1, inline$BDLDevicePowerIoCompletion$5$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_121_true#1, inline$BDLDevicePowerIoCompletion$5$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$5$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$5$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$5$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_122#1:
+ inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$5$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$5$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$5$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$5$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$5$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$5$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$5$Return#1:
+ inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$5$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$5$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$5$anon13_Then#1, inline$CallCompletionRoutine$5$anon13_Else#1;
+
+ inline$CallCompletionRoutine$5$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$5$anon7#1;
+
+ inline$CallCompletionRoutine$5$anon7#1:
+ goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$5$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$5$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$5$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$5$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$5$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$5$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$start#1:
+ inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$5$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$label_3_true#1, inline$BDLCallDriverCompletionRoutine$5$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$5$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$5$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$5$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_6#1:
+ goto inline$storm_KeSetEvent$6$Entry#1;
+
+ inline$storm_KeSetEvent$6$Entry#1:
+ inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$5$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$6$start#1;
+
+ inline$storm_KeSetEvent$6$start#1:
+ inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$6$label_3#1;
+
+ inline$storm_KeSetEvent$6$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$6$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$6$label_1#1;
+
+ inline$storm_KeSetEvent$6$label_1#1:
+ goto inline$storm_KeSetEvent$6$Return#1;
+
+ inline$storm_KeSetEvent$6$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$5$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$5$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$5$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$5$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$5$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$5$Return#1:
+ inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$5$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$5$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$5$anon12_Then#1, inline$CallCompletionRoutine$5$anon12_Else#1;
+
+ inline$CallCompletionRoutine$5$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$5$anon5#1;
+
+ inline$CallCompletionRoutine$5$anon5#1:
+ goto inline$CallCompletionRoutine$5$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$5$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$5$label_23#1;
+
+ inline$CallCompletionRoutine$5$label_23#1:
+ inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$5$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$5$label_24#1;
+
+ inline$CallCompletionRoutine$5$label_24#1:
+ goto inline$CallCompletionRoutine$5$label_24_true#1, inline$CallCompletionRoutine$5$label_24_false#1;
+
+ inline$CallCompletionRoutine$5$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$5$label_1#1;
+
+ inline$CallCompletionRoutine$5$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$5$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$5$label_25#1;
+
+ inline$CallCompletionRoutine$5$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$5$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$5$label_1#1;
+
+ inline$CallCompletionRoutine$5$label_1#1:
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$5$Return#1;
+
+ inline$CallCompletionRoutine$5$Return#1:
+ goto inline$storm_IoCallDriver$2$label_39$1#1;
+
+ inline$storm_IoCallDriver$2$label_39$1#1:
+ goto inline$storm_IoCallDriver$2$anon15_Then#1, inline$storm_IoCallDriver$2$anon15_Else#1;
+
+ inline$storm_IoCallDriver$2$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$2$anon10#1;
+
+ inline$storm_IoCallDriver$2$anon10#1:
+ goto inline$storm_IoCallDriver$2$label_36#1;
+
+ inline$storm_IoCallDriver$2$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$2$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$2$label_28#1;
+
+ inline$storm_IoCallDriver$2$label_28#1:
+ inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$2$label_42#1;
+
+ inline$storm_IoCallDriver$2$label_42#1:
+ goto inline$storm_IoCallDriver$2$label_43#1;
+
+ inline$storm_IoCallDriver$2$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$2$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$2$label_36#1;
+
+ inline$storm_IoCallDriver$2$label_36#1:
+ inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$2$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$2$label_1#1;
+
+ inline$storm_IoCallDriver$2$label_1#1:
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$2$Return#1;
+
+ inline$storm_IoCallDriver$2$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$2$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_25#1:
+ goto inline$storm_PoCallDriver$1$Entry#1;
+
+ inline$storm_PoCallDriver$1$Entry#1:
+ inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$1$start#1;
+
+ inline$storm_PoCallDriver$1$start#1:
+ inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$1$label_3#1;
+
+ inline$storm_PoCallDriver$1$label_3#1:
+ goto inline$storm_IoCallDriver$3$Entry#1;
+
+ inline$storm_IoCallDriver$3$Entry#1:
+ inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$1$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$3$start#1;
+
+ inline$storm_IoCallDriver$3$start#1:
+ inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$3$label_3#1;
+
+ inline$storm_IoCallDriver$3$label_3#1:
+ goto inline$storm_IoCallDriver$3$label_4#1;
+
+ inline$storm_IoCallDriver$3$label_4#1:
+ goto inline$storm_IoCallDriver$3$label_5#1;
+
+ inline$storm_IoCallDriver$3$label_5#1:
+ goto inline$storm_IoCallDriver$3$label_6#1;
+
+ inline$storm_IoCallDriver$3$label_6#1:
+ call inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$3$label_9#1;
+
+ inline$storm_IoCallDriver$3$label_9#1:
+ goto inline$storm_IoCallDriver$3$label_9_true#1, inline$storm_IoCallDriver$3$label_9_false#1;
+
+ inline$storm_IoCallDriver$3$label_9_false#1:
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$3$label_10#1;
+
+ inline$storm_IoCallDriver$3$label_9_true#1:
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$3$label_13#1;
+
+ inline$storm_IoCallDriver$3$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$3$anon12_Then#1, inline$storm_IoCallDriver$3$anon12_Else#1;
+
+ inline$storm_IoCallDriver$3$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$3$anon3#1;
+
+ inline$storm_IoCallDriver$3$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$3$label_14#1;
+
+ inline$storm_IoCallDriver$3$label_14#1:
+ goto inline$storm_IoCallDriver$3$label_14_true#1, inline$storm_IoCallDriver$3$label_14_false#1;
+
+ inline$storm_IoCallDriver$3$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$3$label_15#1;
+
+ inline$storm_IoCallDriver$3$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$3$label_1#1;
+
+ inline$storm_IoCallDriver$3$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$3$label_10#1;
+
+ inline$storm_IoCallDriver$3$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$4$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$4$Entry#1:
+ inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$4$start#1;
+
+ inline$IoSetNextIrpStackLocation$4$start#1:
+ inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$4$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$4$label_3_true#1, inline$IoSetNextIrpStackLocation$4$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$4$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$4$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_4#1:
+ call inline$IoSetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$4$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_7#1:
+ call inline$IoSetNextIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$4$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$4$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$4$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$4$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_5#1:
+ inline$IoSetNextIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$4$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$4$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$4$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$4$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$4$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$4$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$4$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$4$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$4$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$4$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$4$Return#1;
+
+ inline$IoSetNextIrpStackLocation$4$Return#1:
+ goto inline$storm_IoCallDriver$3$label_10$1#1;
+
+ inline$storm_IoCallDriver$3$label_10$1#1:
+ goto inline$storm_IoCallDriver$3$anon11_Then#1, inline$storm_IoCallDriver$3$anon11_Else#1;
+
+ inline$storm_IoCallDriver$3$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$3$anon1#1;
+
+ inline$storm_IoCallDriver$3$anon1#1:
+ goto inline$storm_IoCallDriver$3$label_18#1;
+
+ inline$storm_IoCallDriver$3$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$34$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$34$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$start#1:
+ inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$34$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$34$label_3_true#1, inline$IoGetCurrentIrpStackLocation$34$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$34$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$34$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$34$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$34$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$34$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$34$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$34$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$34$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$34$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$34$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$34$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$34$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$34$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$34$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$34$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$34$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$34$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$34$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$34$Return#1:
+ goto inline$storm_IoCallDriver$3$label_18$1#1;
+
+ inline$storm_IoCallDriver$3$label_18$1#1:
+ goto inline$storm_IoCallDriver$3$anon13_Then#1, inline$storm_IoCallDriver$3$anon13_Else#1;
+
+ inline$storm_IoCallDriver$3$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$3$anon6#1;
+
+ inline$storm_IoCallDriver$3$anon6#1:
+ goto inline$storm_IoCallDriver$3$label_21#1;
+
+ inline$storm_IoCallDriver$3$label_21#1:
+ goto inline$storm_IoCallDriver$3$label_22#1;
+
+ inline$storm_IoCallDriver$3$label_22#1:
+ goto inline$storm_IoCallDriver$3$label_23#1;
+
+ inline$storm_IoCallDriver$3$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$3$label_24#1;
+
+ inline$storm_IoCallDriver$3$label_24#1:
+ call inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$3$label_27#1;
+
+ inline$storm_IoCallDriver$3$label_27#1:
+ goto inline$storm_IoCallDriver$3$label_27_case_0#1, inline$storm_IoCallDriver$3$label_27_case_1#1, inline$storm_IoCallDriver$3$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$3$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$3$label_30#1;
+
+ inline$storm_IoCallDriver$3$label_30#1:
+ inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$3$label_31#1;
+
+ inline$storm_IoCallDriver$3$label_31#1:
+ goto inline$storm_IoCallDriver$3$label_32#1;
+
+ inline$storm_IoCallDriver$3$label_32#1:
+ goto inline$storm_IoCallDriver$3$label_33#1;
+
+ inline$storm_IoCallDriver$3$label_33#1:
+ goto inline$CallCompletionRoutine$6$Entry#1;
+
+ inline$CallCompletionRoutine$6$Entry#1:
+ inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$6$start#1;
+
+ inline$CallCompletionRoutine$6$start#1:
+ inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$6$label_3#1;
+
+ inline$CallCompletionRoutine$6$label_3#1:
+ goto inline$CallCompletionRoutine$6$label_4#1;
+
+ inline$CallCompletionRoutine$6$label_4#1:
+ goto inline$CallCompletionRoutine$6$label_5#1;
+
+ inline$CallCompletionRoutine$6$label_5#1:
+ goto inline$CallCompletionRoutine$6$label_6#1;
+
+ inline$CallCompletionRoutine$6$label_6#1:
+ goto inline$CallCompletionRoutine$6$label_7#1;
+
+ inline$CallCompletionRoutine$6$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$35$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$35$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$start#1:
+ inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$35$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$35$label_3_true#1, inline$IoGetCurrentIrpStackLocation$35$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$35$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$35$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$35$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$35$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$35$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$35$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$35$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$35$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$35$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$35$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$35$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$35$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$35$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$35$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$35$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$35$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$35$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$35$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$35$Return#1:
+ goto inline$CallCompletionRoutine$6$label_7$1#1;
+
+ inline$CallCompletionRoutine$6$label_7$1#1:
+ goto inline$CallCompletionRoutine$6$anon10_Then#1, inline$CallCompletionRoutine$6$anon10_Else#1;
+
+ inline$CallCompletionRoutine$6$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$6$anon1#1;
+
+ inline$CallCompletionRoutine$6$anon1#1:
+ goto inline$CallCompletionRoutine$6$label_10#1;
+
+ inline$CallCompletionRoutine$6$label_10#1:
+ goto inline$CallCompletionRoutine$6$label_11#1;
+
+ inline$CallCompletionRoutine$6$label_11#1:
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$CallCompletionRoutine$6$label_12#1;
+
+ inline$CallCompletionRoutine$6$label_12#1:
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$CallCompletionRoutine$6$label_13#1;
+
+ inline$CallCompletionRoutine$6$label_13#1:
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$CallCompletionRoutine$6$label_14#1;
+
+ inline$CallCompletionRoutine$6$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$36$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$36$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$start#1:
+ inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$36$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$36$label_3_true#1, inline$IoGetCurrentIrpStackLocation$36$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$36$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$36$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$36$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$36$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$36$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$36$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$36$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$36$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$36$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$36$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$36$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$36$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$36$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$36$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$36$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$36$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$36$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$36$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$36$Return#1:
+ goto inline$CallCompletionRoutine$6$label_14$1#1;
+
+ inline$CallCompletionRoutine$6$label_14$1#1:
+ goto inline$CallCompletionRoutine$6$anon11_Then#1, inline$CallCompletionRoutine$6$anon11_Else#1;
+
+ inline$CallCompletionRoutine$6$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$6$anon3#1;
+
+ inline$CallCompletionRoutine$6$anon3#1:
+ goto inline$CallCompletionRoutine$6$label_17#1;
+
+ inline$CallCompletionRoutine$6$label_17#1:
+ goto inline$CallCompletionRoutine$6$label_18#1;
+
+ inline$CallCompletionRoutine$6$label_18#1:
+ goto inline$CallCompletionRoutine$6$label_18_true#1, inline$CallCompletionRoutine$6$label_18_false#1;
+
+ inline$CallCompletionRoutine$6$label_18_false#1:
+ assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$6$label_1#1;
+
+ inline$CallCompletionRoutine$6$label_18_true#1:
+ assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$6$label_19#1;
+
+ inline$CallCompletionRoutine$6$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$6$label_20#1;
+
+ inline$CallCompletionRoutine$6$label_20#1:
+ goto inline$CallCompletionRoutine$6$label_20_icall_1#1, inline$CallCompletionRoutine$6$label_20_icall_2#1, inline$CallCompletionRoutine$6$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$6$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$6$Entry#1:
+ inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$6$start#1;
+
+ inline$BDLSystemPowerIoCompletion$6$start#1:
+ call inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$6$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_4#1:
+ inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_6#1:
+ inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$6$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$38$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$38$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$start#1:
+ inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$38$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$38$label_3_true#1, inline$IoGetCurrentIrpStackLocation$38$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$38$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$38$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$38$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$38$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$38$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$38$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$38$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$38$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$38$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$38$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$38$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$38$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$38$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$38$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$38$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$38$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$38$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$38$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$38$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon36_Then#1, inline$BDLSystemPowerIoCompletion$6$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_15#1:
+ goto inline$BDLGetDebugLevel$163$Entry#1;
+
+ inline$BDLGetDebugLevel$163$Entry#1:
+ goto inline$BDLGetDebugLevel$163$start#1;
+
+ inline$BDLGetDebugLevel$163$start#1:
+ goto inline$BDLGetDebugLevel$163$label_3#1;
+
+ inline$BDLGetDebugLevel$163$label_3#1:
+ havoc inline$BDLGetDebugLevel$163$myNondetVar_0;
+ inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$163$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$163$label_1#1;
+
+ inline$BDLGetDebugLevel$163$label_1#1:
+ goto inline$BDLGetDebugLevel$163$Return#1;
+
+ inline$BDLGetDebugLevel$163$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$163$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon37_Then#1, inline$BDLSystemPowerIoCompletion$6$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_18_true#1, inline$BDLSystemPowerIoCompletion$6$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_19#1:
+ goto inline$BDLGetDebugLevel$164$Entry#1;
+
+ inline$BDLGetDebugLevel$164$Entry#1:
+ goto inline$BDLGetDebugLevel$164$start#1;
+
+ inline$BDLGetDebugLevel$164$start#1:
+ goto inline$BDLGetDebugLevel$164$label_3#1;
+
+ inline$BDLGetDebugLevel$164$label_3#1:
+ havoc inline$BDLGetDebugLevel$164$myNondetVar_0;
+ inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$164$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$164$label_1#1;
+
+ inline$BDLGetDebugLevel$164$label_1#1:
+ goto inline$BDLGetDebugLevel$164$Return#1;
+
+ inline$BDLGetDebugLevel$164$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$164$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon38_Then#1, inline$BDLSystemPowerIoCompletion$6$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_28_true#1, inline$BDLSystemPowerIoCompletion$6$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_29#1:
+ goto inline$BDLGetDebugLevel$165$Entry#1;
+
+ inline$BDLGetDebugLevel$165$Entry#1:
+ goto inline$BDLGetDebugLevel$165$start#1;
+
+ inline$BDLGetDebugLevel$165$start#1:
+ goto inline$BDLGetDebugLevel$165$label_3#1;
+
+ inline$BDLGetDebugLevel$165$label_3#1:
+ havoc inline$BDLGetDebugLevel$165$myNondetVar_0;
+ inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$165$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$165$label_1#1;
+
+ inline$BDLGetDebugLevel$165$label_1#1:
+ goto inline$BDLGetDebugLevel$165$Return#1;
+
+ inline$BDLGetDebugLevel$165$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$165$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon39_Then#1, inline$BDLSystemPowerIoCompletion$6$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_38_true#1, inline$BDLSystemPowerIoCompletion$6$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_39_true#1, inline$BDLSystemPowerIoCompletion$6$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$6$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$6$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$6$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$6$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$6$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_106_true#1, inline$BDLSystemPowerIoCompletion$6$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$6$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$6$myNondetVar_0, inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$6$anon50_Then#1, inline$BDLSystemPowerIoCompletion$6$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_112#1:
+ inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_113_true#1, inline$BDLSystemPowerIoCompletion$6$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$6$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_117#1:
+ goto inline$BDLGetDebugLevel$172$Entry#1;
+
+ inline$BDLGetDebugLevel$172$Entry#1:
+ goto inline$BDLGetDebugLevel$172$start#1;
+
+ inline$BDLGetDebugLevel$172$start#1:
+ goto inline$BDLGetDebugLevel$172$label_3#1;
+
+ inline$BDLGetDebugLevel$172$label_3#1:
+ havoc inline$BDLGetDebugLevel$172$myNondetVar_0;
+ inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$172$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$172$label_1#1;
+
+ inline$BDLGetDebugLevel$172$label_1#1:
+ goto inline$BDLGetDebugLevel$172$Return#1;
+
+ inline$BDLGetDebugLevel$172$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$172$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon51_Then#1, inline$BDLSystemPowerIoCompletion$6$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_120_true#1, inline$BDLSystemPowerIoCompletion$6$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_121#1:
+ goto inline$BDLGetDebugLevel$173$Entry#1;
+
+ inline$BDLGetDebugLevel$173$Entry#1:
+ goto inline$BDLGetDebugLevel$173$start#1;
+
+ inline$BDLGetDebugLevel$173$start#1:
+ goto inline$BDLGetDebugLevel$173$label_3#1;
+
+ inline$BDLGetDebugLevel$173$label_3#1:
+ havoc inline$BDLGetDebugLevel$173$myNondetVar_0;
+ inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$173$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$173$label_1#1;
+
+ inline$BDLGetDebugLevel$173$label_1#1:
+ goto inline$BDLGetDebugLevel$173$Return#1;
+
+ inline$BDLGetDebugLevel$173$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$173$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon52_Then#1, inline$BDLSystemPowerIoCompletion$6$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_130_true#1, inline$BDLSystemPowerIoCompletion$6$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_131#1:
+ goto inline$BDLGetDebugLevel$174$Entry#1;
+
+ inline$BDLGetDebugLevel$174$Entry#1:
+ goto inline$BDLGetDebugLevel$174$start#1;
+
+ inline$BDLGetDebugLevel$174$start#1:
+ goto inline$BDLGetDebugLevel$174$label_3#1;
+
+ inline$BDLGetDebugLevel$174$label_3#1:
+ havoc inline$BDLGetDebugLevel$174$myNondetVar_0;
+ inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$174$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$174$label_1#1;
+
+ inline$BDLGetDebugLevel$174$label_1#1:
+ goto inline$BDLGetDebugLevel$174$Return#1;
+
+ inline$BDLGetDebugLevel$174$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$174$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon53_Then#1, inline$BDLSystemPowerIoCompletion$6$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_140_true#1, inline$BDLSystemPowerIoCompletion$6$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$6$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_115#1:
+ inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$6$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$6$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$6$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_43#1:
+ goto inline$BDLGetDebugLevel$166$Entry#1;
+
+ inline$BDLGetDebugLevel$166$Entry#1:
+ goto inline$BDLGetDebugLevel$166$start#1;
+
+ inline$BDLGetDebugLevel$166$start#1:
+ goto inline$BDLGetDebugLevel$166$label_3#1;
+
+ inline$BDLGetDebugLevel$166$label_3#1:
+ havoc inline$BDLGetDebugLevel$166$myNondetVar_0;
+ inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$166$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$166$label_1#1;
+
+ inline$BDLGetDebugLevel$166$label_1#1:
+ goto inline$BDLGetDebugLevel$166$Return#1;
+
+ inline$BDLGetDebugLevel$166$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$166$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon40_Then#1, inline$BDLSystemPowerIoCompletion$6$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_46_true#1, inline$BDLSystemPowerIoCompletion$6$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_47#1:
+ goto inline$BDLGetDebugLevel$167$Entry#1;
+
+ inline$BDLGetDebugLevel$167$Entry#1:
+ goto inline$BDLGetDebugLevel$167$start#1;
+
+ inline$BDLGetDebugLevel$167$start#1:
+ goto inline$BDLGetDebugLevel$167$label_3#1;
+
+ inline$BDLGetDebugLevel$167$label_3#1:
+ havoc inline$BDLGetDebugLevel$167$myNondetVar_0;
+ inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$167$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$167$label_1#1;
+
+ inline$BDLGetDebugLevel$167$label_1#1:
+ goto inline$BDLGetDebugLevel$167$Return#1;
+
+ inline$BDLGetDebugLevel$167$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$167$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon41_Then#1, inline$BDLSystemPowerIoCompletion$6$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_56_true#1, inline$BDLSystemPowerIoCompletion$6$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_57#1:
+ goto inline$BDLGetDebugLevel$168$Entry#1;
+
+ inline$BDLGetDebugLevel$168$Entry#1:
+ goto inline$BDLGetDebugLevel$168$start#1;
+
+ inline$BDLGetDebugLevel$168$start#1:
+ goto inline$BDLGetDebugLevel$168$label_3#1;
+
+ inline$BDLGetDebugLevel$168$label_3#1:
+ havoc inline$BDLGetDebugLevel$168$myNondetVar_0;
+ inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$168$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$168$label_1#1;
+
+ inline$BDLGetDebugLevel$168$label_1#1:
+ goto inline$BDLGetDebugLevel$168$Return#1;
+
+ inline$BDLGetDebugLevel$168$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$168$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon42_Then#1, inline$BDLSystemPowerIoCompletion$6$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_66_true#1, inline$BDLSystemPowerIoCompletion$6$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$6$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$6$anon43_Then#1, inline$BDLSystemPowerIoCompletion$6$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$6$anon44_Then#1, inline$BDLSystemPowerIoCompletion$6$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_74#1:
+ goto inline$storm_IoCompleteRequest$14$Entry#1;
+
+ inline$storm_IoCompleteRequest$14$Entry#1:
+ inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$6$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$14$start#1;
+
+ inline$storm_IoCompleteRequest$14$start#1:
+ inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$14$label_3#1;
+
+ inline$storm_IoCompleteRequest$14$label_3#1:
+ call inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$14$label_6#1;
+
+ inline$storm_IoCompleteRequest$14$label_6#1:
+ goto inline$storm_IoCompleteRequest$14$label_6_true#1, inline$storm_IoCompleteRequest$14$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$14$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$14$label_7#1;
+
+ inline$storm_IoCompleteRequest$14$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$14$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$14$label_8#1;
+
+ inline$storm_IoCompleteRequest$14$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$14$anon3_Then#1, inline$storm_IoCompleteRequest$14$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$14$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$14$anon1#1;
+
+ inline$storm_IoCompleteRequest$14$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$14$label_9#1;
+
+ inline$storm_IoCompleteRequest$14$label_9#1:
+ goto inline$storm_IoCompleteRequest$14$label_9_true#1, inline$storm_IoCompleteRequest$14$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$14$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$14$label_10#1;
+
+ inline$storm_IoCompleteRequest$14$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$14$label_1#1;
+
+ inline$storm_IoCompleteRequest$14$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$14$label_7#1;
+
+ inline$storm_IoCompleteRequest$14$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$14$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$14$label_1#1;
+
+ inline$storm_IoCompleteRequest$14$label_1#1:
+ goto inline$storm_IoCompleteRequest$14$Return#1;
+
+ inline$storm_IoCompleteRequest$14$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$14$Return#1;
+
+ inline$storm_IoCompleteRequest$14$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon45_Then#1, inline$BDLSystemPowerIoCompletion$6$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$6$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$6$anon46_Then#1, inline$BDLSystemPowerIoCompletion$6$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_80#1:
+ goto inline$BDLGetDebugLevel$169$Entry#1;
+
+ inline$BDLGetDebugLevel$169$Entry#1:
+ goto inline$BDLGetDebugLevel$169$start#1;
+
+ inline$BDLGetDebugLevel$169$start#1:
+ goto inline$BDLGetDebugLevel$169$label_3#1;
+
+ inline$BDLGetDebugLevel$169$label_3#1:
+ havoc inline$BDLGetDebugLevel$169$myNondetVar_0;
+ inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$169$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$169$label_1#1;
+
+ inline$BDLGetDebugLevel$169$label_1#1:
+ goto inline$BDLGetDebugLevel$169$Return#1;
+
+ inline$BDLGetDebugLevel$169$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$169$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon47_Then#1, inline$BDLSystemPowerIoCompletion$6$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_83_true#1, inline$BDLSystemPowerIoCompletion$6$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_84#1:
+ goto inline$BDLGetDebugLevel$170$Entry#1;
+
+ inline$BDLGetDebugLevel$170$Entry#1:
+ goto inline$BDLGetDebugLevel$170$start#1;
+
+ inline$BDLGetDebugLevel$170$start#1:
+ goto inline$BDLGetDebugLevel$170$label_3#1;
+
+ inline$BDLGetDebugLevel$170$label_3#1:
+ havoc inline$BDLGetDebugLevel$170$myNondetVar_0;
+ inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$170$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$170$label_1#1;
+
+ inline$BDLGetDebugLevel$170$label_1#1:
+ goto inline$BDLGetDebugLevel$170$Return#1;
+
+ inline$BDLGetDebugLevel$170$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$170$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon48_Then#1, inline$BDLSystemPowerIoCompletion$6$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_93_true#1, inline$BDLSystemPowerIoCompletion$6$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$6$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$6$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_94#1:
+ goto inline$BDLGetDebugLevel$171$Entry#1;
+
+ inline$BDLGetDebugLevel$171$Entry#1:
+ goto inline$BDLGetDebugLevel$171$start#1;
+
+ inline$BDLGetDebugLevel$171$start#1:
+ goto inline$BDLGetDebugLevel$171$label_3#1;
+
+ inline$BDLGetDebugLevel$171$label_3#1:
+ havoc inline$BDLGetDebugLevel$171$myNondetVar_0;
+ inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$171$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$171$label_1#1;
+
+ inline$BDLGetDebugLevel$171$label_1#1:
+ goto inline$BDLGetDebugLevel$171$Return#1;
+
+ inline$BDLGetDebugLevel$171$Return#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$171$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$6$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$6$anon49_Then#1, inline$BDLSystemPowerIoCompletion$6$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_103_true#1, inline$BDLSystemPowerIoCompletion$6$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$6$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$6$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$6$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_104#1:
+ inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$6$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$6$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$6$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$6$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$6$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$6$Return#1:
+ inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$6$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$6$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$6$anon14_Then#1, inline$CallCompletionRoutine$6$anon14_Else#1;
+
+ inline$CallCompletionRoutine$6$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$6$anon9#1;
+
+ inline$CallCompletionRoutine$6$anon9#1:
+ goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$6$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$6$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$6$Entry#1:
+ inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$6$start#1;
+
+ inline$BDLDevicePowerIoCompletion$6$start#1:
+ call inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$6$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_4#1:
+ inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_6#1:
+ inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$6$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$37$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$37$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$start#1:
+ inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$37$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$37$label_3_true#1, inline$IoGetCurrentIrpStackLocation$37$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$37$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$37$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$37$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$37$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$37$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$37$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$37$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$37$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$37$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$37$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$37$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$37$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$37$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$37$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$37$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$37$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$37$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$37$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$37$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$37$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon30_Then#1, inline$BDLDevicePowerIoCompletion$6$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_13#1:
+ inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_17#1:
+ goto inline$BDLGetDebugLevel$154$Entry#1;
+
+ inline$BDLGetDebugLevel$154$Entry#1:
+ goto inline$BDLGetDebugLevel$154$start#1;
+
+ inline$BDLGetDebugLevel$154$start#1:
+ goto inline$BDLGetDebugLevel$154$label_3#1;
+
+ inline$BDLGetDebugLevel$154$label_3#1:
+ havoc inline$BDLGetDebugLevel$154$myNondetVar_0;
+ inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$154$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$154$label_1#1;
+
+ inline$BDLGetDebugLevel$154$label_1#1:
+ goto inline$BDLGetDebugLevel$154$Return#1;
+
+ inline$BDLGetDebugLevel$154$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$154$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon31_Then#1, inline$BDLDevicePowerIoCompletion$6$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_20_true#1, inline$BDLDevicePowerIoCompletion$6$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_21#1:
+ goto inline$BDLGetDebugLevel$155$Entry#1;
+
+ inline$BDLGetDebugLevel$155$Entry#1:
+ goto inline$BDLGetDebugLevel$155$start#1;
+
+ inline$BDLGetDebugLevel$155$start#1:
+ goto inline$BDLGetDebugLevel$155$label_3#1;
+
+ inline$BDLGetDebugLevel$155$label_3#1:
+ havoc inline$BDLGetDebugLevel$155$myNondetVar_0;
+ inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$155$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$155$label_1#1;
+
+ inline$BDLGetDebugLevel$155$label_1#1:
+ goto inline$BDLGetDebugLevel$155$Return#1;
+
+ inline$BDLGetDebugLevel$155$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$155$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon32_Then#1, inline$BDLDevicePowerIoCompletion$6$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_30_true#1, inline$BDLDevicePowerIoCompletion$6$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_31#1:
+ goto inline$BDLGetDebugLevel$156$Entry#1;
+
+ inline$BDLGetDebugLevel$156$Entry#1:
+ goto inline$BDLGetDebugLevel$156$start#1;
+
+ inline$BDLGetDebugLevel$156$start#1:
+ goto inline$BDLGetDebugLevel$156$label_3#1;
+
+ inline$BDLGetDebugLevel$156$label_3#1:
+ havoc inline$BDLGetDebugLevel$156$myNondetVar_0;
+ inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$156$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$156$label_1#1;
+
+ inline$BDLGetDebugLevel$156$label_1#1:
+ goto inline$BDLGetDebugLevel$156$Return#1;
+
+ inline$BDLGetDebugLevel$156$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$156$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon33_Then#1, inline$BDLDevicePowerIoCompletion$6$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_40_true#1, inline$BDLDevicePowerIoCompletion$6$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_41_true#1, inline$BDLDevicePowerIoCompletion$6$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$6$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$6$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_44_true#1, inline$BDLDevicePowerIoCompletion$6$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_45_true#1, inline$BDLDevicePowerIoCompletion$6$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$6$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$6$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$6$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_54#1:
+ inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$6$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_55_true#1, inline$BDLDevicePowerIoCompletion$6$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$6$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$6$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$6$anon34_Then#1, inline$BDLDevicePowerIoCompletion$6$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_59#1:
+ goto inline$BDLGetDebugLevel$157$Entry#1;
+
+ inline$BDLGetDebugLevel$157$Entry#1:
+ goto inline$BDLGetDebugLevel$157$start#1;
+
+ inline$BDLGetDebugLevel$157$start#1:
+ goto inline$BDLGetDebugLevel$157$label_3#1;
+
+ inline$BDLGetDebugLevel$157$label_3#1:
+ havoc inline$BDLGetDebugLevel$157$myNondetVar_0;
+ inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$157$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$157$label_1#1;
+
+ inline$BDLGetDebugLevel$157$label_1#1:
+ goto inline$BDLGetDebugLevel$157$Return#1;
+
+ inline$BDLGetDebugLevel$157$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$157$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon35_Then#1, inline$BDLDevicePowerIoCompletion$6$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_62_true#1, inline$BDLDevicePowerIoCompletion$6$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_63#1:
+ goto inline$BDLGetDebugLevel$158$Entry#1;
+
+ inline$BDLGetDebugLevel$158$Entry#1:
+ goto inline$BDLGetDebugLevel$158$start#1;
+
+ inline$BDLGetDebugLevel$158$start#1:
+ goto inline$BDLGetDebugLevel$158$label_3#1;
+
+ inline$BDLGetDebugLevel$158$label_3#1:
+ havoc inline$BDLGetDebugLevel$158$myNondetVar_0;
+ inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$158$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$158$label_1#1;
+
+ inline$BDLGetDebugLevel$158$label_1#1:
+ goto inline$BDLGetDebugLevel$158$Return#1;
+
+ inline$BDLGetDebugLevel$158$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$158$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon36_Then#1, inline$BDLDevicePowerIoCompletion$6$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_72_true#1, inline$BDLDevicePowerIoCompletion$6$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_73#1:
+ goto inline$BDLGetDebugLevel$159$Entry#1;
+
+ inline$BDLGetDebugLevel$159$Entry#1:
+ goto inline$BDLGetDebugLevel$159$start#1;
+
+ inline$BDLGetDebugLevel$159$start#1:
+ goto inline$BDLGetDebugLevel$159$label_3#1;
+
+ inline$BDLGetDebugLevel$159$label_3#1:
+ havoc inline$BDLGetDebugLevel$159$myNondetVar_0;
+ inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$159$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$159$label_1#1;
+
+ inline$BDLGetDebugLevel$159$label_1#1:
+ goto inline$BDLGetDebugLevel$159$Return#1;
+
+ inline$BDLGetDebugLevel$159$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$159$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon37_Then#1, inline$BDLDevicePowerIoCompletion$6$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_82_true#1, inline$BDLDevicePowerIoCompletion$6$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$6$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$6$anon38_Then#1, inline$BDLDevicePowerIoCompletion$6$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$6$anon39_Then#1, inline$BDLDevicePowerIoCompletion$6$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_92#1:
+ goto inline$storm_IoCompleteRequest$13$Entry#1;
+
+ inline$storm_IoCompleteRequest$13$Entry#1:
+ inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$6$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$13$start#1;
+
+ inline$storm_IoCompleteRequest$13$start#1:
+ inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$13$label_3#1;
+
+ inline$storm_IoCompleteRequest$13$label_3#1:
+ call inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$13$label_6#1;
+
+ inline$storm_IoCompleteRequest$13$label_6#1:
+ goto inline$storm_IoCompleteRequest$13$label_6_true#1, inline$storm_IoCompleteRequest$13$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$13$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$13$label_7#1;
+
+ inline$storm_IoCompleteRequest$13$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$13$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$13$label_8#1;
+
+ inline$storm_IoCompleteRequest$13$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$13$anon3_Then#1, inline$storm_IoCompleteRequest$13$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$13$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$13$anon1#1;
+
+ inline$storm_IoCompleteRequest$13$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$13$label_9#1;
+
+ inline$storm_IoCompleteRequest$13$label_9#1:
+ goto inline$storm_IoCompleteRequest$13$label_9_true#1, inline$storm_IoCompleteRequest$13$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$13$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$13$label_10#1;
+
+ inline$storm_IoCompleteRequest$13$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$13$label_1#1;
+
+ inline$storm_IoCompleteRequest$13$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$13$label_7#1;
+
+ inline$storm_IoCompleteRequest$13$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$13$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$13$label_1#1;
+
+ inline$storm_IoCompleteRequest$13$label_1#1:
+ goto inline$storm_IoCompleteRequest$13$Return#1;
+
+ inline$storm_IoCompleteRequest$13$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$13$Return#1;
+
+ inline$storm_IoCompleteRequest$13$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon40_Then#1, inline$BDLDevicePowerIoCompletion$6$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$6$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$6$anon41_Then#1, inline$BDLDevicePowerIoCompletion$6$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_98#1:
+ goto inline$BDLGetDebugLevel$160$Entry#1;
+
+ inline$BDLGetDebugLevel$160$Entry#1:
+ goto inline$BDLGetDebugLevel$160$start#1;
+
+ inline$BDLGetDebugLevel$160$start#1:
+ goto inline$BDLGetDebugLevel$160$label_3#1;
+
+ inline$BDLGetDebugLevel$160$label_3#1:
+ havoc inline$BDLGetDebugLevel$160$myNondetVar_0;
+ inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$160$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$160$label_1#1;
+
+ inline$BDLGetDebugLevel$160$label_1#1:
+ goto inline$BDLGetDebugLevel$160$Return#1;
+
+ inline$BDLGetDebugLevel$160$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$160$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon42_Then#1, inline$BDLDevicePowerIoCompletion$6$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_101_true#1, inline$BDLDevicePowerIoCompletion$6$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_102#1:
+ goto inline$BDLGetDebugLevel$161$Entry#1;
+
+ inline$BDLGetDebugLevel$161$Entry#1:
+ goto inline$BDLGetDebugLevel$161$start#1;
+
+ inline$BDLGetDebugLevel$161$start#1:
+ goto inline$BDLGetDebugLevel$161$label_3#1;
+
+ inline$BDLGetDebugLevel$161$label_3#1:
+ havoc inline$BDLGetDebugLevel$161$myNondetVar_0;
+ inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$161$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$161$label_1#1;
+
+ inline$BDLGetDebugLevel$161$label_1#1:
+ goto inline$BDLGetDebugLevel$161$Return#1;
+
+ inline$BDLGetDebugLevel$161$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$161$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon43_Then#1, inline$BDLDevicePowerIoCompletion$6$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_111_true#1, inline$BDLDevicePowerIoCompletion$6$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$6$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$6$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_112#1:
+ goto inline$BDLGetDebugLevel$162$Entry#1;
+
+ inline$BDLGetDebugLevel$162$Entry#1:
+ goto inline$BDLGetDebugLevel$162$start#1;
+
+ inline$BDLGetDebugLevel$162$start#1:
+ goto inline$BDLGetDebugLevel$162$label_3#1;
+
+ inline$BDLGetDebugLevel$162$label_3#1:
+ havoc inline$BDLGetDebugLevel$162$myNondetVar_0;
+ inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$162$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$162$label_1#1;
+
+ inline$BDLGetDebugLevel$162$label_1#1:
+ goto inline$BDLGetDebugLevel$162$Return#1;
+
+ inline$BDLGetDebugLevel$162$Return#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$162$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$6$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$6$anon44_Then#1, inline$BDLDevicePowerIoCompletion$6$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_121_true#1, inline$BDLDevicePowerIoCompletion$6$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$6$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$6$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$6$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_122#1:
+ inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$6$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$6$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$6$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$6$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$6$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$6$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$6$Return#1:
+ inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$6$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$6$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$6$anon13_Then#1, inline$CallCompletionRoutine$6$anon13_Else#1;
+
+ inline$CallCompletionRoutine$6$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$6$anon7#1;
+
+ inline$CallCompletionRoutine$6$anon7#1:
+ goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$6$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$6$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$6$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$6$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$6$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$6$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$start#1:
+ inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$6$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$label_3_true#1, inline$BDLCallDriverCompletionRoutine$6$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$6$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$6$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$6$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_6#1:
+ goto inline$storm_KeSetEvent$7$Entry#1;
+
+ inline$storm_KeSetEvent$7$Entry#1:
+ inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$6$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$7$start#1;
+
+ inline$storm_KeSetEvent$7$start#1:
+ inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$7$label_3#1;
+
+ inline$storm_KeSetEvent$7$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$7$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$7$label_1#1;
+
+ inline$storm_KeSetEvent$7$label_1#1:
+ goto inline$storm_KeSetEvent$7$Return#1;
+
+ inline$storm_KeSetEvent$7$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$6$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$6$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$6$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$6$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$6$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$6$Return#1:
+ inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$6$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$6$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$6$anon12_Then#1, inline$CallCompletionRoutine$6$anon12_Else#1;
+
+ inline$CallCompletionRoutine$6$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$6$anon5#1;
+
+ inline$CallCompletionRoutine$6$anon5#1:
+ goto inline$CallCompletionRoutine$6$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$6$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$6$label_23#1;
+
+ inline$CallCompletionRoutine$6$label_23#1:
+ inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$6$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$6$label_24#1;
+
+ inline$CallCompletionRoutine$6$label_24#1:
+ goto inline$CallCompletionRoutine$6$label_24_true#1, inline$CallCompletionRoutine$6$label_24_false#1;
+
+ inline$CallCompletionRoutine$6$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$6$label_1#1;
+
+ inline$CallCompletionRoutine$6$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$6$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$6$label_25#1;
+
+ inline$CallCompletionRoutine$6$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$6$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$6$label_1#1;
+
+ inline$CallCompletionRoutine$6$label_1#1:
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$6$Return#1;
+
+ inline$CallCompletionRoutine$6$Return#1:
+ goto inline$storm_IoCallDriver$3$label_33$1#1;
+
+ inline$storm_IoCallDriver$3$label_33$1#1:
+ goto inline$storm_IoCallDriver$3$anon14_Then#1, inline$storm_IoCallDriver$3$anon14_Else#1;
+
+ inline$storm_IoCallDriver$3$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$3$anon8#1;
+
+ inline$storm_IoCallDriver$3$anon8#1:
+ goto inline$storm_IoCallDriver$3$label_36#1;
+
+ inline$storm_IoCallDriver$3$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$3$label_29#1;
+
+ inline$storm_IoCallDriver$3$label_29#1:
+ inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$3$label_37#1;
+
+ inline$storm_IoCallDriver$3$label_37#1:
+ goto inline$storm_IoCallDriver$3$label_38#1;
+
+ inline$storm_IoCallDriver$3$label_38#1:
+ goto inline$storm_IoCallDriver$3$label_39#1;
+
+ inline$storm_IoCallDriver$3$label_39#1:
+ goto inline$CallCompletionRoutine$7$Entry#1;
+
+ inline$CallCompletionRoutine$7$Entry#1:
+ inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$7$start#1;
+
+ inline$CallCompletionRoutine$7$start#1:
+ inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$7$label_3#1;
+
+ inline$CallCompletionRoutine$7$label_3#1:
+ goto inline$CallCompletionRoutine$7$label_4#1;
+
+ inline$CallCompletionRoutine$7$label_4#1:
+ goto inline$CallCompletionRoutine$7$label_5#1;
+
+ inline$CallCompletionRoutine$7$label_5#1:
+ goto inline$CallCompletionRoutine$7$label_6#1;
+
+ inline$CallCompletionRoutine$7$label_6#1:
+ goto inline$CallCompletionRoutine$7$label_7#1;
+
+ inline$CallCompletionRoutine$7$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$39$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$39$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$start#1:
+ inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$39$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$39$label_3_true#1, inline$IoGetCurrentIrpStackLocation$39$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$39$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$39$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$39$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$39$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$39$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$39$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$39$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$39$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$39$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$39$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$39$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$39$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$39$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$39$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$39$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$39$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$39$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$39$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$39$Return#1:
+ goto inline$CallCompletionRoutine$7$label_7$1#1;
+
+ inline$CallCompletionRoutine$7$label_7$1#1:
+ goto inline$CallCompletionRoutine$7$anon10_Then#1, inline$CallCompletionRoutine$7$anon10_Else#1;
+
+ inline$CallCompletionRoutine$7$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$7$anon1#1;
+
+ inline$CallCompletionRoutine$7$anon1#1:
+ goto inline$CallCompletionRoutine$7$label_10#1;
+
+ inline$CallCompletionRoutine$7$label_10#1:
+ goto inline$CallCompletionRoutine$7$label_11#1;
+
+ inline$CallCompletionRoutine$7$label_11#1:
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$CallCompletionRoutine$7$label_12#1;
+
+ inline$CallCompletionRoutine$7$label_12#1:
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$CallCompletionRoutine$7$label_13#1;
+
+ inline$CallCompletionRoutine$7$label_13#1:
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$CallCompletionRoutine$7$label_14#1;
+
+ inline$CallCompletionRoutine$7$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$40$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$40$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$start#1:
+ inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$40$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$40$label_3_true#1, inline$IoGetCurrentIrpStackLocation$40$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$40$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$40$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$40$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$40$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$40$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$40$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$40$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$40$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$40$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$40$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$40$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$40$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$40$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$40$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$40$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$40$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$40$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$40$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$40$Return#1:
+ goto inline$CallCompletionRoutine$7$label_14$1#1;
+
+ inline$CallCompletionRoutine$7$label_14$1#1:
+ goto inline$CallCompletionRoutine$7$anon11_Then#1, inline$CallCompletionRoutine$7$anon11_Else#1;
+
+ inline$CallCompletionRoutine$7$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$7$anon3#1;
+
+ inline$CallCompletionRoutine$7$anon3#1:
+ goto inline$CallCompletionRoutine$7$label_17#1;
+
+ inline$CallCompletionRoutine$7$label_17#1:
+ goto inline$CallCompletionRoutine$7$label_18#1;
+
+ inline$CallCompletionRoutine$7$label_18#1:
+ goto inline$CallCompletionRoutine$7$label_18_true#1, inline$CallCompletionRoutine$7$label_18_false#1;
+
+ inline$CallCompletionRoutine$7$label_18_false#1:
+ assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$7$label_1#1;
+
+ inline$CallCompletionRoutine$7$label_18_true#1:
+ assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$7$label_19#1;
+
+ inline$CallCompletionRoutine$7$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$7$label_20#1;
+
+ inline$CallCompletionRoutine$7$label_20#1:
+ goto inline$CallCompletionRoutine$7$label_20_icall_1#1, inline$CallCompletionRoutine$7$label_20_icall_2#1, inline$CallCompletionRoutine$7$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$7$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$7$Entry#1:
+ inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$7$start#1;
+
+ inline$BDLSystemPowerIoCompletion$7$start#1:
+ call inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$7$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_4#1:
+ inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_6#1:
+ inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$7$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$42$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$42$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$start#1:
+ inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$42$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$42$label_3_true#1, inline$IoGetCurrentIrpStackLocation$42$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$42$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$42$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$42$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$42$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$42$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$42$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$42$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$42$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$42$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$42$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$42$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$42$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$42$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$42$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$42$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$42$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$42$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$42$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$42$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon36_Then#1, inline$BDLSystemPowerIoCompletion$7$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_15#1:
+ goto inline$BDLGetDebugLevel$184$Entry#1;
+
+ inline$BDLGetDebugLevel$184$Entry#1:
+ goto inline$BDLGetDebugLevel$184$start#1;
+
+ inline$BDLGetDebugLevel$184$start#1:
+ goto inline$BDLGetDebugLevel$184$label_3#1;
+
+ inline$BDLGetDebugLevel$184$label_3#1:
+ havoc inline$BDLGetDebugLevel$184$myNondetVar_0;
+ inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$184$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$184$label_1#1;
+
+ inline$BDLGetDebugLevel$184$label_1#1:
+ goto inline$BDLGetDebugLevel$184$Return#1;
+
+ inline$BDLGetDebugLevel$184$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$184$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon37_Then#1, inline$BDLSystemPowerIoCompletion$7$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_18_true#1, inline$BDLSystemPowerIoCompletion$7$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_19#1:
+ goto inline$BDLGetDebugLevel$185$Entry#1;
+
+ inline$BDLGetDebugLevel$185$Entry#1:
+ goto inline$BDLGetDebugLevel$185$start#1;
+
+ inline$BDLGetDebugLevel$185$start#1:
+ goto inline$BDLGetDebugLevel$185$label_3#1;
+
+ inline$BDLGetDebugLevel$185$label_3#1:
+ havoc inline$BDLGetDebugLevel$185$myNondetVar_0;
+ inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$185$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$185$label_1#1;
+
+ inline$BDLGetDebugLevel$185$label_1#1:
+ goto inline$BDLGetDebugLevel$185$Return#1;
+
+ inline$BDLGetDebugLevel$185$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$185$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon38_Then#1, inline$BDLSystemPowerIoCompletion$7$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_28_true#1, inline$BDLSystemPowerIoCompletion$7$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_29#1:
+ goto inline$BDLGetDebugLevel$186$Entry#1;
+
+ inline$BDLGetDebugLevel$186$Entry#1:
+ goto inline$BDLGetDebugLevel$186$start#1;
+
+ inline$BDLGetDebugLevel$186$start#1:
+ goto inline$BDLGetDebugLevel$186$label_3#1;
+
+ inline$BDLGetDebugLevel$186$label_3#1:
+ havoc inline$BDLGetDebugLevel$186$myNondetVar_0;
+ inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$186$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$186$label_1#1;
+
+ inline$BDLGetDebugLevel$186$label_1#1:
+ goto inline$BDLGetDebugLevel$186$Return#1;
+
+ inline$BDLGetDebugLevel$186$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$186$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon39_Then#1, inline$BDLSystemPowerIoCompletion$7$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_38_true#1, inline$BDLSystemPowerIoCompletion$7$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_39_true#1, inline$BDLSystemPowerIoCompletion$7$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$7$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$7$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$7$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$7$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$7$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_106_true#1, inline$BDLSystemPowerIoCompletion$7$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$7$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$7$myNondetVar_0, inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$7$anon50_Then#1, inline$BDLSystemPowerIoCompletion$7$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_112#1:
+ inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_113_true#1, inline$BDLSystemPowerIoCompletion$7$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$7$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_117#1:
+ goto inline$BDLGetDebugLevel$193$Entry#1;
+
+ inline$BDLGetDebugLevel$193$Entry#1:
+ goto inline$BDLGetDebugLevel$193$start#1;
+
+ inline$BDLGetDebugLevel$193$start#1:
+ goto inline$BDLGetDebugLevel$193$label_3#1;
+
+ inline$BDLGetDebugLevel$193$label_3#1:
+ havoc inline$BDLGetDebugLevel$193$myNondetVar_0;
+ inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$193$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$193$label_1#1;
+
+ inline$BDLGetDebugLevel$193$label_1#1:
+ goto inline$BDLGetDebugLevel$193$Return#1;
+
+ inline$BDLGetDebugLevel$193$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$193$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon51_Then#1, inline$BDLSystemPowerIoCompletion$7$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_120_true#1, inline$BDLSystemPowerIoCompletion$7$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_121#1:
+ goto inline$BDLGetDebugLevel$194$Entry#1;
+
+ inline$BDLGetDebugLevel$194$Entry#1:
+ goto inline$BDLGetDebugLevel$194$start#1;
+
+ inline$BDLGetDebugLevel$194$start#1:
+ goto inline$BDLGetDebugLevel$194$label_3#1;
+
+ inline$BDLGetDebugLevel$194$label_3#1:
+ havoc inline$BDLGetDebugLevel$194$myNondetVar_0;
+ inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$194$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$194$label_1#1;
+
+ inline$BDLGetDebugLevel$194$label_1#1:
+ goto inline$BDLGetDebugLevel$194$Return#1;
+
+ inline$BDLGetDebugLevel$194$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$194$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon52_Then#1, inline$BDLSystemPowerIoCompletion$7$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_130_true#1, inline$BDLSystemPowerIoCompletion$7$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_131#1:
+ goto inline$BDLGetDebugLevel$195$Entry#1;
+
+ inline$BDLGetDebugLevel$195$Entry#1:
+ goto inline$BDLGetDebugLevel$195$start#1;
+
+ inline$BDLGetDebugLevel$195$start#1:
+ goto inline$BDLGetDebugLevel$195$label_3#1;
+
+ inline$BDLGetDebugLevel$195$label_3#1:
+ havoc inline$BDLGetDebugLevel$195$myNondetVar_0;
+ inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$195$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$195$label_1#1;
+
+ inline$BDLGetDebugLevel$195$label_1#1:
+ goto inline$BDLGetDebugLevel$195$Return#1;
+
+ inline$BDLGetDebugLevel$195$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$195$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon53_Then#1, inline$BDLSystemPowerIoCompletion$7$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_140_true#1, inline$BDLSystemPowerIoCompletion$7$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$7$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_115#1:
+ inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$7$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$7$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$7$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_43#1:
+ goto inline$BDLGetDebugLevel$187$Entry#1;
+
+ inline$BDLGetDebugLevel$187$Entry#1:
+ goto inline$BDLGetDebugLevel$187$start#1;
+
+ inline$BDLGetDebugLevel$187$start#1:
+ goto inline$BDLGetDebugLevel$187$label_3#1;
+
+ inline$BDLGetDebugLevel$187$label_3#1:
+ havoc inline$BDLGetDebugLevel$187$myNondetVar_0;
+ inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$187$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$187$label_1#1;
+
+ inline$BDLGetDebugLevel$187$label_1#1:
+ goto inline$BDLGetDebugLevel$187$Return#1;
+
+ inline$BDLGetDebugLevel$187$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$187$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon40_Then#1, inline$BDLSystemPowerIoCompletion$7$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_46_true#1, inline$BDLSystemPowerIoCompletion$7$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_47#1:
+ goto inline$BDLGetDebugLevel$188$Entry#1;
+
+ inline$BDLGetDebugLevel$188$Entry#1:
+ goto inline$BDLGetDebugLevel$188$start#1;
+
+ inline$BDLGetDebugLevel$188$start#1:
+ goto inline$BDLGetDebugLevel$188$label_3#1;
+
+ inline$BDLGetDebugLevel$188$label_3#1:
+ havoc inline$BDLGetDebugLevel$188$myNondetVar_0;
+ inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$188$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$188$label_1#1;
+
+ inline$BDLGetDebugLevel$188$label_1#1:
+ goto inline$BDLGetDebugLevel$188$Return#1;
+
+ inline$BDLGetDebugLevel$188$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$188$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon41_Then#1, inline$BDLSystemPowerIoCompletion$7$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_56_true#1, inline$BDLSystemPowerIoCompletion$7$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_57#1:
+ goto inline$BDLGetDebugLevel$189$Entry#1;
+
+ inline$BDLGetDebugLevel$189$Entry#1:
+ goto inline$BDLGetDebugLevel$189$start#1;
+
+ inline$BDLGetDebugLevel$189$start#1:
+ goto inline$BDLGetDebugLevel$189$label_3#1;
+
+ inline$BDLGetDebugLevel$189$label_3#1:
+ havoc inline$BDLGetDebugLevel$189$myNondetVar_0;
+ inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$189$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$189$label_1#1;
+
+ inline$BDLGetDebugLevel$189$label_1#1:
+ goto inline$BDLGetDebugLevel$189$Return#1;
+
+ inline$BDLGetDebugLevel$189$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$189$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon42_Then#1, inline$BDLSystemPowerIoCompletion$7$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_66_true#1, inline$BDLSystemPowerIoCompletion$7$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$7$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$7$anon43_Then#1, inline$BDLSystemPowerIoCompletion$7$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$7$anon44_Then#1, inline$BDLSystemPowerIoCompletion$7$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_74#1:
+ goto inline$storm_IoCompleteRequest$16$Entry#1;
+
+ inline$storm_IoCompleteRequest$16$Entry#1:
+ inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$7$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$16$start#1;
+
+ inline$storm_IoCompleteRequest$16$start#1:
+ inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$16$label_3#1;
+
+ inline$storm_IoCompleteRequest$16$label_3#1:
+ call inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$16$label_6#1;
+
+ inline$storm_IoCompleteRequest$16$label_6#1:
+ goto inline$storm_IoCompleteRequest$16$label_6_true#1, inline$storm_IoCompleteRequest$16$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$16$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$16$label_7#1;
+
+ inline$storm_IoCompleteRequest$16$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$16$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$16$label_8#1;
+
+ inline$storm_IoCompleteRequest$16$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$16$anon3_Then#1, inline$storm_IoCompleteRequest$16$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$16$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$16$anon1#1;
+
+ inline$storm_IoCompleteRequest$16$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$16$label_9#1;
+
+ inline$storm_IoCompleteRequest$16$label_9#1:
+ goto inline$storm_IoCompleteRequest$16$label_9_true#1, inline$storm_IoCompleteRequest$16$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$16$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$16$label_10#1;
+
+ inline$storm_IoCompleteRequest$16$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$16$label_1#1;
+
+ inline$storm_IoCompleteRequest$16$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$16$label_7#1;
+
+ inline$storm_IoCompleteRequest$16$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$16$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$16$label_1#1;
+
+ inline$storm_IoCompleteRequest$16$label_1#1:
+ goto inline$storm_IoCompleteRequest$16$Return#1;
+
+ inline$storm_IoCompleteRequest$16$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$16$Return#1;
+
+ inline$storm_IoCompleteRequest$16$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon45_Then#1, inline$BDLSystemPowerIoCompletion$7$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$7$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$7$anon46_Then#1, inline$BDLSystemPowerIoCompletion$7$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_80#1:
+ goto inline$BDLGetDebugLevel$190$Entry#1;
+
+ inline$BDLGetDebugLevel$190$Entry#1:
+ goto inline$BDLGetDebugLevel$190$start#1;
+
+ inline$BDLGetDebugLevel$190$start#1:
+ goto inline$BDLGetDebugLevel$190$label_3#1;
+
+ inline$BDLGetDebugLevel$190$label_3#1:
+ havoc inline$BDLGetDebugLevel$190$myNondetVar_0;
+ inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$190$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$190$label_1#1;
+
+ inline$BDLGetDebugLevel$190$label_1#1:
+ goto inline$BDLGetDebugLevel$190$Return#1;
+
+ inline$BDLGetDebugLevel$190$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$190$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon47_Then#1, inline$BDLSystemPowerIoCompletion$7$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_83_true#1, inline$BDLSystemPowerIoCompletion$7$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_84#1:
+ goto inline$BDLGetDebugLevel$191$Entry#1;
+
+ inline$BDLGetDebugLevel$191$Entry#1:
+ goto inline$BDLGetDebugLevel$191$start#1;
+
+ inline$BDLGetDebugLevel$191$start#1:
+ goto inline$BDLGetDebugLevel$191$label_3#1;
+
+ inline$BDLGetDebugLevel$191$label_3#1:
+ havoc inline$BDLGetDebugLevel$191$myNondetVar_0;
+ inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$191$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$191$label_1#1;
+
+ inline$BDLGetDebugLevel$191$label_1#1:
+ goto inline$BDLGetDebugLevel$191$Return#1;
+
+ inline$BDLGetDebugLevel$191$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$191$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon48_Then#1, inline$BDLSystemPowerIoCompletion$7$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_93_true#1, inline$BDLSystemPowerIoCompletion$7$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$7$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$7$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_94#1:
+ goto inline$BDLGetDebugLevel$192$Entry#1;
+
+ inline$BDLGetDebugLevel$192$Entry#1:
+ goto inline$BDLGetDebugLevel$192$start#1;
+
+ inline$BDLGetDebugLevel$192$start#1:
+ goto inline$BDLGetDebugLevel$192$label_3#1;
+
+ inline$BDLGetDebugLevel$192$label_3#1:
+ havoc inline$BDLGetDebugLevel$192$myNondetVar_0;
+ inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$192$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$192$label_1#1;
+
+ inline$BDLGetDebugLevel$192$label_1#1:
+ goto inline$BDLGetDebugLevel$192$Return#1;
+
+ inline$BDLGetDebugLevel$192$Return#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$192$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$7$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$7$anon49_Then#1, inline$BDLSystemPowerIoCompletion$7$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_103_true#1, inline$BDLSystemPowerIoCompletion$7$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$7$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$7$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$7$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_104#1:
+ inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$7$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$7$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$7$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$7$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$7$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$7$Return#1:
+ inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$7$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$7$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$7$anon14_Then#1, inline$CallCompletionRoutine$7$anon14_Else#1;
+
+ inline$CallCompletionRoutine$7$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$7$anon9#1;
+
+ inline$CallCompletionRoutine$7$anon9#1:
+ goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$7$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$7$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$7$Entry#1:
+ inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$7$start#1;
+
+ inline$BDLDevicePowerIoCompletion$7$start#1:
+ call inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$7$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_4#1:
+ inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_6#1:
+ inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$7$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$41$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$41$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$start#1:
+ inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$41$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$41$label_3_true#1, inline$IoGetCurrentIrpStackLocation$41$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$41$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$41$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$41$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$41$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$41$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$41$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$41$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$41$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$41$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$41$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$41$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$41$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$41$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$41$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$41$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$41$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$41$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$41$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$41$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$41$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon30_Then#1, inline$BDLDevicePowerIoCompletion$7$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_13#1:
+ inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_17#1:
+ goto inline$BDLGetDebugLevel$175$Entry#1;
+
+ inline$BDLGetDebugLevel$175$Entry#1:
+ goto inline$BDLGetDebugLevel$175$start#1;
+
+ inline$BDLGetDebugLevel$175$start#1:
+ goto inline$BDLGetDebugLevel$175$label_3#1;
+
+ inline$BDLGetDebugLevel$175$label_3#1:
+ havoc inline$BDLGetDebugLevel$175$myNondetVar_0;
+ inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$175$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$175$label_1#1;
+
+ inline$BDLGetDebugLevel$175$label_1#1:
+ goto inline$BDLGetDebugLevel$175$Return#1;
+
+ inline$BDLGetDebugLevel$175$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$175$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon31_Then#1, inline$BDLDevicePowerIoCompletion$7$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_20_true#1, inline$BDLDevicePowerIoCompletion$7$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_21#1:
+ goto inline$BDLGetDebugLevel$176$Entry#1;
+
+ inline$BDLGetDebugLevel$176$Entry#1:
+ goto inline$BDLGetDebugLevel$176$start#1;
+
+ inline$BDLGetDebugLevel$176$start#1:
+ goto inline$BDLGetDebugLevel$176$label_3#1;
+
+ inline$BDLGetDebugLevel$176$label_3#1:
+ havoc inline$BDLGetDebugLevel$176$myNondetVar_0;
+ inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$176$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$176$label_1#1;
+
+ inline$BDLGetDebugLevel$176$label_1#1:
+ goto inline$BDLGetDebugLevel$176$Return#1;
+
+ inline$BDLGetDebugLevel$176$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$176$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon32_Then#1, inline$BDLDevicePowerIoCompletion$7$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_30_true#1, inline$BDLDevicePowerIoCompletion$7$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_31#1:
+ goto inline$BDLGetDebugLevel$177$Entry#1;
+
+ inline$BDLGetDebugLevel$177$Entry#1:
+ goto inline$BDLGetDebugLevel$177$start#1;
+
+ inline$BDLGetDebugLevel$177$start#1:
+ goto inline$BDLGetDebugLevel$177$label_3#1;
+
+ inline$BDLGetDebugLevel$177$label_3#1:
+ havoc inline$BDLGetDebugLevel$177$myNondetVar_0;
+ inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$177$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$177$label_1#1;
+
+ inline$BDLGetDebugLevel$177$label_1#1:
+ goto inline$BDLGetDebugLevel$177$Return#1;
+
+ inline$BDLGetDebugLevel$177$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$177$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon33_Then#1, inline$BDLDevicePowerIoCompletion$7$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_40_true#1, inline$BDLDevicePowerIoCompletion$7$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_41_true#1, inline$BDLDevicePowerIoCompletion$7$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$7$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$7$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_44_true#1, inline$BDLDevicePowerIoCompletion$7$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_45_true#1, inline$BDLDevicePowerIoCompletion$7$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$7$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$7$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$7$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_54#1:
+ inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$7$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_55_true#1, inline$BDLDevicePowerIoCompletion$7$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$7$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$7$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$7$anon34_Then#1, inline$BDLDevicePowerIoCompletion$7$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_59#1:
+ goto inline$BDLGetDebugLevel$178$Entry#1;
+
+ inline$BDLGetDebugLevel$178$Entry#1:
+ goto inline$BDLGetDebugLevel$178$start#1;
+
+ inline$BDLGetDebugLevel$178$start#1:
+ goto inline$BDLGetDebugLevel$178$label_3#1;
+
+ inline$BDLGetDebugLevel$178$label_3#1:
+ havoc inline$BDLGetDebugLevel$178$myNondetVar_0;
+ inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$178$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$178$label_1#1;
+
+ inline$BDLGetDebugLevel$178$label_1#1:
+ goto inline$BDLGetDebugLevel$178$Return#1;
+
+ inline$BDLGetDebugLevel$178$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$178$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon35_Then#1, inline$BDLDevicePowerIoCompletion$7$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_62_true#1, inline$BDLDevicePowerIoCompletion$7$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_63#1:
+ goto inline$BDLGetDebugLevel$179$Entry#1;
+
+ inline$BDLGetDebugLevel$179$Entry#1:
+ goto inline$BDLGetDebugLevel$179$start#1;
+
+ inline$BDLGetDebugLevel$179$start#1:
+ goto inline$BDLGetDebugLevel$179$label_3#1;
+
+ inline$BDLGetDebugLevel$179$label_3#1:
+ havoc inline$BDLGetDebugLevel$179$myNondetVar_0;
+ inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$179$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$179$label_1#1;
+
+ inline$BDLGetDebugLevel$179$label_1#1:
+ goto inline$BDLGetDebugLevel$179$Return#1;
+
+ inline$BDLGetDebugLevel$179$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$179$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon36_Then#1, inline$BDLDevicePowerIoCompletion$7$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_72_true#1, inline$BDLDevicePowerIoCompletion$7$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_73#1:
+ goto inline$BDLGetDebugLevel$180$Entry#1;
+
+ inline$BDLGetDebugLevel$180$Entry#1:
+ goto inline$BDLGetDebugLevel$180$start#1;
+
+ inline$BDLGetDebugLevel$180$start#1:
+ goto inline$BDLGetDebugLevel$180$label_3#1;
+
+ inline$BDLGetDebugLevel$180$label_3#1:
+ havoc inline$BDLGetDebugLevel$180$myNondetVar_0;
+ inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$180$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$180$label_1#1;
+
+ inline$BDLGetDebugLevel$180$label_1#1:
+ goto inline$BDLGetDebugLevel$180$Return#1;
+
+ inline$BDLGetDebugLevel$180$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$180$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon37_Then#1, inline$BDLDevicePowerIoCompletion$7$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_82_true#1, inline$BDLDevicePowerIoCompletion$7$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$7$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$7$anon38_Then#1, inline$BDLDevicePowerIoCompletion$7$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$7$anon39_Then#1, inline$BDLDevicePowerIoCompletion$7$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_92#1:
+ goto inline$storm_IoCompleteRequest$15$Entry#1;
+
+ inline$storm_IoCompleteRequest$15$Entry#1:
+ inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$7$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$15$start#1;
+
+ inline$storm_IoCompleteRequest$15$start#1:
+ inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$15$label_3#1;
+
+ inline$storm_IoCompleteRequest$15$label_3#1:
+ call inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$15$label_6#1;
+
+ inline$storm_IoCompleteRequest$15$label_6#1:
+ goto inline$storm_IoCompleteRequest$15$label_6_true#1, inline$storm_IoCompleteRequest$15$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$15$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$15$label_7#1;
+
+ inline$storm_IoCompleteRequest$15$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$15$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$15$label_8#1;
+
+ inline$storm_IoCompleteRequest$15$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$15$anon3_Then#1, inline$storm_IoCompleteRequest$15$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$15$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$15$anon1#1;
+
+ inline$storm_IoCompleteRequest$15$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$15$label_9#1;
+
+ inline$storm_IoCompleteRequest$15$label_9#1:
+ goto inline$storm_IoCompleteRequest$15$label_9_true#1, inline$storm_IoCompleteRequest$15$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$15$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$15$label_10#1;
+
+ inline$storm_IoCompleteRequest$15$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$15$label_1#1;
+
+ inline$storm_IoCompleteRequest$15$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$15$label_7#1;
+
+ inline$storm_IoCompleteRequest$15$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$15$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$15$label_1#1;
+
+ inline$storm_IoCompleteRequest$15$label_1#1:
+ goto inline$storm_IoCompleteRequest$15$Return#1;
+
+ inline$storm_IoCompleteRequest$15$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$15$Return#1;
+
+ inline$storm_IoCompleteRequest$15$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon40_Then#1, inline$BDLDevicePowerIoCompletion$7$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$7$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$7$anon41_Then#1, inline$BDLDevicePowerIoCompletion$7$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_98#1:
+ goto inline$BDLGetDebugLevel$181$Entry#1;
+
+ inline$BDLGetDebugLevel$181$Entry#1:
+ goto inline$BDLGetDebugLevel$181$start#1;
+
+ inline$BDLGetDebugLevel$181$start#1:
+ goto inline$BDLGetDebugLevel$181$label_3#1;
+
+ inline$BDLGetDebugLevel$181$label_3#1:
+ havoc inline$BDLGetDebugLevel$181$myNondetVar_0;
+ inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$181$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$181$label_1#1;
+
+ inline$BDLGetDebugLevel$181$label_1#1:
+ goto inline$BDLGetDebugLevel$181$Return#1;
+
+ inline$BDLGetDebugLevel$181$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$181$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon42_Then#1, inline$BDLDevicePowerIoCompletion$7$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_101_true#1, inline$BDLDevicePowerIoCompletion$7$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_102#1:
+ goto inline$BDLGetDebugLevel$182$Entry#1;
+
+ inline$BDLGetDebugLevel$182$Entry#1:
+ goto inline$BDLGetDebugLevel$182$start#1;
+
+ inline$BDLGetDebugLevel$182$start#1:
+ goto inline$BDLGetDebugLevel$182$label_3#1;
+
+ inline$BDLGetDebugLevel$182$label_3#1:
+ havoc inline$BDLGetDebugLevel$182$myNondetVar_0;
+ inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$182$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$182$label_1#1;
+
+ inline$BDLGetDebugLevel$182$label_1#1:
+ goto inline$BDLGetDebugLevel$182$Return#1;
+
+ inline$BDLGetDebugLevel$182$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$182$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon43_Then#1, inline$BDLDevicePowerIoCompletion$7$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_111_true#1, inline$BDLDevicePowerIoCompletion$7$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$7$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$7$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_112#1:
+ goto inline$BDLGetDebugLevel$183$Entry#1;
+
+ inline$BDLGetDebugLevel$183$Entry#1:
+ goto inline$BDLGetDebugLevel$183$start#1;
+
+ inline$BDLGetDebugLevel$183$start#1:
+ goto inline$BDLGetDebugLevel$183$label_3#1;
+
+ inline$BDLGetDebugLevel$183$label_3#1:
+ havoc inline$BDLGetDebugLevel$183$myNondetVar_0;
+ inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$183$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$183$label_1#1;
+
+ inline$BDLGetDebugLevel$183$label_1#1:
+ goto inline$BDLGetDebugLevel$183$Return#1;
+
+ inline$BDLGetDebugLevel$183$Return#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$183$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$7$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$7$anon44_Then#1, inline$BDLDevicePowerIoCompletion$7$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_121_true#1, inline$BDLDevicePowerIoCompletion$7$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$7$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$7$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$7$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_122#1:
+ inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$7$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$7$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$7$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$7$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$7$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$7$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$7$Return#1:
+ inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$7$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$7$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$7$anon13_Then#1, inline$CallCompletionRoutine$7$anon13_Else#1;
+
+ inline$CallCompletionRoutine$7$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$7$anon7#1;
+
+ inline$CallCompletionRoutine$7$anon7#1:
+ goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$7$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$7$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$7$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$7$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$7$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$7$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$start#1:
+ inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$7$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$label_3_true#1, inline$BDLCallDriverCompletionRoutine$7$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$7$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$7$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$7$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_6#1:
+ goto inline$storm_KeSetEvent$8$Entry#1;
+
+ inline$storm_KeSetEvent$8$Entry#1:
+ inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$7$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$8$start#1;
+
+ inline$storm_KeSetEvent$8$start#1:
+ inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$8$label_3#1;
+
+ inline$storm_KeSetEvent$8$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$8$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$8$label_1#1;
+
+ inline$storm_KeSetEvent$8$label_1#1:
+ goto inline$storm_KeSetEvent$8$Return#1;
+
+ inline$storm_KeSetEvent$8$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$7$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$7$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$7$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$7$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$7$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$7$Return#1:
+ inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$7$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$7$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$7$anon12_Then#1, inline$CallCompletionRoutine$7$anon12_Else#1;
+
+ inline$CallCompletionRoutine$7$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$7$anon5#1;
+
+ inline$CallCompletionRoutine$7$anon5#1:
+ goto inline$CallCompletionRoutine$7$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$7$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$7$label_23#1;
+
+ inline$CallCompletionRoutine$7$label_23#1:
+ inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$7$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$7$label_24#1;
+
+ inline$CallCompletionRoutine$7$label_24#1:
+ goto inline$CallCompletionRoutine$7$label_24_true#1, inline$CallCompletionRoutine$7$label_24_false#1;
+
+ inline$CallCompletionRoutine$7$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$7$label_1#1;
+
+ inline$CallCompletionRoutine$7$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$7$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$7$label_25#1;
+
+ inline$CallCompletionRoutine$7$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$7$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$7$label_1#1;
+
+ inline$CallCompletionRoutine$7$label_1#1:
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$7$Return#1;
+
+ inline$CallCompletionRoutine$7$Return#1:
+ goto inline$storm_IoCallDriver$3$label_39$1#1;
+
+ inline$storm_IoCallDriver$3$label_39$1#1:
+ goto inline$storm_IoCallDriver$3$anon15_Then#1, inline$storm_IoCallDriver$3$anon15_Else#1;
+
+ inline$storm_IoCallDriver$3$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$3$anon10#1;
+
+ inline$storm_IoCallDriver$3$anon10#1:
+ goto inline$storm_IoCallDriver$3$label_36#1;
+
+ inline$storm_IoCallDriver$3$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$3$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$3$label_28#1;
+
+ inline$storm_IoCallDriver$3$label_28#1:
+ inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$3$label_42#1;
+
+ inline$storm_IoCallDriver$3$label_42#1:
+ goto inline$storm_IoCallDriver$3$label_43#1;
+
+ inline$storm_IoCallDriver$3$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$3$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$3$label_36#1;
+
+ inline$storm_IoCallDriver$3$label_36#1:
+ inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$3$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$3$label_1#1;
+
+ inline$storm_IoCallDriver$3$label_1#1:
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$3$Return#1;
+
+ inline$storm_IoCallDriver$3$Return#1:
+ inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$3$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$1$label_3$1#1;
+
+ inline$storm_PoCallDriver$1$label_3$1#1:
+ goto inline$storm_PoCallDriver$1$anon2_Then#1, inline$storm_PoCallDriver$1$anon2_Else#1;
+
+ inline$storm_PoCallDriver$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$1$anon1#1;
+
+ inline$storm_PoCallDriver$1$anon1#1:
+ goto inline$storm_PoCallDriver$1$label_6#1;
+
+ inline$storm_PoCallDriver$1$label_6#1:
+ inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$1$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$1$label_1#1;
+
+ inline$storm_PoCallDriver$1$label_1#1:
+ goto inline$storm_PoCallDriver$1$Return#1;
+
+ inline$storm_PoCallDriver$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$1$Return#1;
+
+ inline$storm_PoCallDriver$1$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$1$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$1$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$1$Entry#1:
+ inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$1$start#1;
+
+ inline$storm_KeWaitForSingleObject$1$start#1:
+ inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$1$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$1$label_3_true#1, inline$storm_KeWaitForSingleObject$1$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon6_Then#1, inline$storm_KeWaitForSingleObject$1$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$1$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_13#1:
+ inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$Return#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$1$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_5#1:
+ call inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$1$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$1$label_8_true#1, inline$storm_KeWaitForSingleObject$1$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon7_Then#1, inline$storm_KeWaitForSingleObject$1$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$1$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_12#1:
+ inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$1$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$Return#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$1$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon8_Then#1, inline$storm_KeWaitForSingleObject$1$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$1$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$1$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_11#1:
+ inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$1$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$1$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$1$Return#1;
+
+ inline$storm_KeWaitForSingleObject$1$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$1$Return#1;
+
+ inline$storm_KeWaitForSingleObject$1$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$1$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$1$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$1$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$1$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$1$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$1$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$1$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$1$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$ := inline$BDLCallLowerLevelDriverAndWait$1$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_34$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_34$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon26_Then#1, inline$BDLPnPQueryRemove$0$anon26_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon26_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon9#1;
+
+ inline$BDLPnPQueryRemove$0$anon9#1:
+ goto inline$BDLPnPQueryRemove$0$label_64#1;
+
+ inline$BDLPnPQueryRemove$0$label_64#1:
+ inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := inline$BDLPnPQueryRemove$0$$result.BDLCallLowerLevelDriverAndWait$2287.43$14$;
+ goto inline$BDLPnPQueryRemove$0$label_65#1;
+
+ inline$BDLPnPQueryRemove$0$label_65#1:
+ goto inline$BDLPnPQueryRemove$0$label_65_true#1, inline$BDLPnPQueryRemove$0$label_65_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_65_false#1:
+ assume inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 == 0;
+ goto inline$BDLPnPQueryRemove$0$label_38#1;
+
+ inline$BDLPnPQueryRemove$0$label_65_true#1:
+ assume inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 != 0;
+ goto inline$BDLPnPQueryRemove$0$label_66#1;
+
+ inline$BDLPnPQueryRemove$0$label_66#1:
+ goto inline$BDLGetDebugLevel$199$Entry#1;
+
+ inline$BDLGetDebugLevel$199$Entry#1:
+ goto inline$BDLGetDebugLevel$199$start#1;
+
+ inline$BDLGetDebugLevel$199$start#1:
+ goto inline$BDLGetDebugLevel$199$label_3#1;
+
+ inline$BDLGetDebugLevel$199$label_3#1:
+ havoc inline$BDLGetDebugLevel$199$myNondetVar_0;
+ inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$199$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$199$label_1#1;
+
+ inline$BDLGetDebugLevel$199$label_1#1:
+ goto inline$BDLGetDebugLevel$199$Return#1;
+
+ inline$BDLGetDebugLevel$199$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$ := inline$BDLGetDebugLevel$199$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_66$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_66$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon30_Then#1, inline$BDLPnPQueryRemove$0$anon30_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon17#1;
+
+ inline$BDLPnPQueryRemove$0$anon17#1:
+ goto inline$BDLPnPQueryRemove$0$label_69#1;
+
+ inline$BDLPnPQueryRemove$0$label_69#1:
+ goto inline$BDLPnPQueryRemove$0$label_69_true#1, inline$BDLPnPQueryRemove$0$label_69_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_69_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_70#1;
+
+ inline$BDLPnPQueryRemove$0$label_69_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$15$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_73#1;
+
+ inline$BDLPnPQueryRemove$0$label_73#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_74#1;
+
+ inline$BDLPnPQueryRemove$0$label_74#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_75#1;
+
+ inline$BDLPnPQueryRemove$0$label_75#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_76#1;
+
+ inline$BDLPnPQueryRemove$0$label_76#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$16$;
+ goto inline$BDLPnPQueryRemove$0$label_70#1;
+
+ inline$BDLPnPQueryRemove$0$label_70#1:
+ goto inline$BDLGetDebugLevel$200$Entry#1;
+
+ inline$BDLGetDebugLevel$200$Entry#1:
+ goto inline$BDLGetDebugLevel$200$start#1;
+
+ inline$BDLGetDebugLevel$200$start#1:
+ goto inline$BDLGetDebugLevel$200$label_3#1;
+
+ inline$BDLGetDebugLevel$200$label_3#1:
+ havoc inline$BDLGetDebugLevel$200$myNondetVar_0;
+ inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$200$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$200$label_1#1;
+
+ inline$BDLGetDebugLevel$200$label_1#1:
+ goto inline$BDLGetDebugLevel$200$Return#1;
+
+ inline$BDLGetDebugLevel$200$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$ := inline$BDLGetDebugLevel$200$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_70$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_70$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon31_Then#1, inline$BDLPnPQueryRemove$0$anon31_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon19#1;
+
+ inline$BDLPnPQueryRemove$0$anon19#1:
+ goto inline$BDLPnPQueryRemove$0$label_79#1;
+
+ inline$BDLPnPQueryRemove$0$label_79#1:
+ goto inline$BDLPnPQueryRemove$0$label_79_true#1, inline$BDLPnPQueryRemove$0$label_79_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_79_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_80#1;
+
+ inline$BDLPnPQueryRemove$0$label_79_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$20$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_83#1;
+
+ inline$BDLPnPQueryRemove$0$label_83#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_84#1;
+
+ inline$BDLPnPQueryRemove$0$label_84#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_85#1;
+
+ inline$BDLPnPQueryRemove$0$label_85#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_86#1;
+
+ inline$BDLPnPQueryRemove$0$label_86#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2291.0$21$;
+ goto inline$BDLPnPQueryRemove$0$label_80#1;
+
+ inline$BDLPnPQueryRemove$0$label_80#1:
+ goto inline$BDLGetDebugLevel$201$Entry#1;
+
+ inline$BDLGetDebugLevel$201$Entry#1:
+ goto inline$BDLGetDebugLevel$201$start#1;
+
+ inline$BDLGetDebugLevel$201$start#1:
+ goto inline$BDLGetDebugLevel$201$label_3#1;
+
+ inline$BDLGetDebugLevel$201$label_3#1:
+ havoc inline$BDLGetDebugLevel$201$myNondetVar_0;
+ inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$201$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$201$label_1#1;
+
+ inline$BDLGetDebugLevel$201$label_1#1:
+ goto inline$BDLGetDebugLevel$201$Return#1;
+
+ inline$BDLGetDebugLevel$201$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$ := inline$BDLGetDebugLevel$201$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_80$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_80$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon32_Then#1, inline$BDLPnPQueryRemove$0$anon32_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon21#1;
+
+ inline$BDLPnPQueryRemove$0$anon21#1:
+ goto inline$BDLPnPQueryRemove$0$label_89#1;
+
+ inline$BDLPnPQueryRemove$0$label_89#1:
+ goto inline$BDLPnPQueryRemove$0$label_89_true#1, inline$BDLPnPQueryRemove$0$label_89_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_89_false#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$, 4) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_38#1;
+
+ inline$BDLPnPQueryRemove$0$label_89_true#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2291.0$25$, 4) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_90#1;
+
+ inline$BDLPnPQueryRemove$0$label_90#1:
+ goto inline$BDLPnPQueryRemove$0$label_38#1;
+
+ inline$BDLPnPQueryRemove$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon26_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$label_33_true#1:
+ havoc inline$BDLPnPQueryRemove$0$myNondetVar_0;
+ assume inline$BDLPnPQueryRemove$0$myNondetVar_0 != 0;
+ goto inline$BDLPnPQueryRemove$0$label_37#1;
+
+ inline$BDLPnPQueryRemove$0$label_37#1:
+ inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12 := 0 - 1073741823;
+ goto inline$BDLPnPQueryRemove$0$label_38#1;
+
+ inline$BDLPnPQueryRemove$0$label_38#1:
+ goto inline$BDLGetDebugLevel$196$Entry#1;
+
+ inline$BDLGetDebugLevel$196$Entry#1:
+ goto inline$BDLGetDebugLevel$196$start#1;
+
+ inline$BDLGetDebugLevel$196$start#1:
+ goto inline$BDLGetDebugLevel$196$label_3#1;
+
+ inline$BDLGetDebugLevel$196$label_3#1:
+ havoc inline$BDLGetDebugLevel$196$myNondetVar_0;
+ inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$196$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$196$label_1#1;
+
+ inline$BDLGetDebugLevel$196$label_1#1:
+ goto inline$BDLGetDebugLevel$196$Return#1;
+
+ inline$BDLGetDebugLevel$196$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$ := inline$BDLGetDebugLevel$196$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_38$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_38$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon27_Then#1, inline$BDLPnPQueryRemove$0$anon27_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon27_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon11#1;
+
+ inline$BDLPnPQueryRemove$0$anon11#1:
+ goto inline$BDLPnPQueryRemove$0$label_41#1;
+
+ inline$BDLPnPQueryRemove$0$label_41#1:
+ goto inline$BDLPnPQueryRemove$0$label_41_true#1, inline$BDLPnPQueryRemove$0$label_41_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_41_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_42#1;
+
+ inline$BDLPnPQueryRemove$0$label_41_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$26$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_45#1;
+
+ inline$BDLPnPQueryRemove$0$label_45#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_46#1;
+
+ inline$BDLPnPQueryRemove$0$label_46#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_47#1;
+
+ inline$BDLPnPQueryRemove$0$label_47#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_48#1;
+
+ inline$BDLPnPQueryRemove$0$label_48#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$27$;
+ goto inline$BDLPnPQueryRemove$0$label_42#1;
+
+ inline$BDLPnPQueryRemove$0$label_42#1:
+ goto inline$BDLGetDebugLevel$197$Entry#1;
+
+ inline$BDLGetDebugLevel$197$Entry#1:
+ goto inline$BDLGetDebugLevel$197$start#1;
+
+ inline$BDLGetDebugLevel$197$start#1:
+ goto inline$BDLGetDebugLevel$197$label_3#1;
+
+ inline$BDLGetDebugLevel$197$label_3#1:
+ havoc inline$BDLGetDebugLevel$197$myNondetVar_0;
+ inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$197$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$197$label_1#1;
+
+ inline$BDLGetDebugLevel$197$label_1#1:
+ goto inline$BDLGetDebugLevel$197$Return#1;
+
+ inline$BDLGetDebugLevel$197$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$ := inline$BDLGetDebugLevel$197$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_42$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_42$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon28_Then#1, inline$BDLPnPQueryRemove$0$anon28_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon28_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon13#1;
+
+ inline$BDLPnPQueryRemove$0$anon13#1:
+ goto inline$BDLPnPQueryRemove$0$label_51#1;
+
+ inline$BDLPnPQueryRemove$0$label_51#1:
+ goto inline$BDLPnPQueryRemove$0$label_51_true#1, inline$BDLPnPQueryRemove$0$label_51_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_51_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_52#1;
+
+ inline$BDLPnPQueryRemove$0$label_51_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$31$) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_55#1;
+
+ inline$BDLPnPQueryRemove$0$label_55#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_56#1;
+
+ inline$BDLPnPQueryRemove$0$label_56#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_57#1;
+
+ inline$BDLPnPQueryRemove$0$label_57#1:
+ call inline$BDLPnPQueryRemove$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPQueryRemove$0$label_58#1;
+
+ inline$BDLPnPQueryRemove$0$label_58#1:
+ havoc inline$BDLPnPQueryRemove$0$$result.DbgPrint$2303.0$32$;
+ goto inline$BDLPnPQueryRemove$0$label_52#1;
+
+ inline$BDLPnPQueryRemove$0$label_52#1:
+ goto inline$BDLGetDebugLevel$198$Entry#1;
+
+ inline$BDLGetDebugLevel$198$Entry#1:
+ goto inline$BDLGetDebugLevel$198$start#1;
+
+ inline$BDLGetDebugLevel$198$start#1:
+ goto inline$BDLGetDebugLevel$198$label_3#1;
+
+ inline$BDLGetDebugLevel$198$label_3#1:
+ havoc inline$BDLGetDebugLevel$198$myNondetVar_0;
+ inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$198$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$198$label_1#1;
+
+ inline$BDLGetDebugLevel$198$label_1#1:
+ goto inline$BDLGetDebugLevel$198$Return#1;
+
+ inline$BDLGetDebugLevel$198$Return#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$ := inline$BDLGetDebugLevel$198$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPQueryRemove$0$label_52$1#1;
+
+ inline$BDLPnPQueryRemove$0$label_52$1#1:
+ goto inline$BDLPnPQueryRemove$0$anon29_Then#1, inline$BDLPnPQueryRemove$0$anon29_Else#1;
+
+ inline$BDLPnPQueryRemove$0$anon29_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPQueryRemove$0$anon15#1;
+
+ inline$BDLPnPQueryRemove$0$anon15#1:
+ goto inline$BDLPnPQueryRemove$0$label_61#1;
+
+ inline$BDLPnPQueryRemove$0$label_61#1:
+ goto inline$BDLPnPQueryRemove$0$label_61_true#1, inline$BDLPnPQueryRemove$0$label_61_false#1;
+
+ inline$BDLPnPQueryRemove$0$label_61_false#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$, 4) == 0;
+ goto inline$BDLPnPQueryRemove$0$label_62#1;
+
+ inline$BDLPnPQueryRemove$0$label_61_true#1:
+ assume BIT_BAND(inline$BDLPnPQueryRemove$0$$result.BDLGetDebugLevel$2303.0$36$, 4) != 0;
+ goto inline$BDLPnPQueryRemove$0$label_63#1;
+
+ inline$BDLPnPQueryRemove$0$label_63#1:
+ goto inline$BDLPnPQueryRemove$0$label_62#1;
+
+ inline$BDLPnPQueryRemove$0$label_62#1:
+ inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$ := inline$BDLPnPQueryRemove$0$$status$4$2261.28$BDLPnPQueryRemove$12;
+ goto inline$BDLPnPQueryRemove$0$label_1#1;
+
+ inline$BDLPnPQueryRemove$0$label_1#1:
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon29_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon28_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon27_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon25_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon24_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPQueryRemove$0$Return#1;
+
+ inline$BDLPnPQueryRemove$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$ := inline$BDLPnPQueryRemove$0$$result.BDLPnPQueryRemove$2254.0$1$;
+ goto inline$BDLPnP$0$label_116$1#1;
+
+ inline$BDLPnP$0$label_116$1#1:
+ goto inline$BDLPnP$0$anon68_Then#1, inline$BDLPnP$0$anon68_Else#1;
+
+ inline$BDLPnP$0$anon68_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon29#1;
+
+ inline$BDLPnP$0$anon29#1:
+ goto inline$BDLPnP$0$label_154#1;
+
+ inline$BDLPnP$0$label_154#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPQueryRemove$943.34$32$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon68_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_1#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 == 0;
+ goto inline$BDLPnP$0$label_113#1;
+
+ inline$BDLPnP$0$label_113#1:
+ goto inline$BDLPnPStart$0$Entry#1;
+
+ inline$BDLPnPStart$0$Entry#1:
+ inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1 := inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8;
+ inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$BDLPnPStart$0$start#1;
+
+ inline$BDLPnPStart$0$start#1:
+ call inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16 := __HAVOC_malloc(548);
+ inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16 := inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16_.1;
+ inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16 := inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16_.1;
+ goto inline$BDLPnPStart$0$label_3#1;
+
+ inline$BDLPnPStart$0$label_3#1:
+ goto inline$BDLPnPStart$0$label_4#1;
+
+ inline$BDLPnPStart$0$label_4#1:
+ inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := 0;
+ goto inline$BDLPnPStart$0$label_5#1;
+
+ inline$BDLPnPStart$0$label_5#1:
+ goto inline$BDLPnPStart$0$label_6#1;
+
+ inline$BDLPnPStart$0$label_6#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_7#1;
+
+ inline$BDLPnPStart$0$label_7#1:
+ goto inline$BDLPnPStart$0$label_8#1;
+
+ inline$BDLPnPStart$0$label_8#1:
+ goto inline$BDLGetDebugLevel$10$Entry#1;
+
+ inline$BDLGetDebugLevel$10$Entry#1:
+ goto inline$BDLGetDebugLevel$10$start#1;
+
+ inline$BDLGetDebugLevel$10$start#1:
+ goto inline$BDLGetDebugLevel$10$label_3#1;
+
+ inline$BDLGetDebugLevel$10$label_3#1:
+ havoc inline$BDLGetDebugLevel$10$myNondetVar_0;
+ inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$10$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$10$label_1#1;
+
+ inline$BDLGetDebugLevel$10$label_1#1:
+ goto inline$BDLGetDebugLevel$10$Return#1;
+
+ inline$BDLGetDebugLevel$10$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$ := inline$BDLGetDebugLevel$10$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_8$1#1;
+
+ inline$BDLPnPStart$0$label_8$1#1:
+ goto inline$BDLPnPStart$0$anon36_Then#1, inline$BDLPnPStart$0$anon36_Else#1;
+
+ inline$BDLPnPStart$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon1#1;
+
+ inline$BDLPnPStart$0$anon1#1:
+ goto inline$BDLPnPStart$0$label_11#1;
+
+ inline$BDLPnPStart$0$label_11#1:
+ goto inline$BDLPnPStart$0$label_11_true#1, inline$BDLPnPStart$0$label_11_false#1;
+
+ inline$BDLPnPStart$0$label_11_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$) == 0;
+ goto inline$BDLPnPStart$0$label_12#1;
+
+ inline$BDLPnPStart$0$label_11_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$2$) != 0;
+ goto inline$BDLPnPStart$0$label_15#1;
+
+ inline$BDLPnPStart$0$label_15#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_16#1;
+
+ inline$BDLPnPStart$0$label_16#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_17#1;
+
+ inline$BDLPnPStart$0$label_17#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_18#1;
+
+ inline$BDLPnPStart$0$label_18#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$1966.0$3$;
+ goto inline$BDLPnPStart$0$label_12#1;
+
+ inline$BDLPnPStart$0$label_12#1:
+ goto inline$BDLGetDebugLevel$11$Entry#1;
+
+ inline$BDLGetDebugLevel$11$Entry#1:
+ goto inline$BDLGetDebugLevel$11$start#1;
+
+ inline$BDLGetDebugLevel$11$start#1:
+ goto inline$BDLGetDebugLevel$11$label_3#1;
+
+ inline$BDLGetDebugLevel$11$label_3#1:
+ havoc inline$BDLGetDebugLevel$11$myNondetVar_0;
+ inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$11$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$11$label_1#1;
+
+ inline$BDLGetDebugLevel$11$label_1#1:
+ goto inline$BDLGetDebugLevel$11$Return#1;
+
+ inline$BDLGetDebugLevel$11$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$ := inline$BDLGetDebugLevel$11$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_12$1#1;
+
+ inline$BDLPnPStart$0$label_12$1#1:
+ goto inline$BDLPnPStart$0$anon37_Then#1, inline$BDLPnPStart$0$anon37_Else#1;
+
+ inline$BDLPnPStart$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon3#1;
+
+ inline$BDLPnPStart$0$anon3#1:
+ goto inline$BDLPnPStart$0$label_21#1;
+
+ inline$BDLPnPStart$0$label_21#1:
+ goto inline$BDLPnPStart$0$label_21_true#1, inline$BDLPnPStart$0$label_21_false#1;
+
+ inline$BDLPnPStart$0$label_21_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$) == 0;
+ goto inline$BDLPnPStart$0$label_22#1;
+
+ inline$BDLPnPStart$0$label_21_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$7$) != 0;
+ goto inline$BDLPnPStart$0$label_25#1;
+
+ inline$BDLPnPStart$0$label_25#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_26#1;
+
+ inline$BDLPnPStart$0$label_26#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_27#1;
+
+ inline$BDLPnPStart$0$label_27#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_28#1;
+
+ inline$BDLPnPStart$0$label_28#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$1966.0$8$;
+ goto inline$BDLPnPStart$0$label_22#1;
+
+ inline$BDLPnPStart$0$label_22#1:
+ goto inline$BDLGetDebugLevel$12$Entry#1;
+
+ inline$BDLGetDebugLevel$12$Entry#1:
+ goto inline$BDLGetDebugLevel$12$start#1;
+
+ inline$BDLGetDebugLevel$12$start#1:
+ goto inline$BDLGetDebugLevel$12$label_3#1;
+
+ inline$BDLGetDebugLevel$12$label_3#1:
+ havoc inline$BDLGetDebugLevel$12$myNondetVar_0;
+ inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$12$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$12$label_1#1;
+
+ inline$BDLGetDebugLevel$12$label_1#1:
+ goto inline$BDLGetDebugLevel$12$Return#1;
+
+ inline$BDLGetDebugLevel$12$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$ := inline$BDLGetDebugLevel$12$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_22$1#1;
+
+ inline$BDLPnPStart$0$label_22$1#1:
+ goto inline$BDLPnPStart$0$anon38_Then#1, inline$BDLPnPStart$0$anon38_Else#1;
+
+ inline$BDLPnPStart$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon5#1;
+
+ inline$BDLPnPStart$0$anon5#1:
+ goto inline$BDLPnPStart$0$label_31#1;
+
+ inline$BDLPnPStart$0$label_31#1:
+ goto inline$BDLPnPStart$0$label_31_true#1, inline$BDLPnPStart$0$label_31_false#1;
+
+ inline$BDLPnPStart$0$label_31_false#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$, 4) == 0;
+ goto inline$BDLPnPStart$0$label_32#1;
+
+ inline$BDLPnPStart$0$label_31_true#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1966.0$12$, 4) != 0;
+ goto inline$BDLPnPStart$0$label_35#1;
+
+ inline$BDLPnPStart$0$label_35#1:
+ goto inline$BDLPnPStart$0$label_32#1;
+
+ inline$BDLPnPStart$0$label_32#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Entry#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$Entry#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1 := inline$BDLPnPStart$0$$pIrp$3$1958.40$BDLPnPStart$16;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$start#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$start#1:
+ call inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8 := __HAVOC_malloc(16);
+ inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8_.1;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_4#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_4#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_6#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_6#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$Entry#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$Entry#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$start#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$start#1:
+ inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4_.1;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_4#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_4#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_5#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_5#1:
+ goto inline$IoGetCurrentIrpStackLocation$3$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetCurrentIrpStackLocation$3$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$start#1:
+ inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$3$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$3$label_3_true#1, inline$IoGetCurrentIrpStackLocation$3$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$3$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$3$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$3$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$3$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$3$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$3$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$3$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$3$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$3$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$3$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$3$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$3$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$3$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$3$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$3$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$3$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$3$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_5$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_5$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_8#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_8#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_9#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_9#1:
+ goto inline$IoGetNextIrpStackLocation$1$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$1$Entry#1:
+ inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$IoCopyCurrentIrpStackLocationToNext$0$$Irp$1$25081.17$IoCopyCurrentIrpStackLocationToNext$4;
+ goto inline$IoGetNextIrpStackLocation$1$start#1;
+
+ inline$IoGetNextIrpStackLocation$1$start#1:
+ inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$1$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$1$label_3_true#1, inline$IoGetNextIrpStackLocation$1$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$1$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$1$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_4#1:
+ call inline$IoGetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$1$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_6#1:
+ call inline$IoGetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$1$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$1$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$1$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$1$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$1$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$1$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$1$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$1$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$1$Return#1;
+
+ inline$IoGetNextIrpStackLocation$1$Return#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_9$1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_9$1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Then#1, inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Else#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Else#1:
+ assume !raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$anon3#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon3#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_12#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_12#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_13#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_13#1:
+ havoc inline$IoCopyCurrentIrpStackLocationToNext$0$$result.memcpy$25110.4$3$;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_16#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_16#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$label_1#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$label_1#1:
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon5_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$anon4_Then#1:
+ assume raiseException;
+ goto inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1;
+
+ inline$IoCopyCurrentIrpStackLocationToNext$0$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_6$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_6$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon16_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon16_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon16_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_9#1:
+ goto inline$storm_KeInitializeEvent$0$Entry#1;
+
+ inline$storm_KeInitializeEvent$0$Entry#1:
+ inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1 := 0;
+ goto inline$storm_KeInitializeEvent$0$start#1;
+
+ inline$storm_KeInitializeEvent$0$start#1:
+ inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12_.1;
+ inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12_.1;
+ goto inline$storm_KeInitializeEvent$0$label_3#1;
+
+ inline$storm_KeInitializeEvent$0$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeInitializeEvent$0$$Event$1$203.14$storm_KeInitializeEvent$12 := inline$storm_KeInitializeEvent$0$$State$3$205.13$storm_KeInitializeEvent$12];
+ call contextSwitch();
+ goto inline$storm_KeInitializeEvent$0$label_1#1;
+
+ inline$storm_KeInitializeEvent$0$label_1#1:
+ goto inline$storm_KeInitializeEvent$0$Return#1;
+
+ inline$storm_KeInitializeEvent$0$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_9$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_9$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon17_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon17_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon17_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon3#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon3#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_12#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$0$Entry#1;
+
+ inline$storm_IoSetCompletionRoutine$0$Entry#1:
+ inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoSetCompletionRoutine$0$start#1;
+
+ inline$storm_IoSetCompletionRoutine$0$start#1:
+ inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24 := inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24_.1;
+ goto inline$storm_IoSetCompletionRoutine$0$label_3#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_3#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_4#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_4#1:
+ call inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ := storm_nondet();
+ goto inline$storm_IoSetCompletionRoutine$0$label_7#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_7#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_7_true#1, inline$storm_IoSetCompletionRoutine$0$label_7_false#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_7_false#1:
+ assume inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ == 0;
+ goto inline$storm_IoSetCompletionRoutine$0$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_7_true#1:
+ assume inline$storm_IoSetCompletionRoutine$0$$result.storm_nondet$405.2$1$ != 0;
+ goto inline$storm_IoSetCompletionRoutine$0$label_11#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_11#1:
+ havoc raiseException;
+ goto inline$storm_IoSetCompletionRoutine$0$anon6_Then#1, inline$storm_IoSetCompletionRoutine$0$anon6_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$0$anon3#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24], 1);
+ call contextSwitch();
+ goto inline$storm_IoSetCompletionRoutine$0$label_12#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_12#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_12_true#1, inline$storm_IoSetCompletionRoutine$0$label_12_false#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_12_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoSetCompletionRoutine$0$label_13#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_13#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoSetCompletionRoutine$0$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_12_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoSetCompletionRoutine$0$label_8#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_8#1:
+ goto inline$IoGetNextIrpStackLocation$2$Entry#1;
+
+ inline$IoGetNextIrpStackLocation$2$Entry#1:
+ inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1 := inline$storm_IoSetCompletionRoutine$0$$pirp$1$396.10$storm_IoSetCompletionRoutine$24;
+ goto inline$IoGetNextIrpStackLocation$2$start#1;
+
+ inline$IoGetNextIrpStackLocation$2$start#1:
+ inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4 := inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4_.1;
+ goto inline$IoGetNextIrpStackLocation$2$label_3#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_3#1:
+ goto inline$IoGetNextIrpStackLocation$2$label_3_true#1, inline$IoGetNextIrpStackLocation$2$label_3_false#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_3_false#1:
+ inline$IoGetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoGetNextIrpStackLocation$2$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$2$label_4#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_4#1:
+ call inline$IoGetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$2$label_6#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_6#1:
+ call inline$IoGetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetNextIrpStackLocation$2$label_7#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_7#1:
+ goto inline$IoGetNextIrpStackLocation$2$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_3_true#1:
+ inline$IoGetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetNextIrpStackLocation$2$$Irp$1$24655.14$IoGetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoGetNextIrpStackLocation$2$myVar_0);
+ goto inline$IoGetNextIrpStackLocation$2$label_5#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_5#1:
+ havoc inline$IoGetNextIrpStackLocation$2$myNondetVar_0;
+ goto inline$IoGetNextIrpStackLocation$2$label_1#1;
+
+ inline$IoGetNextIrpStackLocation$2$label_1#1:
+ goto inline$IoGetNextIrpStackLocation$2$Return#1;
+
+ inline$IoGetNextIrpStackLocation$2$Return#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_8$1#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_8$1#1:
+ goto inline$storm_IoSetCompletionRoutine$0$anon5_Then#1, inline$storm_IoSetCompletionRoutine$0$anon5_Else#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoSetCompletionRoutine$0$anon1#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon1#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_16#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_16#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_17#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_17#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_18#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_18#1:
+ goto inline$storm_IoSetCompletionRoutine$0$label_1#1;
+
+ inline$storm_IoSetCompletionRoutine$0$label_1#1:
+ goto inline$storm_IoSetCompletionRoutine$0$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$0$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$0$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_IoSetCompletionRoutine$0$Return#1;
+
+ inline$storm_IoSetCompletionRoutine$0$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_12$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_12$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon18_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon18_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon18_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon5#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon5#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_15#1:
+ goto inline$IoGetCurrentIrpStackLocation$4$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$IoGetCurrentIrpStackLocation$4$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$start#1:
+ inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$4$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$4$label_3_true#1, inline$IoGetCurrentIrpStackLocation$4$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$4$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$4$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$4$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$4$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$4$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$4$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$4$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$4$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$4$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$4$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$4$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$4$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$4$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$4$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$4$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$4$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$4$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$4$Return#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_15$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_15$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon19_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon19_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon19_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon7#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon7#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_18#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_18#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_18_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_18_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_18_false#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_19#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_19#1:
+ goto inline$storm_IoCallDriver$0$Entry#1;
+
+ inline$storm_IoCallDriver$0$Entry#1:
+ inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_IoCallDriver$0$start#1;
+
+ inline$storm_IoCallDriver$0$start#1:
+ inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$0$label_3#1;
+
+ inline$storm_IoCallDriver$0$label_3#1:
+ goto inline$storm_IoCallDriver$0$label_4#1;
+
+ inline$storm_IoCallDriver$0$label_4#1:
+ goto inline$storm_IoCallDriver$0$label_5#1;
+
+ inline$storm_IoCallDriver$0$label_5#1:
+ goto inline$storm_IoCallDriver$0$label_6#1;
+
+ inline$storm_IoCallDriver$0$label_6#1:
+ call inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$0$label_9#1;
+
+ inline$storm_IoCallDriver$0$label_9#1:
+ goto inline$storm_IoCallDriver$0$label_9_true#1, inline$storm_IoCallDriver$0$label_9_false#1;
+
+ inline$storm_IoCallDriver$0$label_9_false#1:
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$0$label_10#1;
+
+ inline$storm_IoCallDriver$0$label_9_true#1:
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$0$label_13#1;
+
+ inline$storm_IoCallDriver$0$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$0$anon12_Then#1, inline$storm_IoCallDriver$0$anon12_Else#1;
+
+ inline$storm_IoCallDriver$0$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$0$anon3#1;
+
+ inline$storm_IoCallDriver$0$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$0$label_14#1;
+
+ inline$storm_IoCallDriver$0$label_14#1:
+ goto inline$storm_IoCallDriver$0$label_14_true#1, inline$storm_IoCallDriver$0$label_14_false#1;
+
+ inline$storm_IoCallDriver$0$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$0$label_15#1;
+
+ inline$storm_IoCallDriver$0$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$0$label_1#1;
+
+ inline$storm_IoCallDriver$0$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$0$label_10#1;
+
+ inline$storm_IoCallDriver$0$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$1$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$1$Entry#1:
+ inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$1$start#1;
+
+ inline$IoSetNextIrpStackLocation$1$start#1:
+ inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$1$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$1$label_3_true#1, inline$IoSetNextIrpStackLocation$1$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$1$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$1$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_4#1:
+ call inline$IoSetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$1$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_7#1:
+ call inline$IoSetNextIrpStackLocation$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$1$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$1$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$1$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$1$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_5#1:
+ inline$IoSetNextIrpStackLocation$1$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$1$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$1$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$1$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$1$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$1$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$1$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$1$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$1$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$1$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$1$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$1$Return#1;
+
+ inline$IoSetNextIrpStackLocation$1$Return#1:
+ goto inline$storm_IoCallDriver$0$label_10$1#1;
+
+ inline$storm_IoCallDriver$0$label_10$1#1:
+ goto inline$storm_IoCallDriver$0$anon11_Then#1, inline$storm_IoCallDriver$0$anon11_Else#1;
+
+ inline$storm_IoCallDriver$0$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$0$anon1#1;
+
+ inline$storm_IoCallDriver$0$anon1#1:
+ goto inline$storm_IoCallDriver$0$label_18#1;
+
+ inline$storm_IoCallDriver$0$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$5$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$5$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$start#1:
+ inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$5$label_3_true#1, inline$IoGetCurrentIrpStackLocation$5$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$5$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$5$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$5$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$5$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$5$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$5$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$5$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$5$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$5$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$5$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$5$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$5$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$5$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$5$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$5$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$5$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$5$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$5$Return#1:
+ goto inline$storm_IoCallDriver$0$label_18$1#1;
+
+ inline$storm_IoCallDriver$0$label_18$1#1:
+ goto inline$storm_IoCallDriver$0$anon13_Then#1, inline$storm_IoCallDriver$0$anon13_Else#1;
+
+ inline$storm_IoCallDriver$0$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$0$anon6#1;
+
+ inline$storm_IoCallDriver$0$anon6#1:
+ goto inline$storm_IoCallDriver$0$label_21#1;
+
+ inline$storm_IoCallDriver$0$label_21#1:
+ goto inline$storm_IoCallDriver$0$label_22#1;
+
+ inline$storm_IoCallDriver$0$label_22#1:
+ goto inline$storm_IoCallDriver$0$label_23#1;
+
+ inline$storm_IoCallDriver$0$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$0$label_24#1;
+
+ inline$storm_IoCallDriver$0$label_24#1:
+ call inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$0$label_27#1;
+
+ inline$storm_IoCallDriver$0$label_27#1:
+ goto inline$storm_IoCallDriver$0$label_27_case_0#1, inline$storm_IoCallDriver$0$label_27_case_1#1, inline$storm_IoCallDriver$0$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$0$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$0$label_30#1;
+
+ inline$storm_IoCallDriver$0$label_30#1:
+ inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$0$label_31#1;
+
+ inline$storm_IoCallDriver$0$label_31#1:
+ goto inline$storm_IoCallDriver$0$label_32#1;
+
+ inline$storm_IoCallDriver$0$label_32#1:
+ goto inline$storm_IoCallDriver$0$label_33#1;
+
+ inline$storm_IoCallDriver$0$label_33#1:
+ goto inline$CallCompletionRoutine$0$Entry#1;
+
+ inline$CallCompletionRoutine$0$Entry#1:
+ inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$0$start#1;
+
+ inline$CallCompletionRoutine$0$start#1:
+ inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$0$label_3#1;
+
+ inline$CallCompletionRoutine$0$label_3#1:
+ goto inline$CallCompletionRoutine$0$label_4#1;
+
+ inline$CallCompletionRoutine$0$label_4#1:
+ goto inline$CallCompletionRoutine$0$label_5#1;
+
+ inline$CallCompletionRoutine$0$label_5#1:
+ goto inline$CallCompletionRoutine$0$label_6#1;
+
+ inline$CallCompletionRoutine$0$label_6#1:
+ goto inline$CallCompletionRoutine$0$label_7#1;
+
+ inline$CallCompletionRoutine$0$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$6$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$6$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$start#1:
+ inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$6$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$6$label_3_true#1, inline$IoGetCurrentIrpStackLocation$6$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$6$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$6$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$6$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$6$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$6$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$6$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$6$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$6$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$6$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$6$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$6$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$6$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$6$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$6$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$6$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$6$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$6$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$6$Return#1:
+ goto inline$CallCompletionRoutine$0$label_7$1#1;
+
+ inline$CallCompletionRoutine$0$label_7$1#1:
+ goto inline$CallCompletionRoutine$0$anon10_Then#1, inline$CallCompletionRoutine$0$anon10_Else#1;
+
+ inline$CallCompletionRoutine$0$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$0$anon1#1;
+
+ inline$CallCompletionRoutine$0$anon1#1:
+ goto inline$CallCompletionRoutine$0$label_10#1;
+
+ inline$CallCompletionRoutine$0$label_10#1:
+ goto inline$CallCompletionRoutine$0$label_11#1;
+
+ inline$CallCompletionRoutine$0$label_11#1:
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$CallCompletionRoutine$0$label_12#1;
+
+ inline$CallCompletionRoutine$0$label_12#1:
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$CallCompletionRoutine$0$label_13#1;
+
+ inline$CallCompletionRoutine$0$label_13#1:
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$CallCompletionRoutine$0$label_14#1;
+
+ inline$CallCompletionRoutine$0$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$7$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$7$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$start#1:
+ inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$7$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$7$label_3_true#1, inline$IoGetCurrentIrpStackLocation$7$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$7$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$7$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$7$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$7$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$7$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$7$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$7$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$7$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$7$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$7$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$7$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$7$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$7$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$7$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$7$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$7$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$7$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$7$Return#1:
+ goto inline$CallCompletionRoutine$0$label_14$1#1;
+
+ inline$CallCompletionRoutine$0$label_14$1#1:
+ goto inline$CallCompletionRoutine$0$anon11_Then#1, inline$CallCompletionRoutine$0$anon11_Else#1;
+
+ inline$CallCompletionRoutine$0$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$0$anon3#1;
+
+ inline$CallCompletionRoutine$0$anon3#1:
+ goto inline$CallCompletionRoutine$0$label_17#1;
+
+ inline$CallCompletionRoutine$0$label_17#1:
+ goto inline$CallCompletionRoutine$0$label_18#1;
+
+ inline$CallCompletionRoutine$0$label_18#1:
+ goto inline$CallCompletionRoutine$0$label_18_true#1, inline$CallCompletionRoutine$0$label_18_false#1;
+
+ inline$CallCompletionRoutine$0$label_18_false#1:
+ assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$0$label_1#1;
+
+ inline$CallCompletionRoutine$0$label_18_true#1:
+ assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$0$label_19#1;
+
+ inline$CallCompletionRoutine$0$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$0$label_20#1;
+
+ inline$CallCompletionRoutine$0$label_20#1:
+ goto inline$CallCompletionRoutine$0$label_20_icall_1#1, inline$CallCompletionRoutine$0$label_20_icall_2#1, inline$CallCompletionRoutine$0$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$0$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$0$Entry#1:
+ inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$0$start#1;
+
+ inline$BDLSystemPowerIoCompletion$0$start#1:
+ call inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$0$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_4#1:
+ inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_6#1:
+ inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$0$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$9$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$9$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$start#1:
+ inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$9$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$9$label_3_true#1, inline$IoGetCurrentIrpStackLocation$9$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$9$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$9$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$9$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$9$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$9$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$9$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$9$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$9$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$9$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$9$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$9$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$9$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$9$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$9$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$9$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$9$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$9$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$9$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon36_Then#1, inline$BDLSystemPowerIoCompletion$0$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_15#1:
+ goto inline$BDLGetDebugLevel$22$Entry#1;
+
+ inline$BDLGetDebugLevel$22$Entry#1:
+ goto inline$BDLGetDebugLevel$22$start#1;
+
+ inline$BDLGetDebugLevel$22$start#1:
+ goto inline$BDLGetDebugLevel$22$label_3#1;
+
+ inline$BDLGetDebugLevel$22$label_3#1:
+ havoc inline$BDLGetDebugLevel$22$myNondetVar_0;
+ inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$22$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$22$label_1#1;
+
+ inline$BDLGetDebugLevel$22$label_1#1:
+ goto inline$BDLGetDebugLevel$22$Return#1;
+
+ inline$BDLGetDebugLevel$22$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$22$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon37_Then#1, inline$BDLSystemPowerIoCompletion$0$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_18_true#1, inline$BDLSystemPowerIoCompletion$0$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_19#1:
+ goto inline$BDLGetDebugLevel$23$Entry#1;
+
+ inline$BDLGetDebugLevel$23$Entry#1:
+ goto inline$BDLGetDebugLevel$23$start#1;
+
+ inline$BDLGetDebugLevel$23$start#1:
+ goto inline$BDLGetDebugLevel$23$label_3#1;
+
+ inline$BDLGetDebugLevel$23$label_3#1:
+ havoc inline$BDLGetDebugLevel$23$myNondetVar_0;
+ inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$23$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$23$label_1#1;
+
+ inline$BDLGetDebugLevel$23$label_1#1:
+ goto inline$BDLGetDebugLevel$23$Return#1;
+
+ inline$BDLGetDebugLevel$23$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$23$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon38_Then#1, inline$BDLSystemPowerIoCompletion$0$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_28_true#1, inline$BDLSystemPowerIoCompletion$0$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_29#1:
+ goto inline$BDLGetDebugLevel$24$Entry#1;
+
+ inline$BDLGetDebugLevel$24$Entry#1:
+ goto inline$BDLGetDebugLevel$24$start#1;
+
+ inline$BDLGetDebugLevel$24$start#1:
+ goto inline$BDLGetDebugLevel$24$label_3#1;
+
+ inline$BDLGetDebugLevel$24$label_3#1:
+ havoc inline$BDLGetDebugLevel$24$myNondetVar_0;
+ inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$24$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$24$label_1#1;
+
+ inline$BDLGetDebugLevel$24$label_1#1:
+ goto inline$BDLGetDebugLevel$24$Return#1;
+
+ inline$BDLGetDebugLevel$24$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$24$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon39_Then#1, inline$BDLSystemPowerIoCompletion$0$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_38_true#1, inline$BDLSystemPowerIoCompletion$0$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_39_true#1, inline$BDLSystemPowerIoCompletion$0$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$0$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$0$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$0$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$0$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$0$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_106_true#1, inline$BDLSystemPowerIoCompletion$0$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$0$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$0$myNondetVar_0, inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$0$anon50_Then#1, inline$BDLSystemPowerIoCompletion$0$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_112#1:
+ inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_113_true#1, inline$BDLSystemPowerIoCompletion$0$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$0$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_117#1:
+ goto inline$BDLGetDebugLevel$31$Entry#1;
+
+ inline$BDLGetDebugLevel$31$Entry#1:
+ goto inline$BDLGetDebugLevel$31$start#1;
+
+ inline$BDLGetDebugLevel$31$start#1:
+ goto inline$BDLGetDebugLevel$31$label_3#1;
+
+ inline$BDLGetDebugLevel$31$label_3#1:
+ havoc inline$BDLGetDebugLevel$31$myNondetVar_0;
+ inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$31$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$31$label_1#1;
+
+ inline$BDLGetDebugLevel$31$label_1#1:
+ goto inline$BDLGetDebugLevel$31$Return#1;
+
+ inline$BDLGetDebugLevel$31$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$31$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon51_Then#1, inline$BDLSystemPowerIoCompletion$0$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_120_true#1, inline$BDLSystemPowerIoCompletion$0$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_121#1:
+ goto inline$BDLGetDebugLevel$32$Entry#1;
+
+ inline$BDLGetDebugLevel$32$Entry#1:
+ goto inline$BDLGetDebugLevel$32$start#1;
+
+ inline$BDLGetDebugLevel$32$start#1:
+ goto inline$BDLGetDebugLevel$32$label_3#1;
+
+ inline$BDLGetDebugLevel$32$label_3#1:
+ havoc inline$BDLGetDebugLevel$32$myNondetVar_0;
+ inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$32$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$32$label_1#1;
+
+ inline$BDLGetDebugLevel$32$label_1#1:
+ goto inline$BDLGetDebugLevel$32$Return#1;
+
+ inline$BDLGetDebugLevel$32$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$32$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon52_Then#1, inline$BDLSystemPowerIoCompletion$0$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_130_true#1, inline$BDLSystemPowerIoCompletion$0$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_131#1:
+ goto inline$BDLGetDebugLevel$33$Entry#1;
+
+ inline$BDLGetDebugLevel$33$Entry#1:
+ goto inline$BDLGetDebugLevel$33$start#1;
+
+ inline$BDLGetDebugLevel$33$start#1:
+ goto inline$BDLGetDebugLevel$33$label_3#1;
+
+ inline$BDLGetDebugLevel$33$label_3#1:
+ havoc inline$BDLGetDebugLevel$33$myNondetVar_0;
+ inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$33$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$33$label_1#1;
+
+ inline$BDLGetDebugLevel$33$label_1#1:
+ goto inline$BDLGetDebugLevel$33$Return#1;
+
+ inline$BDLGetDebugLevel$33$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$33$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon53_Then#1, inline$BDLSystemPowerIoCompletion$0$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_140_true#1, inline$BDLSystemPowerIoCompletion$0$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$0$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_115#1:
+ inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$0$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$0$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$0$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_43#1:
+ goto inline$BDLGetDebugLevel$25$Entry#1;
+
+ inline$BDLGetDebugLevel$25$Entry#1:
+ goto inline$BDLGetDebugLevel$25$start#1;
+
+ inline$BDLGetDebugLevel$25$start#1:
+ goto inline$BDLGetDebugLevel$25$label_3#1;
+
+ inline$BDLGetDebugLevel$25$label_3#1:
+ havoc inline$BDLGetDebugLevel$25$myNondetVar_0;
+ inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$25$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$25$label_1#1;
+
+ inline$BDLGetDebugLevel$25$label_1#1:
+ goto inline$BDLGetDebugLevel$25$Return#1;
+
+ inline$BDLGetDebugLevel$25$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$25$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon40_Then#1, inline$BDLSystemPowerIoCompletion$0$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_46_true#1, inline$BDLSystemPowerIoCompletion$0$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_47#1:
+ goto inline$BDLGetDebugLevel$26$Entry#1;
+
+ inline$BDLGetDebugLevel$26$Entry#1:
+ goto inline$BDLGetDebugLevel$26$start#1;
+
+ inline$BDLGetDebugLevel$26$start#1:
+ goto inline$BDLGetDebugLevel$26$label_3#1;
+
+ inline$BDLGetDebugLevel$26$label_3#1:
+ havoc inline$BDLGetDebugLevel$26$myNondetVar_0;
+ inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$26$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$26$label_1#1;
+
+ inline$BDLGetDebugLevel$26$label_1#1:
+ goto inline$BDLGetDebugLevel$26$Return#1;
+
+ inline$BDLGetDebugLevel$26$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$26$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon41_Then#1, inline$BDLSystemPowerIoCompletion$0$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_56_true#1, inline$BDLSystemPowerIoCompletion$0$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_57#1:
+ goto inline$BDLGetDebugLevel$27$Entry#1;
+
+ inline$BDLGetDebugLevel$27$Entry#1:
+ goto inline$BDLGetDebugLevel$27$start#1;
+
+ inline$BDLGetDebugLevel$27$start#1:
+ goto inline$BDLGetDebugLevel$27$label_3#1;
+
+ inline$BDLGetDebugLevel$27$label_3#1:
+ havoc inline$BDLGetDebugLevel$27$myNondetVar_0;
+ inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$27$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$27$label_1#1;
+
+ inline$BDLGetDebugLevel$27$label_1#1:
+ goto inline$BDLGetDebugLevel$27$Return#1;
+
+ inline$BDLGetDebugLevel$27$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$27$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon42_Then#1, inline$BDLSystemPowerIoCompletion$0$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_66_true#1, inline$BDLSystemPowerIoCompletion$0$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$0$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$0$anon43_Then#1, inline$BDLSystemPowerIoCompletion$0$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$0$anon44_Then#1, inline$BDLSystemPowerIoCompletion$0$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_74#1:
+ goto inline$storm_IoCompleteRequest$2$Entry#1;
+
+ inline$storm_IoCompleteRequest$2$Entry#1:
+ inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$0$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$2$start#1;
+
+ inline$storm_IoCompleteRequest$2$start#1:
+ inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$2$label_3#1;
+
+ inline$storm_IoCompleteRequest$2$label_3#1:
+ call inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$2$label_6#1;
+
+ inline$storm_IoCompleteRequest$2$label_6#1:
+ goto inline$storm_IoCompleteRequest$2$label_6_true#1, inline$storm_IoCompleteRequest$2$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$2$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#1;
+
+ inline$storm_IoCompleteRequest$2$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$2$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$2$label_8#1;
+
+ inline$storm_IoCompleteRequest$2$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon3_Then#1, inline$storm_IoCompleteRequest$2$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$2$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$2$anon1#1;
+
+ inline$storm_IoCompleteRequest$2$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_9#1;
+
+ inline$storm_IoCompleteRequest$2$label_9#1:
+ goto inline$storm_IoCompleteRequest$2$label_9_true#1, inline$storm_IoCompleteRequest$2$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$2$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$2$label_10#1;
+
+ inline$storm_IoCompleteRequest$2$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$2$label_1#1;
+
+ inline$storm_IoCompleteRequest$2$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$2$label_7#1;
+
+ inline$storm_IoCompleteRequest$2$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$2$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$2$label_1#1;
+
+ inline$storm_IoCompleteRequest$2$label_1#1:
+ goto inline$storm_IoCompleteRequest$2$Return#1;
+
+ inline$storm_IoCompleteRequest$2$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$2$Return#1;
+
+ inline$storm_IoCompleteRequest$2$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon45_Then#1, inline$BDLSystemPowerIoCompletion$0$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$0$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$0$anon46_Then#1, inline$BDLSystemPowerIoCompletion$0$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_80#1:
+ goto inline$BDLGetDebugLevel$28$Entry#1;
+
+ inline$BDLGetDebugLevel$28$Entry#1:
+ goto inline$BDLGetDebugLevel$28$start#1;
+
+ inline$BDLGetDebugLevel$28$start#1:
+ goto inline$BDLGetDebugLevel$28$label_3#1;
+
+ inline$BDLGetDebugLevel$28$label_3#1:
+ havoc inline$BDLGetDebugLevel$28$myNondetVar_0;
+ inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$28$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$28$label_1#1;
+
+ inline$BDLGetDebugLevel$28$label_1#1:
+ goto inline$BDLGetDebugLevel$28$Return#1;
+
+ inline$BDLGetDebugLevel$28$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$28$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon47_Then#1, inline$BDLSystemPowerIoCompletion$0$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_83_true#1, inline$BDLSystemPowerIoCompletion$0$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_84#1:
+ goto inline$BDLGetDebugLevel$29$Entry#1;
+
+ inline$BDLGetDebugLevel$29$Entry#1:
+ goto inline$BDLGetDebugLevel$29$start#1;
+
+ inline$BDLGetDebugLevel$29$start#1:
+ goto inline$BDLGetDebugLevel$29$label_3#1;
+
+ inline$BDLGetDebugLevel$29$label_3#1:
+ havoc inline$BDLGetDebugLevel$29$myNondetVar_0;
+ inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$29$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$29$label_1#1;
+
+ inline$BDLGetDebugLevel$29$label_1#1:
+ goto inline$BDLGetDebugLevel$29$Return#1;
+
+ inline$BDLGetDebugLevel$29$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$29$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon48_Then#1, inline$BDLSystemPowerIoCompletion$0$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_93_true#1, inline$BDLSystemPowerIoCompletion$0$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$0$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$0$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_94#1:
+ goto inline$BDLGetDebugLevel$30$Entry#1;
+
+ inline$BDLGetDebugLevel$30$Entry#1:
+ goto inline$BDLGetDebugLevel$30$start#1;
+
+ inline$BDLGetDebugLevel$30$start#1:
+ goto inline$BDLGetDebugLevel$30$label_3#1;
+
+ inline$BDLGetDebugLevel$30$label_3#1:
+ havoc inline$BDLGetDebugLevel$30$myNondetVar_0;
+ inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$30$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$30$label_1#1;
+
+ inline$BDLGetDebugLevel$30$label_1#1:
+ goto inline$BDLGetDebugLevel$30$Return#1;
+
+ inline$BDLGetDebugLevel$30$Return#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$30$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$0$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$0$anon49_Then#1, inline$BDLSystemPowerIoCompletion$0$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_103_true#1, inline$BDLSystemPowerIoCompletion$0$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$0$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$0$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$0$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_104#1:
+ inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$0$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$0$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$0$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$0$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$0$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$0$Return#1:
+ inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$0$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$0$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$0$anon14_Then#1, inline$CallCompletionRoutine$0$anon14_Else#1;
+
+ inline$CallCompletionRoutine$0$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$0$anon9#1;
+
+ inline$CallCompletionRoutine$0$anon9#1:
+ goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$0$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$0$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$0$Entry#1:
+ inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$0$start#1;
+
+ inline$BDLDevicePowerIoCompletion$0$start#1:
+ call inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$0$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_4#1:
+ inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_6#1:
+ inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$0$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$8$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$8$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$start#1:
+ inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$8$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$8$label_3_true#1, inline$IoGetCurrentIrpStackLocation$8$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$8$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$8$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$8$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$8$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$8$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$8$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$8$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$8$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$8$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$8$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$8$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$8$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$8$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$8$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$8$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$8$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$8$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$8$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$8$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon30_Then#1, inline$BDLDevicePowerIoCompletion$0$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_13#1:
+ inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_17#1:
+ goto inline$BDLGetDebugLevel$13$Entry#1;
+
+ inline$BDLGetDebugLevel$13$Entry#1:
+ goto inline$BDLGetDebugLevel$13$start#1;
+
+ inline$BDLGetDebugLevel$13$start#1:
+ goto inline$BDLGetDebugLevel$13$label_3#1;
+
+ inline$BDLGetDebugLevel$13$label_3#1:
+ havoc inline$BDLGetDebugLevel$13$myNondetVar_0;
+ inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$13$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$13$label_1#1;
+
+ inline$BDLGetDebugLevel$13$label_1#1:
+ goto inline$BDLGetDebugLevel$13$Return#1;
+
+ inline$BDLGetDebugLevel$13$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$13$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon31_Then#1, inline$BDLDevicePowerIoCompletion$0$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_20_true#1, inline$BDLDevicePowerIoCompletion$0$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_21#1:
+ goto inline$BDLGetDebugLevel$14$Entry#1;
+
+ inline$BDLGetDebugLevel$14$Entry#1:
+ goto inline$BDLGetDebugLevel$14$start#1;
+
+ inline$BDLGetDebugLevel$14$start#1:
+ goto inline$BDLGetDebugLevel$14$label_3#1;
+
+ inline$BDLGetDebugLevel$14$label_3#1:
+ havoc inline$BDLGetDebugLevel$14$myNondetVar_0;
+ inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$14$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$14$label_1#1;
+
+ inline$BDLGetDebugLevel$14$label_1#1:
+ goto inline$BDLGetDebugLevel$14$Return#1;
+
+ inline$BDLGetDebugLevel$14$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$14$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon32_Then#1, inline$BDLDevicePowerIoCompletion$0$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_30_true#1, inline$BDLDevicePowerIoCompletion$0$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_31#1:
+ goto inline$BDLGetDebugLevel$15$Entry#1;
+
+ inline$BDLGetDebugLevel$15$Entry#1:
+ goto inline$BDLGetDebugLevel$15$start#1;
+
+ inline$BDLGetDebugLevel$15$start#1:
+ goto inline$BDLGetDebugLevel$15$label_3#1;
+
+ inline$BDLGetDebugLevel$15$label_3#1:
+ havoc inline$BDLGetDebugLevel$15$myNondetVar_0;
+ inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$15$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$15$label_1#1;
+
+ inline$BDLGetDebugLevel$15$label_1#1:
+ goto inline$BDLGetDebugLevel$15$Return#1;
+
+ inline$BDLGetDebugLevel$15$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$15$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon33_Then#1, inline$BDLDevicePowerIoCompletion$0$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_40_true#1, inline$BDLDevicePowerIoCompletion$0$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_41_true#1, inline$BDLDevicePowerIoCompletion$0$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$0$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$0$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_44_true#1, inline$BDLDevicePowerIoCompletion$0$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_45_true#1, inline$BDLDevicePowerIoCompletion$0$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$0$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$0$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$0$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_54#1:
+ inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$0$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_55_true#1, inline$BDLDevicePowerIoCompletion$0$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$0$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$0$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$0$anon34_Then#1, inline$BDLDevicePowerIoCompletion$0$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_59#1:
+ goto inline$BDLGetDebugLevel$16$Entry#1;
+
+ inline$BDLGetDebugLevel$16$Entry#1:
+ goto inline$BDLGetDebugLevel$16$start#1;
+
+ inline$BDLGetDebugLevel$16$start#1:
+ goto inline$BDLGetDebugLevel$16$label_3#1;
+
+ inline$BDLGetDebugLevel$16$label_3#1:
+ havoc inline$BDLGetDebugLevel$16$myNondetVar_0;
+ inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$16$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$16$label_1#1;
+
+ inline$BDLGetDebugLevel$16$label_1#1:
+ goto inline$BDLGetDebugLevel$16$Return#1;
+
+ inline$BDLGetDebugLevel$16$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$16$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon35_Then#1, inline$BDLDevicePowerIoCompletion$0$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_62_true#1, inline$BDLDevicePowerIoCompletion$0$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_63#1:
+ goto inline$BDLGetDebugLevel$17$Entry#1;
+
+ inline$BDLGetDebugLevel$17$Entry#1:
+ goto inline$BDLGetDebugLevel$17$start#1;
+
+ inline$BDLGetDebugLevel$17$start#1:
+ goto inline$BDLGetDebugLevel$17$label_3#1;
+
+ inline$BDLGetDebugLevel$17$label_3#1:
+ havoc inline$BDLGetDebugLevel$17$myNondetVar_0;
+ inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$17$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$17$label_1#1;
+
+ inline$BDLGetDebugLevel$17$label_1#1:
+ goto inline$BDLGetDebugLevel$17$Return#1;
+
+ inline$BDLGetDebugLevel$17$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$17$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon36_Then#1, inline$BDLDevicePowerIoCompletion$0$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_72_true#1, inline$BDLDevicePowerIoCompletion$0$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_73#1:
+ goto inline$BDLGetDebugLevel$18$Entry#1;
+
+ inline$BDLGetDebugLevel$18$Entry#1:
+ goto inline$BDLGetDebugLevel$18$start#1;
+
+ inline$BDLGetDebugLevel$18$start#1:
+ goto inline$BDLGetDebugLevel$18$label_3#1;
+
+ inline$BDLGetDebugLevel$18$label_3#1:
+ havoc inline$BDLGetDebugLevel$18$myNondetVar_0;
+ inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$18$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$18$label_1#1;
+
+ inline$BDLGetDebugLevel$18$label_1#1:
+ goto inline$BDLGetDebugLevel$18$Return#1;
+
+ inline$BDLGetDebugLevel$18$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$18$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon37_Then#1, inline$BDLDevicePowerIoCompletion$0$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_82_true#1, inline$BDLDevicePowerIoCompletion$0$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$0$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$0$anon38_Then#1, inline$BDLDevicePowerIoCompletion$0$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$0$anon39_Then#1, inline$BDLDevicePowerIoCompletion$0$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_92#1:
+ goto inline$storm_IoCompleteRequest$1$Entry#1;
+
+ inline$storm_IoCompleteRequest$1$Entry#1:
+ inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$0$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$1$start#1;
+
+ inline$storm_IoCompleteRequest$1$start#1:
+ inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$1$label_3#1;
+
+ inline$storm_IoCompleteRequest$1$label_3#1:
+ call inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$1$label_6#1;
+
+ inline$storm_IoCompleteRequest$1$label_6#1:
+ goto inline$storm_IoCompleteRequest$1$label_6_true#1, inline$storm_IoCompleteRequest$1$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$1$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#1;
+
+ inline$storm_IoCompleteRequest$1$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$1$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$1$label_8#1;
+
+ inline$storm_IoCompleteRequest$1$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon3_Then#1, inline$storm_IoCompleteRequest$1$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$1$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$1$anon1#1;
+
+ inline$storm_IoCompleteRequest$1$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_9#1;
+
+ inline$storm_IoCompleteRequest$1$label_9#1:
+ goto inline$storm_IoCompleteRequest$1$label_9_true#1, inline$storm_IoCompleteRequest$1$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$1$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$1$label_10#1;
+
+ inline$storm_IoCompleteRequest$1$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$1$label_1#1;
+
+ inline$storm_IoCompleteRequest$1$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$1$label_7#1;
+
+ inline$storm_IoCompleteRequest$1$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$1$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$1$label_1#1;
+
+ inline$storm_IoCompleteRequest$1$label_1#1:
+ goto inline$storm_IoCompleteRequest$1$Return#1;
+
+ inline$storm_IoCompleteRequest$1$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$1$Return#1;
+
+ inline$storm_IoCompleteRequest$1$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon40_Then#1, inline$BDLDevicePowerIoCompletion$0$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$0$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$0$anon41_Then#1, inline$BDLDevicePowerIoCompletion$0$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_98#1:
+ goto inline$BDLGetDebugLevel$19$Entry#1;
+
+ inline$BDLGetDebugLevel$19$Entry#1:
+ goto inline$BDLGetDebugLevel$19$start#1;
+
+ inline$BDLGetDebugLevel$19$start#1:
+ goto inline$BDLGetDebugLevel$19$label_3#1;
+
+ inline$BDLGetDebugLevel$19$label_3#1:
+ havoc inline$BDLGetDebugLevel$19$myNondetVar_0;
+ inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$19$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$19$label_1#1;
+
+ inline$BDLGetDebugLevel$19$label_1#1:
+ goto inline$BDLGetDebugLevel$19$Return#1;
+
+ inline$BDLGetDebugLevel$19$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$19$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon42_Then#1, inline$BDLDevicePowerIoCompletion$0$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_101_true#1, inline$BDLDevicePowerIoCompletion$0$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_102#1:
+ goto inline$BDLGetDebugLevel$20$Entry#1;
+
+ inline$BDLGetDebugLevel$20$Entry#1:
+ goto inline$BDLGetDebugLevel$20$start#1;
+
+ inline$BDLGetDebugLevel$20$start#1:
+ goto inline$BDLGetDebugLevel$20$label_3#1;
+
+ inline$BDLGetDebugLevel$20$label_3#1:
+ havoc inline$BDLGetDebugLevel$20$myNondetVar_0;
+ inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$20$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$20$label_1#1;
+
+ inline$BDLGetDebugLevel$20$label_1#1:
+ goto inline$BDLGetDebugLevel$20$Return#1;
+
+ inline$BDLGetDebugLevel$20$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$20$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon43_Then#1, inline$BDLDevicePowerIoCompletion$0$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_111_true#1, inline$BDLDevicePowerIoCompletion$0$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$0$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$0$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_112#1:
+ goto inline$BDLGetDebugLevel$21$Entry#1;
+
+ inline$BDLGetDebugLevel$21$Entry#1:
+ goto inline$BDLGetDebugLevel$21$start#1;
+
+ inline$BDLGetDebugLevel$21$start#1:
+ goto inline$BDLGetDebugLevel$21$label_3#1;
+
+ inline$BDLGetDebugLevel$21$label_3#1:
+ havoc inline$BDLGetDebugLevel$21$myNondetVar_0;
+ inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$21$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$21$label_1#1;
+
+ inline$BDLGetDebugLevel$21$label_1#1:
+ goto inline$BDLGetDebugLevel$21$Return#1;
+
+ inline$BDLGetDebugLevel$21$Return#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$21$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$0$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$0$anon44_Then#1, inline$BDLDevicePowerIoCompletion$0$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_121_true#1, inline$BDLDevicePowerIoCompletion$0$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$0$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$0$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$0$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_122#1:
+ inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$0$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$0$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$0$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$0$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$0$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$0$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$0$Return#1:
+ inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$0$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$0$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$0$anon13_Then#1, inline$CallCompletionRoutine$0$anon13_Else#1;
+
+ inline$CallCompletionRoutine$0$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$0$anon7#1;
+
+ inline$CallCompletionRoutine$0$anon7#1:
+ goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$0$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$0$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$0$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$0$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$0$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$0$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$start#1:
+ inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$0$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$label_3_true#1, inline$BDLCallDriverCompletionRoutine$0$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$0$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$0$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$0$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_6#1:
+ goto inline$storm_KeSetEvent$0$Entry#1;
+
+ inline$storm_KeSetEvent$0$Entry#1:
+ inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$0$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$0$start#1;
+
+ inline$storm_KeSetEvent$0$start#1:
+ inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$0$label_3#1;
+
+ inline$storm_KeSetEvent$0$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$0$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$0$label_1#1;
+
+ inline$storm_KeSetEvent$0$label_1#1:
+ goto inline$storm_KeSetEvent$0$Return#1;
+
+ inline$storm_KeSetEvent$0$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$0$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$0$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$0$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$0$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$0$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$0$Return#1:
+ inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$0$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$0$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$0$anon12_Then#1, inline$CallCompletionRoutine$0$anon12_Else#1;
+
+ inline$CallCompletionRoutine$0$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$0$anon5#1;
+
+ inline$CallCompletionRoutine$0$anon5#1:
+ goto inline$CallCompletionRoutine$0$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$0$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$0$label_23#1;
+
+ inline$CallCompletionRoutine$0$label_23#1:
+ inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$0$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$0$label_24#1;
+
+ inline$CallCompletionRoutine$0$label_24#1:
+ goto inline$CallCompletionRoutine$0$label_24_true#1, inline$CallCompletionRoutine$0$label_24_false#1;
+
+ inline$CallCompletionRoutine$0$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$0$label_1#1;
+
+ inline$CallCompletionRoutine$0$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$0$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$0$label_25#1;
+
+ inline$CallCompletionRoutine$0$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$0$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$0$label_1#1;
+
+ inline$CallCompletionRoutine$0$label_1#1:
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$0$Return#1;
+
+ inline$CallCompletionRoutine$0$Return#1:
+ goto inline$storm_IoCallDriver$0$label_33$1#1;
+
+ inline$storm_IoCallDriver$0$label_33$1#1:
+ goto inline$storm_IoCallDriver$0$anon14_Then#1, inline$storm_IoCallDriver$0$anon14_Else#1;
+
+ inline$storm_IoCallDriver$0$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$0$anon8#1;
+
+ inline$storm_IoCallDriver$0$anon8#1:
+ goto inline$storm_IoCallDriver$0$label_36#1;
+
+ inline$storm_IoCallDriver$0$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$0$label_29#1;
+
+ inline$storm_IoCallDriver$0$label_29#1:
+ inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$0$label_37#1;
+
+ inline$storm_IoCallDriver$0$label_37#1:
+ goto inline$storm_IoCallDriver$0$label_38#1;
+
+ inline$storm_IoCallDriver$0$label_38#1:
+ goto inline$storm_IoCallDriver$0$label_39#1;
+
+ inline$storm_IoCallDriver$0$label_39#1:
+ goto inline$CallCompletionRoutine$1$Entry#1;
+
+ inline$CallCompletionRoutine$1$Entry#1:
+ inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$1$start#1;
+
+ inline$CallCompletionRoutine$1$start#1:
+ inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$1$label_3#1;
+
+ inline$CallCompletionRoutine$1$label_3#1:
+ goto inline$CallCompletionRoutine$1$label_4#1;
+
+ inline$CallCompletionRoutine$1$label_4#1:
+ goto inline$CallCompletionRoutine$1$label_5#1;
+
+ inline$CallCompletionRoutine$1$label_5#1:
+ goto inline$CallCompletionRoutine$1$label_6#1;
+
+ inline$CallCompletionRoutine$1$label_6#1:
+ goto inline$CallCompletionRoutine$1$label_7#1;
+
+ inline$CallCompletionRoutine$1$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$10$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$10$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$start#1:
+ inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$10$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$10$label_3_true#1, inline$IoGetCurrentIrpStackLocation$10$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$10$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$10$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$10$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$10$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$10$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$10$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$10$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$10$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$10$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$10$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$10$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$10$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$10$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$10$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$10$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$10$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$10$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$10$Return#1:
+ goto inline$CallCompletionRoutine$1$label_7$1#1;
+
+ inline$CallCompletionRoutine$1$label_7$1#1:
+ goto inline$CallCompletionRoutine$1$anon10_Then#1, inline$CallCompletionRoutine$1$anon10_Else#1;
+
+ inline$CallCompletionRoutine$1$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$1$anon1#1;
+
+ inline$CallCompletionRoutine$1$anon1#1:
+ goto inline$CallCompletionRoutine$1$label_10#1;
+
+ inline$CallCompletionRoutine$1$label_10#1:
+ goto inline$CallCompletionRoutine$1$label_11#1;
+
+ inline$CallCompletionRoutine$1$label_11#1:
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$CallCompletionRoutine$1$label_12#1;
+
+ inline$CallCompletionRoutine$1$label_12#1:
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$CallCompletionRoutine$1$label_13#1;
+
+ inline$CallCompletionRoutine$1$label_13#1:
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$CallCompletionRoutine$1$label_14#1;
+
+ inline$CallCompletionRoutine$1$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$11$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$11$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$start#1:
+ inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$11$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$11$label_3_true#1, inline$IoGetCurrentIrpStackLocation$11$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$11$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$11$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$11$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$11$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$11$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$11$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$11$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$11$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$11$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$11$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$11$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$11$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$11$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$11$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$11$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$11$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$11$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$11$Return#1:
+ goto inline$CallCompletionRoutine$1$label_14$1#1;
+
+ inline$CallCompletionRoutine$1$label_14$1#1:
+ goto inline$CallCompletionRoutine$1$anon11_Then#1, inline$CallCompletionRoutine$1$anon11_Else#1;
+
+ inline$CallCompletionRoutine$1$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$1$anon3#1;
+
+ inline$CallCompletionRoutine$1$anon3#1:
+ goto inline$CallCompletionRoutine$1$label_17#1;
+
+ inline$CallCompletionRoutine$1$label_17#1:
+ goto inline$CallCompletionRoutine$1$label_18#1;
+
+ inline$CallCompletionRoutine$1$label_18#1:
+ goto inline$CallCompletionRoutine$1$label_18_true#1, inline$CallCompletionRoutine$1$label_18_false#1;
+
+ inline$CallCompletionRoutine$1$label_18_false#1:
+ assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$1$label_1#1;
+
+ inline$CallCompletionRoutine$1$label_18_true#1:
+ assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$1$label_19#1;
+
+ inline$CallCompletionRoutine$1$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$1$label_20#1;
+
+ inline$CallCompletionRoutine$1$label_20#1:
+ goto inline$CallCompletionRoutine$1$label_20_icall_1#1, inline$CallCompletionRoutine$1$label_20_icall_2#1, inline$CallCompletionRoutine$1$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$1$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$1$Entry#1:
+ inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$1$start#1;
+
+ inline$BDLSystemPowerIoCompletion$1$start#1:
+ call inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$1$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_4#1:
+ inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_6#1:
+ inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$1$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$13$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$13$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$start#1:
+ inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$13$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$13$label_3_true#1, inline$IoGetCurrentIrpStackLocation$13$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$13$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$13$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$13$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$13$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$13$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$13$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$13$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$13$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$13$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$13$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$13$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$13$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$13$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$13$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$13$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$13$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$13$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$13$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon36_Then#1, inline$BDLSystemPowerIoCompletion$1$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_15#1:
+ goto inline$BDLGetDebugLevel$43$Entry#1;
+
+ inline$BDLGetDebugLevel$43$Entry#1:
+ goto inline$BDLGetDebugLevel$43$start#1;
+
+ inline$BDLGetDebugLevel$43$start#1:
+ goto inline$BDLGetDebugLevel$43$label_3#1;
+
+ inline$BDLGetDebugLevel$43$label_3#1:
+ havoc inline$BDLGetDebugLevel$43$myNondetVar_0;
+ inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$43$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$43$label_1#1;
+
+ inline$BDLGetDebugLevel$43$label_1#1:
+ goto inline$BDLGetDebugLevel$43$Return#1;
+
+ inline$BDLGetDebugLevel$43$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$43$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon37_Then#1, inline$BDLSystemPowerIoCompletion$1$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_18_true#1, inline$BDLSystemPowerIoCompletion$1$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_19#1:
+ goto inline$BDLGetDebugLevel$44$Entry#1;
+
+ inline$BDLGetDebugLevel$44$Entry#1:
+ goto inline$BDLGetDebugLevel$44$start#1;
+
+ inline$BDLGetDebugLevel$44$start#1:
+ goto inline$BDLGetDebugLevel$44$label_3#1;
+
+ inline$BDLGetDebugLevel$44$label_3#1:
+ havoc inline$BDLGetDebugLevel$44$myNondetVar_0;
+ inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$44$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$44$label_1#1;
+
+ inline$BDLGetDebugLevel$44$label_1#1:
+ goto inline$BDLGetDebugLevel$44$Return#1;
+
+ inline$BDLGetDebugLevel$44$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$44$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon38_Then#1, inline$BDLSystemPowerIoCompletion$1$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_28_true#1, inline$BDLSystemPowerIoCompletion$1$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_29#1:
+ goto inline$BDLGetDebugLevel$45$Entry#1;
+
+ inline$BDLGetDebugLevel$45$Entry#1:
+ goto inline$BDLGetDebugLevel$45$start#1;
+
+ inline$BDLGetDebugLevel$45$start#1:
+ goto inline$BDLGetDebugLevel$45$label_3#1;
+
+ inline$BDLGetDebugLevel$45$label_3#1:
+ havoc inline$BDLGetDebugLevel$45$myNondetVar_0;
+ inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$45$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$45$label_1#1;
+
+ inline$BDLGetDebugLevel$45$label_1#1:
+ goto inline$BDLGetDebugLevel$45$Return#1;
+
+ inline$BDLGetDebugLevel$45$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$45$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon39_Then#1, inline$BDLSystemPowerIoCompletion$1$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_38_true#1, inline$BDLSystemPowerIoCompletion$1$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_39_true#1, inline$BDLSystemPowerIoCompletion$1$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$1$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$1$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$1$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$1$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$1$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_106_true#1, inline$BDLSystemPowerIoCompletion$1$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$1$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$1$myNondetVar_0, inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$1$anon50_Then#1, inline$BDLSystemPowerIoCompletion$1$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_112#1:
+ inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_113_true#1, inline$BDLSystemPowerIoCompletion$1$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$1$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_117#1:
+ goto inline$BDLGetDebugLevel$52$Entry#1;
+
+ inline$BDLGetDebugLevel$52$Entry#1:
+ goto inline$BDLGetDebugLevel$52$start#1;
+
+ inline$BDLGetDebugLevel$52$start#1:
+ goto inline$BDLGetDebugLevel$52$label_3#1;
+
+ inline$BDLGetDebugLevel$52$label_3#1:
+ havoc inline$BDLGetDebugLevel$52$myNondetVar_0;
+ inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$52$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$52$label_1#1;
+
+ inline$BDLGetDebugLevel$52$label_1#1:
+ goto inline$BDLGetDebugLevel$52$Return#1;
+
+ inline$BDLGetDebugLevel$52$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$52$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon51_Then#1, inline$BDLSystemPowerIoCompletion$1$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_120_true#1, inline$BDLSystemPowerIoCompletion$1$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_121#1:
+ goto inline$BDLGetDebugLevel$53$Entry#1;
+
+ inline$BDLGetDebugLevel$53$Entry#1:
+ goto inline$BDLGetDebugLevel$53$start#1;
+
+ inline$BDLGetDebugLevel$53$start#1:
+ goto inline$BDLGetDebugLevel$53$label_3#1;
+
+ inline$BDLGetDebugLevel$53$label_3#1:
+ havoc inline$BDLGetDebugLevel$53$myNondetVar_0;
+ inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$53$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$53$label_1#1;
+
+ inline$BDLGetDebugLevel$53$label_1#1:
+ goto inline$BDLGetDebugLevel$53$Return#1;
+
+ inline$BDLGetDebugLevel$53$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$53$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon52_Then#1, inline$BDLSystemPowerIoCompletion$1$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_130_true#1, inline$BDLSystemPowerIoCompletion$1$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_131#1:
+ goto inline$BDLGetDebugLevel$54$Entry#1;
+
+ inline$BDLGetDebugLevel$54$Entry#1:
+ goto inline$BDLGetDebugLevel$54$start#1;
+
+ inline$BDLGetDebugLevel$54$start#1:
+ goto inline$BDLGetDebugLevel$54$label_3#1;
+
+ inline$BDLGetDebugLevel$54$label_3#1:
+ havoc inline$BDLGetDebugLevel$54$myNondetVar_0;
+ inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$54$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$54$label_1#1;
+
+ inline$BDLGetDebugLevel$54$label_1#1:
+ goto inline$BDLGetDebugLevel$54$Return#1;
+
+ inline$BDLGetDebugLevel$54$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$54$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon53_Then#1, inline$BDLSystemPowerIoCompletion$1$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_140_true#1, inline$BDLSystemPowerIoCompletion$1$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$1$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_115#1:
+ inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$1$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$1$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$1$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_43#1:
+ goto inline$BDLGetDebugLevel$46$Entry#1;
+
+ inline$BDLGetDebugLevel$46$Entry#1:
+ goto inline$BDLGetDebugLevel$46$start#1;
+
+ inline$BDLGetDebugLevel$46$start#1:
+ goto inline$BDLGetDebugLevel$46$label_3#1;
+
+ inline$BDLGetDebugLevel$46$label_3#1:
+ havoc inline$BDLGetDebugLevel$46$myNondetVar_0;
+ inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$46$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$46$label_1#1;
+
+ inline$BDLGetDebugLevel$46$label_1#1:
+ goto inline$BDLGetDebugLevel$46$Return#1;
+
+ inline$BDLGetDebugLevel$46$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$46$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon40_Then#1, inline$BDLSystemPowerIoCompletion$1$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_46_true#1, inline$BDLSystemPowerIoCompletion$1$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_47#1:
+ goto inline$BDLGetDebugLevel$47$Entry#1;
+
+ inline$BDLGetDebugLevel$47$Entry#1:
+ goto inline$BDLGetDebugLevel$47$start#1;
+
+ inline$BDLGetDebugLevel$47$start#1:
+ goto inline$BDLGetDebugLevel$47$label_3#1;
+
+ inline$BDLGetDebugLevel$47$label_3#1:
+ havoc inline$BDLGetDebugLevel$47$myNondetVar_0;
+ inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$47$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$47$label_1#1;
+
+ inline$BDLGetDebugLevel$47$label_1#1:
+ goto inline$BDLGetDebugLevel$47$Return#1;
+
+ inline$BDLGetDebugLevel$47$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$47$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon41_Then#1, inline$BDLSystemPowerIoCompletion$1$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_56_true#1, inline$BDLSystemPowerIoCompletion$1$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_57#1:
+ goto inline$BDLGetDebugLevel$48$Entry#1;
+
+ inline$BDLGetDebugLevel$48$Entry#1:
+ goto inline$BDLGetDebugLevel$48$start#1;
+
+ inline$BDLGetDebugLevel$48$start#1:
+ goto inline$BDLGetDebugLevel$48$label_3#1;
+
+ inline$BDLGetDebugLevel$48$label_3#1:
+ havoc inline$BDLGetDebugLevel$48$myNondetVar_0;
+ inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$48$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$48$label_1#1;
+
+ inline$BDLGetDebugLevel$48$label_1#1:
+ goto inline$BDLGetDebugLevel$48$Return#1;
+
+ inline$BDLGetDebugLevel$48$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$48$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon42_Then#1, inline$BDLSystemPowerIoCompletion$1$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_66_true#1, inline$BDLSystemPowerIoCompletion$1$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$1$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$1$anon43_Then#1, inline$BDLSystemPowerIoCompletion$1$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$1$anon44_Then#1, inline$BDLSystemPowerIoCompletion$1$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_74#1:
+ goto inline$storm_IoCompleteRequest$4$Entry#1;
+
+ inline$storm_IoCompleteRequest$4$Entry#1:
+ inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$1$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$4$start#1;
+
+ inline$storm_IoCompleteRequest$4$start#1:
+ inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$4$label_3#1;
+
+ inline$storm_IoCompleteRequest$4$label_3#1:
+ call inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$4$label_6#1;
+
+ inline$storm_IoCompleteRequest$4$label_6#1:
+ goto inline$storm_IoCompleteRequest$4$label_6_true#1, inline$storm_IoCompleteRequest$4$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$4$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#1;
+
+ inline$storm_IoCompleteRequest$4$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$4$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$4$label_8#1;
+
+ inline$storm_IoCompleteRequest$4$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon3_Then#1, inline$storm_IoCompleteRequest$4$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$4$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$4$anon1#1;
+
+ inline$storm_IoCompleteRequest$4$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_9#1;
+
+ inline$storm_IoCompleteRequest$4$label_9#1:
+ goto inline$storm_IoCompleteRequest$4$label_9_true#1, inline$storm_IoCompleteRequest$4$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$4$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$4$label_10#1;
+
+ inline$storm_IoCompleteRequest$4$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$4$label_1#1;
+
+ inline$storm_IoCompleteRequest$4$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$4$label_7#1;
+
+ inline$storm_IoCompleteRequest$4$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$4$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$4$label_1#1;
+
+ inline$storm_IoCompleteRequest$4$label_1#1:
+ goto inline$storm_IoCompleteRequest$4$Return#1;
+
+ inline$storm_IoCompleteRequest$4$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$4$Return#1;
+
+ inline$storm_IoCompleteRequest$4$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon45_Then#1, inline$BDLSystemPowerIoCompletion$1$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$1$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$1$anon46_Then#1, inline$BDLSystemPowerIoCompletion$1$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_80#1:
+ goto inline$BDLGetDebugLevel$49$Entry#1;
+
+ inline$BDLGetDebugLevel$49$Entry#1:
+ goto inline$BDLGetDebugLevel$49$start#1;
+
+ inline$BDLGetDebugLevel$49$start#1:
+ goto inline$BDLGetDebugLevel$49$label_3#1;
+
+ inline$BDLGetDebugLevel$49$label_3#1:
+ havoc inline$BDLGetDebugLevel$49$myNondetVar_0;
+ inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$49$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$49$label_1#1;
+
+ inline$BDLGetDebugLevel$49$label_1#1:
+ goto inline$BDLGetDebugLevel$49$Return#1;
+
+ inline$BDLGetDebugLevel$49$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$49$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon47_Then#1, inline$BDLSystemPowerIoCompletion$1$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_83_true#1, inline$BDLSystemPowerIoCompletion$1$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_84#1:
+ goto inline$BDLGetDebugLevel$50$Entry#1;
+
+ inline$BDLGetDebugLevel$50$Entry#1:
+ goto inline$BDLGetDebugLevel$50$start#1;
+
+ inline$BDLGetDebugLevel$50$start#1:
+ goto inline$BDLGetDebugLevel$50$label_3#1;
+
+ inline$BDLGetDebugLevel$50$label_3#1:
+ havoc inline$BDLGetDebugLevel$50$myNondetVar_0;
+ inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$50$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$50$label_1#1;
+
+ inline$BDLGetDebugLevel$50$label_1#1:
+ goto inline$BDLGetDebugLevel$50$Return#1;
+
+ inline$BDLGetDebugLevel$50$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$50$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon48_Then#1, inline$BDLSystemPowerIoCompletion$1$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_93_true#1, inline$BDLSystemPowerIoCompletion$1$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$1$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$1$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_94#1:
+ goto inline$BDLGetDebugLevel$51$Entry#1;
+
+ inline$BDLGetDebugLevel$51$Entry#1:
+ goto inline$BDLGetDebugLevel$51$start#1;
+
+ inline$BDLGetDebugLevel$51$start#1:
+ goto inline$BDLGetDebugLevel$51$label_3#1;
+
+ inline$BDLGetDebugLevel$51$label_3#1:
+ havoc inline$BDLGetDebugLevel$51$myNondetVar_0;
+ inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$51$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$51$label_1#1;
+
+ inline$BDLGetDebugLevel$51$label_1#1:
+ goto inline$BDLGetDebugLevel$51$Return#1;
+
+ inline$BDLGetDebugLevel$51$Return#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$51$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$1$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$1$anon49_Then#1, inline$BDLSystemPowerIoCompletion$1$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_103_true#1, inline$BDLSystemPowerIoCompletion$1$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$1$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$1$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$1$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_104#1:
+ inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$1$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$1$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$1$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$1$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$1$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$1$Return#1:
+ inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$1$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$1$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$1$anon14_Then#1, inline$CallCompletionRoutine$1$anon14_Else#1;
+
+ inline$CallCompletionRoutine$1$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$1$anon9#1;
+
+ inline$CallCompletionRoutine$1$anon9#1:
+ goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$1$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$1$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$1$Entry#1:
+ inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$1$start#1;
+
+ inline$BDLDevicePowerIoCompletion$1$start#1:
+ call inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$1$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_4#1:
+ inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_6#1:
+ inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$1$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$12$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$12$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$start#1:
+ inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$12$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$12$label_3_true#1, inline$IoGetCurrentIrpStackLocation$12$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$12$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$12$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$12$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$12$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$12$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$12$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$12$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$12$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$12$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$12$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$12$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$12$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$12$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$12$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$12$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$12$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$12$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$12$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$12$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon30_Then#1, inline$BDLDevicePowerIoCompletion$1$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_13#1:
+ inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_17#1:
+ goto inline$BDLGetDebugLevel$34$Entry#1;
+
+ inline$BDLGetDebugLevel$34$Entry#1:
+ goto inline$BDLGetDebugLevel$34$start#1;
+
+ inline$BDLGetDebugLevel$34$start#1:
+ goto inline$BDLGetDebugLevel$34$label_3#1;
+
+ inline$BDLGetDebugLevel$34$label_3#1:
+ havoc inline$BDLGetDebugLevel$34$myNondetVar_0;
+ inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$34$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$34$label_1#1;
+
+ inline$BDLGetDebugLevel$34$label_1#1:
+ goto inline$BDLGetDebugLevel$34$Return#1;
+
+ inline$BDLGetDebugLevel$34$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$34$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon31_Then#1, inline$BDLDevicePowerIoCompletion$1$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_20_true#1, inline$BDLDevicePowerIoCompletion$1$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_21#1:
+ goto inline$BDLGetDebugLevel$35$Entry#1;
+
+ inline$BDLGetDebugLevel$35$Entry#1:
+ goto inline$BDLGetDebugLevel$35$start#1;
+
+ inline$BDLGetDebugLevel$35$start#1:
+ goto inline$BDLGetDebugLevel$35$label_3#1;
+
+ inline$BDLGetDebugLevel$35$label_3#1:
+ havoc inline$BDLGetDebugLevel$35$myNondetVar_0;
+ inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$35$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$35$label_1#1;
+
+ inline$BDLGetDebugLevel$35$label_1#1:
+ goto inline$BDLGetDebugLevel$35$Return#1;
+
+ inline$BDLGetDebugLevel$35$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$35$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon32_Then#1, inline$BDLDevicePowerIoCompletion$1$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_30_true#1, inline$BDLDevicePowerIoCompletion$1$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_31#1:
+ goto inline$BDLGetDebugLevel$36$Entry#1;
+
+ inline$BDLGetDebugLevel$36$Entry#1:
+ goto inline$BDLGetDebugLevel$36$start#1;
+
+ inline$BDLGetDebugLevel$36$start#1:
+ goto inline$BDLGetDebugLevel$36$label_3#1;
+
+ inline$BDLGetDebugLevel$36$label_3#1:
+ havoc inline$BDLGetDebugLevel$36$myNondetVar_0;
+ inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$36$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$36$label_1#1;
+
+ inline$BDLGetDebugLevel$36$label_1#1:
+ goto inline$BDLGetDebugLevel$36$Return#1;
+
+ inline$BDLGetDebugLevel$36$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$36$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon33_Then#1, inline$BDLDevicePowerIoCompletion$1$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_40_true#1, inline$BDLDevicePowerIoCompletion$1$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_41_true#1, inline$BDLDevicePowerIoCompletion$1$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$1$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$1$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_44_true#1, inline$BDLDevicePowerIoCompletion$1$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_45_true#1, inline$BDLDevicePowerIoCompletion$1$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$1$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$1$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$1$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_54#1:
+ inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$1$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_55_true#1, inline$BDLDevicePowerIoCompletion$1$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$1$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$1$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$1$anon34_Then#1, inline$BDLDevicePowerIoCompletion$1$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_59#1:
+ goto inline$BDLGetDebugLevel$37$Entry#1;
+
+ inline$BDLGetDebugLevel$37$Entry#1:
+ goto inline$BDLGetDebugLevel$37$start#1;
+
+ inline$BDLGetDebugLevel$37$start#1:
+ goto inline$BDLGetDebugLevel$37$label_3#1;
+
+ inline$BDLGetDebugLevel$37$label_3#1:
+ havoc inline$BDLGetDebugLevel$37$myNondetVar_0;
+ inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$37$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$37$label_1#1;
+
+ inline$BDLGetDebugLevel$37$label_1#1:
+ goto inline$BDLGetDebugLevel$37$Return#1;
+
+ inline$BDLGetDebugLevel$37$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$37$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon35_Then#1, inline$BDLDevicePowerIoCompletion$1$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_62_true#1, inline$BDLDevicePowerIoCompletion$1$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_63#1:
+ goto inline$BDLGetDebugLevel$38$Entry#1;
+
+ inline$BDLGetDebugLevel$38$Entry#1:
+ goto inline$BDLGetDebugLevel$38$start#1;
+
+ inline$BDLGetDebugLevel$38$start#1:
+ goto inline$BDLGetDebugLevel$38$label_3#1;
+
+ inline$BDLGetDebugLevel$38$label_3#1:
+ havoc inline$BDLGetDebugLevel$38$myNondetVar_0;
+ inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$38$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$38$label_1#1;
+
+ inline$BDLGetDebugLevel$38$label_1#1:
+ goto inline$BDLGetDebugLevel$38$Return#1;
+
+ inline$BDLGetDebugLevel$38$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$38$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon36_Then#1, inline$BDLDevicePowerIoCompletion$1$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_72_true#1, inline$BDLDevicePowerIoCompletion$1$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_73#1:
+ goto inline$BDLGetDebugLevel$39$Entry#1;
+
+ inline$BDLGetDebugLevel$39$Entry#1:
+ goto inline$BDLGetDebugLevel$39$start#1;
+
+ inline$BDLGetDebugLevel$39$start#1:
+ goto inline$BDLGetDebugLevel$39$label_3#1;
+
+ inline$BDLGetDebugLevel$39$label_3#1:
+ havoc inline$BDLGetDebugLevel$39$myNondetVar_0;
+ inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$39$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$39$label_1#1;
+
+ inline$BDLGetDebugLevel$39$label_1#1:
+ goto inline$BDLGetDebugLevel$39$Return#1;
+
+ inline$BDLGetDebugLevel$39$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$39$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon37_Then#1, inline$BDLDevicePowerIoCompletion$1$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_82_true#1, inline$BDLDevicePowerIoCompletion$1$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$1$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$1$anon38_Then#1, inline$BDLDevicePowerIoCompletion$1$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$1$anon39_Then#1, inline$BDLDevicePowerIoCompletion$1$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_92#1:
+ goto inline$storm_IoCompleteRequest$3$Entry#1;
+
+ inline$storm_IoCompleteRequest$3$Entry#1:
+ inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$1$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$3$start#1;
+
+ inline$storm_IoCompleteRequest$3$start#1:
+ inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$3$label_3#1;
+
+ inline$storm_IoCompleteRequest$3$label_3#1:
+ call inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$3$label_6#1;
+
+ inline$storm_IoCompleteRequest$3$label_6#1:
+ goto inline$storm_IoCompleteRequest$3$label_6_true#1, inline$storm_IoCompleteRequest$3$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$3$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#1;
+
+ inline$storm_IoCompleteRequest$3$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$3$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$3$label_8#1;
+
+ inline$storm_IoCompleteRequest$3$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon3_Then#1, inline$storm_IoCompleteRequest$3$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$3$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$3$anon1#1;
+
+ inline$storm_IoCompleteRequest$3$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_9#1;
+
+ inline$storm_IoCompleteRequest$3$label_9#1:
+ goto inline$storm_IoCompleteRequest$3$label_9_true#1, inline$storm_IoCompleteRequest$3$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$3$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$3$label_10#1;
+
+ inline$storm_IoCompleteRequest$3$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$3$label_1#1;
+
+ inline$storm_IoCompleteRequest$3$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$3$label_7#1;
+
+ inline$storm_IoCompleteRequest$3$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$3$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$3$label_1#1;
+
+ inline$storm_IoCompleteRequest$3$label_1#1:
+ goto inline$storm_IoCompleteRequest$3$Return#1;
+
+ inline$storm_IoCompleteRequest$3$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$3$Return#1;
+
+ inline$storm_IoCompleteRequest$3$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon40_Then#1, inline$BDLDevicePowerIoCompletion$1$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$1$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$1$anon41_Then#1, inline$BDLDevicePowerIoCompletion$1$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_98#1:
+ goto inline$BDLGetDebugLevel$40$Entry#1;
+
+ inline$BDLGetDebugLevel$40$Entry#1:
+ goto inline$BDLGetDebugLevel$40$start#1;
+
+ inline$BDLGetDebugLevel$40$start#1:
+ goto inline$BDLGetDebugLevel$40$label_3#1;
+
+ inline$BDLGetDebugLevel$40$label_3#1:
+ havoc inline$BDLGetDebugLevel$40$myNondetVar_0;
+ inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$40$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$40$label_1#1;
+
+ inline$BDLGetDebugLevel$40$label_1#1:
+ goto inline$BDLGetDebugLevel$40$Return#1;
+
+ inline$BDLGetDebugLevel$40$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$40$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon42_Then#1, inline$BDLDevicePowerIoCompletion$1$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_101_true#1, inline$BDLDevicePowerIoCompletion$1$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_102#1:
+ goto inline$BDLGetDebugLevel$41$Entry#1;
+
+ inline$BDLGetDebugLevel$41$Entry#1:
+ goto inline$BDLGetDebugLevel$41$start#1;
+
+ inline$BDLGetDebugLevel$41$start#1:
+ goto inline$BDLGetDebugLevel$41$label_3#1;
+
+ inline$BDLGetDebugLevel$41$label_3#1:
+ havoc inline$BDLGetDebugLevel$41$myNondetVar_0;
+ inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$41$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$41$label_1#1;
+
+ inline$BDLGetDebugLevel$41$label_1#1:
+ goto inline$BDLGetDebugLevel$41$Return#1;
+
+ inline$BDLGetDebugLevel$41$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$41$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon43_Then#1, inline$BDLDevicePowerIoCompletion$1$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_111_true#1, inline$BDLDevicePowerIoCompletion$1$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$1$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$1$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$1$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_112#1:
+ goto inline$BDLGetDebugLevel$42$Entry#1;
+
+ inline$BDLGetDebugLevel$42$Entry#1:
+ goto inline$BDLGetDebugLevel$42$start#1;
+
+ inline$BDLGetDebugLevel$42$start#1:
+ goto inline$BDLGetDebugLevel$42$label_3#1;
+
+ inline$BDLGetDebugLevel$42$label_3#1:
+ havoc inline$BDLGetDebugLevel$42$myNondetVar_0;
+ inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$42$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$42$label_1#1;
+
+ inline$BDLGetDebugLevel$42$label_1#1:
+ goto inline$BDLGetDebugLevel$42$Return#1;
+
+ inline$BDLGetDebugLevel$42$Return#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$42$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$1$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$1$anon44_Then#1, inline$BDLDevicePowerIoCompletion$1$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_121_true#1, inline$BDLDevicePowerIoCompletion$1$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$1$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$1$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$1$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_122#1:
+ inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$1$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$1$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$1$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$1$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$1$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$1$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$1$Return#1:
+ inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$1$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$1$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$1$anon13_Then#1, inline$CallCompletionRoutine$1$anon13_Else#1;
+
+ inline$CallCompletionRoutine$1$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$1$anon7#1;
+
+ inline$CallCompletionRoutine$1$anon7#1:
+ goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$1$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$1$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$1$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$1$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$1$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$1$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$start#1:
+ inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$1$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$label_3_true#1, inline$BDLCallDriverCompletionRoutine$1$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$1$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$1$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$1$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_6#1:
+ goto inline$storm_KeSetEvent$1$Entry#1;
+
+ inline$storm_KeSetEvent$1$Entry#1:
+ inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$1$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$1$start#1;
+
+ inline$storm_KeSetEvent$1$start#1:
+ inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$1$label_3#1;
+
+ inline$storm_KeSetEvent$1$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$1$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$1$label_1#1;
+
+ inline$storm_KeSetEvent$1$label_1#1:
+ goto inline$storm_KeSetEvent$1$Return#1;
+
+ inline$storm_KeSetEvent$1$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$1$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$1$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$1$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$1$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$1$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$1$Return#1:
+ inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$1$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$1$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$1$anon12_Then#1, inline$CallCompletionRoutine$1$anon12_Else#1;
+
+ inline$CallCompletionRoutine$1$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$1$anon5#1;
+
+ inline$CallCompletionRoutine$1$anon5#1:
+ goto inline$CallCompletionRoutine$1$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$1$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$1$label_23#1;
+
+ inline$CallCompletionRoutine$1$label_23#1:
+ inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$1$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$1$label_24#1;
+
+ inline$CallCompletionRoutine$1$label_24#1:
+ goto inline$CallCompletionRoutine$1$label_24_true#1, inline$CallCompletionRoutine$1$label_24_false#1;
+
+ inline$CallCompletionRoutine$1$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$1$label_1#1;
+
+ inline$CallCompletionRoutine$1$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$1$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$1$label_25#1;
+
+ inline$CallCompletionRoutine$1$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$1$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$1$label_1#1;
+
+ inline$CallCompletionRoutine$1$label_1#1:
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$1$Return#1;
+
+ inline$CallCompletionRoutine$1$Return#1:
+ goto inline$storm_IoCallDriver$0$label_39$1#1;
+
+ inline$storm_IoCallDriver$0$label_39$1#1:
+ goto inline$storm_IoCallDriver$0$anon15_Then#1, inline$storm_IoCallDriver$0$anon15_Else#1;
+
+ inline$storm_IoCallDriver$0$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$0$anon10#1;
+
+ inline$storm_IoCallDriver$0$anon10#1:
+ goto inline$storm_IoCallDriver$0$label_36#1;
+
+ inline$storm_IoCallDriver$0$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$0$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$0$label_28#1;
+
+ inline$storm_IoCallDriver$0$label_28#1:
+ inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$0$label_42#1;
+
+ inline$storm_IoCallDriver$0$label_42#1:
+ goto inline$storm_IoCallDriver$0$label_43#1;
+
+ inline$storm_IoCallDriver$0$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$0$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$0$label_36#1;
+
+ inline$storm_IoCallDriver$0$label_36#1:
+ inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$0$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$0$label_1#1;
+
+ inline$storm_IoCallDriver$0$label_1#1:
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$0$Return#1;
+
+ inline$storm_IoCallDriver$0$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$ := inline$storm_IoCallDriver$0$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_19$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_19$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon20_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon20_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon20_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon9#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon9#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_42#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_42#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_IoCallDriver$108.29$4$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon20_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_18_true#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0, 22);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_22#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_22#1:
+ call PoStartNextPowerIrp(inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon21_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon21_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon21_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon11#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon11#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_25#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_25#1:
+ goto inline$storm_PoCallDriver$0$Entry#1;
+
+ inline$storm_PoCallDriver$0$Entry#1:
+ inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$pIrp$2$74.24$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$storm_PoCallDriver$0$start#1;
+
+ inline$storm_PoCallDriver$0$start#1:
+ inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8 := inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8_.1;
+ goto inline$storm_PoCallDriver$0$label_3#1;
+
+ inline$storm_PoCallDriver$0$label_3#1:
+ goto inline$storm_IoCallDriver$1$Entry#1;
+
+ inline$storm_IoCallDriver$1$Entry#1:
+ inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$storm_PoCallDriver$0$$Irp$2$496.14$storm_PoCallDriver$8;
+ goto inline$storm_IoCallDriver$1$start#1;
+
+ inline$storm_IoCallDriver$1$start#1:
+ inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$1$label_3#1;
+
+ inline$storm_IoCallDriver$1$label_3#1:
+ goto inline$storm_IoCallDriver$1$label_4#1;
+
+ inline$storm_IoCallDriver$1$label_4#1:
+ goto inline$storm_IoCallDriver$1$label_5#1;
+
+ inline$storm_IoCallDriver$1$label_5#1:
+ goto inline$storm_IoCallDriver$1$label_6#1;
+
+ inline$storm_IoCallDriver$1$label_6#1:
+ call inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$1$label_9#1;
+
+ inline$storm_IoCallDriver$1$label_9#1:
+ goto inline$storm_IoCallDriver$1$label_9_true#1, inline$storm_IoCallDriver$1$label_9_false#1;
+
+ inline$storm_IoCallDriver$1$label_9_false#1:
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$1$label_10#1;
+
+ inline$storm_IoCallDriver$1$label_9_true#1:
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$1$label_13#1;
+
+ inline$storm_IoCallDriver$1$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$1$anon12_Then#1, inline$storm_IoCallDriver$1$anon12_Else#1;
+
+ inline$storm_IoCallDriver$1$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$1$anon3#1;
+
+ inline$storm_IoCallDriver$1$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$1$label_14#1;
+
+ inline$storm_IoCallDriver$1$label_14#1:
+ goto inline$storm_IoCallDriver$1$label_14_true#1, inline$storm_IoCallDriver$1$label_14_false#1;
+
+ inline$storm_IoCallDriver$1$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$1$label_15#1;
+
+ inline$storm_IoCallDriver$1$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$1$label_1#1;
+
+ inline$storm_IoCallDriver$1$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$1$label_10#1;
+
+ inline$storm_IoCallDriver$1$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$2$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$2$Entry#1:
+ inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$2$start#1;
+
+ inline$IoSetNextIrpStackLocation$2$start#1:
+ inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$2$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$2$label_3_true#1, inline$IoSetNextIrpStackLocation$2$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$2$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$2$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_4#1:
+ call inline$IoSetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$2$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_7#1:
+ call inline$IoSetNextIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$2$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$2$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$2$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$2$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_5#1:
+ inline$IoSetNextIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$2$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$2$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$2$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$2$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$2$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$2$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$2$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$2$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$2$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$2$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$2$Return#1;
+
+ inline$IoSetNextIrpStackLocation$2$Return#1:
+ goto inline$storm_IoCallDriver$1$label_10$1#1;
+
+ inline$storm_IoCallDriver$1$label_10$1#1:
+ goto inline$storm_IoCallDriver$1$anon11_Then#1, inline$storm_IoCallDriver$1$anon11_Else#1;
+
+ inline$storm_IoCallDriver$1$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$1$anon1#1;
+
+ inline$storm_IoCallDriver$1$anon1#1:
+ goto inline$storm_IoCallDriver$1$label_18#1;
+
+ inline$storm_IoCallDriver$1$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$14$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$14$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$start#1:
+ inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$14$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$14$label_3_true#1, inline$IoGetCurrentIrpStackLocation$14$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$14$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$14$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$14$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$14$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$14$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$14$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$14$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$14$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$14$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$14$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$14$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$14$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$14$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$14$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$14$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$14$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$14$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$14$Return#1:
+ goto inline$storm_IoCallDriver$1$label_18$1#1;
+
+ inline$storm_IoCallDriver$1$label_18$1#1:
+ goto inline$storm_IoCallDriver$1$anon13_Then#1, inline$storm_IoCallDriver$1$anon13_Else#1;
+
+ inline$storm_IoCallDriver$1$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$1$anon6#1;
+
+ inline$storm_IoCallDriver$1$anon6#1:
+ goto inline$storm_IoCallDriver$1$label_21#1;
+
+ inline$storm_IoCallDriver$1$label_21#1:
+ goto inline$storm_IoCallDriver$1$label_22#1;
+
+ inline$storm_IoCallDriver$1$label_22#1:
+ goto inline$storm_IoCallDriver$1$label_23#1;
+
+ inline$storm_IoCallDriver$1$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$1$label_24#1;
+
+ inline$storm_IoCallDriver$1$label_24#1:
+ call inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$1$label_27#1;
+
+ inline$storm_IoCallDriver$1$label_27#1:
+ goto inline$storm_IoCallDriver$1$label_27_case_0#1, inline$storm_IoCallDriver$1$label_27_case_1#1, inline$storm_IoCallDriver$1$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$1$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$1$label_30#1;
+
+ inline$storm_IoCallDriver$1$label_30#1:
+ inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$1$label_31#1;
+
+ inline$storm_IoCallDriver$1$label_31#1:
+ goto inline$storm_IoCallDriver$1$label_32#1;
+
+ inline$storm_IoCallDriver$1$label_32#1:
+ goto inline$storm_IoCallDriver$1$label_33#1;
+
+ inline$storm_IoCallDriver$1$label_33#1:
+ goto inline$CallCompletionRoutine$2$Entry#1;
+
+ inline$CallCompletionRoutine$2$Entry#1:
+ inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$2$start#1;
+
+ inline$CallCompletionRoutine$2$start#1:
+ inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$2$label_3#1;
+
+ inline$CallCompletionRoutine$2$label_3#1:
+ goto inline$CallCompletionRoutine$2$label_4#1;
+
+ inline$CallCompletionRoutine$2$label_4#1:
+ goto inline$CallCompletionRoutine$2$label_5#1;
+
+ inline$CallCompletionRoutine$2$label_5#1:
+ goto inline$CallCompletionRoutine$2$label_6#1;
+
+ inline$CallCompletionRoutine$2$label_6#1:
+ goto inline$CallCompletionRoutine$2$label_7#1;
+
+ inline$CallCompletionRoutine$2$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$15$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$15$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$start#1:
+ inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$15$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$15$label_3_true#1, inline$IoGetCurrentIrpStackLocation$15$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$15$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$15$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$15$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$15$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$15$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$15$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$15$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$15$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$15$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$15$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$15$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$15$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$15$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$15$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$15$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$15$Return#1:
+ goto inline$CallCompletionRoutine$2$label_7$1#1;
+
+ inline$CallCompletionRoutine$2$label_7$1#1:
+ goto inline$CallCompletionRoutine$2$anon10_Then#1, inline$CallCompletionRoutine$2$anon10_Else#1;
+
+ inline$CallCompletionRoutine$2$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$2$anon1#1;
+
+ inline$CallCompletionRoutine$2$anon1#1:
+ goto inline$CallCompletionRoutine$2$label_10#1;
+
+ inline$CallCompletionRoutine$2$label_10#1:
+ goto inline$CallCompletionRoutine$2$label_11#1;
+
+ inline$CallCompletionRoutine$2$label_11#1:
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$CallCompletionRoutine$2$label_12#1;
+
+ inline$CallCompletionRoutine$2$label_12#1:
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$CallCompletionRoutine$2$label_13#1;
+
+ inline$CallCompletionRoutine$2$label_13#1:
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$CallCompletionRoutine$2$label_14#1;
+
+ inline$CallCompletionRoutine$2$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$16$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$16$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$start#1:
+ inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$16$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$16$label_3_true#1, inline$IoGetCurrentIrpStackLocation$16$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$16$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$16$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$16$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$16$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$16$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$16$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$16$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$16$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$16$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$16$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$16$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$16$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$16$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$16$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$16$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$16$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$16$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$16$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$16$Return#1:
+ goto inline$CallCompletionRoutine$2$label_14$1#1;
+
+ inline$CallCompletionRoutine$2$label_14$1#1:
+ goto inline$CallCompletionRoutine$2$anon11_Then#1, inline$CallCompletionRoutine$2$anon11_Else#1;
+
+ inline$CallCompletionRoutine$2$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$2$anon3#1;
+
+ inline$CallCompletionRoutine$2$anon3#1:
+ goto inline$CallCompletionRoutine$2$label_17#1;
+
+ inline$CallCompletionRoutine$2$label_17#1:
+ goto inline$CallCompletionRoutine$2$label_18#1;
+
+ inline$CallCompletionRoutine$2$label_18#1:
+ goto inline$CallCompletionRoutine$2$label_18_true#1, inline$CallCompletionRoutine$2$label_18_false#1;
+
+ inline$CallCompletionRoutine$2$label_18_false#1:
+ assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$2$label_1#1;
+
+ inline$CallCompletionRoutine$2$label_18_true#1:
+ assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$2$label_19#1;
+
+ inline$CallCompletionRoutine$2$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$2$label_20#1;
+
+ inline$CallCompletionRoutine$2$label_20#1:
+ goto inline$CallCompletionRoutine$2$label_20_icall_1#1, inline$CallCompletionRoutine$2$label_20_icall_2#1, inline$CallCompletionRoutine$2$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$2$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$2$Entry#1:
+ inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$2$start#1;
+
+ inline$BDLSystemPowerIoCompletion$2$start#1:
+ call inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$2$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_4#1:
+ inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_6#1:
+ inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$2$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$18$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$18$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$start#1:
+ inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$18$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$18$label_3_true#1, inline$IoGetCurrentIrpStackLocation$18$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$18$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$18$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$18$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$18$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$18$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$18$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$18$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$18$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$18$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$18$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$18$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$18$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$18$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$18$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$18$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$18$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$18$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$18$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$18$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon36_Then#1, inline$BDLSystemPowerIoCompletion$2$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_15#1:
+ goto inline$BDLGetDebugLevel$64$Entry#1;
+
+ inline$BDLGetDebugLevel$64$Entry#1:
+ goto inline$BDLGetDebugLevel$64$start#1;
+
+ inline$BDLGetDebugLevel$64$start#1:
+ goto inline$BDLGetDebugLevel$64$label_3#1;
+
+ inline$BDLGetDebugLevel$64$label_3#1:
+ havoc inline$BDLGetDebugLevel$64$myNondetVar_0;
+ inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$64$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$64$label_1#1;
+
+ inline$BDLGetDebugLevel$64$label_1#1:
+ goto inline$BDLGetDebugLevel$64$Return#1;
+
+ inline$BDLGetDebugLevel$64$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$64$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon37_Then#1, inline$BDLSystemPowerIoCompletion$2$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_18_true#1, inline$BDLSystemPowerIoCompletion$2$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_19#1:
+ goto inline$BDLGetDebugLevel$65$Entry#1;
+
+ inline$BDLGetDebugLevel$65$Entry#1:
+ goto inline$BDLGetDebugLevel$65$start#1;
+
+ inline$BDLGetDebugLevel$65$start#1:
+ goto inline$BDLGetDebugLevel$65$label_3#1;
+
+ inline$BDLGetDebugLevel$65$label_3#1:
+ havoc inline$BDLGetDebugLevel$65$myNondetVar_0;
+ inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$65$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$65$label_1#1;
+
+ inline$BDLGetDebugLevel$65$label_1#1:
+ goto inline$BDLGetDebugLevel$65$Return#1;
+
+ inline$BDLGetDebugLevel$65$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$65$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon38_Then#1, inline$BDLSystemPowerIoCompletion$2$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_28_true#1, inline$BDLSystemPowerIoCompletion$2$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_29#1:
+ goto inline$BDLGetDebugLevel$66$Entry#1;
+
+ inline$BDLGetDebugLevel$66$Entry#1:
+ goto inline$BDLGetDebugLevel$66$start#1;
+
+ inline$BDLGetDebugLevel$66$start#1:
+ goto inline$BDLGetDebugLevel$66$label_3#1;
+
+ inline$BDLGetDebugLevel$66$label_3#1:
+ havoc inline$BDLGetDebugLevel$66$myNondetVar_0;
+ inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$66$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$66$label_1#1;
+
+ inline$BDLGetDebugLevel$66$label_1#1:
+ goto inline$BDLGetDebugLevel$66$Return#1;
+
+ inline$BDLGetDebugLevel$66$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$66$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon39_Then#1, inline$BDLSystemPowerIoCompletion$2$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_38_true#1, inline$BDLSystemPowerIoCompletion$2$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_39_true#1, inline$BDLSystemPowerIoCompletion$2$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$2$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$2$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$2$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$2$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$2$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_106_true#1, inline$BDLSystemPowerIoCompletion$2$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$2$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$2$myNondetVar_0, inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$2$anon50_Then#1, inline$BDLSystemPowerIoCompletion$2$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_112#1:
+ inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_113_true#1, inline$BDLSystemPowerIoCompletion$2$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$2$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_117#1:
+ goto inline$BDLGetDebugLevel$73$Entry#1;
+
+ inline$BDLGetDebugLevel$73$Entry#1:
+ goto inline$BDLGetDebugLevel$73$start#1;
+
+ inline$BDLGetDebugLevel$73$start#1:
+ goto inline$BDLGetDebugLevel$73$label_3#1;
+
+ inline$BDLGetDebugLevel$73$label_3#1:
+ havoc inline$BDLGetDebugLevel$73$myNondetVar_0;
+ inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$73$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$73$label_1#1;
+
+ inline$BDLGetDebugLevel$73$label_1#1:
+ goto inline$BDLGetDebugLevel$73$Return#1;
+
+ inline$BDLGetDebugLevel$73$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$73$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon51_Then#1, inline$BDLSystemPowerIoCompletion$2$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_120_true#1, inline$BDLSystemPowerIoCompletion$2$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_121#1:
+ goto inline$BDLGetDebugLevel$74$Entry#1;
+
+ inline$BDLGetDebugLevel$74$Entry#1:
+ goto inline$BDLGetDebugLevel$74$start#1;
+
+ inline$BDLGetDebugLevel$74$start#1:
+ goto inline$BDLGetDebugLevel$74$label_3#1;
+
+ inline$BDLGetDebugLevel$74$label_3#1:
+ havoc inline$BDLGetDebugLevel$74$myNondetVar_0;
+ inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$74$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$74$label_1#1;
+
+ inline$BDLGetDebugLevel$74$label_1#1:
+ goto inline$BDLGetDebugLevel$74$Return#1;
+
+ inline$BDLGetDebugLevel$74$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$74$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon52_Then#1, inline$BDLSystemPowerIoCompletion$2$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_130_true#1, inline$BDLSystemPowerIoCompletion$2$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_131#1:
+ goto inline$BDLGetDebugLevel$75$Entry#1;
+
+ inline$BDLGetDebugLevel$75$Entry#1:
+ goto inline$BDLGetDebugLevel$75$start#1;
+
+ inline$BDLGetDebugLevel$75$start#1:
+ goto inline$BDLGetDebugLevel$75$label_3#1;
+
+ inline$BDLGetDebugLevel$75$label_3#1:
+ havoc inline$BDLGetDebugLevel$75$myNondetVar_0;
+ inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$75$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$75$label_1#1;
+
+ inline$BDLGetDebugLevel$75$label_1#1:
+ goto inline$BDLGetDebugLevel$75$Return#1;
+
+ inline$BDLGetDebugLevel$75$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$75$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon53_Then#1, inline$BDLSystemPowerIoCompletion$2$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_140_true#1, inline$BDLSystemPowerIoCompletion$2$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$2$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_115#1:
+ inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$2$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$2$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$2$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_43#1:
+ goto inline$BDLGetDebugLevel$67$Entry#1;
+
+ inline$BDLGetDebugLevel$67$Entry#1:
+ goto inline$BDLGetDebugLevel$67$start#1;
+
+ inline$BDLGetDebugLevel$67$start#1:
+ goto inline$BDLGetDebugLevel$67$label_3#1;
+
+ inline$BDLGetDebugLevel$67$label_3#1:
+ havoc inline$BDLGetDebugLevel$67$myNondetVar_0;
+ inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$67$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$67$label_1#1;
+
+ inline$BDLGetDebugLevel$67$label_1#1:
+ goto inline$BDLGetDebugLevel$67$Return#1;
+
+ inline$BDLGetDebugLevel$67$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$67$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon40_Then#1, inline$BDLSystemPowerIoCompletion$2$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_46_true#1, inline$BDLSystemPowerIoCompletion$2$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_47#1:
+ goto inline$BDLGetDebugLevel$68$Entry#1;
+
+ inline$BDLGetDebugLevel$68$Entry#1:
+ goto inline$BDLGetDebugLevel$68$start#1;
+
+ inline$BDLGetDebugLevel$68$start#1:
+ goto inline$BDLGetDebugLevel$68$label_3#1;
+
+ inline$BDLGetDebugLevel$68$label_3#1:
+ havoc inline$BDLGetDebugLevel$68$myNondetVar_0;
+ inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$68$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$68$label_1#1;
+
+ inline$BDLGetDebugLevel$68$label_1#1:
+ goto inline$BDLGetDebugLevel$68$Return#1;
+
+ inline$BDLGetDebugLevel$68$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$68$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon41_Then#1, inline$BDLSystemPowerIoCompletion$2$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_56_true#1, inline$BDLSystemPowerIoCompletion$2$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_57#1:
+ goto inline$BDLGetDebugLevel$69$Entry#1;
+
+ inline$BDLGetDebugLevel$69$Entry#1:
+ goto inline$BDLGetDebugLevel$69$start#1;
+
+ inline$BDLGetDebugLevel$69$start#1:
+ goto inline$BDLGetDebugLevel$69$label_3#1;
+
+ inline$BDLGetDebugLevel$69$label_3#1:
+ havoc inline$BDLGetDebugLevel$69$myNondetVar_0;
+ inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$69$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$69$label_1#1;
+
+ inline$BDLGetDebugLevel$69$label_1#1:
+ goto inline$BDLGetDebugLevel$69$Return#1;
+
+ inline$BDLGetDebugLevel$69$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$69$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon42_Then#1, inline$BDLSystemPowerIoCompletion$2$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_66_true#1, inline$BDLSystemPowerIoCompletion$2$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$2$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$2$anon43_Then#1, inline$BDLSystemPowerIoCompletion$2$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$2$anon44_Then#1, inline$BDLSystemPowerIoCompletion$2$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_74#1:
+ goto inline$storm_IoCompleteRequest$6$Entry#1;
+
+ inline$storm_IoCompleteRequest$6$Entry#1:
+ inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$2$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$6$start#1;
+
+ inline$storm_IoCompleteRequest$6$start#1:
+ inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$6$label_3#1;
+
+ inline$storm_IoCompleteRequest$6$label_3#1:
+ call inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$6$label_6#1;
+
+ inline$storm_IoCompleteRequest$6$label_6#1:
+ goto inline$storm_IoCompleteRequest$6$label_6_true#1, inline$storm_IoCompleteRequest$6$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$6$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$6$label_7#1;
+
+ inline$storm_IoCompleteRequest$6$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$6$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$6$label_8#1;
+
+ inline$storm_IoCompleteRequest$6$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$6$anon3_Then#1, inline$storm_IoCompleteRequest$6$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$6$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$6$anon1#1;
+
+ inline$storm_IoCompleteRequest$6$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$6$label_9#1;
+
+ inline$storm_IoCompleteRequest$6$label_9#1:
+ goto inline$storm_IoCompleteRequest$6$label_9_true#1, inline$storm_IoCompleteRequest$6$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$6$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$6$label_10#1;
+
+ inline$storm_IoCompleteRequest$6$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$6$label_1#1;
+
+ inline$storm_IoCompleteRequest$6$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$6$label_7#1;
+
+ inline$storm_IoCompleteRequest$6$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$6$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$6$label_1#1;
+
+ inline$storm_IoCompleteRequest$6$label_1#1:
+ goto inline$storm_IoCompleteRequest$6$Return#1;
+
+ inline$storm_IoCompleteRequest$6$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$6$Return#1;
+
+ inline$storm_IoCompleteRequest$6$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon45_Then#1, inline$BDLSystemPowerIoCompletion$2$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$2$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$2$anon46_Then#1, inline$BDLSystemPowerIoCompletion$2$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_80#1:
+ goto inline$BDLGetDebugLevel$70$Entry#1;
+
+ inline$BDLGetDebugLevel$70$Entry#1:
+ goto inline$BDLGetDebugLevel$70$start#1;
+
+ inline$BDLGetDebugLevel$70$start#1:
+ goto inline$BDLGetDebugLevel$70$label_3#1;
+
+ inline$BDLGetDebugLevel$70$label_3#1:
+ havoc inline$BDLGetDebugLevel$70$myNondetVar_0;
+ inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$70$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$70$label_1#1;
+
+ inline$BDLGetDebugLevel$70$label_1#1:
+ goto inline$BDLGetDebugLevel$70$Return#1;
+
+ inline$BDLGetDebugLevel$70$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$70$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon47_Then#1, inline$BDLSystemPowerIoCompletion$2$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_83_true#1, inline$BDLSystemPowerIoCompletion$2$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_84#1:
+ goto inline$BDLGetDebugLevel$71$Entry#1;
+
+ inline$BDLGetDebugLevel$71$Entry#1:
+ goto inline$BDLGetDebugLevel$71$start#1;
+
+ inline$BDLGetDebugLevel$71$start#1:
+ goto inline$BDLGetDebugLevel$71$label_3#1;
+
+ inline$BDLGetDebugLevel$71$label_3#1:
+ havoc inline$BDLGetDebugLevel$71$myNondetVar_0;
+ inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$71$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$71$label_1#1;
+
+ inline$BDLGetDebugLevel$71$label_1#1:
+ goto inline$BDLGetDebugLevel$71$Return#1;
+
+ inline$BDLGetDebugLevel$71$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$71$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon48_Then#1, inline$BDLSystemPowerIoCompletion$2$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_93_true#1, inline$BDLSystemPowerIoCompletion$2$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$2$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$2$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_94#1:
+ goto inline$BDLGetDebugLevel$72$Entry#1;
+
+ inline$BDLGetDebugLevel$72$Entry#1:
+ goto inline$BDLGetDebugLevel$72$start#1;
+
+ inline$BDLGetDebugLevel$72$start#1:
+ goto inline$BDLGetDebugLevel$72$label_3#1;
+
+ inline$BDLGetDebugLevel$72$label_3#1:
+ havoc inline$BDLGetDebugLevel$72$myNondetVar_0;
+ inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$72$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$72$label_1#1;
+
+ inline$BDLGetDebugLevel$72$label_1#1:
+ goto inline$BDLGetDebugLevel$72$Return#1;
+
+ inline$BDLGetDebugLevel$72$Return#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$72$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$2$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$2$anon49_Then#1, inline$BDLSystemPowerIoCompletion$2$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_103_true#1, inline$BDLSystemPowerIoCompletion$2$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$2$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$2$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$2$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_104#1:
+ inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$2$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$2$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$2$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$2$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$2$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$2$Return#1:
+ inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$2$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$2$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$2$anon14_Then#1, inline$CallCompletionRoutine$2$anon14_Else#1;
+
+ inline$CallCompletionRoutine$2$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$2$anon9#1;
+
+ inline$CallCompletionRoutine$2$anon9#1:
+ goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$2$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$2$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$2$Entry#1:
+ inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$2$start#1;
+
+ inline$BDLDevicePowerIoCompletion$2$start#1:
+ call inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$2$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_4#1:
+ inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_6#1:
+ inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$2$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$17$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$17$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$start#1:
+ inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$17$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$17$label_3_true#1, inline$IoGetCurrentIrpStackLocation$17$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$17$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$17$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$17$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$17$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$17$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$17$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$17$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$17$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$17$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$17$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$17$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$17$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$17$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$17$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$17$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$17$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$17$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$17$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$17$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$17$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon30_Then#1, inline$BDLDevicePowerIoCompletion$2$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_13#1:
+ inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_17#1:
+ goto inline$BDLGetDebugLevel$55$Entry#1;
+
+ inline$BDLGetDebugLevel$55$Entry#1:
+ goto inline$BDLGetDebugLevel$55$start#1;
+
+ inline$BDLGetDebugLevel$55$start#1:
+ goto inline$BDLGetDebugLevel$55$label_3#1;
+
+ inline$BDLGetDebugLevel$55$label_3#1:
+ havoc inline$BDLGetDebugLevel$55$myNondetVar_0;
+ inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$55$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$55$label_1#1;
+
+ inline$BDLGetDebugLevel$55$label_1#1:
+ goto inline$BDLGetDebugLevel$55$Return#1;
+
+ inline$BDLGetDebugLevel$55$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$55$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon31_Then#1, inline$BDLDevicePowerIoCompletion$2$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_20_true#1, inline$BDLDevicePowerIoCompletion$2$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_21#1:
+ goto inline$BDLGetDebugLevel$56$Entry#1;
+
+ inline$BDLGetDebugLevel$56$Entry#1:
+ goto inline$BDLGetDebugLevel$56$start#1;
+
+ inline$BDLGetDebugLevel$56$start#1:
+ goto inline$BDLGetDebugLevel$56$label_3#1;
+
+ inline$BDLGetDebugLevel$56$label_3#1:
+ havoc inline$BDLGetDebugLevel$56$myNondetVar_0;
+ inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$56$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$56$label_1#1;
+
+ inline$BDLGetDebugLevel$56$label_1#1:
+ goto inline$BDLGetDebugLevel$56$Return#1;
+
+ inline$BDLGetDebugLevel$56$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$56$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon32_Then#1, inline$BDLDevicePowerIoCompletion$2$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_30_true#1, inline$BDLDevicePowerIoCompletion$2$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_31#1:
+ goto inline$BDLGetDebugLevel$57$Entry#1;
+
+ inline$BDLGetDebugLevel$57$Entry#1:
+ goto inline$BDLGetDebugLevel$57$start#1;
+
+ inline$BDLGetDebugLevel$57$start#1:
+ goto inline$BDLGetDebugLevel$57$label_3#1;
+
+ inline$BDLGetDebugLevel$57$label_3#1:
+ havoc inline$BDLGetDebugLevel$57$myNondetVar_0;
+ inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$57$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$57$label_1#1;
+
+ inline$BDLGetDebugLevel$57$label_1#1:
+ goto inline$BDLGetDebugLevel$57$Return#1;
+
+ inline$BDLGetDebugLevel$57$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$57$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon33_Then#1, inline$BDLDevicePowerIoCompletion$2$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_40_true#1, inline$BDLDevicePowerIoCompletion$2$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_41_true#1, inline$BDLDevicePowerIoCompletion$2$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$2$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$2$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_44_true#1, inline$BDLDevicePowerIoCompletion$2$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_45_true#1, inline$BDLDevicePowerIoCompletion$2$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$2$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$2$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$2$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_54#1:
+ inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$2$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_55_true#1, inline$BDLDevicePowerIoCompletion$2$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$2$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$2$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$2$anon34_Then#1, inline$BDLDevicePowerIoCompletion$2$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_59#1:
+ goto inline$BDLGetDebugLevel$58$Entry#1;
+
+ inline$BDLGetDebugLevel$58$Entry#1:
+ goto inline$BDLGetDebugLevel$58$start#1;
+
+ inline$BDLGetDebugLevel$58$start#1:
+ goto inline$BDLGetDebugLevel$58$label_3#1;
+
+ inline$BDLGetDebugLevel$58$label_3#1:
+ havoc inline$BDLGetDebugLevel$58$myNondetVar_0;
+ inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$58$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$58$label_1#1;
+
+ inline$BDLGetDebugLevel$58$label_1#1:
+ goto inline$BDLGetDebugLevel$58$Return#1;
+
+ inline$BDLGetDebugLevel$58$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$58$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon35_Then#1, inline$BDLDevicePowerIoCompletion$2$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_62_true#1, inline$BDLDevicePowerIoCompletion$2$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_63#1:
+ goto inline$BDLGetDebugLevel$59$Entry#1;
+
+ inline$BDLGetDebugLevel$59$Entry#1:
+ goto inline$BDLGetDebugLevel$59$start#1;
+
+ inline$BDLGetDebugLevel$59$start#1:
+ goto inline$BDLGetDebugLevel$59$label_3#1;
+
+ inline$BDLGetDebugLevel$59$label_3#1:
+ havoc inline$BDLGetDebugLevel$59$myNondetVar_0;
+ inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$59$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$59$label_1#1;
+
+ inline$BDLGetDebugLevel$59$label_1#1:
+ goto inline$BDLGetDebugLevel$59$Return#1;
+
+ inline$BDLGetDebugLevel$59$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$59$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon36_Then#1, inline$BDLDevicePowerIoCompletion$2$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_72_true#1, inline$BDLDevicePowerIoCompletion$2$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_73#1:
+ goto inline$BDLGetDebugLevel$60$Entry#1;
+
+ inline$BDLGetDebugLevel$60$Entry#1:
+ goto inline$BDLGetDebugLevel$60$start#1;
+
+ inline$BDLGetDebugLevel$60$start#1:
+ goto inline$BDLGetDebugLevel$60$label_3#1;
+
+ inline$BDLGetDebugLevel$60$label_3#1:
+ havoc inline$BDLGetDebugLevel$60$myNondetVar_0;
+ inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$60$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$60$label_1#1;
+
+ inline$BDLGetDebugLevel$60$label_1#1:
+ goto inline$BDLGetDebugLevel$60$Return#1;
+
+ inline$BDLGetDebugLevel$60$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$60$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon37_Then#1, inline$BDLDevicePowerIoCompletion$2$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_82_true#1, inline$BDLDevicePowerIoCompletion$2$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$2$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$2$anon38_Then#1, inline$BDLDevicePowerIoCompletion$2$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$2$anon39_Then#1, inline$BDLDevicePowerIoCompletion$2$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_92#1:
+ goto inline$storm_IoCompleteRequest$5$Entry#1;
+
+ inline$storm_IoCompleteRequest$5$Entry#1:
+ inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$2$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$5$start#1;
+
+ inline$storm_IoCompleteRequest$5$start#1:
+ inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$5$label_3#1;
+
+ inline$storm_IoCompleteRequest$5$label_3#1:
+ call inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$5$label_6#1;
+
+ inline$storm_IoCompleteRequest$5$label_6#1:
+ goto inline$storm_IoCompleteRequest$5$label_6_true#1, inline$storm_IoCompleteRequest$5$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$5$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$5$label_7#1;
+
+ inline$storm_IoCompleteRequest$5$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$5$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$5$label_8#1;
+
+ inline$storm_IoCompleteRequest$5$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$5$anon3_Then#1, inline$storm_IoCompleteRequest$5$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$5$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$5$anon1#1;
+
+ inline$storm_IoCompleteRequest$5$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$5$label_9#1;
+
+ inline$storm_IoCompleteRequest$5$label_9#1:
+ goto inline$storm_IoCompleteRequest$5$label_9_true#1, inline$storm_IoCompleteRequest$5$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$5$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$5$label_10#1;
+
+ inline$storm_IoCompleteRequest$5$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$5$label_1#1;
+
+ inline$storm_IoCompleteRequest$5$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$5$label_7#1;
+
+ inline$storm_IoCompleteRequest$5$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$5$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$5$label_1#1;
+
+ inline$storm_IoCompleteRequest$5$label_1#1:
+ goto inline$storm_IoCompleteRequest$5$Return#1;
+
+ inline$storm_IoCompleteRequest$5$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$5$Return#1;
+
+ inline$storm_IoCompleteRequest$5$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon40_Then#1, inline$BDLDevicePowerIoCompletion$2$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$2$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$2$anon41_Then#1, inline$BDLDevicePowerIoCompletion$2$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_98#1:
+ goto inline$BDLGetDebugLevel$61$Entry#1;
+
+ inline$BDLGetDebugLevel$61$Entry#1:
+ goto inline$BDLGetDebugLevel$61$start#1;
+
+ inline$BDLGetDebugLevel$61$start#1:
+ goto inline$BDLGetDebugLevel$61$label_3#1;
+
+ inline$BDLGetDebugLevel$61$label_3#1:
+ havoc inline$BDLGetDebugLevel$61$myNondetVar_0;
+ inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$61$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$61$label_1#1;
+
+ inline$BDLGetDebugLevel$61$label_1#1:
+ goto inline$BDLGetDebugLevel$61$Return#1;
+
+ inline$BDLGetDebugLevel$61$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$61$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon42_Then#1, inline$BDLDevicePowerIoCompletion$2$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_101_true#1, inline$BDLDevicePowerIoCompletion$2$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_102#1:
+ goto inline$BDLGetDebugLevel$62$Entry#1;
+
+ inline$BDLGetDebugLevel$62$Entry#1:
+ goto inline$BDLGetDebugLevel$62$start#1;
+
+ inline$BDLGetDebugLevel$62$start#1:
+ goto inline$BDLGetDebugLevel$62$label_3#1;
+
+ inline$BDLGetDebugLevel$62$label_3#1:
+ havoc inline$BDLGetDebugLevel$62$myNondetVar_0;
+ inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$62$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$62$label_1#1;
+
+ inline$BDLGetDebugLevel$62$label_1#1:
+ goto inline$BDLGetDebugLevel$62$Return#1;
+
+ inline$BDLGetDebugLevel$62$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$62$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon43_Then#1, inline$BDLDevicePowerIoCompletion$2$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_111_true#1, inline$BDLDevicePowerIoCompletion$2$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$2$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$2$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_112#1:
+ goto inline$BDLGetDebugLevel$63$Entry#1;
+
+ inline$BDLGetDebugLevel$63$Entry#1:
+ goto inline$BDLGetDebugLevel$63$start#1;
+
+ inline$BDLGetDebugLevel$63$start#1:
+ goto inline$BDLGetDebugLevel$63$label_3#1;
+
+ inline$BDLGetDebugLevel$63$label_3#1:
+ havoc inline$BDLGetDebugLevel$63$myNondetVar_0;
+ inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$63$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$63$label_1#1;
+
+ inline$BDLGetDebugLevel$63$label_1#1:
+ goto inline$BDLGetDebugLevel$63$Return#1;
+
+ inline$BDLGetDebugLevel$63$Return#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$63$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$2$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$2$anon44_Then#1, inline$BDLDevicePowerIoCompletion$2$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_121_true#1, inline$BDLDevicePowerIoCompletion$2$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$2$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$2$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$2$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_122#1:
+ inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$2$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$2$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$2$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$2$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$2$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$2$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$2$Return#1:
+ inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$2$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$2$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$2$anon13_Then#1, inline$CallCompletionRoutine$2$anon13_Else#1;
+
+ inline$CallCompletionRoutine$2$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$2$anon7#1;
+
+ inline$CallCompletionRoutine$2$anon7#1:
+ goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$2$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$2$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$2$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$2$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$2$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$2$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$start#1:
+ inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$2$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$label_3_true#1, inline$BDLCallDriverCompletionRoutine$2$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$2$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$2$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$2$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_6#1:
+ goto inline$storm_KeSetEvent$2$Entry#1;
+
+ inline$storm_KeSetEvent$2$Entry#1:
+ inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$2$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$2$start#1;
+
+ inline$storm_KeSetEvent$2$start#1:
+ inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$2$label_3#1;
+
+ inline$storm_KeSetEvent$2$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$2$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$2$label_1#1;
+
+ inline$storm_KeSetEvent$2$label_1#1:
+ goto inline$storm_KeSetEvent$2$Return#1;
+
+ inline$storm_KeSetEvent$2$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$2$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$2$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$2$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$2$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$2$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$2$Return#1:
+ inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$2$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$2$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$2$anon12_Then#1, inline$CallCompletionRoutine$2$anon12_Else#1;
+
+ inline$CallCompletionRoutine$2$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$2$anon5#1;
+
+ inline$CallCompletionRoutine$2$anon5#1:
+ goto inline$CallCompletionRoutine$2$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$2$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$2$label_23#1;
+
+ inline$CallCompletionRoutine$2$label_23#1:
+ inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$2$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$2$label_24#1;
+
+ inline$CallCompletionRoutine$2$label_24#1:
+ goto inline$CallCompletionRoutine$2$label_24_true#1, inline$CallCompletionRoutine$2$label_24_false#1;
+
+ inline$CallCompletionRoutine$2$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$2$label_1#1;
+
+ inline$CallCompletionRoutine$2$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$2$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$2$label_25#1;
+
+ inline$CallCompletionRoutine$2$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$2$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$2$label_1#1;
+
+ inline$CallCompletionRoutine$2$label_1#1:
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$2$Return#1;
+
+ inline$CallCompletionRoutine$2$Return#1:
+ goto inline$storm_IoCallDriver$1$label_33$1#1;
+
+ inline$storm_IoCallDriver$1$label_33$1#1:
+ goto inline$storm_IoCallDriver$1$anon14_Then#1, inline$storm_IoCallDriver$1$anon14_Else#1;
+
+ inline$storm_IoCallDriver$1$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$1$anon8#1;
+
+ inline$storm_IoCallDriver$1$anon8#1:
+ goto inline$storm_IoCallDriver$1$label_36#1;
+
+ inline$storm_IoCallDriver$1$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$1$label_29#1;
+
+ inline$storm_IoCallDriver$1$label_29#1:
+ inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$1$label_37#1;
+
+ inline$storm_IoCallDriver$1$label_37#1:
+ goto inline$storm_IoCallDriver$1$label_38#1;
+
+ inline$storm_IoCallDriver$1$label_38#1:
+ goto inline$storm_IoCallDriver$1$label_39#1;
+
+ inline$storm_IoCallDriver$1$label_39#1:
+ goto inline$CallCompletionRoutine$3$Entry#1;
+
+ inline$CallCompletionRoutine$3$Entry#1:
+ inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$3$start#1;
+
+ inline$CallCompletionRoutine$3$start#1:
+ inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$3$label_3#1;
+
+ inline$CallCompletionRoutine$3$label_3#1:
+ goto inline$CallCompletionRoutine$3$label_4#1;
+
+ inline$CallCompletionRoutine$3$label_4#1:
+ goto inline$CallCompletionRoutine$3$label_5#1;
+
+ inline$CallCompletionRoutine$3$label_5#1:
+ goto inline$CallCompletionRoutine$3$label_6#1;
+
+ inline$CallCompletionRoutine$3$label_6#1:
+ goto inline$CallCompletionRoutine$3$label_7#1;
+
+ inline$CallCompletionRoutine$3$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$19$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$19$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$start#1:
+ inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$19$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$19$label_3_true#1, inline$IoGetCurrentIrpStackLocation$19$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$19$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$19$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$19$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$19$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$19$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$19$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$19$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$19$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$19$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$19$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$19$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$19$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$19$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$19$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$19$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$19$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$19$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$19$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$19$Return#1:
+ goto inline$CallCompletionRoutine$3$label_7$1#1;
+
+ inline$CallCompletionRoutine$3$label_7$1#1:
+ goto inline$CallCompletionRoutine$3$anon10_Then#1, inline$CallCompletionRoutine$3$anon10_Else#1;
+
+ inline$CallCompletionRoutine$3$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$3$anon1#1;
+
+ inline$CallCompletionRoutine$3$anon1#1:
+ goto inline$CallCompletionRoutine$3$label_10#1;
+
+ inline$CallCompletionRoutine$3$label_10#1:
+ goto inline$CallCompletionRoutine$3$label_11#1;
+
+ inline$CallCompletionRoutine$3$label_11#1:
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$CallCompletionRoutine$3$label_12#1;
+
+ inline$CallCompletionRoutine$3$label_12#1:
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$CallCompletionRoutine$3$label_13#1;
+
+ inline$CallCompletionRoutine$3$label_13#1:
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$CallCompletionRoutine$3$label_14#1;
+
+ inline$CallCompletionRoutine$3$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$20$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$20$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$start#1:
+ inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$20$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$20$label_3_true#1, inline$IoGetCurrentIrpStackLocation$20$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$20$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$20$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$20$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$20$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$20$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$20$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$20$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$20$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$20$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$20$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$20$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$20$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$20$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$20$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$20$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$20$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$20$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$20$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$20$Return#1:
+ goto inline$CallCompletionRoutine$3$label_14$1#1;
+
+ inline$CallCompletionRoutine$3$label_14$1#1:
+ goto inline$CallCompletionRoutine$3$anon11_Then#1, inline$CallCompletionRoutine$3$anon11_Else#1;
+
+ inline$CallCompletionRoutine$3$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$3$anon3#1;
+
+ inline$CallCompletionRoutine$3$anon3#1:
+ goto inline$CallCompletionRoutine$3$label_17#1;
+
+ inline$CallCompletionRoutine$3$label_17#1:
+ goto inline$CallCompletionRoutine$3$label_18#1;
+
+ inline$CallCompletionRoutine$3$label_18#1:
+ goto inline$CallCompletionRoutine$3$label_18_true#1, inline$CallCompletionRoutine$3$label_18_false#1;
+
+ inline$CallCompletionRoutine$3$label_18_false#1:
+ assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$3$label_1#1;
+
+ inline$CallCompletionRoutine$3$label_18_true#1:
+ assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$3$label_19#1;
+
+ inline$CallCompletionRoutine$3$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$3$label_20#1;
+
+ inline$CallCompletionRoutine$3$label_20#1:
+ goto inline$CallCompletionRoutine$3$label_20_icall_1#1, inline$CallCompletionRoutine$3$label_20_icall_2#1, inline$CallCompletionRoutine$3$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$3$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$3$Entry#1:
+ inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$3$start#1;
+
+ inline$BDLSystemPowerIoCompletion$3$start#1:
+ call inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$3$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_4#1:
+ inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_6#1:
+ inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$3$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$22$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$22$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$start#1:
+ inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$22$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$22$label_3_true#1, inline$IoGetCurrentIrpStackLocation$22$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$22$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$22$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$22$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$22$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$22$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$22$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$22$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$22$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$22$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$22$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$22$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$22$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$22$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$22$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$22$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$22$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$22$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$22$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$22$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon36_Then#1, inline$BDLSystemPowerIoCompletion$3$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_15#1:
+ goto inline$BDLGetDebugLevel$85$Entry#1;
+
+ inline$BDLGetDebugLevel$85$Entry#1:
+ goto inline$BDLGetDebugLevel$85$start#1;
+
+ inline$BDLGetDebugLevel$85$start#1:
+ goto inline$BDLGetDebugLevel$85$label_3#1;
+
+ inline$BDLGetDebugLevel$85$label_3#1:
+ havoc inline$BDLGetDebugLevel$85$myNondetVar_0;
+ inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$85$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$85$label_1#1;
+
+ inline$BDLGetDebugLevel$85$label_1#1:
+ goto inline$BDLGetDebugLevel$85$Return#1;
+
+ inline$BDLGetDebugLevel$85$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$85$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon37_Then#1, inline$BDLSystemPowerIoCompletion$3$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_18_true#1, inline$BDLSystemPowerIoCompletion$3$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_19#1:
+ goto inline$BDLGetDebugLevel$86$Entry#1;
+
+ inline$BDLGetDebugLevel$86$Entry#1:
+ goto inline$BDLGetDebugLevel$86$start#1;
+
+ inline$BDLGetDebugLevel$86$start#1:
+ goto inline$BDLGetDebugLevel$86$label_3#1;
+
+ inline$BDLGetDebugLevel$86$label_3#1:
+ havoc inline$BDLGetDebugLevel$86$myNondetVar_0;
+ inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$86$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$86$label_1#1;
+
+ inline$BDLGetDebugLevel$86$label_1#1:
+ goto inline$BDLGetDebugLevel$86$Return#1;
+
+ inline$BDLGetDebugLevel$86$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$86$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon38_Then#1, inline$BDLSystemPowerIoCompletion$3$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_28_true#1, inline$BDLSystemPowerIoCompletion$3$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_29#1:
+ goto inline$BDLGetDebugLevel$87$Entry#1;
+
+ inline$BDLGetDebugLevel$87$Entry#1:
+ goto inline$BDLGetDebugLevel$87$start#1;
+
+ inline$BDLGetDebugLevel$87$start#1:
+ goto inline$BDLGetDebugLevel$87$label_3#1;
+
+ inline$BDLGetDebugLevel$87$label_3#1:
+ havoc inline$BDLGetDebugLevel$87$myNondetVar_0;
+ inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$87$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$87$label_1#1;
+
+ inline$BDLGetDebugLevel$87$label_1#1:
+ goto inline$BDLGetDebugLevel$87$Return#1;
+
+ inline$BDLGetDebugLevel$87$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$87$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon39_Then#1, inline$BDLSystemPowerIoCompletion$3$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_38_true#1, inline$BDLSystemPowerIoCompletion$3$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_39_true#1, inline$BDLSystemPowerIoCompletion$3$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$3$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$3$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$3$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$3$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$3$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_106_true#1, inline$BDLSystemPowerIoCompletion$3$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$3$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$3$myNondetVar_0, inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$3$anon50_Then#1, inline$BDLSystemPowerIoCompletion$3$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_112#1:
+ inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_113_true#1, inline$BDLSystemPowerIoCompletion$3$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$3$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_117#1:
+ goto inline$BDLGetDebugLevel$94$Entry#1;
+
+ inline$BDLGetDebugLevel$94$Entry#1:
+ goto inline$BDLGetDebugLevel$94$start#1;
+
+ inline$BDLGetDebugLevel$94$start#1:
+ goto inline$BDLGetDebugLevel$94$label_3#1;
+
+ inline$BDLGetDebugLevel$94$label_3#1:
+ havoc inline$BDLGetDebugLevel$94$myNondetVar_0;
+ inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$94$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$94$label_1#1;
+
+ inline$BDLGetDebugLevel$94$label_1#1:
+ goto inline$BDLGetDebugLevel$94$Return#1;
+
+ inline$BDLGetDebugLevel$94$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$94$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon51_Then#1, inline$BDLSystemPowerIoCompletion$3$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_120_true#1, inline$BDLSystemPowerIoCompletion$3$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_121#1:
+ goto inline$BDLGetDebugLevel$95$Entry#1;
+
+ inline$BDLGetDebugLevel$95$Entry#1:
+ goto inline$BDLGetDebugLevel$95$start#1;
+
+ inline$BDLGetDebugLevel$95$start#1:
+ goto inline$BDLGetDebugLevel$95$label_3#1;
+
+ inline$BDLGetDebugLevel$95$label_3#1:
+ havoc inline$BDLGetDebugLevel$95$myNondetVar_0;
+ inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$95$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$95$label_1#1;
+
+ inline$BDLGetDebugLevel$95$label_1#1:
+ goto inline$BDLGetDebugLevel$95$Return#1;
+
+ inline$BDLGetDebugLevel$95$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$95$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon52_Then#1, inline$BDLSystemPowerIoCompletion$3$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_130_true#1, inline$BDLSystemPowerIoCompletion$3$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_131#1:
+ goto inline$BDLGetDebugLevel$96$Entry#1;
+
+ inline$BDLGetDebugLevel$96$Entry#1:
+ goto inline$BDLGetDebugLevel$96$start#1;
+
+ inline$BDLGetDebugLevel$96$start#1:
+ goto inline$BDLGetDebugLevel$96$label_3#1;
+
+ inline$BDLGetDebugLevel$96$label_3#1:
+ havoc inline$BDLGetDebugLevel$96$myNondetVar_0;
+ inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$96$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$96$label_1#1;
+
+ inline$BDLGetDebugLevel$96$label_1#1:
+ goto inline$BDLGetDebugLevel$96$Return#1;
+
+ inline$BDLGetDebugLevel$96$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$96$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon53_Then#1, inline$BDLSystemPowerIoCompletion$3$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_140_true#1, inline$BDLSystemPowerIoCompletion$3$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$3$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_115#1:
+ inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$3$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$3$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$3$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_43#1:
+ goto inline$BDLGetDebugLevel$88$Entry#1;
+
+ inline$BDLGetDebugLevel$88$Entry#1:
+ goto inline$BDLGetDebugLevel$88$start#1;
+
+ inline$BDLGetDebugLevel$88$start#1:
+ goto inline$BDLGetDebugLevel$88$label_3#1;
+
+ inline$BDLGetDebugLevel$88$label_3#1:
+ havoc inline$BDLGetDebugLevel$88$myNondetVar_0;
+ inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$88$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$88$label_1#1;
+
+ inline$BDLGetDebugLevel$88$label_1#1:
+ goto inline$BDLGetDebugLevel$88$Return#1;
+
+ inline$BDLGetDebugLevel$88$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$88$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon40_Then#1, inline$BDLSystemPowerIoCompletion$3$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_46_true#1, inline$BDLSystemPowerIoCompletion$3$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_47#1:
+ goto inline$BDLGetDebugLevel$89$Entry#1;
+
+ inline$BDLGetDebugLevel$89$Entry#1:
+ goto inline$BDLGetDebugLevel$89$start#1;
+
+ inline$BDLGetDebugLevel$89$start#1:
+ goto inline$BDLGetDebugLevel$89$label_3#1;
+
+ inline$BDLGetDebugLevel$89$label_3#1:
+ havoc inline$BDLGetDebugLevel$89$myNondetVar_0;
+ inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$89$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$89$label_1#1;
+
+ inline$BDLGetDebugLevel$89$label_1#1:
+ goto inline$BDLGetDebugLevel$89$Return#1;
+
+ inline$BDLGetDebugLevel$89$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$89$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon41_Then#1, inline$BDLSystemPowerIoCompletion$3$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_56_true#1, inline$BDLSystemPowerIoCompletion$3$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_57#1:
+ goto inline$BDLGetDebugLevel$90$Entry#1;
+
+ inline$BDLGetDebugLevel$90$Entry#1:
+ goto inline$BDLGetDebugLevel$90$start#1;
+
+ inline$BDLGetDebugLevel$90$start#1:
+ goto inline$BDLGetDebugLevel$90$label_3#1;
+
+ inline$BDLGetDebugLevel$90$label_3#1:
+ havoc inline$BDLGetDebugLevel$90$myNondetVar_0;
+ inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$90$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$90$label_1#1;
+
+ inline$BDLGetDebugLevel$90$label_1#1:
+ goto inline$BDLGetDebugLevel$90$Return#1;
+
+ inline$BDLGetDebugLevel$90$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$90$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon42_Then#1, inline$BDLSystemPowerIoCompletion$3$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_66_true#1, inline$BDLSystemPowerIoCompletion$3$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$3$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$3$anon43_Then#1, inline$BDLSystemPowerIoCompletion$3$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$3$anon44_Then#1, inline$BDLSystemPowerIoCompletion$3$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_74#1:
+ goto inline$storm_IoCompleteRequest$8$Entry#1;
+
+ inline$storm_IoCompleteRequest$8$Entry#1:
+ inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$3$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$8$start#1;
+
+ inline$storm_IoCompleteRequest$8$start#1:
+ inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$8$label_3#1;
+
+ inline$storm_IoCompleteRequest$8$label_3#1:
+ call inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$8$label_6#1;
+
+ inline$storm_IoCompleteRequest$8$label_6#1:
+ goto inline$storm_IoCompleteRequest$8$label_6_true#1, inline$storm_IoCompleteRequest$8$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$8$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$8$label_7#1;
+
+ inline$storm_IoCompleteRequest$8$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$8$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$8$label_8#1;
+
+ inline$storm_IoCompleteRequest$8$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$8$anon3_Then#1, inline$storm_IoCompleteRequest$8$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$8$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$8$anon1#1;
+
+ inline$storm_IoCompleteRequest$8$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$8$label_9#1;
+
+ inline$storm_IoCompleteRequest$8$label_9#1:
+ goto inline$storm_IoCompleteRequest$8$label_9_true#1, inline$storm_IoCompleteRequest$8$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$8$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$8$label_10#1;
+
+ inline$storm_IoCompleteRequest$8$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$8$label_1#1;
+
+ inline$storm_IoCompleteRequest$8$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$8$label_7#1;
+
+ inline$storm_IoCompleteRequest$8$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$8$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$8$label_1#1;
+
+ inline$storm_IoCompleteRequest$8$label_1#1:
+ goto inline$storm_IoCompleteRequest$8$Return#1;
+
+ inline$storm_IoCompleteRequest$8$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$8$Return#1;
+
+ inline$storm_IoCompleteRequest$8$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon45_Then#1, inline$BDLSystemPowerIoCompletion$3$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$3$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$3$anon46_Then#1, inline$BDLSystemPowerIoCompletion$3$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_80#1:
+ goto inline$BDLGetDebugLevel$91$Entry#1;
+
+ inline$BDLGetDebugLevel$91$Entry#1:
+ goto inline$BDLGetDebugLevel$91$start#1;
+
+ inline$BDLGetDebugLevel$91$start#1:
+ goto inline$BDLGetDebugLevel$91$label_3#1;
+
+ inline$BDLGetDebugLevel$91$label_3#1:
+ havoc inline$BDLGetDebugLevel$91$myNondetVar_0;
+ inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$91$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$91$label_1#1;
+
+ inline$BDLGetDebugLevel$91$label_1#1:
+ goto inline$BDLGetDebugLevel$91$Return#1;
+
+ inline$BDLGetDebugLevel$91$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$91$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon47_Then#1, inline$BDLSystemPowerIoCompletion$3$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_83_true#1, inline$BDLSystemPowerIoCompletion$3$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_84#1:
+ goto inline$BDLGetDebugLevel$92$Entry#1;
+
+ inline$BDLGetDebugLevel$92$Entry#1:
+ goto inline$BDLGetDebugLevel$92$start#1;
+
+ inline$BDLGetDebugLevel$92$start#1:
+ goto inline$BDLGetDebugLevel$92$label_3#1;
+
+ inline$BDLGetDebugLevel$92$label_3#1:
+ havoc inline$BDLGetDebugLevel$92$myNondetVar_0;
+ inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$92$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$92$label_1#1;
+
+ inline$BDLGetDebugLevel$92$label_1#1:
+ goto inline$BDLGetDebugLevel$92$Return#1;
+
+ inline$BDLGetDebugLevel$92$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$92$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon48_Then#1, inline$BDLSystemPowerIoCompletion$3$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_93_true#1, inline$BDLSystemPowerIoCompletion$3$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$3$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$3$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_94#1:
+ goto inline$BDLGetDebugLevel$93$Entry#1;
+
+ inline$BDLGetDebugLevel$93$Entry#1:
+ goto inline$BDLGetDebugLevel$93$start#1;
+
+ inline$BDLGetDebugLevel$93$start#1:
+ goto inline$BDLGetDebugLevel$93$label_3#1;
+
+ inline$BDLGetDebugLevel$93$label_3#1:
+ havoc inline$BDLGetDebugLevel$93$myNondetVar_0;
+ inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$93$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$93$label_1#1;
+
+ inline$BDLGetDebugLevel$93$label_1#1:
+ goto inline$BDLGetDebugLevel$93$Return#1;
+
+ inline$BDLGetDebugLevel$93$Return#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$93$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$3$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$3$anon49_Then#1, inline$BDLSystemPowerIoCompletion$3$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_103_true#1, inline$BDLSystemPowerIoCompletion$3$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$3$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$3$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$3$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_104#1:
+ inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$3$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$3$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$3$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$3$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$3$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$3$Return#1:
+ inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$3$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$3$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$3$anon14_Then#1, inline$CallCompletionRoutine$3$anon14_Else#1;
+
+ inline$CallCompletionRoutine$3$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$3$anon9#1;
+
+ inline$CallCompletionRoutine$3$anon9#1:
+ goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$3$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$3$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$3$Entry#1:
+ inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$3$start#1;
+
+ inline$BDLDevicePowerIoCompletion$3$start#1:
+ call inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$3$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_4#1:
+ inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_6#1:
+ inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$3$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$21$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$21$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$start#1:
+ inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$21$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$21$label_3_true#1, inline$IoGetCurrentIrpStackLocation$21$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$21$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$21$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$21$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$21$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$21$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$21$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$21$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$21$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$21$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$21$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$21$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$21$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$21$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$21$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$21$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$21$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$21$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$21$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$21$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$21$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon30_Then#1, inline$BDLDevicePowerIoCompletion$3$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_13#1:
+ inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_17#1:
+ goto inline$BDLGetDebugLevel$76$Entry#1;
+
+ inline$BDLGetDebugLevel$76$Entry#1:
+ goto inline$BDLGetDebugLevel$76$start#1;
+
+ inline$BDLGetDebugLevel$76$start#1:
+ goto inline$BDLGetDebugLevel$76$label_3#1;
+
+ inline$BDLGetDebugLevel$76$label_3#1:
+ havoc inline$BDLGetDebugLevel$76$myNondetVar_0;
+ inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$76$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$76$label_1#1;
+
+ inline$BDLGetDebugLevel$76$label_1#1:
+ goto inline$BDLGetDebugLevel$76$Return#1;
+
+ inline$BDLGetDebugLevel$76$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$76$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon31_Then#1, inline$BDLDevicePowerIoCompletion$3$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_20_true#1, inline$BDLDevicePowerIoCompletion$3$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_21#1:
+ goto inline$BDLGetDebugLevel$77$Entry#1;
+
+ inline$BDLGetDebugLevel$77$Entry#1:
+ goto inline$BDLGetDebugLevel$77$start#1;
+
+ inline$BDLGetDebugLevel$77$start#1:
+ goto inline$BDLGetDebugLevel$77$label_3#1;
+
+ inline$BDLGetDebugLevel$77$label_3#1:
+ havoc inline$BDLGetDebugLevel$77$myNondetVar_0;
+ inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$77$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$77$label_1#1;
+
+ inline$BDLGetDebugLevel$77$label_1#1:
+ goto inline$BDLGetDebugLevel$77$Return#1;
+
+ inline$BDLGetDebugLevel$77$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$77$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon32_Then#1, inline$BDLDevicePowerIoCompletion$3$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_30_true#1, inline$BDLDevicePowerIoCompletion$3$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_31#1:
+ goto inline$BDLGetDebugLevel$78$Entry#1;
+
+ inline$BDLGetDebugLevel$78$Entry#1:
+ goto inline$BDLGetDebugLevel$78$start#1;
+
+ inline$BDLGetDebugLevel$78$start#1:
+ goto inline$BDLGetDebugLevel$78$label_3#1;
+
+ inline$BDLGetDebugLevel$78$label_3#1:
+ havoc inline$BDLGetDebugLevel$78$myNondetVar_0;
+ inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$78$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$78$label_1#1;
+
+ inline$BDLGetDebugLevel$78$label_1#1:
+ goto inline$BDLGetDebugLevel$78$Return#1;
+
+ inline$BDLGetDebugLevel$78$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$78$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon33_Then#1, inline$BDLDevicePowerIoCompletion$3$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_40_true#1, inline$BDLDevicePowerIoCompletion$3$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_41_true#1, inline$BDLDevicePowerIoCompletion$3$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$3$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$3$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_44_true#1, inline$BDLDevicePowerIoCompletion$3$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_45_true#1, inline$BDLDevicePowerIoCompletion$3$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$3$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$3$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$3$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_54#1:
+ inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$3$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_55_true#1, inline$BDLDevicePowerIoCompletion$3$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$3$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$3$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$3$anon34_Then#1, inline$BDLDevicePowerIoCompletion$3$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_59#1:
+ goto inline$BDLGetDebugLevel$79$Entry#1;
+
+ inline$BDLGetDebugLevel$79$Entry#1:
+ goto inline$BDLGetDebugLevel$79$start#1;
+
+ inline$BDLGetDebugLevel$79$start#1:
+ goto inline$BDLGetDebugLevel$79$label_3#1;
+
+ inline$BDLGetDebugLevel$79$label_3#1:
+ havoc inline$BDLGetDebugLevel$79$myNondetVar_0;
+ inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$79$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$79$label_1#1;
+
+ inline$BDLGetDebugLevel$79$label_1#1:
+ goto inline$BDLGetDebugLevel$79$Return#1;
+
+ inline$BDLGetDebugLevel$79$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$79$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon35_Then#1, inline$BDLDevicePowerIoCompletion$3$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_62_true#1, inline$BDLDevicePowerIoCompletion$3$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_63#1:
+ goto inline$BDLGetDebugLevel$80$Entry#1;
+
+ inline$BDLGetDebugLevel$80$Entry#1:
+ goto inline$BDLGetDebugLevel$80$start#1;
+
+ inline$BDLGetDebugLevel$80$start#1:
+ goto inline$BDLGetDebugLevel$80$label_3#1;
+
+ inline$BDLGetDebugLevel$80$label_3#1:
+ havoc inline$BDLGetDebugLevel$80$myNondetVar_0;
+ inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$80$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$80$label_1#1;
+
+ inline$BDLGetDebugLevel$80$label_1#1:
+ goto inline$BDLGetDebugLevel$80$Return#1;
+
+ inline$BDLGetDebugLevel$80$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$80$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon36_Then#1, inline$BDLDevicePowerIoCompletion$3$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_72_true#1, inline$BDLDevicePowerIoCompletion$3$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_73#1:
+ goto inline$BDLGetDebugLevel$81$Entry#1;
+
+ inline$BDLGetDebugLevel$81$Entry#1:
+ goto inline$BDLGetDebugLevel$81$start#1;
+
+ inline$BDLGetDebugLevel$81$start#1:
+ goto inline$BDLGetDebugLevel$81$label_3#1;
+
+ inline$BDLGetDebugLevel$81$label_3#1:
+ havoc inline$BDLGetDebugLevel$81$myNondetVar_0;
+ inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$81$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$81$label_1#1;
+
+ inline$BDLGetDebugLevel$81$label_1#1:
+ goto inline$BDLGetDebugLevel$81$Return#1;
+
+ inline$BDLGetDebugLevel$81$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$81$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon37_Then#1, inline$BDLDevicePowerIoCompletion$3$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_82_true#1, inline$BDLDevicePowerIoCompletion$3$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$3$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$3$anon38_Then#1, inline$BDLDevicePowerIoCompletion$3$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$3$anon39_Then#1, inline$BDLDevicePowerIoCompletion$3$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_92#1:
+ goto inline$storm_IoCompleteRequest$7$Entry#1;
+
+ inline$storm_IoCompleteRequest$7$Entry#1:
+ inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$3$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$7$start#1;
+
+ inline$storm_IoCompleteRequest$7$start#1:
+ inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$7$label_3#1;
+
+ inline$storm_IoCompleteRequest$7$label_3#1:
+ call inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$7$label_6#1;
+
+ inline$storm_IoCompleteRequest$7$label_6#1:
+ goto inline$storm_IoCompleteRequest$7$label_6_true#1, inline$storm_IoCompleteRequest$7$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$7$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$7$label_7#1;
+
+ inline$storm_IoCompleteRequest$7$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$7$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$7$label_8#1;
+
+ inline$storm_IoCompleteRequest$7$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$7$anon3_Then#1, inline$storm_IoCompleteRequest$7$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$7$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$7$anon1#1;
+
+ inline$storm_IoCompleteRequest$7$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$7$label_9#1;
+
+ inline$storm_IoCompleteRequest$7$label_9#1:
+ goto inline$storm_IoCompleteRequest$7$label_9_true#1, inline$storm_IoCompleteRequest$7$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$7$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$7$label_10#1;
+
+ inline$storm_IoCompleteRequest$7$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$7$label_1#1;
+
+ inline$storm_IoCompleteRequest$7$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$7$label_7#1;
+
+ inline$storm_IoCompleteRequest$7$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$7$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$7$label_1#1;
+
+ inline$storm_IoCompleteRequest$7$label_1#1:
+ goto inline$storm_IoCompleteRequest$7$Return#1;
+
+ inline$storm_IoCompleteRequest$7$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$7$Return#1;
+
+ inline$storm_IoCompleteRequest$7$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon40_Then#1, inline$BDLDevicePowerIoCompletion$3$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$3$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$3$anon41_Then#1, inline$BDLDevicePowerIoCompletion$3$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_98#1:
+ goto inline$BDLGetDebugLevel$82$Entry#1;
+
+ inline$BDLGetDebugLevel$82$Entry#1:
+ goto inline$BDLGetDebugLevel$82$start#1;
+
+ inline$BDLGetDebugLevel$82$start#1:
+ goto inline$BDLGetDebugLevel$82$label_3#1;
+
+ inline$BDLGetDebugLevel$82$label_3#1:
+ havoc inline$BDLGetDebugLevel$82$myNondetVar_0;
+ inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$82$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$82$label_1#1;
+
+ inline$BDLGetDebugLevel$82$label_1#1:
+ goto inline$BDLGetDebugLevel$82$Return#1;
+
+ inline$BDLGetDebugLevel$82$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$82$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon42_Then#1, inline$BDLDevicePowerIoCompletion$3$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_101_true#1, inline$BDLDevicePowerIoCompletion$3$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_102#1:
+ goto inline$BDLGetDebugLevel$83$Entry#1;
+
+ inline$BDLGetDebugLevel$83$Entry#1:
+ goto inline$BDLGetDebugLevel$83$start#1;
+
+ inline$BDLGetDebugLevel$83$start#1:
+ goto inline$BDLGetDebugLevel$83$label_3#1;
+
+ inline$BDLGetDebugLevel$83$label_3#1:
+ havoc inline$BDLGetDebugLevel$83$myNondetVar_0;
+ inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$83$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$83$label_1#1;
+
+ inline$BDLGetDebugLevel$83$label_1#1:
+ goto inline$BDLGetDebugLevel$83$Return#1;
+
+ inline$BDLGetDebugLevel$83$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$83$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon43_Then#1, inline$BDLDevicePowerIoCompletion$3$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_111_true#1, inline$BDLDevicePowerIoCompletion$3$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$3$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$3$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$3$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_112#1:
+ goto inline$BDLGetDebugLevel$84$Entry#1;
+
+ inline$BDLGetDebugLevel$84$Entry#1:
+ goto inline$BDLGetDebugLevel$84$start#1;
+
+ inline$BDLGetDebugLevel$84$start#1:
+ goto inline$BDLGetDebugLevel$84$label_3#1;
+
+ inline$BDLGetDebugLevel$84$label_3#1:
+ havoc inline$BDLGetDebugLevel$84$myNondetVar_0;
+ inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$84$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$84$label_1#1;
+
+ inline$BDLGetDebugLevel$84$label_1#1:
+ goto inline$BDLGetDebugLevel$84$Return#1;
+
+ inline$BDLGetDebugLevel$84$Return#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$84$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$3$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$3$anon44_Then#1, inline$BDLDevicePowerIoCompletion$3$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_121_true#1, inline$BDLDevicePowerIoCompletion$3$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$3$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$3$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$3$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_122#1:
+ inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$3$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$3$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$3$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$3$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$3$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$3$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$3$Return#1:
+ inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$3$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$3$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$3$anon13_Then#1, inline$CallCompletionRoutine$3$anon13_Else#1;
+
+ inline$CallCompletionRoutine$3$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$3$anon7#1;
+
+ inline$CallCompletionRoutine$3$anon7#1:
+ goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$3$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$3$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$3$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$3$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$3$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$3$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$start#1:
+ inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$3$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$label_3_true#1, inline$BDLCallDriverCompletionRoutine$3$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$3$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$3$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$3$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_6#1:
+ goto inline$storm_KeSetEvent$3$Entry#1;
+
+ inline$storm_KeSetEvent$3$Entry#1:
+ inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$3$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$3$start#1;
+
+ inline$storm_KeSetEvent$3$start#1:
+ inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$3$label_3#1;
+
+ inline$storm_KeSetEvent$3$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$3$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$3$label_1#1;
+
+ inline$storm_KeSetEvent$3$label_1#1:
+ goto inline$storm_KeSetEvent$3$Return#1;
+
+ inline$storm_KeSetEvent$3$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$3$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$3$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$3$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$3$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$3$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$3$Return#1:
+ inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$3$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$3$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$3$anon12_Then#1, inline$CallCompletionRoutine$3$anon12_Else#1;
+
+ inline$CallCompletionRoutine$3$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$3$anon5#1;
+
+ inline$CallCompletionRoutine$3$anon5#1:
+ goto inline$CallCompletionRoutine$3$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$3$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$3$label_23#1;
+
+ inline$CallCompletionRoutine$3$label_23#1:
+ inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$3$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$3$label_24#1;
+
+ inline$CallCompletionRoutine$3$label_24#1:
+ goto inline$CallCompletionRoutine$3$label_24_true#1, inline$CallCompletionRoutine$3$label_24_false#1;
+
+ inline$CallCompletionRoutine$3$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$3$label_1#1;
+
+ inline$CallCompletionRoutine$3$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$3$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$3$label_25#1;
+
+ inline$CallCompletionRoutine$3$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$3$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$3$label_1#1;
+
+ inline$CallCompletionRoutine$3$label_1#1:
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$3$Return#1;
+
+ inline$CallCompletionRoutine$3$Return#1:
+ goto inline$storm_IoCallDriver$1$label_39$1#1;
+
+ inline$storm_IoCallDriver$1$label_39$1#1:
+ goto inline$storm_IoCallDriver$1$anon15_Then#1, inline$storm_IoCallDriver$1$anon15_Else#1;
+
+ inline$storm_IoCallDriver$1$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$1$anon10#1;
+
+ inline$storm_IoCallDriver$1$anon10#1:
+ goto inline$storm_IoCallDriver$1$label_36#1;
+
+ inline$storm_IoCallDriver$1$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$1$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$1$label_28#1;
+
+ inline$storm_IoCallDriver$1$label_28#1:
+ inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$1$label_42#1;
+
+ inline$storm_IoCallDriver$1$label_42#1:
+ goto inline$storm_IoCallDriver$1$label_43#1;
+
+ inline$storm_IoCallDriver$1$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$1$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$1$label_36#1;
+
+ inline$storm_IoCallDriver$1$label_36#1:
+ inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$1$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$1$label_1#1;
+
+ inline$storm_IoCallDriver$1$label_1#1:
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$1$Return#1;
+
+ inline$storm_IoCallDriver$1$Return#1:
+ inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$ := inline$storm_IoCallDriver$1$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$storm_PoCallDriver$0$label_3$1#1;
+
+ inline$storm_PoCallDriver$0$label_3$1#1:
+ goto inline$storm_PoCallDriver$0$anon2_Then#1, inline$storm_PoCallDriver$0$anon2_Else#1;
+
+ inline$storm_PoCallDriver$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_PoCallDriver$0$anon1#1;
+
+ inline$storm_PoCallDriver$0$anon1#1:
+ goto inline$storm_PoCallDriver$0$label_6#1;
+
+ inline$storm_PoCallDriver$0$label_6#1:
+ inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$ := inline$storm_PoCallDriver$0$$result.storm_IoCallDriver$499.27$2$;
+ goto inline$storm_PoCallDriver$0$label_1#1;
+
+ inline$storm_PoCallDriver$0$label_1#1:
+ goto inline$storm_PoCallDriver$0$Return#1;
+
+ inline$storm_PoCallDriver$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_PoCallDriver$0$Return#1;
+
+ inline$storm_PoCallDriver$0$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$ := inline$storm_PoCallDriver$0$$result.storm_PoCallDriver$494.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_25$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_25$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon22_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon22_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon22_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon13#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon13#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_28#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_28#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_PoCallDriver$104.29$3$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_29#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_29#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_29_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_29_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_29_false#1:
+ assume !INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_29_true#1:
+ assume INT_EQ(inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8, 259);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_31#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_31#1:
+ goto inline$storm_KeWaitForSingleObject$0$Entry#1;
+
+ inline$storm_KeWaitForSingleObject$0$Entry#1:
+ inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1 := inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8;
+ inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1 := 0;
+ goto inline$storm_KeWaitForSingleObject$0$start#1;
+
+ inline$storm_KeWaitForSingleObject$0$start#1:
+ inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20_.1;
+ inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 := inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20_.1;
+ goto inline$storm_KeWaitForSingleObject$0$label_3#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_3#1:
+ goto inline$storm_KeWaitForSingleObject$0$label_3_true#1, inline$storm_KeWaitForSingleObject$0$label_3_false#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_3_false#1:
+ assume inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 == 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_4#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_4#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon6_Then#1, inline$storm_KeWaitForSingleObject$0$anon6_Else#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon6_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon1#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$0$label_13#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_13#1:
+ inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon6_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$Return#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_3_true#1:
+ assume inline$storm_KeWaitForSingleObject$0$$Timeout$5$248.20$storm_KeWaitForSingleObject$20 != 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_5#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_5#1:
+ call inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ := storm_nondet();
+ goto inline$storm_KeWaitForSingleObject$0$label_8#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_8#1:
+ goto inline$storm_KeWaitForSingleObject$0$label_8_true#1, inline$storm_KeWaitForSingleObject$0$label_8_false#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_8_false#1:
+ assume inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ == 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_9#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_9#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon7_Then#1, inline$storm_KeWaitForSingleObject$0$anon7_Else#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon7_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon3#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 0);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$0$label_12#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_12#1:
+ inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 258;
+ goto inline$storm_KeWaitForSingleObject$0$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon7_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$Return#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_8_true#1:
+ assume inline$storm_KeWaitForSingleObject$0$$result.storm_nondet$256.20$2$ != 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_10#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_10#1:
+ havoc raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon8_Then#1, inline$storm_KeWaitForSingleObject$0$anon8_Else#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon8_Else#1:
+ assume !raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$anon5#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon5#1:
+ assume k == 0 ==> INT_EQ(Res_0_EVENT[inline$storm_KeWaitForSingleObject$0$$Object$1$244.11$storm_KeWaitForSingleObject$20], 1);
+ call contextSwitch();
+ goto inline$storm_KeWaitForSingleObject$0$label_11#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_11#1:
+ inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$ := 0;
+ goto inline$storm_KeWaitForSingleObject$0$label_1#1;
+
+ inline$storm_KeWaitForSingleObject$0$label_1#1:
+ goto inline$storm_KeWaitForSingleObject$0$Return#1;
+
+ inline$storm_KeWaitForSingleObject$0$anon8_Then#1:
+ assume raiseException;
+ goto inline$storm_KeWaitForSingleObject$0$Return#1;
+
+ inline$storm_KeWaitForSingleObject$0$Return#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$ := inline$storm_KeWaitForSingleObject$0$$result.storm_KeWaitForSingleObject$243.0$1$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_31$1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_31$1#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon23_Then#1, inline$BDLCallLowerLevelDriverAndWait$0$anon23_Else#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon23_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$anon15#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon15#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_34#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_34#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$$result.storm_KeWaitForSingleObject$116.38$5$;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_35#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_35#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_35_true#1, inline$BDLCallLowerLevelDriverAndWait$0$label_35_false#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_35_false#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 == 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_35_true#1:
+ assume inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 != 0;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_37#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_37#1:
+ call inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_38#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_38#1:
+ call inline$BDLCallLowerLevelDriverAndWait$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_39#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_39#1:
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_36#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_36#1:
+ havoc inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
+ inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8 := inline$BDLCallLowerLevelDriverAndWait$0$myNondetVar_0;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_30#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_30#1:
+ inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$ := inline$BDLCallLowerLevelDriverAndWait$0$$status$3$78.16$BDLCallLowerLevelDriverAndWait$8;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$label_1#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$label_1#1:
+ call __HAVOC_free(inline$BDLCallLowerLevelDriverAndWait$0$$Event$4$79.16$BDLCallLowerLevelDriverAndWait$8);
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon23_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon22_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon21_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon19_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon18_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon17_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$anon16_Then#1:
+ assume raiseException;
+ goto inline$BDLCallLowerLevelDriverAndWait$0$Return#1;
+
+ inline$BDLCallLowerLevelDriverAndWait$0$Return#1:
+ inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$ := inline$BDLCallLowerLevelDriverAndWait$0$$result.BDLCallLowerLevelDriverAndWait$71.0$1$;
+ goto inline$BDLPnPStart$0$label_32$1#1;
+
+ inline$BDLPnPStart$0$label_32$1#1:
+ goto inline$BDLPnPStart$0$anon39_Then#1, inline$BDLPnPStart$0$anon39_Else#1;
+
+ inline$BDLPnPStart$0$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon7#1;
+
+ inline$BDLPnPStart$0$anon7#1:
+ goto inline$BDLPnPStart$0$label_36#1;
+
+ inline$BDLPnPStart$0$label_36#1:
+ inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$result.BDLCallLowerLevelDriverAndWait$1975.43$13$;
+ goto inline$BDLPnPStart$0$label_37#1;
+
+ inline$BDLPnPStart$0$label_37#1:
+ goto inline$BDLPnPStart$0$label_37_true#1, inline$BDLPnPStart$0$label_37_false#1;
+
+ inline$BDLPnPStart$0$label_37_false#1:
+ assume !INT_LEQ(0, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16);
+ goto inline$BDLPnPStart$0$label_38#1;
+
+ inline$BDLPnPStart$0$label_38#1:
+ goto inline$BDLGetDebugLevel$97$Entry#1;
+
+ inline$BDLGetDebugLevel$97$Entry#1:
+ goto inline$BDLGetDebugLevel$97$start#1;
+
+ inline$BDLGetDebugLevel$97$start#1:
+ goto inline$BDLGetDebugLevel$97$label_3#1;
+
+ inline$BDLGetDebugLevel$97$label_3#1:
+ havoc inline$BDLGetDebugLevel$97$myNondetVar_0;
+ inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$97$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$97$label_1#1;
+
+ inline$BDLGetDebugLevel$97$label_1#1:
+ goto inline$BDLGetDebugLevel$97$Return#1;
+
+ inline$BDLGetDebugLevel$97$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$ := inline$BDLGetDebugLevel$97$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_38$1#1;
+
+ inline$BDLPnPStart$0$label_38$1#1:
+ goto inline$BDLPnPStart$0$anon40_Then#1, inline$BDLPnPStart$0$anon40_Else#1;
+
+ inline$BDLPnPStart$0$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon9#1;
+
+ inline$BDLPnPStart$0$anon9#1:
+ goto inline$BDLPnPStart$0$label_151#1;
+
+ inline$BDLPnPStart$0$label_151#1:
+ goto inline$BDLPnPStart$0$label_151_true#1, inline$BDLPnPStart$0$label_151_false#1;
+
+ inline$BDLPnPStart$0$label_151_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$) == 0;
+ goto inline$BDLPnPStart$0$label_152#1;
+
+ inline$BDLPnPStart$0$label_151_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$14$) != 0;
+ goto inline$BDLPnPStart$0$label_155#1;
+
+ inline$BDLPnPStart$0$label_155#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_156#1;
+
+ inline$BDLPnPStart$0$label_156#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_157#1;
+
+ inline$BDLPnPStart$0$label_157#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_158#1;
+
+ inline$BDLPnPStart$0$label_158#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$1979.0$15$;
+ goto inline$BDLPnPStart$0$label_152#1;
+
+ inline$BDLPnPStart$0$label_152#1:
+ goto inline$BDLGetDebugLevel$107$Entry#1;
+
+ inline$BDLGetDebugLevel$107$Entry#1:
+ goto inline$BDLGetDebugLevel$107$start#1;
+
+ inline$BDLGetDebugLevel$107$start#1:
+ goto inline$BDLGetDebugLevel$107$label_3#1;
+
+ inline$BDLGetDebugLevel$107$label_3#1:
+ havoc inline$BDLGetDebugLevel$107$myNondetVar_0;
+ inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$107$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$107$label_1#1;
+
+ inline$BDLGetDebugLevel$107$label_1#1:
+ goto inline$BDLGetDebugLevel$107$Return#1;
+
+ inline$BDLGetDebugLevel$107$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$ := inline$BDLGetDebugLevel$107$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_152$1#1;
+
+ inline$BDLPnPStart$0$label_152$1#1:
+ goto inline$BDLPnPStart$0$anon52_Then#1, inline$BDLPnPStart$0$anon52_Else#1;
+
+ inline$BDLPnPStart$0$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon33#1;
+
+ inline$BDLPnPStart$0$anon33#1:
+ goto inline$BDLPnPStart$0$label_161#1;
+
+ inline$BDLPnPStart$0$label_161#1:
+ goto inline$BDLPnPStart$0$label_161_true#1, inline$BDLPnPStart$0$label_161_false#1;
+
+ inline$BDLPnPStart$0$label_161_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$) == 0;
+ goto inline$BDLPnPStart$0$label_162#1;
+
+ inline$BDLPnPStart$0$label_161_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$19$) != 0;
+ goto inline$BDLPnPStart$0$label_165#1;
+
+ inline$BDLPnPStart$0$label_165#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_166#1;
+
+ inline$BDLPnPStart$0$label_166#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_167#1;
+
+ inline$BDLPnPStart$0$label_167#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_168#1;
+
+ inline$BDLPnPStart$0$label_168#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$1979.0$20$;
+ goto inline$BDLPnPStart$0$label_162#1;
+
+ inline$BDLPnPStart$0$label_162#1:
+ goto inline$BDLGetDebugLevel$108$Entry#1;
+
+ inline$BDLGetDebugLevel$108$Entry#1:
+ goto inline$BDLGetDebugLevel$108$start#1;
+
+ inline$BDLGetDebugLevel$108$start#1:
+ goto inline$BDLGetDebugLevel$108$label_3#1;
+
+ inline$BDLGetDebugLevel$108$label_3#1:
+ havoc inline$BDLGetDebugLevel$108$myNondetVar_0;
+ inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$108$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$108$label_1#1;
+
+ inline$BDLGetDebugLevel$108$label_1#1:
+ goto inline$BDLGetDebugLevel$108$Return#1;
+
+ inline$BDLGetDebugLevel$108$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$ := inline$BDLGetDebugLevel$108$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_162$1#1;
+
+ inline$BDLPnPStart$0$label_162$1#1:
+ goto inline$BDLPnPStart$0$anon53_Then#1, inline$BDLPnPStart$0$anon53_Else#1;
+
+ inline$BDLPnPStart$0$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon35#1;
+
+ inline$BDLPnPStart$0$anon35#1:
+ goto inline$BDLPnPStart$0$label_171#1;
+
+ inline$BDLPnPStart$0$label_171#1:
+ goto inline$BDLPnPStart$0$label_171_true#1, inline$BDLPnPStart$0$label_171_false#1;
+
+ inline$BDLPnPStart$0$label_171_false#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$, 4) == 0;
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$label_171_true#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$1979.0$24$, 4) != 0;
+ goto inline$BDLPnPStart$0$label_172#1;
+
+ inline$BDLPnPStart$0$label_172#1:
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$label_37_true#1:
+ assume INT_LEQ(0, inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16);
+ goto inline$BDLPnPStart$0$label_41#1;
+
+ inline$BDLPnPStart$0$label_41#1:
+ havoc inline$BDLPnPStart$0$$result.memset$1992.4$25$;
+ goto inline$BDLPnPStart$0$label_44#1;
+
+ inline$BDLPnPStart$0$label_44#1:
+ goto inline$BDLPnPStart$0$label_45#1;
+
+ inline$BDLPnPStart$0$label_45#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_46#1;
+
+ inline$BDLPnPStart$0$label_46#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_47#1;
+
+ inline$BDLPnPStart$0$label_47#1:
+ goto inline$BDLPnPStart$0$label_50#1;
+
+ inline$BDLPnPStart$0$label_50#1:
+ inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$callresult.$1999.70$26$;
+ goto inline$BDLPnPStart$0$label_51#1;
+
+ inline$BDLPnPStart$0$label_51#1:
+ goto inline$BDLPnPStart$0$label_51_true#1, inline$BDLPnPStart$0$label_51_false#1;
+
+ inline$BDLPnPStart$0$label_51_false#1:
+ assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 == 0;
+ goto inline$BDLPnPStart$0$label_52#1;
+
+ inline$BDLPnPStart$0$label_52#1:
+ goto inline$BDLPnPStart$0$label_104#1;
+
+ inline$BDLPnPStart$0$label_104#1:
+ goto inline$BDLPnPStart$0$label_105#1;
+
+ inline$BDLPnPStart$0$label_105#1:
+ havoc inline$BDLPnPStart$0$$result.memcpy$2018.0$38$;
+ goto inline$BDLPnPStart$0$label_108#1;
+
+ inline$BDLPnPStart$0$label_108#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_109#1;
+
+ inline$BDLPnPStart$0$label_109#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_110#1;
+
+ inline$BDLPnPStart$0$label_110#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_111#1;
+
+ inline$BDLPnPStart$0$label_111#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_112#1;
+
+ inline$BDLPnPStart$0$label_112#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_113#1;
+
+ inline$BDLPnPStart$0$label_113#1:
+ havoc inline$BDLPnPStart$0$myNondetVar_0;
+ goto inline$BDLPnPStart$0$label_114#1;
+
+ inline$BDLPnPStart$0$label_114#1:
+ call inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$ := IoSetDeviceInterfaceState(SymbolicLinkName__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16), 1);
+ goto inline$BDLPnPStart$0$anon47_Then#1, inline$BDLPnPStart$0$anon47_Else#1;
+
+ inline$BDLPnPStart$0$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon23#1;
+
+ inline$BDLPnPStart$0$anon23#1:
+ goto inline$BDLPnPStart$0$label_117#1;
+
+ inline$BDLPnPStart$0$label_117#1:
+ inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 := inline$BDLPnPStart$0$$result.IoSetDeviceInterfaceState$2033.38$41$;
+ goto inline$BDLPnPStart$0$label_118#1;
+
+ inline$BDLPnPStart$0$label_118#1:
+ goto inline$BDLPnPStart$0$label_118_true#1, inline$BDLPnPStart$0$label_118_false#1;
+
+ inline$BDLPnPStart$0$label_118_false#1:
+ assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 == 0;
+ goto inline$BDLPnPStart$0$label_119#1;
+
+ inline$BDLPnPStart$0$label_119#1:
+ goto inline$BDLPnPStart$0$label_148#1;
+
+ inline$BDLPnPStart$0$label_148#1:
+ goto inline$storm_KeSetEvent$4$Entry#1;
+
+ inline$storm_KeSetEvent$4$Entry#1:
+ inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1 := DeviceStartedEvent__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnPStart$0$$pBDLExtension$1$1956.40$BDLPnPStart$16);
+ goto inline$storm_KeSetEvent$4$start#1;
+
+ inline$storm_KeSetEvent$4$start#1:
+ inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$4$label_3#1;
+
+ inline$storm_KeSetEvent$4$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$4$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$4$label_1#1;
+
+ inline$storm_KeSetEvent$4$label_1#1:
+ goto inline$storm_KeSetEvent$4$Return#1;
+
+ inline$storm_KeSetEvent$4$Return#1:
+ goto inline$BDLPnPStart$0$label_148$1#1;
+
+ inline$BDLPnPStart$0$label_148$1#1:
+ goto inline$BDLPnPStart$0$anon51_Then#1, inline$BDLPnPStart$0$anon51_Else#1;
+
+ inline$BDLPnPStart$0$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon31#1;
+
+ inline$BDLPnPStart$0$anon31#1:
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$label_118_true#1:
+ assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 != 0;
+ goto inline$BDLPnPStart$0$label_120#1;
+
+ inline$BDLPnPStart$0$label_120#1:
+ goto inline$BDLGetDebugLevel$104$Entry#1;
+
+ inline$BDLGetDebugLevel$104$Entry#1:
+ goto inline$BDLGetDebugLevel$104$start#1;
+
+ inline$BDLGetDebugLevel$104$start#1:
+ goto inline$BDLGetDebugLevel$104$label_3#1;
+
+ inline$BDLGetDebugLevel$104$label_3#1:
+ havoc inline$BDLGetDebugLevel$104$myNondetVar_0;
+ inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$104$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$104$label_1#1;
+
+ inline$BDLGetDebugLevel$104$label_1#1:
+ goto inline$BDLGetDebugLevel$104$Return#1;
+
+ inline$BDLGetDebugLevel$104$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$ := inline$BDLGetDebugLevel$104$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_120$1#1;
+
+ inline$BDLPnPStart$0$label_120$1#1:
+ goto inline$BDLPnPStart$0$anon48_Then#1, inline$BDLPnPStart$0$anon48_Else#1;
+
+ inline$BDLPnPStart$0$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon25#1;
+
+ inline$BDLPnPStart$0$anon25#1:
+ goto inline$BDLPnPStart$0$label_123#1;
+
+ inline$BDLPnPStart$0$label_123#1:
+ goto inline$BDLPnPStart$0$label_123_true#1, inline$BDLPnPStart$0$label_123_false#1;
+
+ inline$BDLPnPStart$0$label_123_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$) == 0;
+ goto inline$BDLPnPStart$0$label_124#1;
+
+ inline$BDLPnPStart$0$label_123_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$42$) != 0;
+ goto inline$BDLPnPStart$0$label_127#1;
+
+ inline$BDLPnPStart$0$label_127#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_128#1;
+
+ inline$BDLPnPStart$0$label_128#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_129#1;
+
+ inline$BDLPnPStart$0$label_129#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_130#1;
+
+ inline$BDLPnPStart$0$label_130#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2037.0$43$;
+ goto inline$BDLPnPStart$0$label_124#1;
+
+ inline$BDLPnPStart$0$label_124#1:
+ goto inline$BDLGetDebugLevel$105$Entry#1;
+
+ inline$BDLGetDebugLevel$105$Entry#1:
+ goto inline$BDLGetDebugLevel$105$start#1;
+
+ inline$BDLGetDebugLevel$105$start#1:
+ goto inline$BDLGetDebugLevel$105$label_3#1;
+
+ inline$BDLGetDebugLevel$105$label_3#1:
+ havoc inline$BDLGetDebugLevel$105$myNondetVar_0;
+ inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$105$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$105$label_1#1;
+
+ inline$BDLGetDebugLevel$105$label_1#1:
+ goto inline$BDLGetDebugLevel$105$Return#1;
+
+ inline$BDLGetDebugLevel$105$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$ := inline$BDLGetDebugLevel$105$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_124$1#1;
+
+ inline$BDLPnPStart$0$label_124$1#1:
+ goto inline$BDLPnPStart$0$anon49_Then#1, inline$BDLPnPStart$0$anon49_Else#1;
+
+ inline$BDLPnPStart$0$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon27#1;
+
+ inline$BDLPnPStart$0$anon27#1:
+ goto inline$BDLPnPStart$0$label_133#1;
+
+ inline$BDLPnPStart$0$label_133#1:
+ goto inline$BDLPnPStart$0$label_133_true#1, inline$BDLPnPStart$0$label_133_false#1;
+
+ inline$BDLPnPStart$0$label_133_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$) == 0;
+ goto inline$BDLPnPStart$0$label_134#1;
+
+ inline$BDLPnPStart$0$label_133_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$47$) != 0;
+ goto inline$BDLPnPStart$0$label_137#1;
+
+ inline$BDLPnPStart$0$label_137#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_138#1;
+
+ inline$BDLPnPStart$0$label_138#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_139#1;
+
+ inline$BDLPnPStart$0$label_139#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_140#1;
+
+ inline$BDLPnPStart$0$label_140#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2037.0$48$;
+ goto inline$BDLPnPStart$0$label_134#1;
+
+ inline$BDLPnPStart$0$label_134#1:
+ goto inline$BDLGetDebugLevel$106$Entry#1;
+
+ inline$BDLGetDebugLevel$106$Entry#1:
+ goto inline$BDLGetDebugLevel$106$start#1;
+
+ inline$BDLGetDebugLevel$106$start#1:
+ goto inline$BDLGetDebugLevel$106$label_3#1;
+
+ inline$BDLGetDebugLevel$106$label_3#1:
+ havoc inline$BDLGetDebugLevel$106$myNondetVar_0;
+ inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$106$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$106$label_1#1;
+
+ inline$BDLGetDebugLevel$106$label_1#1:
+ goto inline$BDLGetDebugLevel$106$Return#1;
+
+ inline$BDLGetDebugLevel$106$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$ := inline$BDLGetDebugLevel$106$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_134$1#1;
+
+ inline$BDLPnPStart$0$label_134$1#1:
+ goto inline$BDLPnPStart$0$anon50_Then#1, inline$BDLPnPStart$0$anon50_Else#1;
+
+ inline$BDLPnPStart$0$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon29#1;
+
+ inline$BDLPnPStart$0$anon29#1:
+ goto inline$BDLPnPStart$0$label_143#1;
+
+ inline$BDLPnPStart$0$label_143#1:
+ goto inline$BDLPnPStart$0$label_143_true#1, inline$BDLPnPStart$0$label_143_false#1;
+
+ inline$BDLPnPStart$0$label_143_false#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$, 4) == 0;
+ goto inline$BDLPnPStart$0$label_144#1;
+
+ inline$BDLPnPStart$0$label_143_true#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2037.0$52$, 4) != 0;
+ goto inline$BDLPnPStart$0$label_147#1;
+
+ inline$BDLPnPStart$0$label_147#1:
+ goto inline$BDLPnPStart$0$label_144#1;
+
+ inline$BDLPnPStart$0$label_144#1:
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$label_51_true#1:
+ assume inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16 != 0;
+ goto inline$BDLPnPStart$0$label_53#1;
+
+ inline$BDLPnPStart$0$label_53#1:
+ goto inline$BDLGetDebugLevel$98$Entry#1;
+
+ inline$BDLGetDebugLevel$98$Entry#1:
+ goto inline$BDLGetDebugLevel$98$start#1;
+
+ inline$BDLGetDebugLevel$98$start#1:
+ goto inline$BDLGetDebugLevel$98$label_3#1;
+
+ inline$BDLGetDebugLevel$98$label_3#1:
+ havoc inline$BDLGetDebugLevel$98$myNondetVar_0;
+ inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$98$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$98$label_1#1;
+
+ inline$BDLGetDebugLevel$98$label_1#1:
+ goto inline$BDLGetDebugLevel$98$Return#1;
+
+ inline$BDLGetDebugLevel$98$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$ := inline$BDLGetDebugLevel$98$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_53$1#1;
+
+ inline$BDLPnPStart$0$label_53$1#1:
+ goto inline$BDLPnPStart$0$anon41_Then#1, inline$BDLPnPStart$0$anon41_Else#1;
+
+ inline$BDLPnPStart$0$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon11#1;
+
+ inline$BDLPnPStart$0$anon11#1:
+ goto inline$BDLPnPStart$0$label_56#1;
+
+ inline$BDLPnPStart$0$label_56#1:
+ goto inline$BDLPnPStart$0$label_56_true#1, inline$BDLPnPStart$0$label_56_false#1;
+
+ inline$BDLPnPStart$0$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$) == 0;
+ goto inline$BDLPnPStart$0$label_57#1;
+
+ inline$BDLPnPStart$0$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$27$) != 0;
+ goto inline$BDLPnPStart$0$label_60#1;
+
+ inline$BDLPnPStart$0$label_60#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_61#1;
+
+ inline$BDLPnPStart$0$label_61#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_62#1;
+
+ inline$BDLPnPStart$0$label_62#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_63#1;
+
+ inline$BDLPnPStart$0$label_63#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2005.0$28$;
+ goto inline$BDLPnPStart$0$label_57#1;
+
+ inline$BDLPnPStart$0$label_57#1:
+ goto inline$BDLGetDebugLevel$99$Entry#1;
+
+ inline$BDLGetDebugLevel$99$Entry#1:
+ goto inline$BDLGetDebugLevel$99$start#1;
+
+ inline$BDLGetDebugLevel$99$start#1:
+ goto inline$BDLGetDebugLevel$99$label_3#1;
+
+ inline$BDLGetDebugLevel$99$label_3#1:
+ havoc inline$BDLGetDebugLevel$99$myNondetVar_0;
+ inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$99$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$99$label_1#1;
+
+ inline$BDLGetDebugLevel$99$label_1#1:
+ goto inline$BDLGetDebugLevel$99$Return#1;
+
+ inline$BDLGetDebugLevel$99$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$ := inline$BDLGetDebugLevel$99$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_57$1#1;
+
+ inline$BDLPnPStart$0$label_57$1#1:
+ goto inline$BDLPnPStart$0$anon42_Then#1, inline$BDLPnPStart$0$anon42_Else#1;
+
+ inline$BDLPnPStart$0$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon13#1;
+
+ inline$BDLPnPStart$0$anon13#1:
+ goto inline$BDLPnPStart$0$label_66#1;
+
+ inline$BDLPnPStart$0$label_66#1:
+ goto inline$BDLPnPStart$0$label_66_true#1, inline$BDLPnPStart$0$label_66_false#1;
+
+ inline$BDLPnPStart$0$label_66_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$) == 0;
+ goto inline$BDLPnPStart$0$label_67#1;
+
+ inline$BDLPnPStart$0$label_66_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$32$) != 0;
+ goto inline$BDLPnPStart$0$label_70#1;
+
+ inline$BDLPnPStart$0$label_70#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_71#1;
+
+ inline$BDLPnPStart$0$label_71#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_72#1;
+
+ inline$BDLPnPStart$0$label_72#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_73#1;
+
+ inline$BDLPnPStart$0$label_73#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2005.0$33$;
+ goto inline$BDLPnPStart$0$label_67#1;
+
+ inline$BDLPnPStart$0$label_67#1:
+ goto inline$BDLGetDebugLevel$100$Entry#1;
+
+ inline$BDLGetDebugLevel$100$Entry#1:
+ goto inline$BDLGetDebugLevel$100$start#1;
+
+ inline$BDLGetDebugLevel$100$start#1:
+ goto inline$BDLGetDebugLevel$100$label_3#1;
+
+ inline$BDLGetDebugLevel$100$label_3#1:
+ havoc inline$BDLGetDebugLevel$100$myNondetVar_0;
+ inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$100$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$100$label_1#1;
+
+ inline$BDLGetDebugLevel$100$label_1#1:
+ goto inline$BDLGetDebugLevel$100$Return#1;
+
+ inline$BDLGetDebugLevel$100$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$ := inline$BDLGetDebugLevel$100$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_67$1#1;
+
+ inline$BDLPnPStart$0$label_67$1#1:
+ goto inline$BDLPnPStart$0$anon43_Then#1, inline$BDLPnPStart$0$anon43_Else#1;
+
+ inline$BDLPnPStart$0$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon15#1;
+
+ inline$BDLPnPStart$0$anon15#1:
+ goto inline$BDLPnPStart$0$label_76#1;
+
+ inline$BDLPnPStart$0$label_76#1:
+ goto inline$BDLPnPStart$0$label_76_true#1, inline$BDLPnPStart$0$label_76_false#1;
+
+ inline$BDLPnPStart$0$label_76_false#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$, 4) == 0;
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$label_76_true#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2005.0$37$, 4) != 0;
+ goto inline$BDLPnPStart$0$label_80#1;
+
+ inline$BDLPnPStart$0$label_80#1:
+ goto inline$BDLPnPStart$0$label_77#1;
+
+ inline$BDLPnPStart$0$label_77#1:
+ goto inline$BDLGetDebugLevel$101$Entry#1;
+
+ inline$BDLGetDebugLevel$101$Entry#1:
+ goto inline$BDLGetDebugLevel$101$start#1;
+
+ inline$BDLGetDebugLevel$101$start#1:
+ goto inline$BDLGetDebugLevel$101$label_3#1;
+
+ inline$BDLGetDebugLevel$101$label_3#1:
+ havoc inline$BDLGetDebugLevel$101$myNondetVar_0;
+ inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$101$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$101$label_1#1;
+
+ inline$BDLGetDebugLevel$101$label_1#1:
+ goto inline$BDLGetDebugLevel$101$Return#1;
+
+ inline$BDLGetDebugLevel$101$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$ := inline$BDLGetDebugLevel$101$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_77$1#1;
+
+ inline$BDLPnPStart$0$label_77$1#1:
+ goto inline$BDLPnPStart$0$anon44_Then#1, inline$BDLPnPStart$0$anon44_Else#1;
+
+ inline$BDLPnPStart$0$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon17#1;
+
+ inline$BDLPnPStart$0$anon17#1:
+ goto inline$BDLPnPStart$0$label_81#1;
+
+ inline$BDLPnPStart$0$label_81#1:
+ goto inline$BDLPnPStart$0$label_81_true#1, inline$BDLPnPStart$0$label_81_false#1;
+
+ inline$BDLPnPStart$0$label_81_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$) == 0;
+ goto inline$BDLPnPStart$0$label_82#1;
+
+ inline$BDLPnPStart$0$label_81_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$55$) != 0;
+ goto inline$BDLPnPStart$0$label_85#1;
+
+ inline$BDLPnPStart$0$label_85#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_86#1;
+
+ inline$BDLPnPStart$0$label_86#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_87#1;
+
+ inline$BDLPnPStart$0$label_87#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_88#1;
+
+ inline$BDLPnPStart$0$label_88#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2063.0$56$;
+ goto inline$BDLPnPStart$0$label_82#1;
+
+ inline$BDLPnPStart$0$label_82#1:
+ goto inline$BDLGetDebugLevel$102$Entry#1;
+
+ inline$BDLGetDebugLevel$102$Entry#1:
+ goto inline$BDLGetDebugLevel$102$start#1;
+
+ inline$BDLGetDebugLevel$102$start#1:
+ goto inline$BDLGetDebugLevel$102$label_3#1;
+
+ inline$BDLGetDebugLevel$102$label_3#1:
+ havoc inline$BDLGetDebugLevel$102$myNondetVar_0;
+ inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$102$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$102$label_1#1;
+
+ inline$BDLGetDebugLevel$102$label_1#1:
+ goto inline$BDLGetDebugLevel$102$Return#1;
+
+ inline$BDLGetDebugLevel$102$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$ := inline$BDLGetDebugLevel$102$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_82$1#1;
+
+ inline$BDLPnPStart$0$label_82$1#1:
+ goto inline$BDLPnPStart$0$anon45_Then#1, inline$BDLPnPStart$0$anon45_Else#1;
+
+ inline$BDLPnPStart$0$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon19#1;
+
+ inline$BDLPnPStart$0$anon19#1:
+ goto inline$BDLPnPStart$0$label_91#1;
+
+ inline$BDLPnPStart$0$label_91#1:
+ goto inline$BDLPnPStart$0$label_91_true#1, inline$BDLPnPStart$0$label_91_false#1;
+
+ inline$BDLPnPStart$0$label_91_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$) == 0;
+ goto inline$BDLPnPStart$0$label_92#1;
+
+ inline$BDLPnPStart$0$label_91_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$60$) != 0;
+ goto inline$BDLPnPStart$0$label_95#1;
+
+ inline$BDLPnPStart$0$label_95#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_96#1;
+
+ inline$BDLPnPStart$0$label_96#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_97#1;
+
+ inline$BDLPnPStart$0$label_97#1:
+ call inline$BDLPnPStart$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnPStart$0$label_98#1;
+
+ inline$BDLPnPStart$0$label_98#1:
+ havoc inline$BDLPnPStart$0$$result.DbgPrint$2063.0$61$;
+ goto inline$BDLPnPStart$0$label_92#1;
+
+ inline$BDLPnPStart$0$label_92#1:
+ goto inline$BDLGetDebugLevel$103$Entry#1;
+
+ inline$BDLGetDebugLevel$103$Entry#1:
+ goto inline$BDLGetDebugLevel$103$start#1;
+
+ inline$BDLGetDebugLevel$103$start#1:
+ goto inline$BDLGetDebugLevel$103$label_3#1;
+
+ inline$BDLGetDebugLevel$103$label_3#1:
+ havoc inline$BDLGetDebugLevel$103$myNondetVar_0;
+ inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$103$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$103$label_1#1;
+
+ inline$BDLGetDebugLevel$103$label_1#1:
+ goto inline$BDLGetDebugLevel$103$Return#1;
+
+ inline$BDLGetDebugLevel$103$Return#1:
+ inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$ := inline$BDLGetDebugLevel$103$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnPStart$0$label_92$1#1;
+
+ inline$BDLPnPStart$0$label_92$1#1:
+ goto inline$BDLPnPStart$0$anon46_Then#1, inline$BDLPnPStart$0$anon46_Else#1;
+
+ inline$BDLPnPStart$0$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnPStart$0$anon21#1;
+
+ inline$BDLPnPStart$0$anon21#1:
+ goto inline$BDLPnPStart$0$label_101#1;
+
+ inline$BDLPnPStart$0$label_101#1:
+ goto inline$BDLPnPStart$0$label_101_true#1, inline$BDLPnPStart$0$label_101_false#1;
+
+ inline$BDLPnPStart$0$label_101_false#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$, 4) == 0;
+ goto inline$BDLPnPStart$0$label_102#1;
+
+ inline$BDLPnPStart$0$label_101_true#1:
+ assume BIT_BAND(inline$BDLPnPStart$0$$result.BDLGetDebugLevel$2063.0$65$, 4) != 0;
+ goto inline$BDLPnPStart$0$label_103#1;
+
+ inline$BDLPnPStart$0$label_103#1:
+ goto inline$BDLPnPStart$0$label_102#1;
+
+ inline$BDLPnPStart$0$label_102#1:
+ inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$ := inline$BDLPnPStart$0$$status$5$1962.32$BDLPnPStart$16;
+ goto inline$BDLPnPStart$0$label_1#1;
+
+ inline$BDLPnPStart$0$label_1#1:
+ call __HAVOC_free(inline$BDLPnPStart$0$$bdsiInitializeResourcesParams$7$1964.32$BDLPnPStart$16);
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLPnPStart$0$Return#1;
+
+ inline$BDLPnPStart$0$Return#1:
+ inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$ := inline$BDLPnPStart$0$$result.BDLPnPStart$1954.0$1$;
+ goto inline$BDLPnP$0$label_113$1#1;
+
+ inline$BDLPnP$0$label_113$1#1:
+ goto inline$BDLPnP$0$anon67_Then#1, inline$BDLPnP$0$anon67_Else#1;
+
+ inline$BDLPnP$0$anon67_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon27#1;
+
+ inline$BDLPnP$0$anon27#1:
+ goto inline$BDLPnP$0$label_155#1;
+
+ inline$BDLPnP$0$label_155#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.BDLPnPStart$906.28$28$;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$anon67_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_52_case_0#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 0;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 1;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 2;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 3;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 4;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 5;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 6;
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume inline$BDLPnP$0$myNondetVar_0 != 23;
+ goto inline$BDLPnP$0$label_110#1;
+
+ inline$BDLPnP$0$label_110#1:
+ goto inline$BDLGetDebugLevel$9$Entry#1;
+
+ inline$BDLGetDebugLevel$9$Entry#1:
+ goto inline$BDLGetDebugLevel$9$start#1;
+
+ inline$BDLGetDebugLevel$9$start#1:
+ goto inline$BDLGetDebugLevel$9$label_3#1;
+
+ inline$BDLGetDebugLevel$9$label_3#1:
+ havoc inline$BDLGetDebugLevel$9$myNondetVar_0;
+ inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$9$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$9$label_1#1;
+
+ inline$BDLGetDebugLevel$9$label_1#1:
+ goto inline$BDLGetDebugLevel$9$Return#1;
+
+ inline$BDLGetDebugLevel$9$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$ := inline$BDLGetDebugLevel$9$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_110$1#1;
+
+ inline$BDLPnP$0$label_110$1#1:
+ goto inline$BDLPnP$0$anon66_Then#1, inline$BDLPnP$0$anon66_Else#1;
+
+ inline$BDLPnP$0$anon66_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon25#1;
+
+ inline$BDLPnP$0$anon25#1:
+ goto inline$BDLPnP$0$label_156#1;
+
+ inline$BDLPnP$0$label_156#1:
+ goto inline$BDLPnP$0$label_156_true#1, inline$BDLPnP$0$label_156_false#1;
+
+ inline$BDLPnP$0$label_156_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$) == 0;
+ goto inline$BDLPnP$0$label_157#1;
+
+ inline$BDLPnP$0$label_156_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$36$) != 0;
+ goto inline$BDLPnP$0$label_160#1;
+
+ inline$BDLPnP$0$label_160#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_161#1;
+
+ inline$BDLPnP$0$label_161#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_162#1;
+
+ inline$BDLPnP$0$label_162#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_163#1;
+
+ inline$BDLPnP$0$label_163#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$987.0$37$;
+ goto inline$BDLPnP$0$label_157#1;
+
+ inline$BDLPnP$0$label_157#1:
+ goto inline$BDLGetDebugLevel$739$Entry#1;
+
+ inline$BDLGetDebugLevel$739$Entry#1:
+ goto inline$BDLGetDebugLevel$739$start#1;
+
+ inline$BDLGetDebugLevel$739$start#1:
+ goto inline$BDLGetDebugLevel$739$label_3#1;
+
+ inline$BDLGetDebugLevel$739$label_3#1:
+ havoc inline$BDLGetDebugLevel$739$myNondetVar_0;
+ inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$739$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$739$label_1#1;
+
+ inline$BDLGetDebugLevel$739$label_1#1:
+ goto inline$BDLGetDebugLevel$739$Return#1;
+
+ inline$BDLGetDebugLevel$739$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$ := inline$BDLGetDebugLevel$739$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_157$1#1;
+
+ inline$BDLPnP$0$label_157$1#1:
+ goto inline$BDLPnP$0$anon77_Then#1, inline$BDLPnP$0$anon77_Else#1;
+
+ inline$BDLPnP$0$anon77_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon47#1;
+
+ inline$BDLPnP$0$anon47#1:
+ goto inline$BDLPnP$0$label_166#1;
+
+ inline$BDLPnP$0$label_166#1:
+ goto inline$BDLPnP$0$label_166_true#1, inline$BDLPnP$0$label_166_false#1;
+
+ inline$BDLPnP$0$label_166_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$) == 0;
+ goto inline$BDLPnP$0$label_167#1;
+
+ inline$BDLPnP$0$label_166_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$41$) != 0;
+ goto inline$BDLPnP$0$label_170#1;
+
+ inline$BDLPnP$0$label_170#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_171#1;
+
+ inline$BDLPnP$0$label_171#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_172#1;
+
+ inline$BDLPnP$0$label_172#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_173#1;
+
+ inline$BDLPnP$0$label_173#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$987.0$42$;
+ goto inline$BDLPnP$0$label_167#1;
+
+ inline$BDLPnP$0$label_167#1:
+ goto inline$BDLGetDebugLevel$740$Entry#1;
+
+ inline$BDLGetDebugLevel$740$Entry#1:
+ goto inline$BDLGetDebugLevel$740$start#1;
+
+ inline$BDLGetDebugLevel$740$start#1:
+ goto inline$BDLGetDebugLevel$740$label_3#1;
+
+ inline$BDLGetDebugLevel$740$label_3#1:
+ havoc inline$BDLGetDebugLevel$740$myNondetVar_0;
+ inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$740$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$740$label_1#1;
+
+ inline$BDLGetDebugLevel$740$label_1#1:
+ goto inline$BDLGetDebugLevel$740$Return#1;
+
+ inline$BDLGetDebugLevel$740$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$ := inline$BDLGetDebugLevel$740$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_167$1#1;
+
+ inline$BDLPnP$0$label_167$1#1:
+ goto inline$BDLPnP$0$anon78_Then#1, inline$BDLPnP$0$anon78_Else#1;
+
+ inline$BDLPnP$0$anon78_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon49#1;
+
+ inline$BDLPnP$0$anon49#1:
+ goto inline$BDLPnP$0$label_176#1;
+
+ inline$BDLPnP$0$label_176#1:
+ goto inline$BDLPnP$0$label_176_true#1, inline$BDLPnP$0$label_176_false#1;
+
+ inline$BDLPnP$0$label_176_false#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$, 4) == 0;
+ goto inline$BDLPnP$0$label_177#1;
+
+ inline$BDLPnP$0$label_176_true#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$987.0$46$, 4) != 0;
+ goto inline$BDLPnP$0$label_180#1;
+
+ inline$BDLPnP$0$label_180#1:
+ goto inline$BDLPnP$0$label_177#1;
+
+ inline$BDLPnP$0$label_177#1:
+ goto inline$IoSkipCurrentIrpStackLocation$2$Entry#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$Entry#1:
+ inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$IoSkipCurrentIrpStackLocation$2$start#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$start#1:
+ inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4 := inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4_.1;
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_3#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_3#1:
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_3_true#1, inline$IoSkipCurrentIrpStackLocation$2$label_3_false#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_3_false#1:
+ inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, inline$IoSkipCurrentIrpStackLocation$2$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_4#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_4#1:
+ call inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_7#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_7#1:
+ call inline$IoSkipCurrentIrpStackLocation$2$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_8#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_8#1:
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_3_true#1:
+ inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$2$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, inline$IoSkipCurrentIrpStackLocation$2$myVar_1);
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_5#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_5#1:
+ inline$IoSkipCurrentIrpStackLocation$2$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$2$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSkipCurrentIrpStackLocation$2$$Irp$1$25117.17$IoSkipCurrentIrpStackLocation$4) := inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_6#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_6#1:
+ havoc inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0;
+ inline$IoSkipCurrentIrpStackLocation$2$tempBoogie0 := PLUS(inline$IoSkipCurrentIrpStackLocation$2$myNondetVar_0, 36, 1);
+ goto inline$IoSkipCurrentIrpStackLocation$2$label_1#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$label_1#1:
+ goto inline$IoSkipCurrentIrpStackLocation$2$Return#1;
+
+ inline$IoSkipCurrentIrpStackLocation$2$Return#1:
+ goto inline$BDLPnP$0$label_177$1#1;
+
+ inline$BDLPnP$0$label_177$1#1:
+ goto inline$BDLPnP$0$anon79_Then#1, inline$BDLPnP$0$anon79_Else#1;
+
+ inline$BDLPnP$0$anon79_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon51#1;
+
+ inline$BDLPnP$0$anon51#1:
+ goto inline$BDLPnP$0$label_181#1;
+
+ inline$BDLPnP$0$label_181#1:
+ goto inline$storm_IoCallDriver$14$Entry#1;
+
+ inline$storm_IoCallDriver$14$Entry#1:
+ inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$storm_IoCallDriver$14$start#1;
+
+ inline$storm_IoCallDriver$14$start#1:
+ inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8_.1;
+ goto inline$storm_IoCallDriver$14$label_3#1;
+
+ inline$storm_IoCallDriver$14$label_3#1:
+ goto inline$storm_IoCallDriver$14$label_4#1;
+
+ inline$storm_IoCallDriver$14$label_4#1:
+ goto inline$storm_IoCallDriver$14$label_5#1;
+
+ inline$storm_IoCallDriver$14$label_5#1:
+ goto inline$storm_IoCallDriver$14$label_6#1;
+
+ inline$storm_IoCallDriver$14$label_6#1:
+ call inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ := storm_nondet();
+ goto inline$storm_IoCallDriver$14$label_9#1;
+
+ inline$storm_IoCallDriver$14$label_9#1:
+ goto inline$storm_IoCallDriver$14$label_9_true#1, inline$storm_IoCallDriver$14$label_9_false#1;
+
+ inline$storm_IoCallDriver$14$label_9_false#1:
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ == 0;
+ goto inline$storm_IoCallDriver$14$label_10#1;
+
+ inline$storm_IoCallDriver$14$label_9_true#1:
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$465.2$2$ != 0;
+ goto inline$storm_IoCallDriver$14$label_13#1;
+
+ inline$storm_IoCallDriver$14$label_13#1:
+ havoc raiseException;
+ goto inline$storm_IoCallDriver$14$anon12_Then#1, inline$storm_IoCallDriver$14$anon12_Else#1;
+
+ inline$storm_IoCallDriver$14$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$14$anon3#1;
+
+ inline$storm_IoCallDriver$14$anon3#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$14$label_14#1;
+
+ inline$storm_IoCallDriver$14$label_14#1:
+ goto inline$storm_IoCallDriver$14$label_14_true#1, inline$storm_IoCallDriver$14$label_14_false#1;
+
+ inline$storm_IoCallDriver$14$label_14_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCallDriver$14$label_15#1;
+
+ inline$storm_IoCallDriver$14$label_15#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCallDriver$14$label_1#1;
+
+ inline$storm_IoCallDriver$14$label_14_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCallDriver$14$label_10#1;
+
+ inline$storm_IoCallDriver$14$label_10#1:
+ goto inline$IoSetNextIrpStackLocation$15$Entry#1;
+
+ inline$IoSetNextIrpStackLocation$15$Entry#1:
+ inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoSetNextIrpStackLocation$15$start#1;
+
+ inline$IoSetNextIrpStackLocation$15$start#1:
+ inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4 := inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4_.1;
+ goto inline$IoSetNextIrpStackLocation$15$label_3#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_3#1:
+ goto inline$IoSetNextIrpStackLocation$15$label_3_true#1, inline$IoSetNextIrpStackLocation$15$label_3_false#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_3_false#1:
+ inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LT(0, inline$IoSetNextIrpStackLocation$15$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$15$label_4#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_4#1:
+ call inline$IoSetNextIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$15$label_7#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_7#1:
+ call inline$IoSetNextIrpStackLocation$15$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoSetNextIrpStackLocation$15$label_8#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_8#1:
+ goto inline$IoSetNextIrpStackLocation$15$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_3_true#1:
+ inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LT(0, inline$IoSetNextIrpStackLocation$15$myVar_0);
+ goto inline$IoSetNextIrpStackLocation$15$label_5#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_5#1:
+ inline$IoSetNextIrpStackLocation$15$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoSetNextIrpStackLocation$15$tempBoogie0 := MINUS_BOTH_PTR_OR_BOTH_INT(inline$IoSetNextIrpStackLocation$15$myVar_0, 1, 1);
+ Mem_0_T.CurrentLocation__IRP := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoSetNextIrpStackLocation$15$$Irp$1$25054.16$IoSetNextIrpStackLocation$4) := inline$IoSetNextIrpStackLocation$15$tempBoogie0];
+ call contextSwitch();
+ goto inline$IoSetNextIrpStackLocation$15$label_6#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_6#1:
+ havoc inline$IoSetNextIrpStackLocation$15$myNondetVar_0;
+ inline$IoSetNextIrpStackLocation$15$tempBoogie0 := MINUS_LEFT_PTR(inline$IoSetNextIrpStackLocation$15$myNondetVar_0, 36, 1);
+ goto inline$IoSetNextIrpStackLocation$15$label_1#1;
+
+ inline$IoSetNextIrpStackLocation$15$label_1#1:
+ goto inline$IoSetNextIrpStackLocation$15$Return#1;
+
+ inline$IoSetNextIrpStackLocation$15$Return#1:
+ goto inline$storm_IoCallDriver$14$label_10$1#1;
+
+ inline$storm_IoCallDriver$14$label_10$1#1:
+ goto inline$storm_IoCallDriver$14$anon11_Then#1, inline$storm_IoCallDriver$14$anon11_Else#1;
+
+ inline$storm_IoCallDriver$14$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$14$anon1#1;
+
+ inline$storm_IoCallDriver$14$anon1#1:
+ goto inline$storm_IoCallDriver$14$label_18#1;
+
+ inline$storm_IoCallDriver$14$label_18#1:
+ goto inline$IoGetCurrentIrpStackLocation$141$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$IoGetCurrentIrpStackLocation$141$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$start#1:
+ inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$141$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$141$label_3_true#1, inline$IoGetCurrentIrpStackLocation$141$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$141$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$141$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$141$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$141$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$141$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$141$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$141$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$141$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$141$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$141$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$141$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$141$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$141$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$141$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$141$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$141$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$141$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$141$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$141$Return#1:
+ goto inline$storm_IoCallDriver$14$label_18$1#1;
+
+ inline$storm_IoCallDriver$14$label_18$1#1:
+ goto inline$storm_IoCallDriver$14$anon13_Then#1, inline$storm_IoCallDriver$14$anon13_Else#1;
+
+ inline$storm_IoCallDriver$14$anon13_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$14$anon6#1;
+
+ inline$storm_IoCallDriver$14$anon6#1:
+ goto inline$storm_IoCallDriver$14$label_21#1;
+
+ inline$storm_IoCallDriver$14$label_21#1:
+ goto inline$storm_IoCallDriver$14$label_22#1;
+
+ inline$storm_IoCallDriver$14$label_22#1:
+ goto inline$storm_IoCallDriver$14$label_23#1;
+
+ inline$storm_IoCallDriver$14$label_23#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$14$label_24#1;
+
+ inline$storm_IoCallDriver$14$label_24#1:
+ call inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ := storm_nondet();
+ goto inline$storm_IoCallDriver$14$label_27#1;
+
+ inline$storm_IoCallDriver$14$label_27#1:
+ goto inline$storm_IoCallDriver$14$label_27_case_0#1, inline$storm_IoCallDriver$14$label_27_case_1#1, inline$storm_IoCallDriver$14$label_27_case_2#1;
+
+ inline$storm_IoCallDriver$14$label_27_case_2#1:
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ == 1;
+ goto inline$storm_IoCallDriver$14$label_30#1;
+
+ inline$storm_IoCallDriver$14$label_30#1:
+ inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 0 - 1073741823;
+ goto inline$storm_IoCallDriver$14$label_31#1;
+
+ inline$storm_IoCallDriver$14$label_31#1:
+ goto inline$storm_IoCallDriver$14$label_32#1;
+
+ inline$storm_IoCallDriver$14$label_32#1:
+ goto inline$storm_IoCallDriver$14$label_33#1;
+
+ inline$storm_IoCallDriver$14$label_33#1:
+ goto inline$CallCompletionRoutine$28$Entry#1;
+
+ inline$CallCompletionRoutine$28$Entry#1:
+ inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$28$start#1;
+
+ inline$CallCompletionRoutine$28$start#1:
+ inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$28$label_3#1;
+
+ inline$CallCompletionRoutine$28$label_3#1:
+ goto inline$CallCompletionRoutine$28$label_4#1;
+
+ inline$CallCompletionRoutine$28$label_4#1:
+ goto inline$CallCompletionRoutine$28$label_5#1;
+
+ inline$CallCompletionRoutine$28$label_5#1:
+ goto inline$CallCompletionRoutine$28$label_6#1;
+
+ inline$CallCompletionRoutine$28$label_6#1:
+ goto inline$CallCompletionRoutine$28$label_7#1;
+
+ inline$CallCompletionRoutine$28$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$142$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$142$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$start#1:
+ inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$142$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$142$label_3_true#1, inline$IoGetCurrentIrpStackLocation$142$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$142$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$142$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$142$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$142$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$142$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$142$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$142$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$142$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$142$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$142$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$142$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$142$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$142$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$142$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$142$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$142$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$142$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$142$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$142$Return#1:
+ goto inline$CallCompletionRoutine$28$label_7$1#1;
+
+ inline$CallCompletionRoutine$28$label_7$1#1:
+ goto inline$CallCompletionRoutine$28$anon10_Then#1, inline$CallCompletionRoutine$28$anon10_Else#1;
+
+ inline$CallCompletionRoutine$28$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$28$anon1#1;
+
+ inline$CallCompletionRoutine$28$anon1#1:
+ goto inline$CallCompletionRoutine$28$label_10#1;
+
+ inline$CallCompletionRoutine$28$label_10#1:
+ goto inline$CallCompletionRoutine$28$label_11#1;
+
+ inline$CallCompletionRoutine$28$label_11#1:
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$CallCompletionRoutine$28$label_12#1;
+
+ inline$CallCompletionRoutine$28$label_12#1:
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$CallCompletionRoutine$28$label_13#1;
+
+ inline$CallCompletionRoutine$28$label_13#1:
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$CallCompletionRoutine$28$label_14#1;
+
+ inline$CallCompletionRoutine$28$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$143$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$143$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$start#1:
+ inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$143$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$143$label_3_true#1, inline$IoGetCurrentIrpStackLocation$143$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$143$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$143$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$143$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$143$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$143$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$143$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$143$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$143$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$143$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$143$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$143$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$143$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$143$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$143$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$143$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$143$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$143$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$143$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$143$Return#1:
+ goto inline$CallCompletionRoutine$28$label_14$1#1;
+
+ inline$CallCompletionRoutine$28$label_14$1#1:
+ goto inline$CallCompletionRoutine$28$anon11_Then#1, inline$CallCompletionRoutine$28$anon11_Else#1;
+
+ inline$CallCompletionRoutine$28$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$28$anon3#1;
+
+ inline$CallCompletionRoutine$28$anon3#1:
+ goto inline$CallCompletionRoutine$28$label_17#1;
+
+ inline$CallCompletionRoutine$28$label_17#1:
+ goto inline$CallCompletionRoutine$28$label_18#1;
+
+ inline$CallCompletionRoutine$28$label_18#1:
+ goto inline$CallCompletionRoutine$28$label_18_true#1, inline$CallCompletionRoutine$28$label_18_false#1;
+
+ inline$CallCompletionRoutine$28$label_18_false#1:
+ assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$28$label_1#1;
+
+ inline$CallCompletionRoutine$28$label_18_true#1:
+ assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$28$label_19#1;
+
+ inline$CallCompletionRoutine$28$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$28$label_20#1;
+
+ inline$CallCompletionRoutine$28$label_20#1:
+ goto inline$CallCompletionRoutine$28$label_20_icall_1#1, inline$CallCompletionRoutine$28$label_20_icall_2#1, inline$CallCompletionRoutine$28$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$28$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$28$Entry#1:
+ inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$28$start#1;
+
+ inline$BDLSystemPowerIoCompletion$28$start#1:
+ call inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$28$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_4#1:
+ inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_6#1:
+ inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$28$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$145$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$145$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$start#1:
+ inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$145$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$145$label_3_true#1, inline$IoGetCurrentIrpStackLocation$145$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$145$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$145$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$145$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$145$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$145$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$145$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$145$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$145$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$145$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$145$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$145$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$145$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$145$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$145$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$145$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$145$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$145$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$145$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$145$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon36_Then#1, inline$BDLSystemPowerIoCompletion$28$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_15#1:
+ goto inline$BDLGetDebugLevel$750$Entry#1;
+
+ inline$BDLGetDebugLevel$750$Entry#1:
+ goto inline$BDLGetDebugLevel$750$start#1;
+
+ inline$BDLGetDebugLevel$750$start#1:
+ goto inline$BDLGetDebugLevel$750$label_3#1;
+
+ inline$BDLGetDebugLevel$750$label_3#1:
+ havoc inline$BDLGetDebugLevel$750$myNondetVar_0;
+ inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$750$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$750$label_1#1;
+
+ inline$BDLGetDebugLevel$750$label_1#1:
+ goto inline$BDLGetDebugLevel$750$Return#1;
+
+ inline$BDLGetDebugLevel$750$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$750$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon37_Then#1, inline$BDLSystemPowerIoCompletion$28$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_18_true#1, inline$BDLSystemPowerIoCompletion$28$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_19#1:
+ goto inline$BDLGetDebugLevel$751$Entry#1;
+
+ inline$BDLGetDebugLevel$751$Entry#1:
+ goto inline$BDLGetDebugLevel$751$start#1;
+
+ inline$BDLGetDebugLevel$751$start#1:
+ goto inline$BDLGetDebugLevel$751$label_3#1;
+
+ inline$BDLGetDebugLevel$751$label_3#1:
+ havoc inline$BDLGetDebugLevel$751$myNondetVar_0;
+ inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$751$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$751$label_1#1;
+
+ inline$BDLGetDebugLevel$751$label_1#1:
+ goto inline$BDLGetDebugLevel$751$Return#1;
+
+ inline$BDLGetDebugLevel$751$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$751$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon38_Then#1, inline$BDLSystemPowerIoCompletion$28$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_28_true#1, inline$BDLSystemPowerIoCompletion$28$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_29#1:
+ goto inline$BDLGetDebugLevel$752$Entry#1;
+
+ inline$BDLGetDebugLevel$752$Entry#1:
+ goto inline$BDLGetDebugLevel$752$start#1;
+
+ inline$BDLGetDebugLevel$752$start#1:
+ goto inline$BDLGetDebugLevel$752$label_3#1;
+
+ inline$BDLGetDebugLevel$752$label_3#1:
+ havoc inline$BDLGetDebugLevel$752$myNondetVar_0;
+ inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$752$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$752$label_1#1;
+
+ inline$BDLGetDebugLevel$752$label_1#1:
+ goto inline$BDLGetDebugLevel$752$Return#1;
+
+ inline$BDLGetDebugLevel$752$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$752$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon39_Then#1, inline$BDLSystemPowerIoCompletion$28$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_38_true#1, inline$BDLSystemPowerIoCompletion$28$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_39_true#1, inline$BDLSystemPowerIoCompletion$28$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$28$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$28$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$28$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$28$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$28$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_106_true#1, inline$BDLSystemPowerIoCompletion$28$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$28$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$28$myNondetVar_0, inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$28$anon50_Then#1, inline$BDLSystemPowerIoCompletion$28$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_112#1:
+ inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_113_true#1, inline$BDLSystemPowerIoCompletion$28$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$28$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_117#1:
+ goto inline$BDLGetDebugLevel$759$Entry#1;
+
+ inline$BDLGetDebugLevel$759$Entry#1:
+ goto inline$BDLGetDebugLevel$759$start#1;
+
+ inline$BDLGetDebugLevel$759$start#1:
+ goto inline$BDLGetDebugLevel$759$label_3#1;
+
+ inline$BDLGetDebugLevel$759$label_3#1:
+ havoc inline$BDLGetDebugLevel$759$myNondetVar_0;
+ inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$759$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$759$label_1#1;
+
+ inline$BDLGetDebugLevel$759$label_1#1:
+ goto inline$BDLGetDebugLevel$759$Return#1;
+
+ inline$BDLGetDebugLevel$759$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$759$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon51_Then#1, inline$BDLSystemPowerIoCompletion$28$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_120_true#1, inline$BDLSystemPowerIoCompletion$28$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_121#1:
+ goto inline$BDLGetDebugLevel$760$Entry#1;
+
+ inline$BDLGetDebugLevel$760$Entry#1:
+ goto inline$BDLGetDebugLevel$760$start#1;
+
+ inline$BDLGetDebugLevel$760$start#1:
+ goto inline$BDLGetDebugLevel$760$label_3#1;
+
+ inline$BDLGetDebugLevel$760$label_3#1:
+ havoc inline$BDLGetDebugLevel$760$myNondetVar_0;
+ inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$760$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$760$label_1#1;
+
+ inline$BDLGetDebugLevel$760$label_1#1:
+ goto inline$BDLGetDebugLevel$760$Return#1;
+
+ inline$BDLGetDebugLevel$760$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$760$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon52_Then#1, inline$BDLSystemPowerIoCompletion$28$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_130_true#1, inline$BDLSystemPowerIoCompletion$28$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_131#1:
+ goto inline$BDLGetDebugLevel$761$Entry#1;
+
+ inline$BDLGetDebugLevel$761$Entry#1:
+ goto inline$BDLGetDebugLevel$761$start#1;
+
+ inline$BDLGetDebugLevel$761$start#1:
+ goto inline$BDLGetDebugLevel$761$label_3#1;
+
+ inline$BDLGetDebugLevel$761$label_3#1:
+ havoc inline$BDLGetDebugLevel$761$myNondetVar_0;
+ inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$761$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$761$label_1#1;
+
+ inline$BDLGetDebugLevel$761$label_1#1:
+ goto inline$BDLGetDebugLevel$761$Return#1;
+
+ inline$BDLGetDebugLevel$761$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$761$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon53_Then#1, inline$BDLSystemPowerIoCompletion$28$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_140_true#1, inline$BDLSystemPowerIoCompletion$28$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$28$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_115#1:
+ inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$28$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$28$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$28$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_43#1:
+ goto inline$BDLGetDebugLevel$753$Entry#1;
+
+ inline$BDLGetDebugLevel$753$Entry#1:
+ goto inline$BDLGetDebugLevel$753$start#1;
+
+ inline$BDLGetDebugLevel$753$start#1:
+ goto inline$BDLGetDebugLevel$753$label_3#1;
+
+ inline$BDLGetDebugLevel$753$label_3#1:
+ havoc inline$BDLGetDebugLevel$753$myNondetVar_0;
+ inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$753$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$753$label_1#1;
+
+ inline$BDLGetDebugLevel$753$label_1#1:
+ goto inline$BDLGetDebugLevel$753$Return#1;
+
+ inline$BDLGetDebugLevel$753$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$753$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon40_Then#1, inline$BDLSystemPowerIoCompletion$28$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_46_true#1, inline$BDLSystemPowerIoCompletion$28$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_47#1:
+ goto inline$BDLGetDebugLevel$754$Entry#1;
+
+ inline$BDLGetDebugLevel$754$Entry#1:
+ goto inline$BDLGetDebugLevel$754$start#1;
+
+ inline$BDLGetDebugLevel$754$start#1:
+ goto inline$BDLGetDebugLevel$754$label_3#1;
+
+ inline$BDLGetDebugLevel$754$label_3#1:
+ havoc inline$BDLGetDebugLevel$754$myNondetVar_0;
+ inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$754$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$754$label_1#1;
+
+ inline$BDLGetDebugLevel$754$label_1#1:
+ goto inline$BDLGetDebugLevel$754$Return#1;
+
+ inline$BDLGetDebugLevel$754$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$754$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon41_Then#1, inline$BDLSystemPowerIoCompletion$28$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_56_true#1, inline$BDLSystemPowerIoCompletion$28$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_57#1:
+ goto inline$BDLGetDebugLevel$755$Entry#1;
+
+ inline$BDLGetDebugLevel$755$Entry#1:
+ goto inline$BDLGetDebugLevel$755$start#1;
+
+ inline$BDLGetDebugLevel$755$start#1:
+ goto inline$BDLGetDebugLevel$755$label_3#1;
+
+ inline$BDLGetDebugLevel$755$label_3#1:
+ havoc inline$BDLGetDebugLevel$755$myNondetVar_0;
+ inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$755$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$755$label_1#1;
+
+ inline$BDLGetDebugLevel$755$label_1#1:
+ goto inline$BDLGetDebugLevel$755$Return#1;
+
+ inline$BDLGetDebugLevel$755$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$755$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon42_Then#1, inline$BDLSystemPowerIoCompletion$28$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_66_true#1, inline$BDLSystemPowerIoCompletion$28$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$28$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$28$anon43_Then#1, inline$BDLSystemPowerIoCompletion$28$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$28$anon44_Then#1, inline$BDLSystemPowerIoCompletion$28$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_74#1:
+ goto inline$storm_IoCompleteRequest$59$Entry#1;
+
+ inline$storm_IoCompleteRequest$59$Entry#1:
+ inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$28$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$59$start#1;
+
+ inline$storm_IoCompleteRequest$59$start#1:
+ inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$59$label_3#1;
+
+ inline$storm_IoCompleteRequest$59$label_3#1:
+ call inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$59$label_6#1;
+
+ inline$storm_IoCompleteRequest$59$label_6#1:
+ goto inline$storm_IoCompleteRequest$59$label_6_true#1, inline$storm_IoCompleteRequest$59$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$59$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$59$label_7#1;
+
+ inline$storm_IoCompleteRequest$59$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$59$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$59$label_8#1;
+
+ inline$storm_IoCompleteRequest$59$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$59$anon3_Then#1, inline$storm_IoCompleteRequest$59$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$59$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$59$anon1#1;
+
+ inline$storm_IoCompleteRequest$59$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$59$label_9#1;
+
+ inline$storm_IoCompleteRequest$59$label_9#1:
+ goto inline$storm_IoCompleteRequest$59$label_9_true#1, inline$storm_IoCompleteRequest$59$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$59$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$59$label_10#1;
+
+ inline$storm_IoCompleteRequest$59$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$59$label_1#1;
+
+ inline$storm_IoCompleteRequest$59$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$59$label_7#1;
+
+ inline$storm_IoCompleteRequest$59$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$59$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$59$label_1#1;
+
+ inline$storm_IoCompleteRequest$59$label_1#1:
+ goto inline$storm_IoCompleteRequest$59$Return#1;
+
+ inline$storm_IoCompleteRequest$59$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$59$Return#1;
+
+ inline$storm_IoCompleteRequest$59$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon45_Then#1, inline$BDLSystemPowerIoCompletion$28$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$28$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$28$anon46_Then#1, inline$BDLSystemPowerIoCompletion$28$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_80#1:
+ goto inline$BDLGetDebugLevel$756$Entry#1;
+
+ inline$BDLGetDebugLevel$756$Entry#1:
+ goto inline$BDLGetDebugLevel$756$start#1;
+
+ inline$BDLGetDebugLevel$756$start#1:
+ goto inline$BDLGetDebugLevel$756$label_3#1;
+
+ inline$BDLGetDebugLevel$756$label_3#1:
+ havoc inline$BDLGetDebugLevel$756$myNondetVar_0;
+ inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$756$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$756$label_1#1;
+
+ inline$BDLGetDebugLevel$756$label_1#1:
+ goto inline$BDLGetDebugLevel$756$Return#1;
+
+ inline$BDLGetDebugLevel$756$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$756$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon47_Then#1, inline$BDLSystemPowerIoCompletion$28$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_83_true#1, inline$BDLSystemPowerIoCompletion$28$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_84#1:
+ goto inline$BDLGetDebugLevel$757$Entry#1;
+
+ inline$BDLGetDebugLevel$757$Entry#1:
+ goto inline$BDLGetDebugLevel$757$start#1;
+
+ inline$BDLGetDebugLevel$757$start#1:
+ goto inline$BDLGetDebugLevel$757$label_3#1;
+
+ inline$BDLGetDebugLevel$757$label_3#1:
+ havoc inline$BDLGetDebugLevel$757$myNondetVar_0;
+ inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$757$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$757$label_1#1;
+
+ inline$BDLGetDebugLevel$757$label_1#1:
+ goto inline$BDLGetDebugLevel$757$Return#1;
+
+ inline$BDLGetDebugLevel$757$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$757$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon48_Then#1, inline$BDLSystemPowerIoCompletion$28$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_93_true#1, inline$BDLSystemPowerIoCompletion$28$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$28$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$28$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_94#1:
+ goto inline$BDLGetDebugLevel$758$Entry#1;
+
+ inline$BDLGetDebugLevel$758$Entry#1:
+ goto inline$BDLGetDebugLevel$758$start#1;
+
+ inline$BDLGetDebugLevel$758$start#1:
+ goto inline$BDLGetDebugLevel$758$label_3#1;
+
+ inline$BDLGetDebugLevel$758$label_3#1:
+ havoc inline$BDLGetDebugLevel$758$myNondetVar_0;
+ inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$758$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$758$label_1#1;
+
+ inline$BDLGetDebugLevel$758$label_1#1:
+ goto inline$BDLGetDebugLevel$758$Return#1;
+
+ inline$BDLGetDebugLevel$758$Return#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$758$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$28$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$28$anon49_Then#1, inline$BDLSystemPowerIoCompletion$28$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_103_true#1, inline$BDLSystemPowerIoCompletion$28$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$28$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$28$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$28$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_104#1:
+ inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$28$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$28$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$28$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$28$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$28$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$28$Return#1:
+ inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$28$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$28$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$28$anon14_Then#1, inline$CallCompletionRoutine$28$anon14_Else#1;
+
+ inline$CallCompletionRoutine$28$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$28$anon9#1;
+
+ inline$CallCompletionRoutine$28$anon9#1:
+ goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$28$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$28$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$28$Entry#1:
+ inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$28$start#1;
+
+ inline$BDLDevicePowerIoCompletion$28$start#1:
+ call inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$28$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_4#1:
+ inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_6#1:
+ inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$28$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$144$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$144$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$start#1:
+ inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$144$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$144$label_3_true#1, inline$IoGetCurrentIrpStackLocation$144$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$144$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$144$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$144$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$144$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$144$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$144$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$144$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$144$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$144$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$144$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$144$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$144$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$144$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$144$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$144$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$144$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$144$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$144$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$144$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$144$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon30_Then#1, inline$BDLDevicePowerIoCompletion$28$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_13#1:
+ inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_17#1:
+ goto inline$BDLGetDebugLevel$741$Entry#1;
+
+ inline$BDLGetDebugLevel$741$Entry#1:
+ goto inline$BDLGetDebugLevel$741$start#1;
+
+ inline$BDLGetDebugLevel$741$start#1:
+ goto inline$BDLGetDebugLevel$741$label_3#1;
+
+ inline$BDLGetDebugLevel$741$label_3#1:
+ havoc inline$BDLGetDebugLevel$741$myNondetVar_0;
+ inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$741$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$741$label_1#1;
+
+ inline$BDLGetDebugLevel$741$label_1#1:
+ goto inline$BDLGetDebugLevel$741$Return#1;
+
+ inline$BDLGetDebugLevel$741$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$741$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon31_Then#1, inline$BDLDevicePowerIoCompletion$28$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_20_true#1, inline$BDLDevicePowerIoCompletion$28$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_21#1:
+ goto inline$BDLGetDebugLevel$742$Entry#1;
+
+ inline$BDLGetDebugLevel$742$Entry#1:
+ goto inline$BDLGetDebugLevel$742$start#1;
+
+ inline$BDLGetDebugLevel$742$start#1:
+ goto inline$BDLGetDebugLevel$742$label_3#1;
+
+ inline$BDLGetDebugLevel$742$label_3#1:
+ havoc inline$BDLGetDebugLevel$742$myNondetVar_0;
+ inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$742$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$742$label_1#1;
+
+ inline$BDLGetDebugLevel$742$label_1#1:
+ goto inline$BDLGetDebugLevel$742$Return#1;
+
+ inline$BDLGetDebugLevel$742$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$742$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon32_Then#1, inline$BDLDevicePowerIoCompletion$28$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_30_true#1, inline$BDLDevicePowerIoCompletion$28$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_31#1:
+ goto inline$BDLGetDebugLevel$743$Entry#1;
+
+ inline$BDLGetDebugLevel$743$Entry#1:
+ goto inline$BDLGetDebugLevel$743$start#1;
+
+ inline$BDLGetDebugLevel$743$start#1:
+ goto inline$BDLGetDebugLevel$743$label_3#1;
+
+ inline$BDLGetDebugLevel$743$label_3#1:
+ havoc inline$BDLGetDebugLevel$743$myNondetVar_0;
+ inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$743$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$743$label_1#1;
+
+ inline$BDLGetDebugLevel$743$label_1#1:
+ goto inline$BDLGetDebugLevel$743$Return#1;
+
+ inline$BDLGetDebugLevel$743$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$743$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon33_Then#1, inline$BDLDevicePowerIoCompletion$28$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_40_true#1, inline$BDLDevicePowerIoCompletion$28$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_41_true#1, inline$BDLDevicePowerIoCompletion$28$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$28$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$28$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_44_true#1, inline$BDLDevicePowerIoCompletion$28$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_45_true#1, inline$BDLDevicePowerIoCompletion$28$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$28$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$28$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$28$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_54#1:
+ inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$28$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_55_true#1, inline$BDLDevicePowerIoCompletion$28$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$28$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$28$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$28$anon34_Then#1, inline$BDLDevicePowerIoCompletion$28$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_59#1:
+ goto inline$BDLGetDebugLevel$744$Entry#1;
+
+ inline$BDLGetDebugLevel$744$Entry#1:
+ goto inline$BDLGetDebugLevel$744$start#1;
+
+ inline$BDLGetDebugLevel$744$start#1:
+ goto inline$BDLGetDebugLevel$744$label_3#1;
+
+ inline$BDLGetDebugLevel$744$label_3#1:
+ havoc inline$BDLGetDebugLevel$744$myNondetVar_0;
+ inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$744$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$744$label_1#1;
+
+ inline$BDLGetDebugLevel$744$label_1#1:
+ goto inline$BDLGetDebugLevel$744$Return#1;
+
+ inline$BDLGetDebugLevel$744$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$744$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon35_Then#1, inline$BDLDevicePowerIoCompletion$28$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_62_true#1, inline$BDLDevicePowerIoCompletion$28$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_63#1:
+ goto inline$BDLGetDebugLevel$745$Entry#1;
+
+ inline$BDLGetDebugLevel$745$Entry#1:
+ goto inline$BDLGetDebugLevel$745$start#1;
+
+ inline$BDLGetDebugLevel$745$start#1:
+ goto inline$BDLGetDebugLevel$745$label_3#1;
+
+ inline$BDLGetDebugLevel$745$label_3#1:
+ havoc inline$BDLGetDebugLevel$745$myNondetVar_0;
+ inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$745$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$745$label_1#1;
+
+ inline$BDLGetDebugLevel$745$label_1#1:
+ goto inline$BDLGetDebugLevel$745$Return#1;
+
+ inline$BDLGetDebugLevel$745$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$745$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon36_Then#1, inline$BDLDevicePowerIoCompletion$28$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_72_true#1, inline$BDLDevicePowerIoCompletion$28$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_73#1:
+ goto inline$BDLGetDebugLevel$746$Entry#1;
+
+ inline$BDLGetDebugLevel$746$Entry#1:
+ goto inline$BDLGetDebugLevel$746$start#1;
+
+ inline$BDLGetDebugLevel$746$start#1:
+ goto inline$BDLGetDebugLevel$746$label_3#1;
+
+ inline$BDLGetDebugLevel$746$label_3#1:
+ havoc inline$BDLGetDebugLevel$746$myNondetVar_0;
+ inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$746$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$746$label_1#1;
+
+ inline$BDLGetDebugLevel$746$label_1#1:
+ goto inline$BDLGetDebugLevel$746$Return#1;
+
+ inline$BDLGetDebugLevel$746$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$746$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon37_Then#1, inline$BDLDevicePowerIoCompletion$28$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_82_true#1, inline$BDLDevicePowerIoCompletion$28$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$28$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$28$anon38_Then#1, inline$BDLDevicePowerIoCompletion$28$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$28$anon39_Then#1, inline$BDLDevicePowerIoCompletion$28$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_92#1:
+ goto inline$storm_IoCompleteRequest$58$Entry#1;
+
+ inline$storm_IoCompleteRequest$58$Entry#1:
+ inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$28$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$58$start#1;
+
+ inline$storm_IoCompleteRequest$58$start#1:
+ inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$58$label_3#1;
+
+ inline$storm_IoCompleteRequest$58$label_3#1:
+ call inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$58$label_6#1;
+
+ inline$storm_IoCompleteRequest$58$label_6#1:
+ goto inline$storm_IoCompleteRequest$58$label_6_true#1, inline$storm_IoCompleteRequest$58$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$58$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$58$label_7#1;
+
+ inline$storm_IoCompleteRequest$58$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$58$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$58$label_8#1;
+
+ inline$storm_IoCompleteRequest$58$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$58$anon3_Then#1, inline$storm_IoCompleteRequest$58$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$58$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$58$anon1#1;
+
+ inline$storm_IoCompleteRequest$58$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$58$label_9#1;
+
+ inline$storm_IoCompleteRequest$58$label_9#1:
+ goto inline$storm_IoCompleteRequest$58$label_9_true#1, inline$storm_IoCompleteRequest$58$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$58$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$58$label_10#1;
+
+ inline$storm_IoCompleteRequest$58$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$58$label_1#1;
+
+ inline$storm_IoCompleteRequest$58$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$58$label_7#1;
+
+ inline$storm_IoCompleteRequest$58$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$58$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$58$label_1#1;
+
+ inline$storm_IoCompleteRequest$58$label_1#1:
+ goto inline$storm_IoCompleteRequest$58$Return#1;
+
+ inline$storm_IoCompleteRequest$58$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$58$Return#1;
+
+ inline$storm_IoCompleteRequest$58$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon40_Then#1, inline$BDLDevicePowerIoCompletion$28$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$28$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$28$anon41_Then#1, inline$BDLDevicePowerIoCompletion$28$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_98#1:
+ goto inline$BDLGetDebugLevel$747$Entry#1;
+
+ inline$BDLGetDebugLevel$747$Entry#1:
+ goto inline$BDLGetDebugLevel$747$start#1;
+
+ inline$BDLGetDebugLevel$747$start#1:
+ goto inline$BDLGetDebugLevel$747$label_3#1;
+
+ inline$BDLGetDebugLevel$747$label_3#1:
+ havoc inline$BDLGetDebugLevel$747$myNondetVar_0;
+ inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$747$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$747$label_1#1;
+
+ inline$BDLGetDebugLevel$747$label_1#1:
+ goto inline$BDLGetDebugLevel$747$Return#1;
+
+ inline$BDLGetDebugLevel$747$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$747$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon42_Then#1, inline$BDLDevicePowerIoCompletion$28$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_101_true#1, inline$BDLDevicePowerIoCompletion$28$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_102#1:
+ goto inline$BDLGetDebugLevel$748$Entry#1;
+
+ inline$BDLGetDebugLevel$748$Entry#1:
+ goto inline$BDLGetDebugLevel$748$start#1;
+
+ inline$BDLGetDebugLevel$748$start#1:
+ goto inline$BDLGetDebugLevel$748$label_3#1;
+
+ inline$BDLGetDebugLevel$748$label_3#1:
+ havoc inline$BDLGetDebugLevel$748$myNondetVar_0;
+ inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$748$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$748$label_1#1;
+
+ inline$BDLGetDebugLevel$748$label_1#1:
+ goto inline$BDLGetDebugLevel$748$Return#1;
+
+ inline$BDLGetDebugLevel$748$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$748$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon43_Then#1, inline$BDLDevicePowerIoCompletion$28$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_111_true#1, inline$BDLDevicePowerIoCompletion$28$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$28$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$28$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$28$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_112#1:
+ goto inline$BDLGetDebugLevel$749$Entry#1;
+
+ inline$BDLGetDebugLevel$749$Entry#1:
+ goto inline$BDLGetDebugLevel$749$start#1;
+
+ inline$BDLGetDebugLevel$749$start#1:
+ goto inline$BDLGetDebugLevel$749$label_3#1;
+
+ inline$BDLGetDebugLevel$749$label_3#1:
+ havoc inline$BDLGetDebugLevel$749$myNondetVar_0;
+ inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$749$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$749$label_1#1;
+
+ inline$BDLGetDebugLevel$749$label_1#1:
+ goto inline$BDLGetDebugLevel$749$Return#1;
+
+ inline$BDLGetDebugLevel$749$Return#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$749$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$28$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$28$anon44_Then#1, inline$BDLDevicePowerIoCompletion$28$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_121_true#1, inline$BDLDevicePowerIoCompletion$28$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$28$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$28$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$28$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_122#1:
+ inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$28$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$28$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$28$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$28$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$28$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$28$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$28$Return#1:
+ inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$28$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$28$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$28$anon13_Then#1, inline$CallCompletionRoutine$28$anon13_Else#1;
+
+ inline$CallCompletionRoutine$28$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$28$anon7#1;
+
+ inline$CallCompletionRoutine$28$anon7#1:
+ goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$28$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$28$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$28$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$28$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$28$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$28$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$start#1:
+ inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$28$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$label_3_true#1, inline$BDLCallDriverCompletionRoutine$28$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$28$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$28$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$28$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_6#1:
+ goto inline$storm_KeSetEvent$32$Entry#1;
+
+ inline$storm_KeSetEvent$32$Entry#1:
+ inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$28$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$32$start#1;
+
+ inline$storm_KeSetEvent$32$start#1:
+ inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$32$label_3#1;
+
+ inline$storm_KeSetEvent$32$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$32$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$32$label_1#1;
+
+ inline$storm_KeSetEvent$32$label_1#1:
+ goto inline$storm_KeSetEvent$32$Return#1;
+
+ inline$storm_KeSetEvent$32$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$28$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$28$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$28$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$28$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$28$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$28$Return#1:
+ inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$28$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$28$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$28$anon12_Then#1, inline$CallCompletionRoutine$28$anon12_Else#1;
+
+ inline$CallCompletionRoutine$28$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$28$anon5#1;
+
+ inline$CallCompletionRoutine$28$anon5#1:
+ goto inline$CallCompletionRoutine$28$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$28$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$28$label_23#1;
+
+ inline$CallCompletionRoutine$28$label_23#1:
+ inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$28$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$28$label_24#1;
+
+ inline$CallCompletionRoutine$28$label_24#1:
+ goto inline$CallCompletionRoutine$28$label_24_true#1, inline$CallCompletionRoutine$28$label_24_false#1;
+
+ inline$CallCompletionRoutine$28$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$28$label_1#1;
+
+ inline$CallCompletionRoutine$28$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$28$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$28$label_25#1;
+
+ inline$CallCompletionRoutine$28$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$28$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$28$label_1#1;
+
+ inline$CallCompletionRoutine$28$label_1#1:
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$28$Return#1;
+
+ inline$CallCompletionRoutine$28$Return#1:
+ goto inline$storm_IoCallDriver$14$label_33$1#1;
+
+ inline$storm_IoCallDriver$14$label_33$1#1:
+ goto inline$storm_IoCallDriver$14$anon14_Then#1, inline$storm_IoCallDriver$14$anon14_Else#1;
+
+ inline$storm_IoCallDriver$14$anon14_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$14$anon8#1;
+
+ inline$storm_IoCallDriver$14$anon8#1:
+ goto inline$storm_IoCallDriver$14$label_36#1;
+
+ inline$storm_IoCallDriver$14$anon14_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$label_27_case_1#1:
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ == 0;
+ goto inline$storm_IoCallDriver$14$label_29#1;
+
+ inline$storm_IoCallDriver$14$label_29#1:
+ inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 0;
+ goto inline$storm_IoCallDriver$14$label_37#1;
+
+ inline$storm_IoCallDriver$14$label_37#1:
+ goto inline$storm_IoCallDriver$14$label_38#1;
+
+ inline$storm_IoCallDriver$14$label_38#1:
+ goto inline$storm_IoCallDriver$14$label_39#1;
+
+ inline$storm_IoCallDriver$14$label_39#1:
+ goto inline$CallCompletionRoutine$29$Entry#1;
+
+ inline$CallCompletionRoutine$29$Entry#1:
+ inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
+ goto inline$CallCompletionRoutine$29$start#1;
+
+ inline$CallCompletionRoutine$29$start#1:
+ inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$29$label_3#1;
+
+ inline$CallCompletionRoutine$29$label_3#1:
+ goto inline$CallCompletionRoutine$29$label_4#1;
+
+ inline$CallCompletionRoutine$29$label_4#1:
+ goto inline$CallCompletionRoutine$29$label_5#1;
+
+ inline$CallCompletionRoutine$29$label_5#1:
+ goto inline$CallCompletionRoutine$29$label_6#1;
+
+ inline$CallCompletionRoutine$29$label_6#1:
+ goto inline$CallCompletionRoutine$29$label_7#1;
+
+ inline$CallCompletionRoutine$29$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$146$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$146$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$start#1:
+ inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$146$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$146$label_3_true#1, inline$IoGetCurrentIrpStackLocation$146$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$146$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$146$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$146$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$146$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$146$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$146$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$146$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$146$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$146$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$146$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$146$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$146$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$146$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$146$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$146$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$146$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$146$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$146$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$146$Return#1:
+ goto inline$CallCompletionRoutine$29$label_7$1#1;
+
+ inline$CallCompletionRoutine$29$label_7$1#1:
+ goto inline$CallCompletionRoutine$29$anon10_Then#1, inline$CallCompletionRoutine$29$anon10_Else#1;
+
+ inline$CallCompletionRoutine$29$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$29$anon1#1;
+
+ inline$CallCompletionRoutine$29$anon1#1:
+ goto inline$CallCompletionRoutine$29$label_10#1;
+
+ inline$CallCompletionRoutine$29$label_10#1:
+ goto inline$CallCompletionRoutine$29$label_11#1;
+
+ inline$CallCompletionRoutine$29$label_11#1:
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$CallCompletionRoutine$29$label_12#1;
+
+ inline$CallCompletionRoutine$29$label_12#1:
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$CallCompletionRoutine$29$label_13#1;
+
+ inline$CallCompletionRoutine$29$label_13#1:
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$CallCompletionRoutine$29$label_14#1;
+
+ inline$CallCompletionRoutine$29$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$147$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$147$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$start#1:
+ inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$147$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$147$label_3_true#1, inline$IoGetCurrentIrpStackLocation$147$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$147$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$147$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$147$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$147$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$147$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$147$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$147$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$147$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$147$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$147$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$147$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$147$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$147$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$147$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$147$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$147$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$147$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$147$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$147$Return#1:
+ goto inline$CallCompletionRoutine$29$label_14$1#1;
+
+ inline$CallCompletionRoutine$29$label_14$1#1:
+ goto inline$CallCompletionRoutine$29$anon11_Then#1, inline$CallCompletionRoutine$29$anon11_Else#1;
+
+ inline$CallCompletionRoutine$29$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$29$anon3#1;
+
+ inline$CallCompletionRoutine$29$anon3#1:
+ goto inline$CallCompletionRoutine$29$label_17#1;
+
+ inline$CallCompletionRoutine$29$label_17#1:
+ goto inline$CallCompletionRoutine$29$label_18#1;
+
+ inline$CallCompletionRoutine$29$label_18#1:
+ goto inline$CallCompletionRoutine$29$label_18_true#1, inline$CallCompletionRoutine$29$label_18_false#1;
+
+ inline$CallCompletionRoutine$29$label_18_false#1:
+ assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$29$label_1#1;
+
+ inline$CallCompletionRoutine$29$label_18_true#1:
+ assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$29$label_19#1;
+
+ inline$CallCompletionRoutine$29$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$29$label_20#1;
+
+ inline$CallCompletionRoutine$29$label_20#1:
+ goto inline$CallCompletionRoutine$29$label_20_icall_1#1, inline$CallCompletionRoutine$29$label_20_icall_2#1, inline$CallCompletionRoutine$29$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$29$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$29$Entry#1:
+ inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$29$start#1;
+
+ inline$BDLSystemPowerIoCompletion$29$start#1:
+ call inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$29$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_4#1:
+ inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_6#1:
+ inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$29$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$149$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$149$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$start#1:
+ inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$149$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$149$label_3_true#1, inline$IoGetCurrentIrpStackLocation$149$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$149$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$149$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$149$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$149$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$149$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$149$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$149$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$149$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$149$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$149$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$149$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$149$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$149$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$149$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$149$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$149$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$149$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$149$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$149$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon36_Then#1, inline$BDLSystemPowerIoCompletion$29$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_15#1:
+ goto inline$BDLGetDebugLevel$771$Entry#1;
+
+ inline$BDLGetDebugLevel$771$Entry#1:
+ goto inline$BDLGetDebugLevel$771$start#1;
+
+ inline$BDLGetDebugLevel$771$start#1:
+ goto inline$BDLGetDebugLevel$771$label_3#1;
+
+ inline$BDLGetDebugLevel$771$label_3#1:
+ havoc inline$BDLGetDebugLevel$771$myNondetVar_0;
+ inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$771$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$771$label_1#1;
+
+ inline$BDLGetDebugLevel$771$label_1#1:
+ goto inline$BDLGetDebugLevel$771$Return#1;
+
+ inline$BDLGetDebugLevel$771$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$771$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon37_Then#1, inline$BDLSystemPowerIoCompletion$29$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_18_true#1, inline$BDLSystemPowerIoCompletion$29$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_19#1:
+ goto inline$BDLGetDebugLevel$772$Entry#1;
+
+ inline$BDLGetDebugLevel$772$Entry#1:
+ goto inline$BDLGetDebugLevel$772$start#1;
+
+ inline$BDLGetDebugLevel$772$start#1:
+ goto inline$BDLGetDebugLevel$772$label_3#1;
+
+ inline$BDLGetDebugLevel$772$label_3#1:
+ havoc inline$BDLGetDebugLevel$772$myNondetVar_0;
+ inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$772$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$772$label_1#1;
+
+ inline$BDLGetDebugLevel$772$label_1#1:
+ goto inline$BDLGetDebugLevel$772$Return#1;
+
+ inline$BDLGetDebugLevel$772$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$772$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon38_Then#1, inline$BDLSystemPowerIoCompletion$29$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_28_true#1, inline$BDLSystemPowerIoCompletion$29$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_29#1:
+ goto inline$BDLGetDebugLevel$773$Entry#1;
+
+ inline$BDLGetDebugLevel$773$Entry#1:
+ goto inline$BDLGetDebugLevel$773$start#1;
+
+ inline$BDLGetDebugLevel$773$start#1:
+ goto inline$BDLGetDebugLevel$773$label_3#1;
+
+ inline$BDLGetDebugLevel$773$label_3#1:
+ havoc inline$BDLGetDebugLevel$773$myNondetVar_0;
+ inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$773$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$773$label_1#1;
+
+ inline$BDLGetDebugLevel$773$label_1#1:
+ goto inline$BDLGetDebugLevel$773$Return#1;
+
+ inline$BDLGetDebugLevel$773$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$773$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon39_Then#1, inline$BDLSystemPowerIoCompletion$29$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_38_true#1, inline$BDLSystemPowerIoCompletion$29$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_39_true#1, inline$BDLSystemPowerIoCompletion$29$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$29$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$29$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$29$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$29$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$29$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_106_true#1, inline$BDLSystemPowerIoCompletion$29$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$29$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$29$myNondetVar_0, inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$29$anon50_Then#1, inline$BDLSystemPowerIoCompletion$29$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_112#1:
+ inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_113_true#1, inline$BDLSystemPowerIoCompletion$29$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$29$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_117#1:
+ goto inline$BDLGetDebugLevel$780$Entry#1;
+
+ inline$BDLGetDebugLevel$780$Entry#1:
+ goto inline$BDLGetDebugLevel$780$start#1;
+
+ inline$BDLGetDebugLevel$780$start#1:
+ goto inline$BDLGetDebugLevel$780$label_3#1;
+
+ inline$BDLGetDebugLevel$780$label_3#1:
+ havoc inline$BDLGetDebugLevel$780$myNondetVar_0;
+ inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$780$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$780$label_1#1;
+
+ inline$BDLGetDebugLevel$780$label_1#1:
+ goto inline$BDLGetDebugLevel$780$Return#1;
+
+ inline$BDLGetDebugLevel$780$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$780$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon51_Then#1, inline$BDLSystemPowerIoCompletion$29$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_120_true#1, inline$BDLSystemPowerIoCompletion$29$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_121#1:
+ goto inline$BDLGetDebugLevel$781$Entry#1;
+
+ inline$BDLGetDebugLevel$781$Entry#1:
+ goto inline$BDLGetDebugLevel$781$start#1;
+
+ inline$BDLGetDebugLevel$781$start#1:
+ goto inline$BDLGetDebugLevel$781$label_3#1;
+
+ inline$BDLGetDebugLevel$781$label_3#1:
+ havoc inline$BDLGetDebugLevel$781$myNondetVar_0;
+ inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$781$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$781$label_1#1;
+
+ inline$BDLGetDebugLevel$781$label_1#1:
+ goto inline$BDLGetDebugLevel$781$Return#1;
+
+ inline$BDLGetDebugLevel$781$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$781$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon52_Then#1, inline$BDLSystemPowerIoCompletion$29$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_130_true#1, inline$BDLSystemPowerIoCompletion$29$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_131#1:
+ goto inline$BDLGetDebugLevel$782$Entry#1;
+
+ inline$BDLGetDebugLevel$782$Entry#1:
+ goto inline$BDLGetDebugLevel$782$start#1;
+
+ inline$BDLGetDebugLevel$782$start#1:
+ goto inline$BDLGetDebugLevel$782$label_3#1;
+
+ inline$BDLGetDebugLevel$782$label_3#1:
+ havoc inline$BDLGetDebugLevel$782$myNondetVar_0;
+ inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$782$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$782$label_1#1;
+
+ inline$BDLGetDebugLevel$782$label_1#1:
+ goto inline$BDLGetDebugLevel$782$Return#1;
+
+ inline$BDLGetDebugLevel$782$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$782$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon53_Then#1, inline$BDLSystemPowerIoCompletion$29$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_140_true#1, inline$BDLSystemPowerIoCompletion$29$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$29$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_115#1:
+ inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$29$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$29$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$29$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_43#1:
+ goto inline$BDLGetDebugLevel$774$Entry#1;
+
+ inline$BDLGetDebugLevel$774$Entry#1:
+ goto inline$BDLGetDebugLevel$774$start#1;
+
+ inline$BDLGetDebugLevel$774$start#1:
+ goto inline$BDLGetDebugLevel$774$label_3#1;
+
+ inline$BDLGetDebugLevel$774$label_3#1:
+ havoc inline$BDLGetDebugLevel$774$myNondetVar_0;
+ inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$774$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$774$label_1#1;
+
+ inline$BDLGetDebugLevel$774$label_1#1:
+ goto inline$BDLGetDebugLevel$774$Return#1;
+
+ inline$BDLGetDebugLevel$774$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$774$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon40_Then#1, inline$BDLSystemPowerIoCompletion$29$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_46_true#1, inline$BDLSystemPowerIoCompletion$29$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_47#1:
+ goto inline$BDLGetDebugLevel$775$Entry#1;
+
+ inline$BDLGetDebugLevel$775$Entry#1:
+ goto inline$BDLGetDebugLevel$775$start#1;
+
+ inline$BDLGetDebugLevel$775$start#1:
+ goto inline$BDLGetDebugLevel$775$label_3#1;
+
+ inline$BDLGetDebugLevel$775$label_3#1:
+ havoc inline$BDLGetDebugLevel$775$myNondetVar_0;
+ inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$775$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$775$label_1#1;
+
+ inline$BDLGetDebugLevel$775$label_1#1:
+ goto inline$BDLGetDebugLevel$775$Return#1;
+
+ inline$BDLGetDebugLevel$775$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$775$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon41_Then#1, inline$BDLSystemPowerIoCompletion$29$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_56_true#1, inline$BDLSystemPowerIoCompletion$29$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_57#1:
+ goto inline$BDLGetDebugLevel$776$Entry#1;
+
+ inline$BDLGetDebugLevel$776$Entry#1:
+ goto inline$BDLGetDebugLevel$776$start#1;
+
+ inline$BDLGetDebugLevel$776$start#1:
+ goto inline$BDLGetDebugLevel$776$label_3#1;
+
+ inline$BDLGetDebugLevel$776$label_3#1:
+ havoc inline$BDLGetDebugLevel$776$myNondetVar_0;
+ inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$776$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$776$label_1#1;
+
+ inline$BDLGetDebugLevel$776$label_1#1:
+ goto inline$BDLGetDebugLevel$776$Return#1;
+
+ inline$BDLGetDebugLevel$776$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$776$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon42_Then#1, inline$BDLSystemPowerIoCompletion$29$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_66_true#1, inline$BDLSystemPowerIoCompletion$29$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$29$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$29$anon43_Then#1, inline$BDLSystemPowerIoCompletion$29$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$29$anon44_Then#1, inline$BDLSystemPowerIoCompletion$29$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_74#1:
+ goto inline$storm_IoCompleteRequest$61$Entry#1;
+
+ inline$storm_IoCompleteRequest$61$Entry#1:
+ inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$29$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$61$start#1;
+
+ inline$storm_IoCompleteRequest$61$start#1:
+ inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$61$label_3#1;
+
+ inline$storm_IoCompleteRequest$61$label_3#1:
+ call inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$61$label_6#1;
+
+ inline$storm_IoCompleteRequest$61$label_6#1:
+ goto inline$storm_IoCompleteRequest$61$label_6_true#1, inline$storm_IoCompleteRequest$61$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$61$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$61$label_7#1;
+
+ inline$storm_IoCompleteRequest$61$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$61$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$61$label_8#1;
+
+ inline$storm_IoCompleteRequest$61$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$61$anon3_Then#1, inline$storm_IoCompleteRequest$61$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$61$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$61$anon1#1;
+
+ inline$storm_IoCompleteRequest$61$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$61$label_9#1;
+
+ inline$storm_IoCompleteRequest$61$label_9#1:
+ goto inline$storm_IoCompleteRequest$61$label_9_true#1, inline$storm_IoCompleteRequest$61$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$61$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$61$label_10#1;
+
+ inline$storm_IoCompleteRequest$61$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$61$label_1#1;
+
+ inline$storm_IoCompleteRequest$61$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$61$label_7#1;
+
+ inline$storm_IoCompleteRequest$61$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$61$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$61$label_1#1;
+
+ inline$storm_IoCompleteRequest$61$label_1#1:
+ goto inline$storm_IoCompleteRequest$61$Return#1;
+
+ inline$storm_IoCompleteRequest$61$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$61$Return#1;
+
+ inline$storm_IoCompleteRequest$61$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon45_Then#1, inline$BDLSystemPowerIoCompletion$29$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$29$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$29$anon46_Then#1, inline$BDLSystemPowerIoCompletion$29$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_80#1:
+ goto inline$BDLGetDebugLevel$777$Entry#1;
+
+ inline$BDLGetDebugLevel$777$Entry#1:
+ goto inline$BDLGetDebugLevel$777$start#1;
+
+ inline$BDLGetDebugLevel$777$start#1:
+ goto inline$BDLGetDebugLevel$777$label_3#1;
+
+ inline$BDLGetDebugLevel$777$label_3#1:
+ havoc inline$BDLGetDebugLevel$777$myNondetVar_0;
+ inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$777$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$777$label_1#1;
+
+ inline$BDLGetDebugLevel$777$label_1#1:
+ goto inline$BDLGetDebugLevel$777$Return#1;
+
+ inline$BDLGetDebugLevel$777$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$777$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon47_Then#1, inline$BDLSystemPowerIoCompletion$29$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_83_true#1, inline$BDLSystemPowerIoCompletion$29$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_84#1:
+ goto inline$BDLGetDebugLevel$778$Entry#1;
+
+ inline$BDLGetDebugLevel$778$Entry#1:
+ goto inline$BDLGetDebugLevel$778$start#1;
+
+ inline$BDLGetDebugLevel$778$start#1:
+ goto inline$BDLGetDebugLevel$778$label_3#1;
+
+ inline$BDLGetDebugLevel$778$label_3#1:
+ havoc inline$BDLGetDebugLevel$778$myNondetVar_0;
+ inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$778$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$778$label_1#1;
+
+ inline$BDLGetDebugLevel$778$label_1#1:
+ goto inline$BDLGetDebugLevel$778$Return#1;
+
+ inline$BDLGetDebugLevel$778$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$778$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon48_Then#1, inline$BDLSystemPowerIoCompletion$29$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_93_true#1, inline$BDLSystemPowerIoCompletion$29$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$29$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$29$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_94#1:
+ goto inline$BDLGetDebugLevel$779$Entry#1;
+
+ inline$BDLGetDebugLevel$779$Entry#1:
+ goto inline$BDLGetDebugLevel$779$start#1;
+
+ inline$BDLGetDebugLevel$779$start#1:
+ goto inline$BDLGetDebugLevel$779$label_3#1;
+
+ inline$BDLGetDebugLevel$779$label_3#1:
+ havoc inline$BDLGetDebugLevel$779$myNondetVar_0;
+ inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$779$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$779$label_1#1;
+
+ inline$BDLGetDebugLevel$779$label_1#1:
+ goto inline$BDLGetDebugLevel$779$Return#1;
+
+ inline$BDLGetDebugLevel$779$Return#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$779$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$29$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$29$anon49_Then#1, inline$BDLSystemPowerIoCompletion$29$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_103_true#1, inline$BDLSystemPowerIoCompletion$29$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$29$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$29$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$29$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_104#1:
+ inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$29$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$29$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$29$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$29$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$29$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$29$Return#1:
+ inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$29$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$29$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$29$anon14_Then#1, inline$CallCompletionRoutine$29$anon14_Else#1;
+
+ inline$CallCompletionRoutine$29$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$29$anon9#1;
+
+ inline$CallCompletionRoutine$29$anon9#1:
+ goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$29$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$29$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$29$Entry#1:
+ inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$29$start#1;
+
+ inline$BDLDevicePowerIoCompletion$29$start#1:
+ call inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$29$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_4#1:
+ inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_6#1:
+ inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$29$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$148$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$148$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$start#1:
+ inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$148$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$148$label_3_true#1, inline$IoGetCurrentIrpStackLocation$148$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$148$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$148$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$148$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$148$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$148$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$148$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$148$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$148$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$148$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$148$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$148$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$148$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$148$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$148$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$148$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$148$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$148$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$148$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$148$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$148$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon30_Then#1, inline$BDLDevicePowerIoCompletion$29$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_13#1:
+ inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_17#1:
+ goto inline$BDLGetDebugLevel$762$Entry#1;
+
+ inline$BDLGetDebugLevel$762$Entry#1:
+ goto inline$BDLGetDebugLevel$762$start#1;
+
+ inline$BDLGetDebugLevel$762$start#1:
+ goto inline$BDLGetDebugLevel$762$label_3#1;
+
+ inline$BDLGetDebugLevel$762$label_3#1:
+ havoc inline$BDLGetDebugLevel$762$myNondetVar_0;
+ inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$762$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$762$label_1#1;
+
+ inline$BDLGetDebugLevel$762$label_1#1:
+ goto inline$BDLGetDebugLevel$762$Return#1;
+
+ inline$BDLGetDebugLevel$762$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$762$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon31_Then#1, inline$BDLDevicePowerIoCompletion$29$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_20_true#1, inline$BDLDevicePowerIoCompletion$29$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_21#1:
+ goto inline$BDLGetDebugLevel$763$Entry#1;
+
+ inline$BDLGetDebugLevel$763$Entry#1:
+ goto inline$BDLGetDebugLevel$763$start#1;
+
+ inline$BDLGetDebugLevel$763$start#1:
+ goto inline$BDLGetDebugLevel$763$label_3#1;
+
+ inline$BDLGetDebugLevel$763$label_3#1:
+ havoc inline$BDLGetDebugLevel$763$myNondetVar_0;
+ inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$763$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$763$label_1#1;
+
+ inline$BDLGetDebugLevel$763$label_1#1:
+ goto inline$BDLGetDebugLevel$763$Return#1;
+
+ inline$BDLGetDebugLevel$763$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$763$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon32_Then#1, inline$BDLDevicePowerIoCompletion$29$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_30_true#1, inline$BDLDevicePowerIoCompletion$29$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_31#1:
+ goto inline$BDLGetDebugLevel$764$Entry#1;
+
+ inline$BDLGetDebugLevel$764$Entry#1:
+ goto inline$BDLGetDebugLevel$764$start#1;
+
+ inline$BDLGetDebugLevel$764$start#1:
+ goto inline$BDLGetDebugLevel$764$label_3#1;
+
+ inline$BDLGetDebugLevel$764$label_3#1:
+ havoc inline$BDLGetDebugLevel$764$myNondetVar_0;
+ inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$764$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$764$label_1#1;
+
+ inline$BDLGetDebugLevel$764$label_1#1:
+ goto inline$BDLGetDebugLevel$764$Return#1;
+
+ inline$BDLGetDebugLevel$764$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$764$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon33_Then#1, inline$BDLDevicePowerIoCompletion$29$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_40_true#1, inline$BDLDevicePowerIoCompletion$29$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_41_true#1, inline$BDLDevicePowerIoCompletion$29$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$29$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$29$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_44_true#1, inline$BDLDevicePowerIoCompletion$29$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_45_true#1, inline$BDLDevicePowerIoCompletion$29$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$29$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$29$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$29$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_54#1:
+ inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$29$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_55_true#1, inline$BDLDevicePowerIoCompletion$29$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$29$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$29$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$29$anon34_Then#1, inline$BDLDevicePowerIoCompletion$29$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_59#1:
+ goto inline$BDLGetDebugLevel$765$Entry#1;
+
+ inline$BDLGetDebugLevel$765$Entry#1:
+ goto inline$BDLGetDebugLevel$765$start#1;
+
+ inline$BDLGetDebugLevel$765$start#1:
+ goto inline$BDLGetDebugLevel$765$label_3#1;
+
+ inline$BDLGetDebugLevel$765$label_3#1:
+ havoc inline$BDLGetDebugLevel$765$myNondetVar_0;
+ inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$765$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$765$label_1#1;
+
+ inline$BDLGetDebugLevel$765$label_1#1:
+ goto inline$BDLGetDebugLevel$765$Return#1;
+
+ inline$BDLGetDebugLevel$765$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$765$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon35_Then#1, inline$BDLDevicePowerIoCompletion$29$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_62_true#1, inline$BDLDevicePowerIoCompletion$29$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_63#1:
+ goto inline$BDLGetDebugLevel$766$Entry#1;
+
+ inline$BDLGetDebugLevel$766$Entry#1:
+ goto inline$BDLGetDebugLevel$766$start#1;
+
+ inline$BDLGetDebugLevel$766$start#1:
+ goto inline$BDLGetDebugLevel$766$label_3#1;
+
+ inline$BDLGetDebugLevel$766$label_3#1:
+ havoc inline$BDLGetDebugLevel$766$myNondetVar_0;
+ inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$766$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$766$label_1#1;
+
+ inline$BDLGetDebugLevel$766$label_1#1:
+ goto inline$BDLGetDebugLevel$766$Return#1;
+
+ inline$BDLGetDebugLevel$766$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$766$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon36_Then#1, inline$BDLDevicePowerIoCompletion$29$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_72_true#1, inline$BDLDevicePowerIoCompletion$29$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_73#1:
+ goto inline$BDLGetDebugLevel$767$Entry#1;
+
+ inline$BDLGetDebugLevel$767$Entry#1:
+ goto inline$BDLGetDebugLevel$767$start#1;
+
+ inline$BDLGetDebugLevel$767$start#1:
+ goto inline$BDLGetDebugLevel$767$label_3#1;
+
+ inline$BDLGetDebugLevel$767$label_3#1:
+ havoc inline$BDLGetDebugLevel$767$myNondetVar_0;
+ inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$767$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$767$label_1#1;
+
+ inline$BDLGetDebugLevel$767$label_1#1:
+ goto inline$BDLGetDebugLevel$767$Return#1;
+
+ inline$BDLGetDebugLevel$767$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$767$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon37_Then#1, inline$BDLDevicePowerIoCompletion$29$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_82_true#1, inline$BDLDevicePowerIoCompletion$29$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$29$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$29$anon38_Then#1, inline$BDLDevicePowerIoCompletion$29$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$29$anon39_Then#1, inline$BDLDevicePowerIoCompletion$29$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_92#1:
+ goto inline$storm_IoCompleteRequest$60$Entry#1;
+
+ inline$storm_IoCompleteRequest$60$Entry#1:
+ inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$29$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$60$start#1;
+
+ inline$storm_IoCompleteRequest$60$start#1:
+ inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$60$label_3#1;
+
+ inline$storm_IoCompleteRequest$60$label_3#1:
+ call inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$60$label_6#1;
+
+ inline$storm_IoCompleteRequest$60$label_6#1:
+ goto inline$storm_IoCompleteRequest$60$label_6_true#1, inline$storm_IoCompleteRequest$60$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$60$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$60$label_7#1;
+
+ inline$storm_IoCompleteRequest$60$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$60$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$60$label_8#1;
+
+ inline$storm_IoCompleteRequest$60$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$60$anon3_Then#1, inline$storm_IoCompleteRequest$60$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$60$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$60$anon1#1;
+
+ inline$storm_IoCompleteRequest$60$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$60$label_9#1;
+
+ inline$storm_IoCompleteRequest$60$label_9#1:
+ goto inline$storm_IoCompleteRequest$60$label_9_true#1, inline$storm_IoCompleteRequest$60$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$60$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$60$label_10#1;
+
+ inline$storm_IoCompleteRequest$60$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$60$label_1#1;
+
+ inline$storm_IoCompleteRequest$60$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$60$label_7#1;
+
+ inline$storm_IoCompleteRequest$60$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$60$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$60$label_1#1;
+
+ inline$storm_IoCompleteRequest$60$label_1#1:
+ goto inline$storm_IoCompleteRequest$60$Return#1;
+
+ inline$storm_IoCompleteRequest$60$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$60$Return#1;
+
+ inline$storm_IoCompleteRequest$60$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon40_Then#1, inline$BDLDevicePowerIoCompletion$29$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$29$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$29$anon41_Then#1, inline$BDLDevicePowerIoCompletion$29$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_98#1:
+ goto inline$BDLGetDebugLevel$768$Entry#1;
+
+ inline$BDLGetDebugLevel$768$Entry#1:
+ goto inline$BDLGetDebugLevel$768$start#1;
+
+ inline$BDLGetDebugLevel$768$start#1:
+ goto inline$BDLGetDebugLevel$768$label_3#1;
+
+ inline$BDLGetDebugLevel$768$label_3#1:
+ havoc inline$BDLGetDebugLevel$768$myNondetVar_0;
+ inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$768$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$768$label_1#1;
+
+ inline$BDLGetDebugLevel$768$label_1#1:
+ goto inline$BDLGetDebugLevel$768$Return#1;
+
+ inline$BDLGetDebugLevel$768$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$768$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon42_Then#1, inline$BDLDevicePowerIoCompletion$29$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_101_true#1, inline$BDLDevicePowerIoCompletion$29$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_102#1:
+ goto inline$BDLGetDebugLevel$769$Entry#1;
+
+ inline$BDLGetDebugLevel$769$Entry#1:
+ goto inline$BDLGetDebugLevel$769$start#1;
+
+ inline$BDLGetDebugLevel$769$start#1:
+ goto inline$BDLGetDebugLevel$769$label_3#1;
+
+ inline$BDLGetDebugLevel$769$label_3#1:
+ havoc inline$BDLGetDebugLevel$769$myNondetVar_0;
+ inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$769$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$769$label_1#1;
+
+ inline$BDLGetDebugLevel$769$label_1#1:
+ goto inline$BDLGetDebugLevel$769$Return#1;
+
+ inline$BDLGetDebugLevel$769$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$769$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon43_Then#1, inline$BDLDevicePowerIoCompletion$29$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_111_true#1, inline$BDLDevicePowerIoCompletion$29$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$29$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$29$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$29$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_112#1:
+ goto inline$BDLGetDebugLevel$770$Entry#1;
+
+ inline$BDLGetDebugLevel$770$Entry#1:
+ goto inline$BDLGetDebugLevel$770$start#1;
+
+ inline$BDLGetDebugLevel$770$start#1:
+ goto inline$BDLGetDebugLevel$770$label_3#1;
+
+ inline$BDLGetDebugLevel$770$label_3#1:
+ havoc inline$BDLGetDebugLevel$770$myNondetVar_0;
+ inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$770$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$770$label_1#1;
+
+ inline$BDLGetDebugLevel$770$label_1#1:
+ goto inline$BDLGetDebugLevel$770$Return#1;
+
+ inline$BDLGetDebugLevel$770$Return#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$770$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$29$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$29$anon44_Then#1, inline$BDLDevicePowerIoCompletion$29$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_121_true#1, inline$BDLDevicePowerIoCompletion$29$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$29$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$29$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$29$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_122#1:
+ inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$29$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$29$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$29$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$29$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$29$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$29$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$29$Return#1:
+ inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$29$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$29$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$29$anon13_Then#1, inline$CallCompletionRoutine$29$anon13_Else#1;
+
+ inline$CallCompletionRoutine$29$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$29$anon7#1;
+
+ inline$CallCompletionRoutine$29$anon7#1:
+ goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$29$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$29$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$29$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$29$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$29$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$29$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$start#1:
+ inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$29$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$label_3_true#1, inline$BDLCallDriverCompletionRoutine$29$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$29$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$29$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$29$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_6#1:
+ goto inline$storm_KeSetEvent$33$Entry#1;
+
+ inline$storm_KeSetEvent$33$Entry#1:
+ inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$29$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$33$start#1;
+
+ inline$storm_KeSetEvent$33$start#1:
+ inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$33$label_3#1;
+
+ inline$storm_KeSetEvent$33$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$33$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$33$label_1#1;
+
+ inline$storm_KeSetEvent$33$label_1#1:
+ goto inline$storm_KeSetEvent$33$Return#1;
+
+ inline$storm_KeSetEvent$33$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$29$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$29$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$29$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$29$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$29$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$29$Return#1:
+ inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$29$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$29$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$29$anon12_Then#1, inline$CallCompletionRoutine$29$anon12_Else#1;
+
+ inline$CallCompletionRoutine$29$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$29$anon5#1;
+
+ inline$CallCompletionRoutine$29$anon5#1:
+ goto inline$CallCompletionRoutine$29$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$29$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$29$label_23#1;
+
+ inline$CallCompletionRoutine$29$label_23#1:
+ inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$29$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$29$label_24#1;
+
+ inline$CallCompletionRoutine$29$label_24#1:
+ goto inline$CallCompletionRoutine$29$label_24_true#1, inline$CallCompletionRoutine$29$label_24_false#1;
+
+ inline$CallCompletionRoutine$29$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$29$label_1#1;
+
+ inline$CallCompletionRoutine$29$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$29$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$29$label_25#1;
+
+ inline$CallCompletionRoutine$29$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$29$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$29$label_1#1;
+
+ inline$CallCompletionRoutine$29$label_1#1:
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$29$Return#1;
+
+ inline$CallCompletionRoutine$29$Return#1:
+ goto inline$storm_IoCallDriver$14$label_39$1#1;
+
+ inline$storm_IoCallDriver$14$label_39$1#1:
+ goto inline$storm_IoCallDriver$14$anon15_Then#1, inline$storm_IoCallDriver$14$anon15_Else#1;
+
+ inline$storm_IoCallDriver$14$anon15_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCallDriver$14$anon10#1;
+
+ inline$storm_IoCallDriver$14$anon10#1:
+ goto inline$storm_IoCallDriver$14$label_36#1;
+
+ inline$storm_IoCallDriver$14$anon15_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$label_27_case_0#1:
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ != 0;
+ assume inline$storm_IoCallDriver$14$$result.storm_nondet$472.22$4$ != 1;
+ goto inline$storm_IoCallDriver$14$label_28#1;
+
+ inline$storm_IoCallDriver$14$label_28#1:
+ inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8 := 259;
+ goto inline$storm_IoCallDriver$14$label_42#1;
+
+ inline$storm_IoCallDriver$14$label_42#1:
+ goto inline$storm_IoCallDriver$14$label_43#1;
+
+ inline$storm_IoCallDriver$14$label_43#1:
+ created_irp_0 := inline$storm_IoCallDriver$14$$Irp$2$458.14$storm_IoCallDriver$8;
+ call contextSwitch();
+ goto inline$storm_IoCallDriver$14$label_36#1;
+
+ inline$storm_IoCallDriver$14$label_36#1:
+ inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$ := inline$storm_IoCallDriver$14$$status$4$462.11$storm_IoCallDriver$8;
+ goto inline$storm_IoCallDriver$14$label_1#1;
+
+ inline$storm_IoCallDriver$14$label_1#1:
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$anon13_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCallDriver$14$Return#1;
+
+ inline$storm_IoCallDriver$14$Return#1:
+ inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$ := inline$storm_IoCallDriver$14$$result.storm_IoCallDriver$456.0$1$;
+ goto inline$BDLPnP$0$label_181$1#1;
+
+ inline$BDLPnP$0$label_181$1#1:
+ goto inline$BDLPnP$0$anon80_Then#1, inline$BDLPnP$0$anon80_Else#1;
+
+ inline$BDLPnP$0$anon80_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon53#1;
+
+ inline$BDLPnP$0$anon53#1:
+ goto inline$BDLPnP$0$label_184#1;
+
+ inline$BDLPnP$0$label_184#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := inline$BDLPnP$0$$result.storm_IoCallDriver$995.29$47$;
+ goto inline$BDLPnP$0$label_185#1;
+
+ inline$BDLPnP$0$label_185#1:
+ inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8 := 0;
+ goto inline$BDLPnP$0$label_139#1;
+
+ inline$BDLPnP$0$label_139#1:
+ goto inline$BDLPnP$0$label_139_true#1, inline$BDLPnP$0$label_139_false#1;
+
+ inline$BDLPnP$0$label_139_false#1:
+ assume !INT_EQ(inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8, 1);
+ goto inline$BDLPnP$0$label_140#1;
+
+ inline$BDLPnP$0$label_139_true#1:
+ assume INT_EQ(inline$BDLPnP$0$$fCompleteIrp$7$863.36$BDLPnP$8, 1);
+ goto inline$BDLPnP$0$label_141#1;
+
+ inline$BDLPnP$0$label_141#1:
+ goto inline$BDLPnP$0$label_142#1;
+
+ inline$BDLPnP$0$label_142#1:
+ goto inline$storm_IoCompleteRequest$57$Entry#1;
+
+ inline$storm_IoCompleteRequest$57$Entry#1:
+ inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$storm_IoCompleteRequest$57$start#1;
+
+ inline$storm_IoCompleteRequest$57$start#1:
+ inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$57$label_3#1;
+
+ inline$storm_IoCompleteRequest$57$label_3#1:
+ call inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$57$label_6#1;
+
+ inline$storm_IoCompleteRequest$57$label_6#1:
+ goto inline$storm_IoCompleteRequest$57$label_6_true#1, inline$storm_IoCompleteRequest$57$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$57$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$57$label_7#1;
+
+ inline$storm_IoCompleteRequest$57$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$57$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$57$label_8#1;
+
+ inline$storm_IoCompleteRequest$57$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$57$anon3_Then#1, inline$storm_IoCompleteRequest$57$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$57$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$57$anon1#1;
+
+ inline$storm_IoCompleteRequest$57$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$57$label_9#1;
+
+ inline$storm_IoCompleteRequest$57$label_9#1:
+ goto inline$storm_IoCompleteRequest$57$label_9_true#1, inline$storm_IoCompleteRequest$57$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$57$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$57$label_10#1;
+
+ inline$storm_IoCompleteRequest$57$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$57$label_1#1;
+
+ inline$storm_IoCompleteRequest$57$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$57$label_7#1;
+
+ inline$storm_IoCompleteRequest$57$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$57$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$57$label_1#1;
+
+ inline$storm_IoCompleteRequest$57$label_1#1:
+ goto inline$storm_IoCompleteRequest$57$Return#1;
+
+ inline$storm_IoCompleteRequest$57$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$57$Return#1;
+
+ inline$storm_IoCompleteRequest$57$Return#1:
+ goto inline$BDLPnP$0$label_142$1#1;
+
+ inline$BDLPnP$0$label_142$1#1:
+ goto inline$BDLPnP$0$anon75_Then#1, inline$BDLPnP$0$anon75_Else#1;
+
+ inline$BDLPnP$0$anon75_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon43#1;
+
+ inline$BDLPnP$0$anon43#1:
+ goto inline$BDLPnP$0$label_140#1;
+
+ inline$BDLPnP$0$label_140#1:
+ goto inline$BDLPnP$0$label_140_true#1, inline$BDLPnP$0$label_140_false#1;
+
+ inline$BDLPnP$0$label_140_false#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume !INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
+ goto inline$BDLPnP$0$label_84#1;
+
+ inline$BDLPnP$0$label_140_true#1:
+ havoc inline$BDLPnP$0$myNondetVar_0;
+ assume INT_NEQ(inline$BDLPnP$0$myNondetVar_0, 2);
+ goto inline$BDLPnP$0$label_145#1;
+
+ inline$BDLPnP$0$label_145#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLPnP$0$$pBDLExtension$4$860.36$BDLPnP$8), 542142032, 88);
+ goto inline$BDLPnP$0$anon76_Then#1, inline$BDLPnP$0$anon76_Else#1;
+
+ inline$BDLPnP$0$anon76_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon45#1;
+
+ inline$BDLPnP$0$anon45#1:
+ goto inline$BDLPnP$0$label_84#1;
+
+ inline$BDLPnP$0$anon76_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon75_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon80_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon79_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon78_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon77_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon66_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$label_51_true#1:
+ assume inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 != 0;
+ goto inline$BDLPnP$0$label_53#1;
+
+ inline$BDLPnP$0$label_53#1:
+ goto inline$BDLGetDebugLevel$3$Entry#1;
+
+ inline$BDLGetDebugLevel$3$Entry#1:
+ goto inline$BDLGetDebugLevel$3$start#1;
+
+ inline$BDLGetDebugLevel$3$start#1:
+ goto inline$BDLGetDebugLevel$3$label_3#1;
+
+ inline$BDLGetDebugLevel$3$label_3#1:
+ havoc inline$BDLGetDebugLevel$3$myNondetVar_0;
+ inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$3$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$3$label_1#1;
+
+ inline$BDLGetDebugLevel$3$label_1#1:
+ goto inline$BDLGetDebugLevel$3$Return#1;
+
+ inline$BDLGetDebugLevel$3$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$ := inline$BDLGetDebugLevel$3$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_53$1#1;
+
+ inline$BDLPnP$0$label_53$1#1:
+ goto inline$BDLPnP$0$anon59_Then#1, inline$BDLPnP$0$anon59_Else#1;
+
+ inline$BDLPnP$0$anon59_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon11#1;
+
+ inline$BDLPnP$0$anon11#1:
+ goto inline$BDLPnP$0$label_56#1;
+
+ inline$BDLPnP$0$label_56#1:
+ goto inline$BDLPnP$0$label_56_true#1, inline$BDLPnP$0$label_56_false#1;
+
+ inline$BDLPnP$0$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$) == 0;
+ goto inline$BDLPnP$0$label_57#1;
+
+ inline$BDLPnP$0$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$17$) != 0;
+ goto inline$BDLPnP$0$label_60#1;
+
+ inline$BDLPnP$0$label_60#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_61#1;
+
+ inline$BDLPnP$0$label_61#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_62#1;
+
+ inline$BDLPnP$0$label_62#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_63#1;
+
+ inline$BDLPnP$0$label_63#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$886.0$18$;
+ goto inline$BDLPnP$0$label_57#1;
+
+ inline$BDLPnP$0$label_57#1:
+ goto inline$BDLGetDebugLevel$4$Entry#1;
+
+ inline$BDLGetDebugLevel$4$Entry#1:
+ goto inline$BDLGetDebugLevel$4$start#1;
+
+ inline$BDLGetDebugLevel$4$start#1:
+ goto inline$BDLGetDebugLevel$4$label_3#1;
+
+ inline$BDLGetDebugLevel$4$label_3#1:
+ havoc inline$BDLGetDebugLevel$4$myNondetVar_0;
+ inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$4$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$4$label_1#1;
+
+ inline$BDLGetDebugLevel$4$label_1#1:
+ goto inline$BDLGetDebugLevel$4$Return#1;
+
+ inline$BDLGetDebugLevel$4$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$ := inline$BDLGetDebugLevel$4$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_57$1#1;
+
+ inline$BDLPnP$0$label_57$1#1:
+ goto inline$BDLPnP$0$anon60_Then#1, inline$BDLPnP$0$anon60_Else#1;
+
+ inline$BDLPnP$0$anon60_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon13#1;
+
+ inline$BDLPnP$0$anon13#1:
+ goto inline$BDLPnP$0$label_66#1;
+
+ inline$BDLPnP$0$label_66#1:
+ goto inline$BDLPnP$0$label_66_true#1, inline$BDLPnP$0$label_66_false#1;
+
+ inline$BDLPnP$0$label_66_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$) == 0;
+ goto inline$BDLPnP$0$label_67#1;
+
+ inline$BDLPnP$0$label_66_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$22$) != 0;
+ goto inline$BDLPnP$0$label_70#1;
+
+ inline$BDLPnP$0$label_70#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_71#1;
+
+ inline$BDLPnP$0$label_71#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_72#1;
+
+ inline$BDLPnP$0$label_72#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_73#1;
+
+ inline$BDLPnP$0$label_73#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$886.0$23$;
+ goto inline$BDLPnP$0$label_67#1;
+
+ inline$BDLPnP$0$label_67#1:
+ goto inline$BDLGetDebugLevel$5$Entry#1;
+
+ inline$BDLGetDebugLevel$5$Entry#1:
+ goto inline$BDLGetDebugLevel$5$start#1;
+
+ inline$BDLGetDebugLevel$5$start#1:
+ goto inline$BDLGetDebugLevel$5$label_3#1;
+
+ inline$BDLGetDebugLevel$5$label_3#1:
+ havoc inline$BDLGetDebugLevel$5$myNondetVar_0;
+ inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$5$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$5$label_1#1;
+
+ inline$BDLGetDebugLevel$5$label_1#1:
+ goto inline$BDLGetDebugLevel$5$Return#1;
+
+ inline$BDLGetDebugLevel$5$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$ := inline$BDLGetDebugLevel$5$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_67$1#1;
+
+ inline$BDLPnP$0$label_67$1#1:
+ goto inline$BDLPnP$0$anon61_Then#1, inline$BDLPnP$0$anon61_Else#1;
+
+ inline$BDLPnP$0$anon61_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon15#1;
+
+ inline$BDLPnP$0$anon15#1:
+ goto inline$BDLPnP$0$label_76#1;
+
+ inline$BDLPnP$0$label_76#1:
+ goto inline$BDLPnP$0$label_76_true#1, inline$BDLPnP$0$label_76_false#1;
+
+ inline$BDLPnP$0$label_76_false#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$, 4) == 0;
+ goto inline$BDLPnP$0$label_77#1;
+
+ inline$BDLPnP$0$label_76_true#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$886.0$27$, 4) != 0;
+ goto inline$BDLPnP$0$label_78#1;
+
+ inline$BDLPnP$0$label_78#1:
+ goto inline$BDLPnP$0$label_77#1;
+
+ inline$BDLPnP$0$label_77#1:
+ goto inline$BDLPnP$0$label_79#1;
+
+ inline$BDLPnP$0$label_79#1:
+ goto inline$BDLPnP$0$label_80#1;
+
+ inline$BDLPnP$0$label_80#1:
+ goto inline$storm_IoCompleteRequest$0$Entry#1;
+
+ inline$storm_IoCompleteRequest$0$Entry#1:
+ inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLPnP$0$$pIrp$2$856.24$BDLPnP$8;
+ goto inline$storm_IoCompleteRequest$0$start#1;
+
+ inline$storm_IoCompleteRequest$0$start#1:
+ inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$0$label_3#1;
+
+ inline$storm_IoCompleteRequest$0$label_3#1:
+ call inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$0$label_6#1;
+
+ inline$storm_IoCompleteRequest$0$label_6#1:
+ goto inline$storm_IoCompleteRequest$0$label_6_true#1, inline$storm_IoCompleteRequest$0$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$0$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#1;
+
+ inline$storm_IoCompleteRequest$0$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$0$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$0$label_8#1;
+
+ inline$storm_IoCompleteRequest$0$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon3_Then#1, inline$storm_IoCompleteRequest$0$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$0$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$0$anon1#1;
+
+ inline$storm_IoCompleteRequest$0$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_9#1;
+
+ inline$storm_IoCompleteRequest$0$label_9#1:
+ goto inline$storm_IoCompleteRequest$0$label_9_true#1, inline$storm_IoCompleteRequest$0$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$0$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$0$label_10#1;
+
+ inline$storm_IoCompleteRequest$0$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$0$label_1#1;
+
+ inline$storm_IoCompleteRequest$0$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$0$label_7#1;
+
+ inline$storm_IoCompleteRequest$0$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$0$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$0$label_1#1;
+
+ inline$storm_IoCompleteRequest$0$label_1#1:
+ goto inline$storm_IoCompleteRequest$0$Return#1;
+
+ inline$storm_IoCompleteRequest$0$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$0$Return#1;
+
+ inline$storm_IoCompleteRequest$0$Return#1:
+ goto inline$BDLPnP$0$label_80$1#1;
+
+ inline$BDLPnP$0$label_80$1#1:
+ goto inline$BDLPnP$0$anon62_Then#1, inline$BDLPnP$0$anon62_Else#1;
+
+ inline$BDLPnP$0$anon62_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon17#1;
+
+ inline$BDLPnP$0$anon17#1:
+ goto inline$BDLPnP$0$label_83#1;
+
+ inline$BDLPnP$0$label_83#1:
+ inline$BDLPnP$0$$status$3$859.36$BDLPnP$8 := 0 - 1073741130;
+ goto inline$BDLPnP$0$label_84#1;
+
+ inline$BDLPnP$0$label_84#1:
+ goto inline$BDLGetDebugLevel$6$Entry#1;
+
+ inline$BDLGetDebugLevel$6$Entry#1:
+ goto inline$BDLGetDebugLevel$6$start#1;
+
+ inline$BDLGetDebugLevel$6$start#1:
+ goto inline$BDLGetDebugLevel$6$label_3#1;
+
+ inline$BDLGetDebugLevel$6$label_3#1:
+ havoc inline$BDLGetDebugLevel$6$myNondetVar_0;
+ inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$6$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$6$label_1#1;
+
+ inline$BDLGetDebugLevel$6$label_1#1:
+ goto inline$BDLGetDebugLevel$6$Return#1;
+
+ inline$BDLGetDebugLevel$6$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$ := inline$BDLGetDebugLevel$6$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_84$1#1;
+
+ inline$BDLPnP$0$label_84$1#1:
+ goto inline$BDLPnP$0$anon63_Then#1, inline$BDLPnP$0$anon63_Else#1;
+
+ inline$BDLPnP$0$anon63_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon19#1;
+
+ inline$BDLPnP$0$anon19#1:
+ goto inline$BDLPnP$0$label_87#1;
+
+ inline$BDLPnP$0$label_87#1:
+ goto inline$BDLPnP$0$label_87_true#1, inline$BDLPnP$0$label_87_false#1;
+
+ inline$BDLPnP$0$label_87_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$) == 0;
+ goto inline$BDLPnP$0$label_88#1;
+
+ inline$BDLPnP$0$label_87_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$48$) != 0;
+ goto inline$BDLPnP$0$label_91#1;
+
+ inline$BDLPnP$0$label_91#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_92#1;
+
+ inline$BDLPnP$0$label_92#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_93#1;
+
+ inline$BDLPnP$0$label_93#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_94#1;
+
+ inline$BDLPnP$0$label_94#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$1023.0$49$;
+ goto inline$BDLPnP$0$label_88#1;
+
+ inline$BDLPnP$0$label_88#1:
+ goto inline$BDLGetDebugLevel$7$Entry#1;
+
+ inline$BDLGetDebugLevel$7$Entry#1:
+ goto inline$BDLGetDebugLevel$7$start#1;
+
+ inline$BDLGetDebugLevel$7$start#1:
+ goto inline$BDLGetDebugLevel$7$label_3#1;
+
+ inline$BDLGetDebugLevel$7$label_3#1:
+ havoc inline$BDLGetDebugLevel$7$myNondetVar_0;
+ inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$7$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$7$label_1#1;
+
+ inline$BDLGetDebugLevel$7$label_1#1:
+ goto inline$BDLGetDebugLevel$7$Return#1;
+
+ inline$BDLGetDebugLevel$7$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$ := inline$BDLGetDebugLevel$7$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_88$1#1;
+
+ inline$BDLPnP$0$label_88$1#1:
+ goto inline$BDLPnP$0$anon64_Then#1, inline$BDLPnP$0$anon64_Else#1;
+
+ inline$BDLPnP$0$anon64_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon21#1;
+
+ inline$BDLPnP$0$anon21#1:
+ goto inline$BDLPnP$0$label_97#1;
+
+ inline$BDLPnP$0$label_97#1:
+ goto inline$BDLPnP$0$label_97_true#1, inline$BDLPnP$0$label_97_false#1;
+
+ inline$BDLPnP$0$label_97_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$) == 0;
+ goto inline$BDLPnP$0$label_98#1;
+
+ inline$BDLPnP$0$label_97_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$53$) != 0;
+ goto inline$BDLPnP$0$label_101#1;
+
+ inline$BDLPnP$0$label_101#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_102#1;
+
+ inline$BDLPnP$0$label_102#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_103#1;
+
+ inline$BDLPnP$0$label_103#1:
+ call inline$BDLPnP$0$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLPnP$0$label_104#1;
+
+ inline$BDLPnP$0$label_104#1:
+ havoc inline$BDLPnP$0$$result.DbgPrint$1023.0$54$;
+ goto inline$BDLPnP$0$label_98#1;
+
+ inline$BDLPnP$0$label_98#1:
+ goto inline$BDLGetDebugLevel$8$Entry#1;
+
+ inline$BDLGetDebugLevel$8$Entry#1:
+ goto inline$BDLGetDebugLevel$8$start#1;
+
+ inline$BDLGetDebugLevel$8$start#1:
+ goto inline$BDLGetDebugLevel$8$label_3#1;
+
+ inline$BDLGetDebugLevel$8$label_3#1:
+ havoc inline$BDLGetDebugLevel$8$myNondetVar_0;
+ inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$8$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$8$label_1#1;
+
+ inline$BDLGetDebugLevel$8$label_1#1:
+ goto inline$BDLGetDebugLevel$8$Return#1;
+
+ inline$BDLGetDebugLevel$8$Return#1:
+ inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$ := inline$BDLGetDebugLevel$8$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLPnP$0$label_98$1#1;
+
+ inline$BDLPnP$0$label_98$1#1:
+ goto inline$BDLPnP$0$anon65_Then#1, inline$BDLPnP$0$anon65_Else#1;
+
+ inline$BDLPnP$0$anon65_Else#1:
+ assume !raiseException;
+ goto inline$BDLPnP$0$anon23#1;
+
+ inline$BDLPnP$0$anon23#1:
+ goto inline$BDLPnP$0$label_107#1;
+
+ inline$BDLPnP$0$label_107#1:
+ goto inline$BDLPnP$0$label_107_true#1, inline$BDLPnP$0$label_107_false#1;
+
+ inline$BDLPnP$0$label_107_false#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$, 4) == 0;
+ goto inline$BDLPnP$0$label_108#1;
+
+ inline$BDLPnP$0$label_107_true#1:
+ assume BIT_BAND(inline$BDLPnP$0$$result.BDLGetDebugLevel$1023.0$58$, 4) != 0;
+ goto inline$BDLPnP$0$label_109#1;
+
+ inline$BDLPnP$0$label_109#1:
+ goto inline$BDLPnP$0$label_108#1;
+
+ inline$BDLPnP$0$label_108#1:
+ goto inline$BDLPnP$0$label_1#1;
+
+ inline$BDLPnP$0$label_1#1:
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon65_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon64_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon63_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon62_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon61_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon60_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon59_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon58_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon57_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon56_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon55_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$anon54_Then#1:
+ assume raiseException;
+ goto inline$BDLPnP$0$Return#1;
+
+ inline$BDLPnP$0$Return#1:
+ goto inline$storm_thread_dispatch$0$label_8$1#1;
+
+ inline$storm_thread_dispatch$0$label_8$1#1:
+ goto inline$storm_thread_dispatch$0$anon5_Then#1, inline$storm_thread_dispatch$0$anon5_Else#1;
+
+ inline$storm_thread_dispatch$0$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_thread_dispatch$0$anon3#1;
+
+ inline$storm_thread_dispatch$0$anon3#1:
+ goto inline$storm_thread_dispatch$0$label_11#1;
+
+ inline$storm_thread_dispatch$0$label_11#1:
+ goto inline$storm_thread_dispatch$0$label_1#1;
+
+ inline$storm_thread_dispatch$0$label_1#1:
+ __storm_thread_done_3 := true;
+ goto inline$storm_thread_dispatch$0$Return#1;
+
+ inline$storm_thread_dispatch$0$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_thread_dispatch$0$Return#1;
+
+ inline$storm_thread_dispatch$0$anon4_Then#1:
+ assume raiseException;
+ goto inline$storm_thread_dispatch$0$Return#1;
+
+ inline$storm_thread_dispatch$0$Return#1:
+ goto label_29$1#1;
+
+ label_29$1#1:
+ goto label_32#1;
+
+ label_32#1:
+ goto inline$storm_thread_cancel$0$Entry#1;
+
+ inline$storm_thread_cancel$0$Entry#1:
+ inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1 := $irp$1$96.7$storm_main$0;
+ goto inline$storm_thread_cancel$0$start#1;
+
+ inline$storm_thread_cancel$0$start#1:
+ k := 0;
+ raiseException := false;
+ __storm_thread_id := 1;
+ call contextSwitch();
+ inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4 := inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4_.1;
+ goto inline$storm_thread_cancel$0$label_3#1;
+
+ inline$storm_thread_cancel$0$label_3#1:
+ goto inline$storm_IoCancelIrp$0$Entry#1;
+
+ inline$storm_IoCancelIrp$0$Entry#1:
+ inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1 := inline$storm_thread_cancel$0$$Irp$1$64.5$storm_thread_cancel$4;
+ goto inline$storm_IoCancelIrp$0$start#1;
+
+ inline$storm_IoCancelIrp$0$start#1:
+ inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4 := inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4_.1;
+ goto inline$storm_IoCancelIrp$0$label_3#1;
+
+ inline$storm_IoCancelIrp$0$label_3#1:
+ goto inline$storm_IoCancelIrp$0$label_4#1;
+
+ inline$storm_IoCancelIrp$0$label_4#1:
+ goto inline$storm_IoCancelIrp$0$label_5#1;
+
+ inline$storm_IoCancelIrp$0$label_5#1:
+ __storm_atomic := true;
+ goto inline$storm_IoCancelIrp$0$label_8#1;
+
+ inline$storm_IoCancelIrp$0$label_8#1:
+ inline$storm_IoCancelIrp$0$myVar_0 := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4)];
+ call contextSwitch();
+ inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 := inline$storm_IoCancelIrp$0$myVar_0;
+ goto inline$storm_IoCancelIrp$0$label_9#1;
+
+ inline$storm_IoCancelIrp$0$label_9#1:
+ Mem_0_T.CancelRoutine__IRP := Mem_0_T.CancelRoutine__IRP[CancelRoutine__IRP(inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4) := 0];
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_10#1;
+
+ inline$storm_IoCancelIrp$0$label_10#1:
+ goto inline$storm_IoCancelIrp$0$anon9_Then#1, inline$storm_IoCancelIrp$0$anon9_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon9_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoCancelIrp$0$anon1#1;
+
+ inline$storm_IoCancelIrp$0$anon9_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoCancelIrp$0$anon1#1;
+
+ inline$storm_IoCancelIrp$0$anon1#1:
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_13#1;
+
+ inline$storm_IoCancelIrp$0$label_13#1:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_1;
+ assume inline$storm_IoCancelIrp$0$myNondetVar_0 == inline$storm_IoCancelIrp$0$myNondetVar_1;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Entry#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Entry#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$start#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$start#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_3#1:
+ __storm_atomic := true;
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_6#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_6#1:
+ havoc raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon4_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon4_Else#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon4_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon1#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon1#1:
+ assume k == 0 ==> INT_EQ(cancelLockStatus_0, 0);
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_7#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_7#1:
+ cancelLockStatus_0 := 1;
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_8#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_8#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#1, inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5_Else#1:
+ assume __storm_init;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon5_Then#1:
+ assume !__storm_init;
+ __storm_atomic := false;
+ goto inline$storm_IoAcquireCancelSpinLock$0$anon3#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon3#1:
+ call contextSwitch();
+ goto inline$storm_IoAcquireCancelSpinLock$0$label_1#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$label_1#1:
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$anon4_Then#1:
+ assume raiseException;
+ goto inline$storm_IoAcquireCancelSpinLock$0$Return#1;
+
+ inline$storm_IoAcquireCancelSpinLock$0$Return#1:
+ goto inline$storm_IoCancelIrp$0$label_13$1#1;
+
+ inline$storm_IoCancelIrp$0$label_13$1#1:
+ goto inline$storm_IoCancelIrp$0$anon10_Then#1, inline$storm_IoCancelIrp$0$anon10_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon10_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon3#1;
+
+ inline$storm_IoCancelIrp$0$anon3#1:
+ havoc inline$storm_IoCancelIrp$0$myNondetVar_0;
+ goto inline$storm_IoCancelIrp$0$label_16#1;
+
+ inline$storm_IoCancelIrp$0$label_16#1:
+ goto inline$storm_IoCancelIrp$0$label_16_true#1, inline$storm_IoCancelIrp$0$label_16_false#1;
+
+ inline$storm_IoCancelIrp$0$label_16_false#1:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 == 0;
+ goto inline$storm_IoCancelIrp$0$label_17#1;
+
+ inline$storm_IoCancelIrp$0$label_17#1:
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_16_true#1:
+ assume inline$storm_IoCancelIrp$0$$oldCancelRoutine$2$347.17$storm_IoCancelIrp$4 != 0;
+ goto inline$storm_IoCancelIrp$0$label_18#1;
+
+ inline$storm_IoCancelIrp$0$label_18#1:
+ goto inline$storm_IoCancelIrp$0$label_19#1;
+
+ inline$storm_IoCancelIrp$0$label_19#1:
+ call inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ := storm_nondet();
+ goto inline$storm_IoCancelIrp$0$label_22#1;
+
+ inline$storm_IoCancelIrp$0$label_22#1:
+ goto inline$storm_IoCancelIrp$0$label_22_true#1, inline$storm_IoCancelIrp$0$label_22_false#1;
+
+ inline$storm_IoCancelIrp$0$label_22_false#1:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ == 0;
+ goto inline$storm_IoCancelIrp$0$label_23#1;
+
+ inline$storm_IoCancelIrp$0$label_22_true#1:
+ assume inline$storm_IoCancelIrp$0$$result.storm_nondet$360.4$2$ != 0;
+ goto inline$storm_IoCancelIrp$0$label_26#1;
+
+ inline$storm_IoCancelIrp$0$label_26#1:
+ havoc raiseException;
+ goto inline$storm_IoCancelIrp$0$anon12_Then#1, inline$storm_IoCancelIrp$0$anon12_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon12_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon7#1;
+
+ inline$storm_IoCancelIrp$0$anon7#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4], 1);
+ call contextSwitch();
+ goto inline$storm_IoCancelIrp$0$label_27#1;
+
+ inline$storm_IoCancelIrp$0$label_27#1:
+ goto inline$storm_IoCancelIrp$0$label_27_true#1, inline$storm_IoCancelIrp$0$label_27_false#1;
+
+ inline$storm_IoCancelIrp$0$label_27_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCancelIrp$0$label_28#1;
+
+ inline$storm_IoCancelIrp$0$label_28#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_27_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCancelIrp$0$label_23#1;
+
+ inline$storm_IoCancelIrp$0$label_23#1:
+ goto inline$IoGetCurrentIrpStackLocation$150$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$storm_IoCancelIrp$0$$Irp$1$344.10$storm_IoCancelIrp$4;
+ goto inline$IoGetCurrentIrpStackLocation$150$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$start#1:
+ inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$150$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$150$label_3_true#1, inline$IoGetCurrentIrpStackLocation$150$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$150$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$150$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$150$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$150$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$150$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$150$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$150$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$150$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$150$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$150$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$150$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$150$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$150$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$150$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$150$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$150$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$150$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$150$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$150$Return#1:
+ goto inline$storm_IoCancelIrp$0$label_23$1#1;
+
+ inline$storm_IoCancelIrp$0$label_23$1#1:
+ goto inline$storm_IoCancelIrp$0$anon11_Then#1, inline$storm_IoCancelIrp$0$anon11_Else#1;
+
+ inline$storm_IoCancelIrp$0$anon11_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCancelIrp$0$anon5#1;
+
+ inline$storm_IoCancelIrp$0$anon5#1:
+ goto inline$storm_IoCancelIrp$0$label_31#1;
+
+ inline$storm_IoCancelIrp$0$label_31#1:
+ goto inline$storm_IoCancelIrp$0$label_32#1;
+
+ inline$storm_IoCancelIrp$0$label_32#1:
+ goto inline$storm_IoCancelIrp$0$label_35#1;
+
+ inline$storm_IoCancelIrp$0$label_35#1:
+ goto inline$storm_IoCancelIrp$0$label_1#1;
+
+ inline$storm_IoCancelIrp$0$label_1#1:
+ goto inline$storm_IoCancelIrp$0$Return#1;
+
+ inline$storm_IoCancelIrp$0$anon11_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#1;
+
+ inline$storm_IoCancelIrp$0$anon12_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#1;
+
+ inline$storm_IoCancelIrp$0$anon10_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCancelIrp$0$Return#1;
+
+ inline$storm_IoCancelIrp$0$Return#1:
+ goto inline$storm_thread_cancel$0$label_3$1#1;
+
+ inline$storm_thread_cancel$0$label_3$1#1:
+ goto inline$storm_thread_cancel$0$anon2_Then#1, inline$storm_thread_cancel$0$anon2_Else#1;
+
+ inline$storm_thread_cancel$0$anon2_Else#1:
+ assume !raiseException;
+ goto inline$storm_thread_cancel$0$anon1#1;
+
+ inline$storm_thread_cancel$0$anon1#1:
+ goto inline$storm_thread_cancel$0$label_1#1;
+
+ inline$storm_thread_cancel$0$label_1#1:
+ __storm_thread_done_1 := true;
+ goto inline$storm_thread_cancel$0$Return#1;
+
+ inline$storm_thread_cancel$0$anon2_Then#1:
+ assume raiseException;
+ goto inline$storm_thread_cancel$0$Return#1;
+
+ inline$storm_thread_cancel$0$Return#1:
+ goto label_32$1#1;
+
+ label_32$1#1:
+ goto label_35#1;
+
+ label_35#1:
+ goto inline$storm_thread_dpc$0$Entry#1;
+
+ inline$storm_thread_dpc$0$Entry#1:
+ goto inline$storm_thread_dpc$0$start#1;
+
+ inline$storm_thread_dpc$0$start#1:
+ k := 0;
+ raiseException := false;
+ __storm_thread_id := 4;
+ call contextSwitch();
+ goto inline$storm_thread_dpc$0$label_1#1;
+
+ inline$storm_thread_dpc$0$label_1#1:
+ __storm_thread_done_4 := true;
+ goto inline$storm_thread_dpc$0$Return#1;
+
+ inline$storm_thread_dpc$0$Return#1:
+ goto label_35$1#1;
+
+ label_35$1#1:
+ goto label_38#1;
+
+ label_38#1:
+ goto inline$storm_thread_completion$0$Entry#1;
+
+ inline$storm_thread_completion$0$Entry#1:
+ goto inline$storm_thread_completion$0$start#1;
+
+ inline$storm_thread_completion$0$start#1:
+ k := 0;
+ raiseException := false;
+ __storm_thread_id := 2;
+ call contextSwitch();
+ goto inline$storm_thread_completion$0$label_3#1;
+
+ inline$storm_thread_completion$0$label_3#1:
+ havoc raiseException;
+ goto inline$storm_thread_completion$0$anon4_Then#1, inline$storm_thread_completion$0$anon4_Else#1;
+
+ inline$storm_thread_completion$0$anon4_Else#1:
+ assume !raiseException;
+ goto inline$storm_thread_completion$0$anon1#1;
+
+ inline$storm_thread_completion$0$anon1#1:
+ assume k == 0 ==> INT_NEQ(created_irp_0, 0);
+ call contextSwitch();
+ goto inline$storm_thread_completion$0$label_4#1;
+
+ inline$storm_thread_completion$0$label_4#1:
+ goto inline$CallCompletionRoutine$30$Entry#1;
+
+ inline$CallCompletionRoutine$30$Entry#1:
+ inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1 := created_irp_0;
+ goto inline$CallCompletionRoutine$30$start#1;
+
+ inline$CallCompletionRoutine$30$start#1:
+ inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4_.1;
+ goto inline$CallCompletionRoutine$30$label_3#1;
+
+ inline$CallCompletionRoutine$30$label_3#1:
+ goto inline$CallCompletionRoutine$30$label_4#1;
+
+ inline$CallCompletionRoutine$30$label_4#1:
+ goto inline$CallCompletionRoutine$30$label_5#1;
+
+ inline$CallCompletionRoutine$30$label_5#1:
+ goto inline$CallCompletionRoutine$30$label_6#1;
+
+ inline$CallCompletionRoutine$30$label_6#1:
+ goto inline$CallCompletionRoutine$30$label_7#1;
+
+ inline$CallCompletionRoutine$30$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$151$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$151$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$start#1:
+ inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$151$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$151$label_3_true#1, inline$IoGetCurrentIrpStackLocation$151$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$151$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$151$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$151$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$151$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$151$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$151$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$151$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$151$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$151$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$151$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$151$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$151$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$151$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$151$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$151$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$151$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$151$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$151$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$151$Return#1:
+ goto inline$CallCompletionRoutine$30$label_7$1#1;
+
+ inline$CallCompletionRoutine$30$label_7$1#1:
+ goto inline$CallCompletionRoutine$30$anon10_Then#1, inline$CallCompletionRoutine$30$anon10_Else#1;
+
+ inline$CallCompletionRoutine$30$anon10_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$30$anon1#1;
+
+ inline$CallCompletionRoutine$30$anon1#1:
+ goto inline$CallCompletionRoutine$30$label_10#1;
+
+ inline$CallCompletionRoutine$30$label_10#1:
+ goto inline$CallCompletionRoutine$30$label_11#1;
+
+ inline$CallCompletionRoutine$30$label_11#1:
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$CallCompletionRoutine$30$label_12#1;
+
+ inline$CallCompletionRoutine$30$label_12#1:
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$CallCompletionRoutine$30$label_13#1;
+
+ inline$CallCompletionRoutine$30$label_13#1:
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$CallCompletionRoutine$30$label_14#1;
+
+ inline$CallCompletionRoutine$30$label_14#1:
+ goto inline$IoGetCurrentIrpStackLocation$152$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
+ goto inline$IoGetCurrentIrpStackLocation$152$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$start#1:
+ inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$152$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$152$label_3_true#1, inline$IoGetCurrentIrpStackLocation$152$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$152$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$152$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$152$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$152$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$152$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$152$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$152$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$152$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$152$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$152$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$152$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$152$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$152$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$152$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$152$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$152$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$152$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$152$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$152$Return#1:
+ goto inline$CallCompletionRoutine$30$label_14$1#1;
+
+ inline$CallCompletionRoutine$30$label_14$1#1:
+ goto inline$CallCompletionRoutine$30$anon11_Then#1, inline$CallCompletionRoutine$30$anon11_Else#1;
+
+ inline$CallCompletionRoutine$30$anon11_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$30$anon3#1;
+
+ inline$CallCompletionRoutine$30$anon3#1:
+ goto inline$CallCompletionRoutine$30$label_17#1;
+
+ inline$CallCompletionRoutine$30$label_17#1:
+ goto inline$CallCompletionRoutine$30$label_18#1;
+
+ inline$CallCompletionRoutine$30$label_18#1:
+ goto inline$CallCompletionRoutine$30$label_18_true#1, inline$CallCompletionRoutine$30$label_18_false#1;
+
+ inline$CallCompletionRoutine$30$label_18_false#1:
+ assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == 0;
+ goto inline$CallCompletionRoutine$30$label_1#1;
+
+ inline$CallCompletionRoutine$30$label_18_true#1:
+ assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 != 0;
+ goto inline$CallCompletionRoutine$30$label_19#1;
+
+ inline$CallCompletionRoutine$30$label_19#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := 0];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$30$label_20#1;
+
+ inline$CallCompletionRoutine$30$label_20#1:
+ goto inline$CallCompletionRoutine$30$label_20_icall_1#1, inline$CallCompletionRoutine$30$label_20_icall_2#1, inline$CallCompletionRoutine$30$label_20_icall_3#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_3#1:
+ assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLSystemPowerIoCompletion;
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$30$Entry#1;
+
+ inline$BDLSystemPowerIoCompletion$30$Entry#1:
+ inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLSystemPowerIoCompletion$30$start#1;
+
+ inline$BDLSystemPowerIoCompletion$30$start#1:
+ call inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12 := __HAVOC_malloc(4);
+ inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12_.1;
+ inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12_.1;
+ goto inline$BDLSystemPowerIoCompletion$30$label_3#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_3#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_4#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_4#1:
+ inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_5#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_5#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_6#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_6#1:
+ inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$Context$3$1335.24$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$30$label_7#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_7#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_8#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_8#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_9#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_9#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_10#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$154$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$154$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$start#1:
+ inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$154$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$154$label_3_true#1, inline$IoGetCurrentIrpStackLocation$154$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$154$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$154$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$154$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$154$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$154$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$154$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$154$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$154$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$154$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$154$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$154$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$154$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$154$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$154$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$154$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$154$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$154$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$154$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$154$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_10$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_10$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon36_Then#1, inline$BDLSystemPowerIoCompletion$30$anon36_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_13#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_13#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_14#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_14#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_15#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_15#1:
+ goto inline$BDLGetDebugLevel$792$Entry#1;
+
+ inline$BDLGetDebugLevel$792$Entry#1:
+ goto inline$BDLGetDebugLevel$792$start#1;
+
+ inline$BDLGetDebugLevel$792$start#1:
+ goto inline$BDLGetDebugLevel$792$label_3#1;
+
+ inline$BDLGetDebugLevel$792$label_3#1:
+ havoc inline$BDLGetDebugLevel$792$myNondetVar_0;
+ inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$792$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$792$label_1#1;
+
+ inline$BDLGetDebugLevel$792$label_1#1:
+ goto inline$BDLGetDebugLevel$792$Return#1;
+
+ inline$BDLGetDebugLevel$792$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$ := inline$BDLGetDebugLevel$792$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_15$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_15$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon37_Then#1, inline$BDLSystemPowerIoCompletion$30$anon37_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon3#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon3#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_18#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_18#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_18_true#1, inline$BDLSystemPowerIoCompletion$30$label_18_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_18_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_18_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$3$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_22#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_22#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_23#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_23#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_24#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_24#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_25#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_25#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$4$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_19#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_19#1:
+ goto inline$BDLGetDebugLevel$793$Entry#1;
+
+ inline$BDLGetDebugLevel$793$Entry#1:
+ goto inline$BDLGetDebugLevel$793$start#1;
+
+ inline$BDLGetDebugLevel$793$start#1:
+ goto inline$BDLGetDebugLevel$793$label_3#1;
+
+ inline$BDLGetDebugLevel$793$label_3#1:
+ havoc inline$BDLGetDebugLevel$793$myNondetVar_0;
+ inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$793$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$793$label_1#1;
+
+ inline$BDLGetDebugLevel$793$label_1#1:
+ goto inline$BDLGetDebugLevel$793$Return#1;
+
+ inline$BDLGetDebugLevel$793$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$ := inline$BDLGetDebugLevel$793$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_19$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_19$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon38_Then#1, inline$BDLSystemPowerIoCompletion$30$anon38_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon5#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon5#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_28#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_28#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_28_true#1, inline$BDLSystemPowerIoCompletion$30$label_28_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_28_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_28_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$8$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_32#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_32#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_33#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_33#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_34#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_34#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_35#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_35#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1344.0$9$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_29#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_29#1:
+ goto inline$BDLGetDebugLevel$794$Entry#1;
+
+ inline$BDLGetDebugLevel$794$Entry#1:
+ goto inline$BDLGetDebugLevel$794$start#1;
+
+ inline$BDLGetDebugLevel$794$start#1:
+ goto inline$BDLGetDebugLevel$794$label_3#1;
+
+ inline$BDLGetDebugLevel$794$label_3#1:
+ havoc inline$BDLGetDebugLevel$794$myNondetVar_0;
+ inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$794$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$794$label_1#1;
+
+ inline$BDLGetDebugLevel$794$label_1#1:
+ goto inline$BDLGetDebugLevel$794$Return#1;
+
+ inline$BDLGetDebugLevel$794$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$ := inline$BDLGetDebugLevel$794$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_29$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_29$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon39_Then#1, inline$BDLSystemPowerIoCompletion$30$anon39_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon7#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon7#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_38#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_38#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_38_true#1, inline$BDLSystemPowerIoCompletion$30$label_38_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_38_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_38_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1344.0$13$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_40#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_40#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_39#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_39#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_39_true#1, inline$BDLSystemPowerIoCompletion$30$label_39_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_39_false#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_41#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_41_case_0#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_1#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_2#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_3#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_4#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_5#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_6#1, inline$BDLSystemPowerIoCompletion$30$label_41_case_7#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_7#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 7;
+ goto inline$BDLSystemPowerIoCompletion$30$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_6#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 6;
+ goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_5#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 5;
+ goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_4#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 4;
+ goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_3#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 3;
+ goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_2#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 2;
+ goto inline$BDLSystemPowerIoCompletion$30$label_108#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_108#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_1#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 == 1;
+ goto inline$BDLSystemPowerIoCompletion$30$label_107#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_107#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_41_case_0#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 1;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 2;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 3;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 4;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 5;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 6;
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 7;
+ goto inline$BDLSystemPowerIoCompletion$30$label_106#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_106#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_106_true#1, inline$BDLSystemPowerIoCompletion$30$label_106_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_106_false#1:
+ assume 0 == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_142#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_142#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_143#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_143#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_144#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_144#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_106_true#1:
+ assume 0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_109#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_109#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ call inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$ := PoRequestPowerIrp(inline$BDLSystemPowerIoCompletion$30$$pDeviceObject$1$1333.24$BDLSystemPowerIoCompletion$12, inline$BDLSystemPowerIoCompletion$30$myNondetVar_0, inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12, BDLSystemPowerCompleted, inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 0);
+ goto inline$BDLSystemPowerIoCompletion$30$anon50_Then#1, inline$BDLSystemPowerIoCompletion$30$anon50_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon50_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon29#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon29#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_112#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_112#1:
+ inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$$result.PoRequestPowerIrp$1410.31$27$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_113#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_113#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_113_true#1, inline$BDLSystemPowerIoCompletion$30$label_113_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_113_false#1:
+ assume !INT_EQ(inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$30$label_114#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_114#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_116#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_116#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_117#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_117#1:
+ goto inline$BDLGetDebugLevel$801$Entry#1;
+
+ inline$BDLGetDebugLevel$801$Entry#1:
+ goto inline$BDLGetDebugLevel$801$start#1;
+
+ inline$BDLGetDebugLevel$801$start#1:
+ goto inline$BDLGetDebugLevel$801$label_3#1;
+
+ inline$BDLGetDebugLevel$801$label_3#1:
+ havoc inline$BDLGetDebugLevel$801$myNondetVar_0;
+ inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$801$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$801$label_1#1;
+
+ inline$BDLGetDebugLevel$801$label_1#1:
+ goto inline$BDLGetDebugLevel$801$Return#1;
+
+ inline$BDLGetDebugLevel$801$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$ := inline$BDLGetDebugLevel$801$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_117$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_117$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon51_Then#1, inline$BDLSystemPowerIoCompletion$30$anon51_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon51_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon31#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon31#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_120#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_120#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_120_true#1, inline$BDLSystemPowerIoCompletion$30$label_120_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_120_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_120_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$28$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_124#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_124#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_125#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_125#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_126#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_126#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_127#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_127#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$29$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_121#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_121#1:
+ goto inline$BDLGetDebugLevel$802$Entry#1;
+
+ inline$BDLGetDebugLevel$802$Entry#1:
+ goto inline$BDLGetDebugLevel$802$start#1;
+
+ inline$BDLGetDebugLevel$802$start#1:
+ goto inline$BDLGetDebugLevel$802$label_3#1;
+
+ inline$BDLGetDebugLevel$802$label_3#1:
+ havoc inline$BDLGetDebugLevel$802$myNondetVar_0;
+ inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$802$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$802$label_1#1;
+
+ inline$BDLGetDebugLevel$802$label_1#1:
+ goto inline$BDLGetDebugLevel$802$Return#1;
+
+ inline$BDLGetDebugLevel$802$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$ := inline$BDLGetDebugLevel$802$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_121$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_121$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon52_Then#1, inline$BDLSystemPowerIoCompletion$30$anon52_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon52_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon33#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon33#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_130#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_130#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_130_true#1, inline$BDLSystemPowerIoCompletion$30$label_130_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_130_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_130_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$33$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_134#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_134#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_135#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_135#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_136#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_136#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_137#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_137#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1428.0$34$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_131#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_131#1:
+ goto inline$BDLGetDebugLevel$803$Entry#1;
+
+ inline$BDLGetDebugLevel$803$Entry#1:
+ goto inline$BDLGetDebugLevel$803$start#1;
+
+ inline$BDLGetDebugLevel$803$start#1:
+ goto inline$BDLGetDebugLevel$803$label_3#1;
+
+ inline$BDLGetDebugLevel$803$label_3#1:
+ havoc inline$BDLGetDebugLevel$803$myNondetVar_0;
+ inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$803$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$803$label_1#1;
+
+ inline$BDLGetDebugLevel$803$label_1#1:
+ goto inline$BDLGetDebugLevel$803$Return#1;
+
+ inline$BDLGetDebugLevel$803$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$ := inline$BDLGetDebugLevel$803$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_131$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_131$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon53_Then#1, inline$BDLSystemPowerIoCompletion$30$anon53_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon53_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon35#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon35#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_140#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_140#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_140_true#1, inline$BDLSystemPowerIoCompletion$30$label_140_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_140_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_140_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1428.0$38$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_141#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_141#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon53_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon52_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon51_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_113_true#1:
+ assume INT_EQ(inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12, 259);
+ goto inline$BDLSystemPowerIoCompletion$30$label_115#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_115#1:
+ inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := 0 - 1073741802;
+ goto inline$BDLSystemPowerIoCompletion$30$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon50_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_39_true#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ assume inline$BDLSystemPowerIoCompletion$30$myNondetVar_0 != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_42#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_42#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12 := inline$BDLSystemPowerIoCompletion$30$myNondetVar_0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_43#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_43#1:
+ goto inline$BDLGetDebugLevel$795$Entry#1;
+
+ inline$BDLGetDebugLevel$795$Entry#1:
+ goto inline$BDLGetDebugLevel$795$start#1;
+
+ inline$BDLGetDebugLevel$795$start#1:
+ goto inline$BDLGetDebugLevel$795$label_3#1;
+
+ inline$BDLGetDebugLevel$795$label_3#1:
+ havoc inline$BDLGetDebugLevel$795$myNondetVar_0;
+ inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$795$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$795$label_1#1;
+
+ inline$BDLGetDebugLevel$795$label_1#1:
+ goto inline$BDLGetDebugLevel$795$Return#1;
+
+ inline$BDLGetDebugLevel$795$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$ := inline$BDLGetDebugLevel$795$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_43$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_43$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon40_Then#1, inline$BDLSystemPowerIoCompletion$30$anon40_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon9#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon9#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_46#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_46#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_46_true#1, inline$BDLSystemPowerIoCompletion$30$label_46_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_46_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_46_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$14$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_50#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_50#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_51#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_51#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_52#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_52#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_53#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_53#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$15$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_47#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_47#1:
+ goto inline$BDLGetDebugLevel$796$Entry#1;
+
+ inline$BDLGetDebugLevel$796$Entry#1:
+ goto inline$BDLGetDebugLevel$796$start#1;
+
+ inline$BDLGetDebugLevel$796$start#1:
+ goto inline$BDLGetDebugLevel$796$label_3#1;
+
+ inline$BDLGetDebugLevel$796$label_3#1:
+ havoc inline$BDLGetDebugLevel$796$myNondetVar_0;
+ inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$796$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$796$label_1#1;
+
+ inline$BDLGetDebugLevel$796$label_1#1:
+ goto inline$BDLGetDebugLevel$796$Return#1;
+
+ inline$BDLGetDebugLevel$796$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$ := inline$BDLGetDebugLevel$796$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_47$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_47$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon41_Then#1, inline$BDLSystemPowerIoCompletion$30$anon41_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon11#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon11#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_56#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_56#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_56_true#1, inline$BDLSystemPowerIoCompletion$30$label_56_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_56_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_56_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$19$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_60#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_60#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_61#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_61#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_62#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_62#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_63#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_63#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1358.0$20$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_57#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_57#1:
+ goto inline$BDLGetDebugLevel$797$Entry#1;
+
+ inline$BDLGetDebugLevel$797$Entry#1:
+ goto inline$BDLGetDebugLevel$797$start#1;
+
+ inline$BDLGetDebugLevel$797$start#1:
+ goto inline$BDLGetDebugLevel$797$label_3#1;
+
+ inline$BDLGetDebugLevel$797$label_3#1:
+ havoc inline$BDLGetDebugLevel$797$myNondetVar_0;
+ inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$797$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$797$label_1#1;
+
+ inline$BDLGetDebugLevel$797$label_1#1:
+ goto inline$BDLGetDebugLevel$797$Return#1;
+
+ inline$BDLGetDebugLevel$797$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$ := inline$BDLGetDebugLevel$797$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_57$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_57$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon42_Then#1, inline$BDLSystemPowerIoCompletion$30$anon42_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon13#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon13#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_66#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_66#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_66_true#1, inline$BDLSystemPowerIoCompletion$30$label_66_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_66_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_66_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1358.0$24$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_70#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_70#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_67#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_67#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLSystemPowerIoCompletion$30$$pBDLExtension$6$1340.36$BDLSystemPowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLSystemPowerIoCompletion$30$anon43_Then#1, inline$BDLSystemPowerIoCompletion$30$anon43_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon15#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon15#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_71#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_71#1:
+ call PoStartNextPowerIrp(inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$30$anon44_Then#1, inline$BDLSystemPowerIoCompletion$30$anon44_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon17#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon17#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_74#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_74#1:
+ goto inline$storm_IoCompleteRequest$63$Entry#1;
+
+ inline$storm_IoCompleteRequest$63$Entry#1:
+ inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLSystemPowerIoCompletion$30$$pIrp$2$1334.24$BDLSystemPowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$63$start#1;
+
+ inline$storm_IoCompleteRequest$63$start#1:
+ inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$63$label_3#1;
+
+ inline$storm_IoCompleteRequest$63$label_3#1:
+ call inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$63$label_6#1;
+
+ inline$storm_IoCompleteRequest$63$label_6#1:
+ goto inline$storm_IoCompleteRequest$63$label_6_true#1, inline$storm_IoCompleteRequest$63$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$63$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$63$label_7#1;
+
+ inline$storm_IoCompleteRequest$63$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$63$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$63$label_8#1;
+
+ inline$storm_IoCompleteRequest$63$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$63$anon3_Then#1, inline$storm_IoCompleteRequest$63$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$63$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$63$anon1#1;
+
+ inline$storm_IoCompleteRequest$63$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$63$label_9#1;
+
+ inline$storm_IoCompleteRequest$63$label_9#1:
+ goto inline$storm_IoCompleteRequest$63$label_9_true#1, inline$storm_IoCompleteRequest$63$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$63$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$63$label_10#1;
+
+ inline$storm_IoCompleteRequest$63$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$63$label_1#1;
+
+ inline$storm_IoCompleteRequest$63$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$63$label_7#1;
+
+ inline$storm_IoCompleteRequest$63$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$63$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$63$label_1#1;
+
+ inline$storm_IoCompleteRequest$63$label_1#1:
+ goto inline$storm_IoCompleteRequest$63$Return#1;
+
+ inline$storm_IoCompleteRequest$63$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$63$Return#1;
+
+ inline$storm_IoCompleteRequest$63$Return#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_74$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_74$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon45_Then#1, inline$BDLSystemPowerIoCompletion$30$anon45_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon45_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon19#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon19#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_77#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_77#1:
+ call ExFreePoolWithTag(inline$BDLSystemPowerIoCompletion$30$$pPowerIrpContext$5$1339.37$BDLSystemPowerIoCompletion$12, 541869122);
+ goto inline$BDLSystemPowerIoCompletion$30$anon46_Then#1, inline$BDLSystemPowerIoCompletion$30$anon46_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon46_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon21#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon21#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_80#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_80#1:
+ goto inline$BDLGetDebugLevel$798$Entry#1;
+
+ inline$BDLGetDebugLevel$798$Entry#1:
+ goto inline$BDLGetDebugLevel$798$start#1;
+
+ inline$BDLGetDebugLevel$798$start#1:
+ goto inline$BDLGetDebugLevel$798$label_3#1;
+
+ inline$BDLGetDebugLevel$798$label_3#1:
+ havoc inline$BDLGetDebugLevel$798$myNondetVar_0;
+ inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$798$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$798$label_1#1;
+
+ inline$BDLGetDebugLevel$798$label_1#1:
+ goto inline$BDLGetDebugLevel$798$Return#1;
+
+ inline$BDLGetDebugLevel$798$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$ := inline$BDLGetDebugLevel$798$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_80$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_80$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon47_Then#1, inline$BDLSystemPowerIoCompletion$30$anon47_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon47_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon23#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon23#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_83#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_83#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_83_true#1, inline$BDLSystemPowerIoCompletion$30$label_83_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_83_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_83_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$39$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_87#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_87#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_88#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_88#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_89#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_89#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_90#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_90#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$40$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_84#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_84#1:
+ goto inline$BDLGetDebugLevel$799$Entry#1;
+
+ inline$BDLGetDebugLevel$799$Entry#1:
+ goto inline$BDLGetDebugLevel$799$start#1;
+
+ inline$BDLGetDebugLevel$799$start#1:
+ goto inline$BDLGetDebugLevel$799$label_3#1;
+
+ inline$BDLGetDebugLevel$799$label_3#1:
+ havoc inline$BDLGetDebugLevel$799$myNondetVar_0;
+ inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$799$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$799$label_1#1;
+
+ inline$BDLGetDebugLevel$799$label_1#1:
+ goto inline$BDLGetDebugLevel$799$Return#1;
+
+ inline$BDLGetDebugLevel$799$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$ := inline$BDLGetDebugLevel$799$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_84$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_84$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon48_Then#1, inline$BDLSystemPowerIoCompletion$30$anon48_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon48_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon25#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon25#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_93#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_93#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_93_true#1, inline$BDLSystemPowerIoCompletion$30$label_93_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_93_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_93_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$44$) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_97#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_97#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_98#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_98#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_99#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_99#1:
+ call inline$BDLSystemPowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLSystemPowerIoCompletion$30$label_100#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_100#1:
+ havoc inline$BDLSystemPowerIoCompletion$30$$result.DbgPrint$1440.0$45$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_94#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_94#1:
+ goto inline$BDLGetDebugLevel$800$Entry#1;
+
+ inline$BDLGetDebugLevel$800$Entry#1:
+ goto inline$BDLGetDebugLevel$800$start#1;
+
+ inline$BDLGetDebugLevel$800$start#1:
+ goto inline$BDLGetDebugLevel$800$label_3#1;
+
+ inline$BDLGetDebugLevel$800$label_3#1:
+ havoc inline$BDLGetDebugLevel$800$myNondetVar_0;
+ inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$800$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$800$label_1#1;
+
+ inline$BDLGetDebugLevel$800$label_1#1:
+ goto inline$BDLGetDebugLevel$800$Return#1;
+
+ inline$BDLGetDebugLevel$800$Return#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$ := inline$BDLGetDebugLevel$800$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLSystemPowerIoCompletion$30$label_94$1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_94$1#1:
+ goto inline$BDLSystemPowerIoCompletion$30$anon49_Then#1, inline$BDLSystemPowerIoCompletion$30$anon49_Else#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon49_Else#1:
+ assume !raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$anon27#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon27#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_103#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_103#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_103_true#1, inline$BDLSystemPowerIoCompletion$30$label_103_false#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_103_false#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$, 4) == 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_103_true#1:
+ assume BIT_BAND(inline$BDLSystemPowerIoCompletion$30$$result.BDLGetDebugLevel$1440.0$49$, 4) != 0;
+ goto inline$BDLSystemPowerIoCompletion$30$label_105#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_105#1:
+ goto inline$BDLSystemPowerIoCompletion$30$label_104#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_104#1:
+ inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$ := inline$BDLSystemPowerIoCompletion$30$$status$4$1338.36$BDLSystemPowerIoCompletion$12;
+ goto inline$BDLSystemPowerIoCompletion$30$label_1#1;
+
+ inline$BDLSystemPowerIoCompletion$30$label_1#1:
+ call __HAVOC_free(inline$BDLSystemPowerIoCompletion$30$$PowerState$8$1342.36$BDLSystemPowerIoCompletion$12);
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon49_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon48_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon47_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon46_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon45_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLSystemPowerIoCompletion$30$Return#1;
+
+ inline$BDLSystemPowerIoCompletion$30$Return#1:
+ inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLSystemPowerIoCompletion$30$$result.BDLSystemPowerIoCompletion$1331.0$1$;
+ goto inline$CallCompletionRoutine$30$label_20_icall_3$1#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_3$1#1:
+ goto inline$CallCompletionRoutine$30$anon14_Then#1, inline$CallCompletionRoutine$30$anon14_Else#1;
+
+ inline$CallCompletionRoutine$30$anon14_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$30$anon9#1;
+
+ inline$CallCompletionRoutine$30$anon9#1:
+ goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$30$anon14_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_2#1:
+ assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLDevicePowerIoCompletion;
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$30$Entry#1;
+
+ inline$BDLDevicePowerIoCompletion$30$Entry#1:
+ inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4;
+ inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLDevicePowerIoCompletion$30$start#1;
+
+ inline$BDLDevicePowerIoCompletion$30$start#1:
+ call inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12 := __HAVOC_malloc(8);
+ call inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$ := __HAVOC_malloc(4);
+ inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12_.1;
+ inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12_.1;
+ goto inline$BDLDevicePowerIoCompletion$30$label_3#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_3#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_4#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_4#1:
+ inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_5#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_5#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_6#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_6#1:
+ inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$Context$3$1655.24$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$30$label_7#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_7#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_8#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_8#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_9#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_9#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_10#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_10#1:
+ goto inline$IoGetCurrentIrpStackLocation$153$Entry#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$Entry#1:
+ inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$IoGetCurrentIrpStackLocation$153$start#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$start#1:
+ inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4 := inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4_.1;
+ goto inline$IoGetCurrentIrpStackLocation$153$label_3#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_3#1:
+ goto inline$IoGetCurrentIrpStackLocation$153$label_3_true#1, inline$IoGetCurrentIrpStackLocation$153$label_3_false#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_3_false#1:
+ inline$IoGetCurrentIrpStackLocation$153$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$153$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume !INT_LEQ(inline$IoGetCurrentIrpStackLocation$153$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$153$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$153$label_4#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_4#1:
+ call inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$153$label_6#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_6#1:
+ call inline$IoGetCurrentIrpStackLocation$153$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$IoGetCurrentIrpStackLocation$153$label_7#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_7#1:
+ goto inline$IoGetCurrentIrpStackLocation$153$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_3_true#1:
+ inline$IoGetCurrentIrpStackLocation$153$myVar_0 := Mem_0_T.CurrentLocation__IRP[CurrentLocation__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ inline$IoGetCurrentIrpStackLocation$153$myVar_1 := Mem_0_T.StackCount__IRP[StackCount__IRP(inline$IoGetCurrentIrpStackLocation$153$$Irp$1$24490.14$IoGetCurrentIrpStackLocation$4)];
+ call contextSwitch();
+ assume INT_LEQ(inline$IoGetCurrentIrpStackLocation$153$myVar_0, PLUS(inline$IoGetCurrentIrpStackLocation$153$myVar_1, 1, 1));
+ goto inline$IoGetCurrentIrpStackLocation$153$label_5#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_5#1:
+ havoc inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0;
+ inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$ := inline$IoGetCurrentIrpStackLocation$153$myNondetVar_0;
+ goto inline$IoGetCurrentIrpStackLocation$153$label_1#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$label_1#1:
+ goto inline$IoGetCurrentIrpStackLocation$153$Return#1;
+
+ inline$IoGetCurrentIrpStackLocation$153$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$ := inline$IoGetCurrentIrpStackLocation$153$$result.IoGetCurrentIrpStackLocation$24489.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_10$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_10$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon30_Then#1, inline$BDLDevicePowerIoCompletion$30$anon30_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon30_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_13#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_13#1:
+ inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$result.IoGetCurrentIrpStackLocation$1661.86$2$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_14#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_14#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_15#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_15#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_16#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_16#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_17#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_17#1:
+ goto inline$BDLGetDebugLevel$783$Entry#1;
+
+ inline$BDLGetDebugLevel$783$Entry#1:
+ goto inline$BDLGetDebugLevel$783$start#1;
+
+ inline$BDLGetDebugLevel$783$start#1:
+ goto inline$BDLGetDebugLevel$783$label_3#1;
+
+ inline$BDLGetDebugLevel$783$label_3#1:
+ havoc inline$BDLGetDebugLevel$783$myNondetVar_0;
+ inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$783$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$783$label_1#1;
+
+ inline$BDLGetDebugLevel$783$label_1#1:
+ goto inline$BDLGetDebugLevel$783$Return#1;
+
+ inline$BDLGetDebugLevel$783$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$ := inline$BDLGetDebugLevel$783$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_17$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_17$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon31_Then#1, inline$BDLDevicePowerIoCompletion$30$anon31_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon31_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon3#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon3#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_20#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_20#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_20_true#1, inline$BDLDevicePowerIoCompletion$30$label_20_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_20_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_20_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$3$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_24#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_24#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_25#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_25#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_26#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_26#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_27#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_27#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$4$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_21#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_21#1:
+ goto inline$BDLGetDebugLevel$784$Entry#1;
+
+ inline$BDLGetDebugLevel$784$Entry#1:
+ goto inline$BDLGetDebugLevel$784$start#1;
+
+ inline$BDLGetDebugLevel$784$start#1:
+ goto inline$BDLGetDebugLevel$784$label_3#1;
+
+ inline$BDLGetDebugLevel$784$label_3#1:
+ havoc inline$BDLGetDebugLevel$784$myNondetVar_0;
+ inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$784$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$784$label_1#1;
+
+ inline$BDLGetDebugLevel$784$label_1#1:
+ goto inline$BDLGetDebugLevel$784$Return#1;
+
+ inline$BDLGetDebugLevel$784$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$ := inline$BDLGetDebugLevel$784$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_21$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_21$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon32_Then#1, inline$BDLDevicePowerIoCompletion$30$anon32_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon32_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon5#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon5#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_30#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_30#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_30_true#1, inline$BDLDevicePowerIoCompletion$30$label_30_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_30_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_30_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$8$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_34#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_34#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_35#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_35#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_36#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_36#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_37#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_37#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1665.0$9$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_31#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_31#1:
+ goto inline$BDLGetDebugLevel$785$Entry#1;
+
+ inline$BDLGetDebugLevel$785$Entry#1:
+ goto inline$BDLGetDebugLevel$785$start#1;
+
+ inline$BDLGetDebugLevel$785$start#1:
+ goto inline$BDLGetDebugLevel$785$label_3#1;
+
+ inline$BDLGetDebugLevel$785$label_3#1:
+ havoc inline$BDLGetDebugLevel$785$myNondetVar_0;
+ inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$785$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$785$label_1#1;
+
+ inline$BDLGetDebugLevel$785$label_1#1:
+ goto inline$BDLGetDebugLevel$785$Return#1;
+
+ inline$BDLGetDebugLevel$785$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$ := inline$BDLGetDebugLevel$785$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_31$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_31$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon33_Then#1, inline$BDLDevicePowerIoCompletion$30$anon33_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon33_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon7#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon7#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_40#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_40#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_40_true#1, inline$BDLDevicePowerIoCompletion$30$label_40_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_40_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_40_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1665.0$13$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_42#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_42#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_41#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_41#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_41_true#1, inline$BDLDevicePowerIoCompletion$30$label_41_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_41_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$30$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_41_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 2);
+ goto inline$BDLDevicePowerIoCompletion$30$label_44#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_44#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_44_true#1, inline$BDLDevicePowerIoCompletion$30$label_44_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_44_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_45#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_45#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_45_true#1, inline$BDLDevicePowerIoCompletion$30$label_45_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_45_false#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume !INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$30$label_43#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_43#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_45_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 5);
+ goto inline$BDLDevicePowerIoCompletion$30$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_44_true#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$myNondetVar_0;
+ assume INT_EQ(inline$BDLDevicePowerIoCompletion$30$myNondetVar_0, 1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_46#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_46#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.memset$1683.8$14$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_49#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_49#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_50#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_50#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_51#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_51#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_54#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_54#1:
+ inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 := inline$BDLDevicePowerIoCompletion$30$$callresult.$1687.68$15$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_55#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_55#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_55_true#1, inline$BDLDevicePowerIoCompletion$30$label_55_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_55_false#1:
+ assume inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_56#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_56#1:
+ call inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$ := PoSetPowerState(inline$BDLDevicePowerIoCompletion$30$$pDeviceObject$1$1653.24$BDLDevicePowerIoCompletion$12, 1, State___unnamed_16_72a8bca0(Power___unnamed_16_357c4db4(Parameters__IO_STACK_LOCATION(inline$BDLDevicePowerIoCompletion$30$$pStackLocation$7$1661.36$BDLDevicePowerIoCompletion$12))));
+ goto inline$BDLDevicePowerIoCompletion$30$anon34_Then#1, inline$BDLDevicePowerIoCompletion$30$anon34_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon34_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon9#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon9#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon34_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_55_true#1:
+ assume inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12 != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_59#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_59#1:
+ goto inline$BDLGetDebugLevel$786$Entry#1;
+
+ inline$BDLGetDebugLevel$786$Entry#1:
+ goto inline$BDLGetDebugLevel$786$start#1;
+
+ inline$BDLGetDebugLevel$786$start#1:
+ goto inline$BDLGetDebugLevel$786$label_3#1;
+
+ inline$BDLGetDebugLevel$786$label_3#1:
+ havoc inline$BDLGetDebugLevel$786$myNondetVar_0;
+ inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$786$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$786$label_1#1;
+
+ inline$BDLGetDebugLevel$786$label_1#1:
+ goto inline$BDLGetDebugLevel$786$Return#1;
+
+ inline$BDLGetDebugLevel$786$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$ := inline$BDLGetDebugLevel$786$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_59$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_59$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon35_Then#1, inline$BDLDevicePowerIoCompletion$30$anon35_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon35_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon11#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon11#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_62#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_62#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_62_true#1, inline$BDLDevicePowerIoCompletion$30$label_62_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_62_false#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_62_true#1:
+ assume BIT_BAND(BIT_BAND(2, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$17$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_66#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_66#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_67#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_67#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_68#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_68#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_69#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_69#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$18$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_63#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_63#1:
+ goto inline$BDLGetDebugLevel$787$Entry#1;
+
+ inline$BDLGetDebugLevel$787$Entry#1:
+ goto inline$BDLGetDebugLevel$787$start#1;
+
+ inline$BDLGetDebugLevel$787$start#1:
+ goto inline$BDLGetDebugLevel$787$label_3#1;
+
+ inline$BDLGetDebugLevel$787$label_3#1:
+ havoc inline$BDLGetDebugLevel$787$myNondetVar_0;
+ inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$787$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$787$label_1#1;
+
+ inline$BDLGetDebugLevel$787$label_1#1:
+ goto inline$BDLGetDebugLevel$787$Return#1;
+
+ inline$BDLGetDebugLevel$787$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$ := inline$BDLGetDebugLevel$787$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_63$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_63$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon36_Then#1, inline$BDLDevicePowerIoCompletion$30$anon36_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon36_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon13#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon13#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_72#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_72#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_72_true#1, inline$BDLDevicePowerIoCompletion$30$label_72_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_72_false#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_72_true#1:
+ assume BIT_BAND(BIT_BAND(2, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$22$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_76#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_76#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_77#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_77#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_78#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_78#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_79#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_79#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1700.0$23$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_73#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_73#1:
+ goto inline$BDLGetDebugLevel$788$Entry#1;
+
+ inline$BDLGetDebugLevel$788$Entry#1:
+ goto inline$BDLGetDebugLevel$788$start#1;
+
+ inline$BDLGetDebugLevel$788$start#1:
+ goto inline$BDLGetDebugLevel$788$label_3#1;
+
+ inline$BDLGetDebugLevel$788$label_3#1:
+ havoc inline$BDLGetDebugLevel$788$myNondetVar_0;
+ inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$788$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$788$label_1#1;
+
+ inline$BDLGetDebugLevel$788$label_1#1:
+ goto inline$BDLGetDebugLevel$788$Return#1;
+
+ inline$BDLGetDebugLevel$788$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$ := inline$BDLGetDebugLevel$788$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_73$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_73$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon37_Then#1, inline$BDLDevicePowerIoCompletion$30$anon37_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon37_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon15#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon15#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_82#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_82#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_82_true#1, inline$BDLDevicePowerIoCompletion$30$label_82_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_82_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_82_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1700.0$27$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_84#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_84#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_83#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_83#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_85#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_85#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_86#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_86#1:
+ call IoReleaseRemoveLockEx(RemoveLock__BDL_INTERNAL_DEVICE_EXTENSION(inline$BDLDevicePowerIoCompletion$30$$pBDLExtension$6$1660.36$BDLDevicePowerIoCompletion$12), 1920429904, 88);
+ goto inline$BDLDevicePowerIoCompletion$30$anon38_Then#1, inline$BDLDevicePowerIoCompletion$30$anon38_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon38_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon17#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon17#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_89#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_89#1:
+ call PoStartNextPowerIrp(inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12);
+ goto inline$BDLDevicePowerIoCompletion$30$anon39_Then#1, inline$BDLDevicePowerIoCompletion$30$anon39_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon39_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon19#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon19#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_92#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_92#1:
+ goto inline$storm_IoCompleteRequest$62$Entry#1;
+
+ inline$storm_IoCompleteRequest$62$Entry#1:
+ inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1 := inline$BDLDevicePowerIoCompletion$30$$pIrp$2$1654.24$BDLDevicePowerIoCompletion$12;
+ goto inline$storm_IoCompleteRequest$62$start#1;
+
+ inline$storm_IoCompleteRequest$62$start#1:
+ inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8 := inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8_.1;
+ goto inline$storm_IoCompleteRequest$62$label_3#1;
+
+ inline$storm_IoCompleteRequest$62$label_3#1:
+ call inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ := storm_nondet();
+ goto inline$storm_IoCompleteRequest$62$label_6#1;
+
+ inline$storm_IoCompleteRequest$62$label_6#1:
+ goto inline$storm_IoCompleteRequest$62$label_6_true#1, inline$storm_IoCompleteRequest$62$label_6_false#1;
+
+ inline$storm_IoCompleteRequest$62$label_6_false#1:
+ assume inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ == 0;
+ goto inline$storm_IoCompleteRequest$62$label_7#1;
+
+ inline$storm_IoCompleteRequest$62$label_6_true#1:
+ assume inline$storm_IoCompleteRequest$62$$result.storm_nondet$338.2$1$ != 0;
+ goto inline$storm_IoCompleteRequest$62$label_8#1;
+
+ inline$storm_IoCompleteRequest$62$label_8#1:
+ havoc raiseException;
+ goto inline$storm_IoCompleteRequest$62$anon3_Then#1, inline$storm_IoCompleteRequest$62$anon3_Else#1;
+
+ inline$storm_IoCompleteRequest$62$anon3_Else#1:
+ assume !raiseException;
+ goto inline$storm_IoCompleteRequest$62$anon1#1;
+
+ inline$storm_IoCompleteRequest$62$anon1#1:
+ assume k == 0 ==> INT_EQ(Res_0_COMPLETED[inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8], 1);
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$62$label_9#1;
+
+ inline$storm_IoCompleteRequest$62$label_9#1:
+ goto inline$storm_IoCompleteRequest$62$label_9_true#1, inline$storm_IoCompleteRequest$62$label_9_false#1;
+
+ inline$storm_IoCompleteRequest$62$label_9_false#1:
+ assume 0 == 0;
+ goto inline$storm_IoCompleteRequest$62$label_10#1;
+
+ inline$storm_IoCompleteRequest$62$label_10#1:
+ errorReached := true;
+ raiseException := true;
+ __storm_atomic := false;
+ __storm_init := false;
+ goto inline$storm_IoCompleteRequest$62$label_1#1;
+
+ inline$storm_IoCompleteRequest$62$label_9_true#1:
+ assume 0 != 0;
+ goto inline$storm_IoCompleteRequest$62$label_7#1;
+
+ inline$storm_IoCompleteRequest$62$label_7#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$storm_IoCompleteRequest$62$$pirp$1$334.10$storm_IoCompleteRequest$8 := 1];
+ call contextSwitch();
+ goto inline$storm_IoCompleteRequest$62$label_1#1;
+
+ inline$storm_IoCompleteRequest$62$label_1#1:
+ goto inline$storm_IoCompleteRequest$62$Return#1;
+
+ inline$storm_IoCompleteRequest$62$anon3_Then#1:
+ assume raiseException;
+ goto inline$storm_IoCompleteRequest$62$Return#1;
+
+ inline$storm_IoCompleteRequest$62$Return#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_92$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_92$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon40_Then#1, inline$BDLDevicePowerIoCompletion$30$anon40_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon40_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon21#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon21#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_95#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_95#1:
+ call ExFreePoolWithTag(inline$BDLDevicePowerIoCompletion$30$$pPowerIrpContext$5$1659.37$BDLDevicePowerIoCompletion$12, 541869122);
+ goto inline$BDLDevicePowerIoCompletion$30$anon41_Then#1, inline$BDLDevicePowerIoCompletion$30$anon41_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon41_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon23#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon23#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_98#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_98#1:
+ goto inline$BDLGetDebugLevel$789$Entry#1;
+
+ inline$BDLGetDebugLevel$789$Entry#1:
+ goto inline$BDLGetDebugLevel$789$start#1;
+
+ inline$BDLGetDebugLevel$789$start#1:
+ goto inline$BDLGetDebugLevel$789$label_3#1;
+
+ inline$BDLGetDebugLevel$789$label_3#1:
+ havoc inline$BDLGetDebugLevel$789$myNondetVar_0;
+ inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$789$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$789$label_1#1;
+
+ inline$BDLGetDebugLevel$789$label_1#1:
+ goto inline$BDLGetDebugLevel$789$Return#1;
+
+ inline$BDLGetDebugLevel$789$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$ := inline$BDLGetDebugLevel$789$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_98$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_98$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon42_Then#1, inline$BDLDevicePowerIoCompletion$30$anon42_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon42_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon25#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon25#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_101#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_101#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_101_true#1, inline$BDLDevicePowerIoCompletion$30$label_101_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_101_false#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_101_true#1:
+ assume BIT_BAND(BIT_BAND(1, 1), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$28$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_105#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_105#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_106#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_106#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_107#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_107#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_108#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_108#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$29$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_102#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_102#1:
+ goto inline$BDLGetDebugLevel$790$Entry#1;
+
+ inline$BDLGetDebugLevel$790$Entry#1:
+ goto inline$BDLGetDebugLevel$790$start#1;
+
+ inline$BDLGetDebugLevel$790$start#1:
+ goto inline$BDLGetDebugLevel$790$label_3#1;
+
+ inline$BDLGetDebugLevel$790$label_3#1:
+ havoc inline$BDLGetDebugLevel$790$myNondetVar_0;
+ inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$790$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$790$label_1#1;
+
+ inline$BDLGetDebugLevel$790$label_1#1:
+ goto inline$BDLGetDebugLevel$790$Return#1;
+
+ inline$BDLGetDebugLevel$790$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$ := inline$BDLGetDebugLevel$790$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_102$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_102$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon43_Then#1, inline$BDLDevicePowerIoCompletion$30$anon43_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon43_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon27#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon27#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_111#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_111#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_111_true#1, inline$BDLDevicePowerIoCompletion$30$label_111_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_111_false#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_111_true#1:
+ assume BIT_BAND(BIT_BAND(1, 2), inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$33$) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_115#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_115#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_116#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_116#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_117#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_117#1:
+ call inline$BDLDevicePowerIoCompletion$30$havoc_stringTemp := __HAVOC_malloc(1);
+ goto inline$BDLDevicePowerIoCompletion$30$label_118#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_118#1:
+ havoc inline$BDLDevicePowerIoCompletion$30$$result.DbgPrint$1723.0$34$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_112#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_112#1:
+ goto inline$BDLGetDebugLevel$791$Entry#1;
+
+ inline$BDLGetDebugLevel$791$Entry#1:
+ goto inline$BDLGetDebugLevel$791$start#1;
+
+ inline$BDLGetDebugLevel$791$start#1:
+ goto inline$BDLGetDebugLevel$791$label_3#1;
+
+ inline$BDLGetDebugLevel$791$label_3#1:
+ havoc inline$BDLGetDebugLevel$791$myNondetVar_0;
+ inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$ := inline$BDLGetDebugLevel$791$myNondetVar_0;
+ goto inline$BDLGetDebugLevel$791$label_1#1;
+
+ inline$BDLGetDebugLevel$791$label_1#1:
+ goto inline$BDLGetDebugLevel$791$Return#1;
+
+ inline$BDLGetDebugLevel$791$Return#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$ := inline$BDLGetDebugLevel$791$$result.BDLGetDebugLevel$39.0$1$;
+ goto inline$BDLDevicePowerIoCompletion$30$label_112$1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_112$1#1:
+ goto inline$BDLDevicePowerIoCompletion$30$anon44_Then#1, inline$BDLDevicePowerIoCompletion$30$anon44_Else#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon44_Else#1:
+ assume !raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$anon29#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon29#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_121#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_121#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_121_true#1, inline$BDLDevicePowerIoCompletion$30$label_121_false#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_121_false#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$, 4) == 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_121_true#1:
+ assume BIT_BAND(inline$BDLDevicePowerIoCompletion$30$$result.BDLGetDebugLevel$1723.0$38$, 4) != 0;
+ goto inline$BDLDevicePowerIoCompletion$30$label_123#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_123#1:
+ goto inline$BDLDevicePowerIoCompletion$30$label_122#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_122#1:
+ inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$ := inline$BDLDevicePowerIoCompletion$30$$status$4$1658.36$BDLDevicePowerIoCompletion$12;
+ goto inline$BDLDevicePowerIoCompletion$30$label_1#1;
+
+ inline$BDLDevicePowerIoCompletion$30$label_1#1:
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$30$$bdsiSetPowerStateParams$9$1663.36$BDLDevicePowerIoCompletion$12);
+ call __HAVOC_free(inline$BDLDevicePowerIoCompletion$30$$result.PoSetPowerState$1693.27$16$);
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon44_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon43_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon42_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon41_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon40_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon39_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon38_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon37_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon36_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon35_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon33_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon32_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon31_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$anon30_Then#1:
+ assume raiseException;
+ goto inline$BDLDevicePowerIoCompletion$30$Return#1;
+
+ inline$BDLDevicePowerIoCompletion$30$Return#1:
+ inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLDevicePowerIoCompletion$30$$result.BDLDevicePowerIoCompletion$1651.0$1$;
+ goto inline$CallCompletionRoutine$30$label_20_icall_2$1#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_2$1#1:
+ goto inline$CallCompletionRoutine$30$anon13_Then#1, inline$CallCompletionRoutine$30$anon13_Else#1;
+
+ inline$CallCompletionRoutine$30$anon13_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$30$anon7#1;
+
+ inline$CallCompletionRoutine$30$anon7#1:
+ goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$30$anon13_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_1#1:
+ assume inline$CallCompletionRoutine$30$$completionRoutine$4$437.25$CallCompletionRoutine$4 == BDLCallDriverCompletionRoutine;
+ havoc inline$CallCompletionRoutine$30$myNondetVar_0;
+ goto inline$BDLCallDriverCompletionRoutine$30$Entry#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$Entry#1:
+ inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1 := inline$CallCompletionRoutine$30$$context$2$435.8$CallCompletionRoutine$4;
+ goto inline$BDLCallDriverCompletionRoutine$30$start#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$start#1:
+ inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12 := inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12_.1;
+ goto inline$BDLCallDriverCompletionRoutine$30$label_3#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_3#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$label_3_true#1, inline$BDLCallDriverCompletionRoutine$30$label_3_false#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_3_false#1:
+ havoc inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0 == 0;
+ goto inline$BDLCallDriverCompletionRoutine$30$label_4#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_4#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_3_true#1:
+ havoc inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0;
+ assume inline$BDLCallDriverCompletionRoutine$30$myNondetVar_0 != 0;
+ goto inline$BDLCallDriverCompletionRoutine$30$label_5#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_5#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$label_6#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_6#1:
+ goto inline$storm_KeSetEvent$34$Entry#1;
+
+ inline$storm_KeSetEvent$34$Entry#1:
+ inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1 := inline$BDLCallDriverCompletionRoutine$30$$pEvent$3$50.24$BDLCallDriverCompletionRoutine$12;
+ goto inline$storm_KeSetEvent$34$start#1;
+
+ inline$storm_KeSetEvent$34$start#1:
+ inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12 := inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12_.1;
+ goto inline$storm_KeSetEvent$34$label_3#1;
+
+ inline$storm_KeSetEvent$34$label_3#1:
+ Res_0_EVENT := Res_0_EVENT[inline$storm_KeSetEvent$34$$Event$1$213.14$storm_KeSetEvent$12 := 1];
+ call contextSwitch();
+ goto inline$storm_KeSetEvent$34$label_1#1;
+
+ inline$storm_KeSetEvent$34$label_1#1:
+ goto inline$storm_KeSetEvent$34$Return#1;
+
+ inline$storm_KeSetEvent$34$Return#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$label_6$1#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_6$1#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$anon2_Then#1, inline$BDLCallDriverCompletionRoutine$30$anon2_Else#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$anon2_Else#1:
+ assume !raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$30$anon1#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$anon1#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$label_9#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_9#1:
+ inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$ := 0 - 1073741802;
+ goto inline$BDLCallDriverCompletionRoutine$30$label_1#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$label_1#1:
+ goto inline$BDLCallDriverCompletionRoutine$30$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$anon2_Then#1:
+ assume raiseException;
+ goto inline$BDLCallDriverCompletionRoutine$30$Return#1;
+
+ inline$BDLCallDriverCompletionRoutine$30$Return#1:
+ inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$ := inline$BDLCallDriverCompletionRoutine$30$$result.BDLCallDriverCompletionRoutine$46.0$1$;
+ goto inline$CallCompletionRoutine$30$label_20_icall_1$1#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_1$1#1:
+ goto inline$CallCompletionRoutine$30$anon12_Then#1, inline$CallCompletionRoutine$30$anon12_Else#1;
+
+ inline$CallCompletionRoutine$30$anon12_Else#1:
+ assume !raiseException;
+ goto inline$CallCompletionRoutine$30$anon5#1;
+
+ inline$CallCompletionRoutine$30$anon5#1:
+ goto inline$CallCompletionRoutine$30$label_20_icall_return#1;
+
+ inline$CallCompletionRoutine$30$label_20_icall_return#1:
+ goto inline$CallCompletionRoutine$30$label_23#1;
+
+ inline$CallCompletionRoutine$30$label_23#1:
+ inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4 := inline$CallCompletionRoutine$30$$result.completionRoutine$448.30$3$;
+ goto inline$CallCompletionRoutine$30$label_24#1;
+
+ inline$CallCompletionRoutine$30$label_24#1:
+ goto inline$CallCompletionRoutine$30$label_24_true#1, inline$CallCompletionRoutine$30$label_24_false#1;
+
+ inline$CallCompletionRoutine$30$label_24_false#1:
+ assume !INT_NEQ(inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$30$label_1#1;
+
+ inline$CallCompletionRoutine$30$label_24_true#1:
+ assume INT_NEQ(inline$CallCompletionRoutine$30$$status$5$438.11$CallCompletionRoutine$4, 0 - 1073741802);
+ goto inline$CallCompletionRoutine$30$label_25#1;
+
+ inline$CallCompletionRoutine$30$label_25#1:
+ Res_0_COMPLETED := Res_0_COMPLETED[inline$CallCompletionRoutine$30$$Irp$1$434.32$CallCompletionRoutine$4 := 1];
+ call contextSwitch();
+ goto inline$CallCompletionRoutine$30$label_1#1;
+
+ inline$CallCompletionRoutine$30$label_1#1:
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$anon12_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$anon11_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$anon10_Then#1:
+ assume raiseException;
+ goto inline$CallCompletionRoutine$30$Return#1;
+
+ inline$CallCompletionRoutine$30$Return#1:
+ goto inline$storm_thread_completion$0$label_4$1#1;
+
+ inline$storm_thread_completion$0$label_4$1#1:
+ call contextSwitch();
+ goto inline$storm_thread_completion$0$anon5_Then#1, inline$storm_thread_completion$0$anon5_Else#1;
+
+ inline$storm_thread_completion$0$anon5_Else#1:
+ assume !raiseException;
+ goto inline$storm_thread_completion$0$anon3#1;
+
+ inline$storm_thread_completion$0$anon3#1:
+ goto inline$storm_thread_completion$0$label_1#1;
+
+ inline$storm_thread_completion$0$label_1#1:
+ __storm_thread_done_2 := true;
+ goto inline$storm_thread_completion$0$Return#1;
+
+ inline$storm_thread_completion$0$anon5_Then#1:
+ assume raiseException;
+ goto inline$storm_thread_completion$0$Return#1;
+
+ inline$storm_thread_completion$0$anon4_Then#1:
+ assume raiseException;
+ goto inline$storm_thread_completion$0$Return#1;
+
+ inline$storm_thread_completion$0$Return#1:
+ goto label_38$1#1;
+
+ label_38$1#1:
+ goto label_1#1;
+
+ label_1#1:
+ assert !errorReached;
+ return;
+
+ anon14_Then#1:
+ assume raiseException;
+ return;
+
+ anon13_Then#1:
+ assume raiseException;
+ return;
+
+ anon12_Then#1:
+ assume raiseException;
+ return;
+
+ anon11_Then#1:
+ assume raiseException;
+ return;
+
+ anon10_Then#1:
+ assume raiseException;
+ return;
+}
+
+
+
diff --git a/Test/lock/Lock.bpl b/Test/lock/Lock.bpl
index 54cd4853..515be9f0 100644
--- a/Test/lock/Lock.bpl
+++ b/Test/lock/Lock.bpl
@@ -1,124 +1,124 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure LockingExample();
-
-implementation LockingExample()
-{
-var x: int;
-var y: int;
-var held: int;
-
-start:
- held := 0;
- x := 0;
- goto LoopHead;
-
-LoopHead:
- // Lock
- assert held == 0;
- held := 1;
-
- y := x;
- goto UnlockNow, LoopEnd;
-
-UnlockNow:
- // Unlock
- assert held == 1;
- held := 0;
-
- x := x + 1;
- goto LoopEnd;
-
-LoopEnd:
- goto ContinueIteration, EndIteration;
-
-ContinueIteration:
- assume x != y;
- goto LoopHead;
-
-EndIteration:
- assume x == y;
- goto AfterLoop;
-
-AfterLoop:
- // Unlock
- assert held == 1;
- held := 0;
-
- return;
-
-}
-
-
-procedure StructuredLockingExample()
-{
- var x: int;
- var y: int;
- var held: bool;
-
- held := false;
- x := 0;
-
- while (true)
- invariant !held;
- {
- // Lock
- assert !held;
- held := true;
-
- y := x;
- if (*) {
- // Unlock
- assert held;
- held := false;
-
- x := x + 1;
- }
-
- if (x == y) { break; }
- }
-
- // Unlock
- assert held;
- held := false;
-}
-
-procedure StructuredLockingExampleWithCalls()
-{
- var x: int;
- var y: int;
- var mutex: Mutex;
-
- call mutex := Initialize();
- x := 0;
-
- while (true)
- invariant !IsHeld(mutex);
- {
- call mutex := Acquire(mutex);
-
- y := x;
- if (*) {
- call mutex := Release(mutex);
- x := x + 1;
- }
-
- if (x == y) { break; }
- }
-
- call mutex := Release(mutex);
-}
-
-type Mutex;
-function IsHeld(Mutex) returns (bool);
-
-procedure Initialize() returns (post: Mutex);
- ensures !IsHeld(post);
-
-procedure Acquire(pre: Mutex) returns (post: Mutex);
- requires !IsHeld(pre);
- ensures IsHeld(post);
-
-procedure Release(pre: Mutex) returns (post: Mutex);
- requires IsHeld(pre);
- ensures !IsHeld(post);
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure LockingExample();
+
+implementation LockingExample()
+{
+var x: int;
+var y: int;
+var held: int;
+
+start:
+ held := 0;
+ x := 0;
+ goto LoopHead;
+
+LoopHead:
+ // Lock
+ assert held == 0;
+ held := 1;
+
+ y := x;
+ goto UnlockNow, LoopEnd;
+
+UnlockNow:
+ // Unlock
+ assert held == 1;
+ held := 0;
+
+ x := x + 1;
+ goto LoopEnd;
+
+LoopEnd:
+ goto ContinueIteration, EndIteration;
+
+ContinueIteration:
+ assume x != y;
+ goto LoopHead;
+
+EndIteration:
+ assume x == y;
+ goto AfterLoop;
+
+AfterLoop:
+ // Unlock
+ assert held == 1;
+ held := 0;
+
+ return;
+
+}
+
+
+procedure StructuredLockingExample()
+{
+ var x: int;
+ var y: int;
+ var held: bool;
+
+ held := false;
+ x := 0;
+
+ while (true)
+ invariant !held;
+ {
+ // Lock
+ assert !held;
+ held := true;
+
+ y := x;
+ if (*) {
+ // Unlock
+ assert held;
+ held := false;
+
+ x := x + 1;
+ }
+
+ if (x == y) { break; }
+ }
+
+ // Unlock
+ assert held;
+ held := false;
+}
+
+procedure StructuredLockingExampleWithCalls()
+{
+ var x: int;
+ var y: int;
+ var mutex: Mutex;
+
+ call mutex := Initialize();
+ x := 0;
+
+ while (true)
+ invariant !IsHeld(mutex);
+ {
+ call mutex := Acquire(mutex);
+
+ y := x;
+ if (*) {
+ call mutex := Release(mutex);
+ x := x + 1;
+ }
+
+ if (x == y) { break; }
+ }
+
+ call mutex := Release(mutex);
+}
+
+type Mutex;
+function IsHeld(Mutex) returns (bool);
+
+procedure Initialize() returns (post: Mutex);
+ ensures !IsHeld(post);
+
+procedure Acquire(pre: Mutex) returns (post: Mutex);
+ requires !IsHeld(pre);
+ ensures IsHeld(post);
+
+procedure Release(pre: Mutex) returns (post: Mutex);
+ requires IsHeld(pre);
+ ensures !IsHeld(post);
diff --git a/Test/lock/LockIncorrect.bpl b/Test/lock/LockIncorrect.bpl
index 4bd86bbe..db5c4b1a 100644
--- a/Test/lock/LockIncorrect.bpl
+++ b/Test/lock/LockIncorrect.bpl
@@ -1,53 +1,53 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure LockingExample();
-
-implementation LockingExample()
-{
-var x: int;
-var y: int;
-var held: int;
-
-start:
- held := 0;
- x := 0;
- goto LoopHead;
-
-LoopHead:
- // Lock
- held := held + 6;
- assert held == 0;
- held := 1;
-
- y := x;
- goto UnlockNow, LoopEnd;
-
-UnlockNow:
- // Unlock
- assert held == 1;
- held := 0;
-
- x := x + 1;
- goto LoopEnd;
-
-LoopEnd:
- goto ContinueIteration, EndIteration;
-
-ContinueIteration:
- assume x != y;
- goto LoopHead;
-
-EndIteration:
- assume x == y;
- goto AfterLoop;
-
-AfterLoop:
- // Unlock
- assert held == 1;
- held := 0;
-
- return;
-
-}
-
-
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure LockingExample();
+
+implementation LockingExample()
+{
+var x: int;
+var y: int;
+var held: int;
+
+start:
+ held := 0;
+ x := 0;
+ goto LoopHead;
+
+LoopHead:
+ // Lock
+ held := held + 6;
+ assert held == 0;
+ held := 1;
+
+ y := x;
+ goto UnlockNow, LoopEnd;
+
+UnlockNow:
+ // Unlock
+ assert held == 1;
+ held := 0;
+
+ x := x + 1;
+ goto LoopEnd;
+
+LoopEnd:
+ goto ContinueIteration, EndIteration;
+
+ContinueIteration:
+ assume x != y;
+ goto LoopHead;
+
+EndIteration:
+ assume x == y;
+ goto AfterLoop;
+
+AfterLoop:
+ // Unlock
+ assert held == 1;
+ held := 0;
+
+ return;
+
+}
+
+
diff --git a/Test/og/DeviceCache.bpl.expect b/Test/og/DeviceCache.bpl.expect
deleted file mode 100644
index 9ec7a92d..00000000
--- a/Test/og/DeviceCache.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 30 verified, 0 errors
diff --git a/Test/og/Program1.bpl.expect b/Test/og/Program1.bpl.expect
deleted file mode 100644
index 3de74d3e..00000000
--- a/Test/og/Program1.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/og/Program2.bpl.expect b/Test/og/Program2.bpl.expect
deleted file mode 100644
index 5b2909f1..00000000
--- a/Test/og/Program2.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 3 verified, 0 errors
diff --git a/Test/og/Program4.bpl b/Test/og/Program4.bpl
deleted file mode 100644
index 7f2f9c44..00000000
--- a/Test/og/Program4.bpl
+++ /dev/null
@@ -1,68 +0,0 @@
-// RUN: %boogie -noinfer -typeEncoding:m -useArrayTheory "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Tid;
-var {:layer 0,1} a:[Tid]int;
-
-procedure {:yields} {:layer 1} main() {
- var {:linear "tid"} tid:Tid;
-
- yield;
- while (true) {
- call tid := Allocate();
- async call P(tid);
- yield;
- }
- yield;
-}
-
-procedure {:yields} {:layer 1} P({:linear "tid"} tid: Tid)
-ensures {:layer 1} a[tid] == old(a)[tid] + 1;
-{
- var t:int;
-
- yield;
- assert {:layer 1} a[tid] == old(a)[tid];
- call t := Read(tid);
- yield;
- assert {:layer 1} a[tid] == t;
- call Write(tid, t + 1);
- yield;
- assert {:layer 1} a[tid] == t + 1;
-}
-
-procedure {:yields} {:layer 1} Allocate() returns ({:linear "tid"} tid: Tid)
-{
- yield;
- call tid := AllocateLow();
- yield;
-}
-
-procedure {:yields} {:layer 0,1} Read({:linear "tid"} tid: Tid) returns (val: int);
-ensures {:atomic}
-|{A:
- val := a[tid]; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} Write({:linear "tid"} tid: Tid, val: int);
-ensures {:atomic}
-|{A:
- a[tid] := val; return true;
-}|;
-
-procedure {:yields} {:layer 0,1} AllocateLow() returns ({:linear "tid"} tid: Tid);
-ensures {:atomic} |{ A: return true; }|;
-
-
-
-function {:builtin "MapConst"} MapConstBool(bool): [Tid]bool;
-function {:builtin "MapOr"} MapOr([Tid]bool, [Tid]bool) : [Tid]bool;
-
-function {:inline} {:linear "tid"} TidCollector(x: Tid) : [Tid]bool
-{
- MapConstBool(false)[x := true]
-}
-function {:inline} {:linear "tid"} TidSetCollector(x: [Tid]bool) : [Tid]bool
-{
- x
-}
-
diff --git a/Test/og/Program4.bpl.expect b/Test/og/Program4.bpl.expect
deleted file mode 100644
index 5b2909f1..00000000
--- a/Test/og/Program4.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 3 verified, 0 errors
diff --git a/Test/og/Program5.bpl.expect b/Test/og/Program5.bpl.expect
deleted file mode 100644
index d7c0ff95..00000000
--- a/Test/og/Program5.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 18 verified, 0 errors
diff --git a/Test/og/civl-paper.bpl.expect b/Test/og/civl-paper.bpl.expect
deleted file mode 100644
index 4bcd03fb..00000000
--- a/Test/og/civl-paper.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 35 verified, 0 errors
diff --git a/Test/og/linear-set2.bpl.expect b/Test/og/linear-set2.bpl.expect
deleted file mode 100644
index fef5ddc0..00000000
--- a/Test/og/linear-set2.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 4 verified, 0 errors
diff --git a/Test/og/lock.bpl.expect b/Test/og/lock.bpl.expect
deleted file mode 100644
index 05d394c7..00000000
--- a/Test/og/lock.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 5 verified, 0 errors
diff --git a/Test/og/lock2.bpl.expect b/Test/og/lock2.bpl.expect
deleted file mode 100644
index 05d394c7..00000000
--- a/Test/og/lock2.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 5 verified, 0 errors
diff --git a/Test/og/multiset.bpl.expect b/Test/og/multiset.bpl.expect
deleted file mode 100644
index d72077a6..00000000
--- a/Test/og/multiset.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 78 verified, 0 errors
diff --git a/Test/og/parallel2.bpl.expect b/Test/og/parallel2.bpl.expect
deleted file mode 100644
index 05d394c7..00000000
--- a/Test/og/parallel2.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 5 verified, 0 errors
diff --git a/Test/og/parallel5.bpl.expect b/Test/og/parallel5.bpl.expect
deleted file mode 100644
index 05d394c7..00000000
--- a/Test/og/parallel5.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 5 verified, 0 errors
diff --git a/Test/og/ticket.bpl.expect b/Test/og/ticket.bpl.expect
deleted file mode 100644
index 28c26eab..00000000
--- a/Test/og/ticket.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 16 verified, 0 errors
diff --git a/Test/og/wsq.bpl.expect b/Test/og/wsq.bpl.expect
deleted file mode 100644
index 5b2909f1..00000000
--- a/Test/og/wsq.bpl.expect
+++ /dev/null
@@ -1,2 +0,0 @@
-
-Boogie program verifier finished with 3 verified, 0 errors
diff --git a/Test/optimization/Optimization0.bpl b/Test/optimization/Optimization0.bpl
new file mode 100644
index 00000000..24424e53
--- /dev/null
+++ b/Test/optimization/Optimization0.bpl
@@ -0,0 +1,84 @@
+// RUN: %boogie /printModel:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function may_fail(f: int) : bool;
+
+procedure test0()
+{
+ var x: int;
+
+ havoc x;
+ assume 42 < x;
+ assume {:minimize x} true;
+ assert may_fail(x);
+}
+
+procedure test1()
+{
+ var x: int;
+
+ x := 24;
+ if (*) {
+ x := 42;
+ }
+ assume {:minimize x} true;
+ assert may_fail(x);
+}
+
+procedure test2()
+{
+ var x: int;
+
+ x := 1;
+ while (*) {
+ x := x + 1;
+ }
+ assume {:minimize x} true;
+ assert x < 10;
+}
+
+procedure test3()
+{
+ var x: int;
+
+ havoc x;
+ assume x < 42;
+ assume {:maximize x} true;
+ assert may_fail(x);
+}
+
+procedure test4()
+{
+ var x: int;
+
+ x := 24;
+ if (*) {
+ x := 42;
+ }
+ assume {:maximize x} true;
+ assert may_fail(x);
+}
+
+procedure test5()
+{
+ var x: int;
+
+ x := 1;
+ while (*) {
+ x := x - 1;
+ }
+ assume {:maximize x} true;
+ assert x < 1;
+}
+
+procedure test6()
+{
+ var x: int;
+
+ x := 1;
+ if (*) {
+ x := 2;
+ }
+ assume {:maximize x} true;
+ assert may_fail(x);
+}
diff --git a/Test/optimization/Optimization0.bpl.expect b/Test/optimization/Optimization0.bpl.expect
new file mode 100644
index 00000000..f5a51848
--- /dev/null
+++ b/Test/optimization/Optimization0.bpl.expect
@@ -0,0 +1,135 @@
+*** MODEL
+%lbl%@280 -> false
+%lbl%+260 -> true
+%lbl%+39 -> true
+x@0 -> 43
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+may_fail -> {
+ 43 -> false
+ else -> false
+}
+*** END_MODEL
+Optimization0.bpl(13,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(10,5): anon0
+*** MODEL
+%lbl%@321 -> false
+%lbl%+299 -> true
+%lbl%+66 -> true
+%lbl%+68 -> true
+x@0@@0 -> 24
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+may_fail -> {
+ 24 -> false
+ else -> false
+}
+*** END_MODEL
+Optimization0.bpl(25,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(20,7): anon0
+ Optimization0.bpl(21,5): anon3_Else
+ Optimization0.bpl(24,5): anon2
+*** MODEL
+%lbl%@353 -> false
+%lbl%+335 -> true
+%lbl%+95 -> true
+%lbl%+99 -> true
+x@0@@1 -> 10
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+*** END_MODEL
+Optimization0.bpl(37,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(32,7): anon0
+ Optimization0.bpl(33,5): anon3_LoopHead
+ Optimization0.bpl(33,5): anon3_LoopDone
+*** MODEL
+%lbl%@386 -> false
+%lbl%+122 -> true
+%lbl%+375 -> true
+x@0@@2 -> 41
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+may_fail -> {
+ 41 -> false
+ else -> false
+}
+*** END_MODEL
+Optimization0.bpl(47,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(44,5): anon0
+*** MODEL
+%lbl%@414 -> false
+%lbl%+147 -> true
+%lbl%+151 -> true
+%lbl%+392 -> true
+x@0@@3 -> 42
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+may_fail -> {
+ 42 -> false
+ else -> false
+}
+*** END_MODEL
+Optimization0.bpl(59,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(54,7): anon0
+ Optimization0.bpl(56,11): anon3_Then
+ Optimization0.bpl(58,5): anon2
+*** MODEL
+%lbl%@446 -> false
+%lbl%+178 -> true
+%lbl%+182 -> true
+%lbl%+428 -> true
+x@0@@4 -> 1
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+*** END_MODEL
+Optimization0.bpl(71,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(66,7): anon0
+ Optimization0.bpl(67,5): anon3_LoopHead
+ Optimization0.bpl(67,5): anon3_LoopDone
+*** MODEL
+%lbl%@490 -> false
+%lbl%+209 -> true
+%lbl%+213 -> true
+%lbl%+468 -> true
+x@0@@5 -> 2
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+may_fail -> {
+ 2 -> false
+ else -> false
+}
+*** END_MODEL
+Optimization0.bpl(83,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization0.bpl(78,7): anon0
+ Optimization0.bpl(80,11): anon3_Then
+ Optimization0.bpl(82,5): anon2
+
+Boogie program verifier finished with 0 verified, 7 errors
diff --git a/Test/optimization/Optimization1.bpl b/Test/optimization/Optimization1.bpl
new file mode 100644
index 00000000..60df1edd
--- /dev/null
+++ b/Test/optimization/Optimization1.bpl
@@ -0,0 +1,32 @@
+// RUN: %boogie /noVerify /printModel:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0(n: int)
+{
+ assume {:minimize} true;
+}
+
+procedure test1(n: int)
+{
+ assume {:maximize} true;
+}
+
+procedure test2(n: int)
+{
+ assume {:minimize n, n} true;
+}
+
+procedure test3(n: int)
+{
+ assume {:maximize n, n} true;
+}
+
+procedure test4(n: int)
+{
+ assume {:minimize true} true;
+}
+
+procedure test5(n: int)
+{
+ assume {:maximize true} true;
+}
diff --git a/Test/optimization/Optimization1.bpl.expect b/Test/optimization/Optimization1.bpl.expect
new file mode 100644
index 00000000..d8508807
--- /dev/null
+++ b/Test/optimization/Optimization1.bpl.expect
@@ -0,0 +1,5 @@
+Optimization1.bpl(6,11): Error: attributes :minimize and :maximize accept only one argument
+Optimization1.bpl(11,11): Error: attributes :minimize and :maximize accept only one argument
+Optimization1.bpl(16,11): Error: attributes :minimize and :maximize accept only one argument
+Optimization1.bpl(21,11): Error: attributes :minimize and :maximize accept only one argument
+4 name resolution errors detected in Optimization1.bpl
diff --git a/Test/optimization/Optimization2.bpl b/Test/optimization/Optimization2.bpl
new file mode 100644
index 00000000..7d80d735
--- /dev/null
+++ b/Test/optimization/Optimization2.bpl
@@ -0,0 +1,12 @@
+// RUN: %boogie /noVerify /printModel:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0(n: int)
+{
+ assume {:minimize true} true;
+}
+
+procedure test1(n: int)
+{
+ assume {:maximize true} true;
+}
diff --git a/Test/optimization/Optimization2.bpl.expect b/Test/optimization/Optimization2.bpl.expect
new file mode 100644
index 00000000..cab2fd3d
--- /dev/null
+++ b/Test/optimization/Optimization2.bpl.expect
@@ -0,0 +1,3 @@
+Optimization2.bpl(6,11): Error: attributes :minimize and :maximize accept only one argument of type int, real or bv
+Optimization2.bpl(11,11): Error: attributes :minimize and :maximize accept only one argument of type int, real or bv
+2 type checking errors detected in Optimization2.bpl
diff --git a/Test/optimization/Optimization3.bpl b/Test/optimization/Optimization3.bpl
new file mode 100644
index 00000000..02499c31
--- /dev/null
+++ b/Test/optimization/Optimization3.bpl
@@ -0,0 +1,20 @@
+// RUN: %boogie /printModel:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0()
+{
+ var x: int;
+
+ assume x < 42;
+ assume {:maximize x} true;
+ assert (exists y: int :: y < x);
+}
+
+procedure test1()
+{
+ var x: int;
+
+ assume x < 42;
+ assume {:maximize x} true;
+ assert (forall y: int :: y < x);
+}
diff --git a/Test/optimization/Optimization3.bpl.expect b/Test/optimization/Optimization3.bpl.expect
new file mode 100644
index 00000000..6a0066fc
--- /dev/null
+++ b/Test/optimization/Optimization3.bpl.expect
@@ -0,0 +1,31 @@
+*** MODEL
+%lbl%@80 -> false
+%lbl%+33 -> true
+%lbl%+61 -> true
+x -> 41
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+*** END_MODEL
+Optimization3.bpl(10,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization3.bpl(8,5): anon0
+*** MODEL
+%lbl%@115 -> false
+%lbl%+105 -> true
+%lbl%+56 -> true
+x@@0 -> 41
+y@@0!1!1 -> 719
+tickleBool -> {
+ true -> true
+ false -> true
+ else -> true
+}
+*** END_MODEL
+Optimization3.bpl(19,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ Optimization3.bpl(17,5): anon0
+
+Boogie program verifier finished with 0 verified, 2 errors
diff --git a/Test/optimization/lit.local.cfg b/Test/optimization/lit.local.cfg
new file mode 100644
index 00000000..35c7e558
--- /dev/null
+++ b/Test/optimization/lit.local.cfg
@@ -0,0 +1,3 @@
+# Do not run tests in this directory and below
+config.unsupported = True
+# TODO(wuestholz): Enable these tests once we can rely on a version of Z3 that includes changeset 5948013b1b04d8529bce366c0c7b87e1d88a1827.
diff --git a/Test/prover/EQ_v2.Eval__v4.Eval_out.bpl b/Test/prover/EQ_v2.Eval__v4.Eval_out.bpl
index 80b50686..bd823c3f 100644
--- a/Test/prover/EQ_v2.Eval__v4.Eval_out.bpl
+++ b/Test/prover/EQ_v2.Eval__v4.Eval_out.bpl
@@ -1,2257 +1,2257 @@
-// RUN: %boogie -typeEncoding:m -z3multipleErrors "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var v4.Mem: [name][int]int;
-
-var v4.alloc: int;
-
-var v4.Mem_T.INT4: [int]int;
-
-var v4.Mem_T.op1__EXPR: [int]int;
-
-var v4.Mem_T.op2__EXPR: [int]int;
-
-var v4.Mem_T.oper__EXPR: [int]int;
-
-var v4.Mem_T.result__EXPR: [int]int;
-
-var v4.detChoiceCnt: int;
-
-var v4.Res_KERNEL_SOURCE: [int]int;
-
-var v4.Res_PROBED: [int]int;
-
-var v4.isUnsigned: int;
-
-const unique v4.T.oper__EXPR: name;
-
-const unique v4.T.op1__EXPR: name;
-
-const unique v4.T.op2__EXPR: name;
-
-const unique v4.T.result__EXPR: name;
-
-const unique v4.T.INT4: name;
-
-const unique v4.T.PINT4: name;
-
-const unique v4.T.PPINT4: name;
-
-const unique v4.T.PP_EXPR: name;
-
-const unique v4.T.P_EXPR: name;
-
-const unique v4.T._EXPR: name;
-
-const {:model_const "e->op2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_9: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 24} unique v4.__ctobpl_const_10: int;
-
-const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 26} unique v4.__ctobpl_const_11: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_12: int;
-
-const {:model_const "e->op1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_6: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_7: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_8: int;
-
-const {:model_const "e->oper"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_3: int;
-
-const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_1: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_2: int;
-
-const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_13: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_14: int;
-
-const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 29} unique v4.__ctobpl_const_15: int;
-
-const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 35} unique v4.__ctobpl_const_16: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_17: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_18: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_19: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_20: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_21: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_22: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_23: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_24: int;
-
-const {:model_const "result.UnsignedSub"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_25: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_26: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_27: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_28: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_29: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_30: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_31: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_32: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_33: int;
-
-const {:model_const "result.UnsignedAdd"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_34: int;
-
-const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 47} unique v4.__ctobpl_const_35: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_4: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_5: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 48} unique v4.__ctobpl_const_36: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 48} unique v4.__ctobpl_const_37: int;
-
-const {:model_const "outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_38: int;
-
-const {:model_const "*outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_39: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_40: int;
-
-const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_41: int;
-
-const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 54} unique v4.__ctobpl_const_42: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 55} unique v4.__ctobpl_const_43: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 55} unique v4.__ctobpl_const_44: int;
-
-function v4.OneByteToInt(arg_0: byte) : int;
-
-function v4.TwoBytesToInt(arg_0: byte, arg_1: byte) : int;
-
-function v4.FourBytesToInt(arg_0: byte, arg_1: byte, arg_2: byte, arg_3: byte) : int;
-
-function v4.Field(arg_0: int) : name;
-
-function v4.Base(arg_0: int) : int;
-
-function v4.Match(a: int, t: name) : bool;
-
-function v4.MatchBase(b: int, a: int, t: name) : bool;
-
-function v4.HasType(v: int, t: name) : bool;
-
-function v4.T.Ptr(t: name) : name;
-
-function v4.op1__EXPR(arg_0: int) : int;
-
-function v4.op1__EXPRInv(arg_0: int) : int;
-
-function v4._S_op1__EXPR(arg_0: [int]bool) : [int]bool;
-
-function v4._S_op1__EXPRInv(arg_0: [int]bool) : [int]bool;
-
-function v4.op2__EXPR(arg_0: int) : int;
-
-function v4.op2__EXPRInv(arg_0: int) : int;
-
-function v4._S_op2__EXPR(arg_0: [int]bool) : [int]bool;
-
-function v4._S_op2__EXPRInv(arg_0: [int]bool) : [int]bool;
-
-function v4.oper__EXPR(arg_0: int) : int;
-
-function v4.oper__EXPRInv(arg_0: int) : int;
-
-function v4._S_oper__EXPR(arg_0: [int]bool) : [int]bool;
-
-function v4._S_oper__EXPRInv(arg_0: [int]bool) : [int]bool;
-
-function v4.result__EXPR(arg_0: int) : int;
-
-function v4.result__EXPRInv(arg_0: int) : int;
-
-function v4._S_result__EXPR(arg_0: [int]bool) : [int]bool;
-
-function v4._S_result__EXPRInv(arg_0: [int]bool) : [int]bool;
-
-function v4.INT_EQ(x: int, y: int) : bool;
-
-function v4.INT_NEQ(x: int, y: int) : bool;
-
-function v4.INT_ADD(x: int, y: int) : int;
-
-function v4.INT_SUB(x: int, y: int) : int;
-
-function v4.INT_MULT(x: int, y: int) : int;
-
-function v4.INT_DIV(x: int, y: int) : int;
-
-function v4.INT_LT(x: int, y: int) : bool;
-
-function v4.INT_ULT(x: int, y: int) : bool;
-
-function v4.INT_LEQ(x: int, y: int) : bool;
-
-function v4.INT_ULEQ(x: int, y: int) : bool;
-
-function v4.INT_GT(x: int, y: int) : bool;
-
-function v4.INT_UGT(x: int, y: int) : bool;
-
-function v4.INT_GEQ(x: int, y: int) : bool;
-
-function v4.INT_UGEQ(x: int, y: int) : bool;
-
-function v4.BV32_EQ(x: bv32, y: bv32) : bool;
-
-function v4.BV32_NEQ(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvadd"} v4.BV32_ADD(x: bv32, y: bv32) : bv32;
-
-function {:bvbuiltin "bvsub"} v4.BV32_SUB(x: bv32, y: bv32) : bv32;
-
-function {:bvbuiltin "bvmul"} v4.BV32_MULT(x: bv32, y: bv32) : bv32;
-
-function {:bvbuiltin "bvudiv"} v4.BV32_DIV(x: bv32, y: bv32) : bv32;
-
-function {:bvbuiltin "bvult"} v4.BV32_ULT(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvslt"} v4.BV32_LT(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvule"} v4.BV32_ULEQ(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvsle"} v4.BV32_LEQ(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvugt"} v4.BV32_UGT(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvsgt"} v4.BV32_GT(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvuge"} v4.BV32_UGEQ(x: bv32, y: bv32) : bool;
-
-function {:bvbuiltin "bvsge"} v4.BV32_GEQ(x: bv32, y: bv32) : bool;
-
-function v4.MINUS_BOTH_PTR_OR_BOTH_INT(a: int, b: int, size: int) : int;
-
-function v4.MINUS_LEFT_PTR(a: int, a_size: int, b: int) : int;
-
-function v4.PLUS(a: int, a_size: int, b: int) : int;
-
-function v4.MULT(a: int, b: int) : int;
-
-function v4.DIV(a: int, b: int) : int;
-
-function v4.BINARY_BOTH_INT(a: int, b: int) : int;
-
-function v4.POW2(a: int) : bool;
-
-function v4.BIT_BAND(a: int, b: int) : int;
-
-function v4.BIT_BOR(a: int, b: int) : int;
-
-function v4.BIT_BXOR(a: int, b: int) : int;
-
-function v4.BIT_BNOT(a: int) : int;
-
-function v4.choose(a: bool, b: int, c: int) : int;
-
-function v4.LIFT(a: bool) : int;
-
-function v4.PTR_NOT(a: int) : int;
-
-function v4.NULL_CHECK(a: int) : int;
-
-function v4.NewAlloc(x: int, y: int) : int;
-
-function v4.DetChoiceFunc(a: int) : int;
-
-function v4.Equal(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function v4.Subset(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function v4.Disjoint(arg_0: [int]bool, arg_1: [int]bool) : bool;
-
-function v4.Empty() : [int]bool;
-
-function v4.SetTrue() : [int]bool;
-
-function v4.Singleton(arg_0: int) : [int]bool;
-
-function v4.Reachable(arg_0: [int,int]bool, arg_1: int) : [int]bool;
-
-function v4.Union(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function v4.Intersection(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function v4.Difference(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
-
-function v4.Dereference(arg_0: [int]bool, arg_1: [int]int) : [int]bool;
-
-function v4.Inverse(f: [int]int, x: int) : [int]bool;
-
-function v4.AtLeast(arg_0: int, arg_1: int) : [int]bool;
-
-function v4.Rep(arg_0: int, arg_1: int) : int;
-
-function v4.Array(arg_0: int, arg_1: int, arg_2: int) : [int]bool;
-
-function v4.Unified(arg_0: [name][int]int) : [int]int;
-
-function v4.value_is(c: int, e: int) : bool;
-
-axiom (forall b0: byte, c0: byte :: { v4.OneByteToInt(b0), v4.OneByteToInt(c0) } v4.OneByteToInt(b0) == v4.OneByteToInt(c0) ==> b0 == c0);
-
-axiom (forall b0: byte, b1: byte, c0: byte, c1: byte :: { v4.TwoBytesToInt(b0, b1), v4.TwoBytesToInt(c0, c1) } v4.TwoBytesToInt(b0, b1) == v4.TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-
-axiom (forall b0: byte, b1: byte, b2: byte, b3: byte, c0: byte, c1: byte, c2: byte, c3: byte :: { v4.FourBytesToInt(b0, b1, b2, b3), v4.FourBytesToInt(c0, c1, c2, c3) } v4.FourBytesToInt(b0, b1, b2, b3) == v4.FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-axiom (forall x: int :: { v4.Base(x) } v4.INT_LEQ(v4.Base(x), x));
-
-axiom (forall a: int, t: name :: { v4.Match(a, v4.T.Ptr(t)) } v4.Match(a, v4.T.Ptr(t)) <==> v4.Field(a) == v4.T.Ptr(t));
-
-axiom (forall b: int, a: int, t: name :: { v4.MatchBase(b, a, v4.T.Ptr(t)) } v4.MatchBase(b, a, v4.T.Ptr(t)) <==> v4.Base(a) == b);
-
-axiom (forall v: int, t: name :: { v4.HasType(v, v4.T.Ptr(t)) } v4.HasType(v, v4.T.Ptr(t)) <==> v == 0 || (v4.INT_GT(v, 0) && v4.Match(v, t) && v4.MatchBase(v4.Base(v), v, t)));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPR(S)[x] } v4._S_op1__EXPR(S)[x] <==> S[v4.op1__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPRInv(S)[x] } v4._S_op1__EXPRInv(S)[x] <==> S[v4.op1__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPR(S) } S[x] ==> v4._S_op1__EXPR(S)[v4.op1__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPRInv(S) } S[x] ==> v4._S_op1__EXPRInv(S)[v4.op1__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.INT_ADD(x, 4));
-
-axiom (forall x: int :: { v4.op1__EXPRInv(x) } v4.op1__EXPRInv(x) == v4.INT_SUB(x, 4));
-
-axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.PLUS(x, 1, 4));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPR(S)[x] } v4._S_op2__EXPR(S)[x] <==> S[v4.op2__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPRInv(S)[x] } v4._S_op2__EXPRInv(S)[x] <==> S[v4.op2__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPR(S) } S[x] ==> v4._S_op2__EXPR(S)[v4.op2__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPRInv(S) } S[x] ==> v4._S_op2__EXPRInv(S)[v4.op2__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.INT_ADD(x, 8));
-
-axiom (forall x: int :: { v4.op2__EXPRInv(x) } v4.op2__EXPRInv(x) == v4.INT_SUB(x, 8));
-
-axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.PLUS(x, 1, 8));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPR(S)[x] } v4._S_oper__EXPR(S)[x] <==> S[v4.oper__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPRInv(S)[x] } v4._S_oper__EXPRInv(S)[x] <==> S[v4.oper__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPR(S) } S[x] ==> v4._S_oper__EXPR(S)[v4.oper__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPRInv(S) } S[x] ==> v4._S_oper__EXPRInv(S)[v4.oper__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.INT_ADD(x, 0));
-
-axiom (forall x: int :: { v4.oper__EXPRInv(x) } v4.oper__EXPRInv(x) == v4.INT_SUB(x, 0));
-
-axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.PLUS(x, 1, 0));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPR(S)[x] } v4._S_result__EXPR(S)[x] <==> S[v4.result__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPRInv(S)[x] } v4._S_result__EXPRInv(S)[x] <==> S[v4.result__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPR(S) } S[x] ==> v4._S_result__EXPR(S)[v4.result__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPRInv(S) } S[x] ==> v4._S_result__EXPRInv(S)[v4.result__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.INT_ADD(x, 12));
-
-axiom (forall x: int :: { v4.result__EXPRInv(x) } v4.result__EXPRInv(x) == v4.INT_SUB(x, 12));
-
-axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.PLUS(x, 1, 12));
-
-axiom (forall x: int, y: int :: { v4.INT_EQ(x, y): bool } v4.INT_EQ(x, y): bool <==> x == y);
-
-axiom (forall x: int, y: int :: { v4.INT_NEQ(x, y): bool } v4.INT_NEQ(x, y): bool <==> x != y);
-
-axiom (forall x: int, y: int :: { v4.INT_ADD(x, y): int } v4.INT_ADD(x, y): int == x + y);
-
-axiom (forall x: int, y: int :: { v4.INT_SUB(x, y): int } v4.INT_SUB(x, y): int == x - y);
-
-axiom (forall x: int, y: int :: { v4.INT_MULT(x, y): int } v4.INT_MULT(x, y): int == x * y);
-
-axiom (forall x: int, y: int :: { v4.INT_DIV(x, y): int } v4.INT_DIV(x, y): int == x div y);
-
-axiom (forall x: int, y: int :: { v4.INT_LT(x, y): bool } v4.INT_LT(x, y): bool <==> x < y);
-
-axiom (forall x: int, y: int :: { v4.INT_ULT(x, y): bool } v4.INT_ULT(x, y): bool <==> x < y);
-
-axiom (forall x: int, y: int :: { v4.INT_LEQ(x, y): bool } v4.INT_LEQ(x, y): bool <==> x <= y);
-
-axiom (forall x: int, y: int :: { v4.INT_ULEQ(x, y): bool } v4.INT_ULEQ(x, y): bool <==> x <= y);
-
-axiom (forall x: int, y: int :: { v4.INT_GT(x, y): bool } v4.INT_GT(x, y): bool <==> x > y);
-
-axiom (forall x: int, y: int :: { v4.INT_UGT(x, y): bool } v4.INT_UGT(x, y): bool <==> x > y);
-
-axiom (forall x: int, y: int :: { v4.INT_GEQ(x, y): bool } v4.INT_GEQ(x, y): bool <==> x >= y);
-
-axiom (forall x: int, y: int :: { v4.INT_UGEQ(x, y): bool } v4.INT_UGEQ(x, y): bool <==> x >= y);
-
-axiom (forall x: bv32, y: bv32 :: { v4.BV32_EQ(x, y): bool } v4.BV32_EQ(x, y): bool <==> x == y);
-
-axiom (forall x: bv32, y: bv32 :: { v4.BV32_NEQ(x, y): bool } v4.BV32_NEQ(x, y): bool <==> x != y);
-
-axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.INT_LEQ(v4.INT_MULT(size, v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size)), v4.INT_SUB(a, b)) && v4.INT_LT(v4.INT_SUB(a, b), v4.INT_MULT(size, v4.INT_ADD(v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size), 1))));
-
-axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, 1) == v4.INT_SUB(a, b));
-
-axiom (forall a: int, a_size: int, b: int :: { v4.MINUS_LEFT_PTR(a, a_size, b) } v4.MINUS_LEFT_PTR(a, a_size, b) == v4.INT_SUB(a, v4.INT_MULT(a_size, b)));
-
-axiom (forall a: int, a_size: int, b: int :: { v4.PLUS(a, a_size, b) } v4.PLUS(a, a_size, b) == v4.INT_ADD(a, v4.INT_MULT(a_size, b)));
-
-axiom (forall a: int, b: int :: { v4.MULT(a, b) } v4.MULT(a, b) == v4.INT_MULT(a, b));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b > 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) + 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b < 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) - 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b > 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) - 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b < 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) + 1));
-
-axiom v4.POW2(1);
-
-axiom v4.POW2(2);
-
-axiom v4.POW2(4);
-
-axiom v4.POW2(8);
-
-axiom v4.POW2(16);
-
-axiom v4.POW2(32);
-
-axiom v4.POW2(64);
-
-axiom v4.POW2(128);
-
-axiom v4.POW2(256);
-
-axiom v4.POW2(512);
-
-axiom v4.POW2(1024);
-
-axiom v4.POW2(2048);
-
-axiom v4.POW2(4096);
-
-axiom v4.POW2(8192);
-
-axiom v4.POW2(16384);
-
-axiom v4.POW2(32768);
-
-axiom v4.POW2(65536);
-
-axiom v4.POW2(131072);
-
-axiom v4.POW2(262144);
-
-axiom v4.POW2(524288);
-
-axiom v4.POW2(1048576);
-
-axiom v4.POW2(2097152);
-
-axiom v4.POW2(4194304);
-
-axiom v4.POW2(8388608);
-
-axiom v4.POW2(16777216);
-
-axiom v4.POW2(33554432);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == b ==> v4.BIT_BAND(a, b) == a);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } v4.POW2(a) && v4.POW2(b) && a != b ==> v4.BIT_BAND(a, b) == 0);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == 0 || b == 0 ==> v4.BIT_BAND(a, b) == 0);
-
-axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } a ==> v4.choose(a, b, c) == b);
-
-axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } !a ==> v4.choose(a, b, c) == c);
-
-axiom (forall a: bool :: { v4.LIFT(a) } a <==> v4.LIFT(a) != 0);
-
-axiom (forall a: int :: { v4.PTR_NOT(a) } a == 0 ==> v4.PTR_NOT(a) != 0);
-
-axiom (forall a: int :: { v4.PTR_NOT(a) } a != 0 ==> v4.PTR_NOT(a) == 0);
-
-axiom (forall a: int :: { v4.NULL_CHECK(a) } a == 0 ==> v4.NULL_CHECK(a) != 0);
-
-axiom (forall a: int :: { v4.NULL_CHECK(a) } a != 0 ==> v4.NULL_CHECK(a) == 0);
-
-axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x)[y] } v4.AtLeast(n, x)[y] ==> v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y));
-
-axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x), v4.Rep(n, x), v4.Rep(n, y) } v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y) ==> v4.AtLeast(n, x)[y]);
-
-axiom (forall n: int, x: int :: { v4.AtLeast(n, x) } v4.AtLeast(n, x)[x]);
-
-axiom (forall n: int, x: int, z: int :: { v4.PLUS(x, n, z) } v4.Rep(n, x) == v4.Rep(n, v4.PLUS(x, n, z)));
-
-axiom (forall n: int, x: int :: { v4.Rep(n, x) } (exists k: int :: v4.INT_SUB(v4.Rep(n, x), x) == v4.INT_MULT(n, k)));
-
-axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_LEQ(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Empty()));
-
-axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_GT(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Difference(v4.AtLeast(n, x), v4.AtLeast(n, v4.PLUS(x, n, z)))));
-
-axiom (forall x: int :: !v4.Empty()[x]);
-
-axiom (forall x: int :: v4.SetTrue()[x]);
-
-axiom (forall x: int, y: int :: { v4.Singleton(y)[x] } v4.Singleton(y)[x] <==> x == y);
-
-axiom (forall y: int :: { v4.Singleton(y) } v4.Singleton(y)[y]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Union(S, T)[x] } { v4.Union(S, T), S[x] } { v4.Union(S, T), T[x] } v4.Union(S, T)[x] <==> S[x] || T[x]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Intersection(S, T)[x] } { v4.Intersection(S, T), S[x] } { v4.Intersection(S, T), T[x] } v4.Intersection(S, T)[x] <==> S[x] && T[x]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Difference(S, T)[x] } { v4.Difference(S, T), S[x] } { v4.Difference(S, T), T[x] } v4.Difference(S, T)[x] <==> S[x] && !T[x]);
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Equal(S, T) } v4.Equal(S, T) <==> v4.Subset(S, T) && v4.Subset(T, S));
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Subset(S, T) } { T[x], v4.Subset(S, T) } S[x] && v4.Subset(S, T) ==> T[x]);
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Subset(S, T) } v4.Subset(S, T) || (exists x: int :: S[x] && !T[x]));
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Disjoint(S, T) } { T[x], v4.Disjoint(S, T) } !(S[x] && v4.Disjoint(S, T) && T[x]));
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Disjoint(S, T) } v4.Disjoint(S, T) || (exists x: int :: S[x] && T[x]));
-
-axiom (forall f: [int]int, x: int :: { v4.Inverse(f, f[x]) } v4.Inverse(f, f[x])[x]);
-
-axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f, y), f[x] } v4.Inverse(f, y)[x] ==> f[x] == y);
-
-axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f[x := y], y) } v4.Equal(v4.Inverse(f[x := y], y), v4.Union(v4.Inverse(f, y), v4.Singleton(x))));
-
-axiom (forall f: [int]int, x: int, y: int, z: int :: { v4.Inverse(f[x := y], z) } y == z || v4.Equal(v4.Inverse(f[x := y], z), v4.Difference(v4.Inverse(f, z), v4.Singleton(x))));
-
-axiom (forall x: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M)[x] } v4.Dereference(S, M)[x] ==> (exists y: int :: x == M[y] && S[y]));
-
-axiom (forall x: int, S: [int]bool, M: [int]int :: { M[x], S[x], v4.Dereference(S, M) } S[x] ==> v4.Dereference(S, M)[M[x]]);
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } !S[x] ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Dereference(S, M)));
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Difference(v4.Dereference(S, M), v4.Singleton(M[x])), v4.Singleton(y))));
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && !v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Dereference(S, M), v4.Singleton(y))));
-
-axiom (forall M: [name][int]int, x: int :: { v4.Unified(M)[x] } v4.Unified(M)[x] == M[v4.Field(x)][x]);
-
-axiom (forall M: [name][int]int, x: int, y: int :: { v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) } v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) == v4.Unified(M)[x := y]);
-
-procedure v4.havoc_assert(i: int);
-
-
-
-procedure v4.havoc_assume(i: int);
-
-
-
-procedure v4.__HAVOC_free(a: int);
-
-
-
-procedure v4.__HAVOC_malloc(obj_size: int) returns (new: int);
- free ensures new == _uf_v4.__HAVOC_malloc_new(obj_size);
-
-
-
-procedure v4.__HAVOC_det_malloc(obj_size: int) returns (new: int);
- free ensures new == _uf_v4.__HAVOC_det_malloc_new(obj_size);
-
-
-
-procedure v4.__HAVOC_memset_split_1(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_1_ret(A, p, c, n);
-
-
-
-procedure v4.__HAVOC_memset_split_2(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_2_ret(A, p, c, n);
-
-
-
-procedure v4.__HAVOC_memset_split_4(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_4_ret(A, p, c, n);
-
-
-
-procedure v4.nondet_choice() returns (x: int);
- free ensures x == _uf_v4.nondet_choice_x();
-
-
-
-procedure v4.det_choice() returns (x: int);
- free ensures x == _uf_v4.det_choice_x();
-
-
-
-procedure v4._strdup(str: int) returns (new: int);
- free ensures new == _uf_v4._strdup_new(str);
-
-
-
-procedure v4._xstrcasecmp(a0: int, a1: int) returns (ret: int);
- free ensures ret == _uf_v4._xstrcasecmp_ret(a0, a1);
-
-
-
-procedure v4._xstrcmp(a0: int, a1: int) returns (ret: int);
- free ensures ret == _uf_v4._xstrcmp_ret(a0, a1);
-
-
-
-procedure v4.UnsignedAdd(a0: int, a1: int) returns (ret: int);
-
-
-
-procedure v4.UnsignedSub(a0: int, a1: int) returns (ret: int);
-
-
-
-procedure {:inline 1} v4.Eval(e_.1: int);
- modifies v4.Mem_T.result__EXPR;
- free ensures v4.Mem_T.result__EXPR == _uf_v4.Eval_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
-
-
-
-procedure v4.EvalEntry1(e_.1: int, outval_.1: int);
- modifies v4.isUnsigned, v4.Mem_T.result__EXPR, v4.Mem_T.INT4;
- free ensures v4.isUnsigned == _uf_v4.EvalEntry1_v4.isUnsigned(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
- free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
- free ensures v4.Mem_T.INT4 == _uf_v4.EvalEntry1_v4.Mem_T.INT4(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
-
-
-
-procedure v4.EvalEntry2(e_.1: int);
- modifies v4.isUnsigned, v4.Mem_T.result__EXPR;
- free ensures v4.isUnsigned == _uf_v4.EvalEntry2_v4.isUnsigned(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
- free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
-
-
-
-procedure v4.__havoc_heapglobal_init();
-
-
-
-implementation {:inline 1} v4.Eval(e_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var a1: int;
- var a2: int;
- var e: int;
- var op: int;
- var res: int;
- var result.UnsignedAdd$1: int;
- var result.UnsignedSub$2: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- a1 := 0;
- a2 := 0;
- e := 0;
- op := 0;
- res := 0;
- result.UnsignedAdd$1 := 0;
- result.UnsignedSub$2 := 0;
- e := e_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto label_4#2;
-
- label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto label_5#2;
-
- label_5#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto label_6#2;
-
- label_6#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto label_7#2;
-
- label_7#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 21} true;
- assert true;
- op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)];
- assume v4.value_is(v4.__ctobpl_const_1, op);
- assume v4.value_is(v4.__ctobpl_const_2, e);
- assume v4.value_is(v4.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)]);
- goto label_8#2;
-
- label_8#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 22} true;
- assert true;
- a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)];
- assume v4.value_is(v4.__ctobpl_const_4, a1);
- assume v4.value_is(v4.__ctobpl_const_5, e);
- assume v4.value_is(v4.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)]);
- goto label_9#2;
-
- label_9#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 23} true;
- assert true;
- a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)];
- assume v4.value_is(v4.__ctobpl_const_7, a2);
- assume v4.value_is(v4.__ctobpl_const_8, e);
- assume v4.value_is(v4.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)]);
- goto label_10#2;
-
- label_10#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 24} true;
- res := 0 - 1;
- assume v4.value_is(v4.__ctobpl_const_10, res);
- goto label_11#2;
-
- label_11#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 26} true;
- goto label_11_case_0#2, label_11_case_1#2, label_11_case_2#2;
-
- label_11_case_2#2:
- assume v4.INT_EQ(op, 2);
- assume v4.value_is(v4.__ctobpl_const_11, op);
- goto label_14#2;
-
- label_14#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 35} true;
- goto label_14_true#2, label_14_false#2;
-
- label_14_false#2:
- assume v4.isUnsigned == 0;
- assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
- goto label_15#2;
-
- label_15#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 38} true;
- res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(a1, a2, 1);
- assume v4.value_is(v4.__ctobpl_const_17, res);
- assume v4.value_is(v4.__ctobpl_const_18, a1);
- assume v4.value_is(v4.__ctobpl_const_19, a2);
- goto label_12#2;
-
- label_14_true#2:
- assume v4.isUnsigned != 0;
- assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
- goto label_16#2;
-
- label_16#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
- call result.UnsignedSub$2 := v4.UnsignedSub(a1, a2);
- assume v4.value_is(v4.__ctobpl_const_20, a1);
- assume v4.value_is(v4.__ctobpl_const_21, a2);
- assume v4.value_is(v4.__ctobpl_const_22, a1);
- assume v4.value_is(v4.__ctobpl_const_23, a2);
- goto label_19#2;
-
- label_19#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
- res := result.UnsignedSub$2;
- assume v4.value_is(v4.__ctobpl_const_24, res);
- assume v4.value_is(v4.__ctobpl_const_25, result.UnsignedSub$2);
- goto label_12#2;
-
- label_11_case_1#2:
- assume v4.INT_EQ(op, 1);
- assume v4.value_is(v4.__ctobpl_const_11, op);
- goto label_13#2;
-
- label_13#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 29} true;
- goto label_13_true#2, label_13_false#2;
-
- label_13_false#2:
- assume v4.isUnsigned == 0;
- assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
- goto label_20#2;
-
- label_20#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 32} true;
- res := v4.PLUS(a1, 1, a2);
- assume v4.value_is(v4.__ctobpl_const_26, res);
- assume v4.value_is(v4.__ctobpl_const_27, a1);
- assume v4.value_is(v4.__ctobpl_const_28, a2);
- goto label_12#2;
-
- label_13_true#2:
- assume v4.isUnsigned != 0;
- assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
- goto label_21#2;
-
- label_21#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
- call result.UnsignedAdd$1 := v4.UnsignedAdd(a1, a2);
- assume v4.value_is(v4.__ctobpl_const_29, a1);
- assume v4.value_is(v4.__ctobpl_const_30, a2);
- assume v4.value_is(v4.__ctobpl_const_31, a1);
- assume v4.value_is(v4.__ctobpl_const_32, a2);
- goto label_24#2;
-
- label_24#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
- res := result.UnsignedAdd$1;
- assume v4.value_is(v4.__ctobpl_const_33, res);
- assume v4.value_is(v4.__ctobpl_const_34, result.UnsignedAdd$1);
- goto label_12#2;
-
- label_11_case_0#2:
- assume v4.INT_NEQ(op, 1);
- assume v4.INT_NEQ(op, 2);
- assume v4.value_is(v4.__ctobpl_const_11, op);
- goto label_12#2;
-
- label_12#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 41} true;
- assert true;
- v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(e) := res];
- assume v4.value_is(v4.__ctobpl_const_12, e);
- assume v4.value_is(v4.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
- assume v4.value_is(v4.__ctobpl_const_14, res);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 42} true;
- return;
-}
-
-
-
-implementation v4.EvalEntry1(e_.1: int, outval_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var e: int;
- var outval: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- assume v4.INT_LT(outval_.1, v4.alloc);
- e := 0;
- outval := 0;
- e := e_.1;
- outval := outval_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 47} true;
- v4.isUnsigned := 1;
- assume v4.value_is(v4.__ctobpl_const_35, v4.isUnsigned);
- goto label_4#2;
-
- label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 48} true;
- call v4.Eval(e);
- assume v4.value_is(v4.__ctobpl_const_36, e);
- assume v4.value_is(v4.__ctobpl_const_37, e);
- goto label_7#2;
-
- label_7#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 49} true;
- assert true;
- assert true;
- v4.Mem_T.INT4 := v4.Mem_T.INT4[outval := v4.Mem_T.result__EXPR[v4.result__EXPR(e)]];
- assume v4.value_is(v4.__ctobpl_const_38, outval);
- assume v4.value_is(v4.__ctobpl_const_39, v4.Mem_T.INT4[outval]);
- assume v4.value_is(v4.__ctobpl_const_40, e);
- assume v4.value_is(v4.__ctobpl_const_41, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 50} true;
- return;
-}
-
-
-
-implementation v4.EvalEntry2(e_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var e: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- e := 0;
- e := e_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 54} true;
- v4.isUnsigned := 0;
- assume v4.value_is(v4.__ctobpl_const_42, v4.isUnsigned);
- goto label_4#2;
-
- label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 55} true;
- call v4.Eval(e);
- assume v4.value_is(v4.__ctobpl_const_43, e);
- assume v4.value_is(v4.__ctobpl_const_44, e);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 56} true;
- return;
-}
-
-
-
-implementation v4.__havoc_heapglobal_init()
-{
-
- anon0#2:
- return;
-}
-
-
-
-var v2.Mem: [name][int]int;
-
-var v2.alloc: int;
-
-var v2.Mem_T.INT4: [int]int;
-
-var v2.Mem_T.op1__EXPR: [int]int;
-
-var v2.Mem_T.op2__EXPR: [int]int;
-
-var v2.Mem_T.oper__EXPR: [int]int;
-
-var v2.Mem_T.result__EXPR: [int]int;
-
-var v2.detChoiceCnt: int;
-
-var v2.Res_KERNEL_SOURCE: [int]int;
-
-var v2.Res_PROBED: [int]int;
-
-const unique v2.T.oper__EXPR: name;
-
-const unique v2.T.op1__EXPR: name;
-
-const unique v2.T.op2__EXPR: name;
-
-const unique v2.T.result__EXPR: name;
-
-const unique v2.T.INT4: name;
-
-const unique v2.T.PINT4: name;
-
-const unique v2.T.PPINT4: name;
-
-const unique v2.T.PP_EXPR: name;
-
-const unique v2.T.P_EXPR: name;
-
-const unique v2.T._EXPR: name;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_7: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_8: int;
-
-const {:model_const "e->oper"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_3: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_4: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_5: int;
-
-const {:model_const "e->op1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_6: int;
-
-const {:model_const "e->op2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_9: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 22} unique v2.__ctobpl_const_10: int;
-
-const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 24} unique v2.__ctobpl_const_11: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_12: int;
-
-const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_13: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_14: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_15: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_16: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_17: int;
-
-const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_18: int;
-
-const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_19: int;
-
-const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_20: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 40} unique v2.__ctobpl_const_21: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 40} unique v2.__ctobpl_const_22: int;
-
-const {:model_const "outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_23: int;
-
-const {:model_const "*outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_24: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_25: int;
-
-const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_26: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 46} unique v2.__ctobpl_const_27: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 46} unique v2.__ctobpl_const_28: int;
-
-const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_1: int;
-
-const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_2: int;
-
-axiom (forall b0: byte, c0: byte :: { v4.OneByteToInt(b0), v4.OneByteToInt(c0) } v4.OneByteToInt(b0) == v4.OneByteToInt(c0) ==> b0 == c0);
-
-axiom (forall b0: byte, b1: byte, c0: byte, c1: byte :: { v4.TwoBytesToInt(b0, b1), v4.TwoBytesToInt(c0, c1) } v4.TwoBytesToInt(b0, b1) == v4.TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-
-axiom (forall b0: byte, b1: byte, b2: byte, b3: byte, c0: byte, c1: byte, c2: byte, c3: byte :: { v4.FourBytesToInt(b0, b1, b2, b3), v4.FourBytesToInt(c0, c1, c2, c3) } v4.FourBytesToInt(b0, b1, b2, b3) == v4.FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-axiom (forall x: int :: { v4.Base(x) } v4.INT_LEQ(v4.Base(x), x));
-
-axiom (forall a: int, t: name :: { v4.Match(a, v4.T.Ptr(t)) } v4.Match(a, v4.T.Ptr(t)) <==> v4.Field(a) == v4.T.Ptr(t));
-
-axiom (forall b: int, a: int, t: name :: { v4.MatchBase(b, a, v4.T.Ptr(t)) } v4.MatchBase(b, a, v4.T.Ptr(t)) <==> v4.Base(a) == b);
-
-axiom (forall v: int, t: name :: { v4.HasType(v, v4.T.Ptr(t)) } v4.HasType(v, v4.T.Ptr(t)) <==> v == 0 || (v4.INT_GT(v, 0) && v4.Match(v, t) && v4.MatchBase(v4.Base(v), v, t)));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPR(S)[x] } v4._S_op1__EXPR(S)[x] <==> S[v4.op1__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPRInv(S)[x] } v4._S_op1__EXPRInv(S)[x] <==> S[v4.op1__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPR(S) } S[x] ==> v4._S_op1__EXPR(S)[v4.op1__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPRInv(S) } S[x] ==> v4._S_op1__EXPRInv(S)[v4.op1__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.INT_ADD(x, 4));
-
-axiom (forall x: int :: { v4.op1__EXPRInv(x) } v4.op1__EXPRInv(x) == v4.INT_SUB(x, 4));
-
-axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.PLUS(x, 1, 4));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPR(S)[x] } v4._S_op2__EXPR(S)[x] <==> S[v4.op2__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPRInv(S)[x] } v4._S_op2__EXPRInv(S)[x] <==> S[v4.op2__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPR(S) } S[x] ==> v4._S_op2__EXPR(S)[v4.op2__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPRInv(S) } S[x] ==> v4._S_op2__EXPRInv(S)[v4.op2__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.INT_ADD(x, 8));
-
-axiom (forall x: int :: { v4.op2__EXPRInv(x) } v4.op2__EXPRInv(x) == v4.INT_SUB(x, 8));
-
-axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.PLUS(x, 1, 8));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPR(S)[x] } v4._S_oper__EXPR(S)[x] <==> S[v4.oper__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPRInv(S)[x] } v4._S_oper__EXPRInv(S)[x] <==> S[v4.oper__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPR(S) } S[x] ==> v4._S_oper__EXPR(S)[v4.oper__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPRInv(S) } S[x] ==> v4._S_oper__EXPRInv(S)[v4.oper__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.INT_ADD(x, 0));
-
-axiom (forall x: int :: { v4.oper__EXPRInv(x) } v4.oper__EXPRInv(x) == v4.INT_SUB(x, 0));
-
-axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.PLUS(x, 1, 0));
-
-axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPR(S)[x] } v4._S_result__EXPR(S)[x] <==> S[v4.result__EXPRInv(x)]);
-
-axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPRInv(S)[x] } v4._S_result__EXPRInv(S)[x] <==> S[v4.result__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPR(S) } S[x] ==> v4._S_result__EXPR(S)[v4.result__EXPR(x)]);
-
-axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPRInv(S) } S[x] ==> v4._S_result__EXPRInv(S)[v4.result__EXPRInv(x)]);
-
-axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.INT_ADD(x, 12));
-
-axiom (forall x: int :: { v4.result__EXPRInv(x) } v4.result__EXPRInv(x) == v4.INT_SUB(x, 12));
-
-axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.PLUS(x, 1, 12));
-
-axiom (forall x: int, y: int :: { v4.INT_EQ(x, y): bool } v4.INT_EQ(x, y): bool <==> x == y);
-
-axiom (forall x: int, y: int :: { v4.INT_NEQ(x, y): bool } v4.INT_NEQ(x, y): bool <==> x != y);
-
-axiom (forall x: int, y: int :: { v4.INT_ADD(x, y): int } v4.INT_ADD(x, y): int == x + y);
-
-axiom (forall x: int, y: int :: { v4.INT_SUB(x, y): int } v4.INT_SUB(x, y): int == x - y);
-
-axiom (forall x: int, y: int :: { v4.INT_MULT(x, y): int } v4.INT_MULT(x, y): int == x * y);
-
-axiom (forall x: int, y: int :: { v4.INT_DIV(x, y): int } v4.INT_DIV(x, y): int == x div y);
-
-axiom (forall x: int, y: int :: { v4.INT_LT(x, y): bool } v4.INT_LT(x, y): bool <==> x < y);
-
-axiom (forall x: int, y: int :: { v4.INT_ULT(x, y): bool } v4.INT_ULT(x, y): bool <==> x < y);
-
-axiom (forall x: int, y: int :: { v4.INT_LEQ(x, y): bool } v4.INT_LEQ(x, y): bool <==> x <= y);
-
-axiom (forall x: int, y: int :: { v4.INT_ULEQ(x, y): bool } v4.INT_ULEQ(x, y): bool <==> x <= y);
-
-axiom (forall x: int, y: int :: { v4.INT_GT(x, y): bool } v4.INT_GT(x, y): bool <==> x > y);
-
-axiom (forall x: int, y: int :: { v4.INT_UGT(x, y): bool } v4.INT_UGT(x, y): bool <==> x > y);
-
-axiom (forall x: int, y: int :: { v4.INT_GEQ(x, y): bool } v4.INT_GEQ(x, y): bool <==> x >= y);
-
-axiom (forall x: int, y: int :: { v4.INT_UGEQ(x, y): bool } v4.INT_UGEQ(x, y): bool <==> x >= y);
-
-axiom (forall x: bv32, y: bv32 :: { v4.BV32_EQ(x, y): bool } v4.BV32_EQ(x, y): bool <==> x == y);
-
-axiom (forall x: bv32, y: bv32 :: { v4.BV32_NEQ(x, y): bool } v4.BV32_NEQ(x, y): bool <==> x != y);
-
-axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.INT_LEQ(v4.INT_MULT(size, v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size)), v4.INT_SUB(a, b)) && v4.INT_LT(v4.INT_SUB(a, b), v4.INT_MULT(size, v4.INT_ADD(v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size), 1))));
-
-axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, 1) == v4.INT_SUB(a, b));
-
-axiom (forall a: int, a_size: int, b: int :: { v4.MINUS_LEFT_PTR(a, a_size, b) } v4.MINUS_LEFT_PTR(a, a_size, b) == v4.INT_SUB(a, v4.INT_MULT(a_size, b)));
-
-axiom (forall a: int, a_size: int, b: int :: { v4.PLUS(a, a_size, b) } v4.PLUS(a, a_size, b) == v4.INT_ADD(a, v4.INT_MULT(a_size, b)));
-
-axiom (forall a: int, b: int :: { v4.MULT(a, b) } v4.MULT(a, b) == v4.INT_MULT(a, b));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b > 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) + 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b < 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) - 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b > 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) - 1));
-
-axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b < 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) + 1));
-
-axiom v4.POW2(1);
-
-axiom v4.POW2(2);
-
-axiom v4.POW2(4);
-
-axiom v4.POW2(8);
-
-axiom v4.POW2(16);
-
-axiom v4.POW2(32);
-
-axiom v4.POW2(64);
-
-axiom v4.POW2(128);
-
-axiom v4.POW2(256);
-
-axiom v4.POW2(512);
-
-axiom v4.POW2(1024);
-
-axiom v4.POW2(2048);
-
-axiom v4.POW2(4096);
-
-axiom v4.POW2(8192);
-
-axiom v4.POW2(16384);
-
-axiom v4.POW2(32768);
-
-axiom v4.POW2(65536);
-
-axiom v4.POW2(131072);
-
-axiom v4.POW2(262144);
-
-axiom v4.POW2(524288);
-
-axiom v4.POW2(1048576);
-
-axiom v4.POW2(2097152);
-
-axiom v4.POW2(4194304);
-
-axiom v4.POW2(8388608);
-
-axiom v4.POW2(16777216);
-
-axiom v4.POW2(33554432);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == b ==> v4.BIT_BAND(a, b) == a);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } v4.POW2(a) && v4.POW2(b) && a != b ==> v4.BIT_BAND(a, b) == 0);
-
-axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == 0 || b == 0 ==> v4.BIT_BAND(a, b) == 0);
-
-axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } a ==> v4.choose(a, b, c) == b);
-
-axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } !a ==> v4.choose(a, b, c) == c);
-
-axiom (forall a: bool :: { v4.LIFT(a) } a <==> v4.LIFT(a) != 0);
-
-axiom (forall a: int :: { v4.PTR_NOT(a) } a == 0 ==> v4.PTR_NOT(a) != 0);
-
-axiom (forall a: int :: { v4.PTR_NOT(a) } a != 0 ==> v4.PTR_NOT(a) == 0);
-
-axiom (forall a: int :: { v4.NULL_CHECK(a) } a == 0 ==> v4.NULL_CHECK(a) != 0);
-
-axiom (forall a: int :: { v4.NULL_CHECK(a) } a != 0 ==> v4.NULL_CHECK(a) == 0);
-
-axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x)[y] } v4.AtLeast(n, x)[y] ==> v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y));
-
-axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x), v4.Rep(n, x), v4.Rep(n, y) } v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y) ==> v4.AtLeast(n, x)[y]);
-
-axiom (forall n: int, x: int :: { v4.AtLeast(n, x) } v4.AtLeast(n, x)[x]);
-
-axiom (forall n: int, x: int, z: int :: { v4.PLUS(x, n, z) } v4.Rep(n, x) == v4.Rep(n, v4.PLUS(x, n, z)));
-
-axiom (forall n: int, x: int :: { v4.Rep(n, x) } (exists k: int :: v4.INT_SUB(v4.Rep(n, x), x) == v4.INT_MULT(n, k)));
-
-axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_LEQ(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Empty()));
-
-axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_GT(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Difference(v4.AtLeast(n, x), v4.AtLeast(n, v4.PLUS(x, n, z)))));
-
-axiom (forall x: int :: !v4.Empty()[x]);
-
-axiom (forall x: int :: v4.SetTrue()[x]);
-
-axiom (forall x: int, y: int :: { v4.Singleton(y)[x] } v4.Singleton(y)[x] <==> x == y);
-
-axiom (forall y: int :: { v4.Singleton(y) } v4.Singleton(y)[y]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Union(S, T)[x] } { v4.Union(S, T), S[x] } { v4.Union(S, T), T[x] } v4.Union(S, T)[x] <==> S[x] || T[x]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Intersection(S, T)[x] } { v4.Intersection(S, T), S[x] } { v4.Intersection(S, T), T[x] } v4.Intersection(S, T)[x] <==> S[x] && T[x]);
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Difference(S, T)[x] } { v4.Difference(S, T), S[x] } { v4.Difference(S, T), T[x] } v4.Difference(S, T)[x] <==> S[x] && !T[x]);
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Equal(S, T) } v4.Equal(S, T) <==> v4.Subset(S, T) && v4.Subset(T, S));
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Subset(S, T) } { T[x], v4.Subset(S, T) } S[x] && v4.Subset(S, T) ==> T[x]);
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Subset(S, T) } v4.Subset(S, T) || (exists x: int :: S[x] && !T[x]));
-
-axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Disjoint(S, T) } { T[x], v4.Disjoint(S, T) } !(S[x] && v4.Disjoint(S, T) && T[x]));
-
-axiom (forall S: [int]bool, T: [int]bool :: { v4.Disjoint(S, T) } v4.Disjoint(S, T) || (exists x: int :: S[x] && T[x]));
-
-axiom (forall f: [int]int, x: int :: { v4.Inverse(f, f[x]) } v4.Inverse(f, f[x])[x]);
-
-axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f, y), f[x] } v4.Inverse(f, y)[x] ==> f[x] == y);
-
-axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f[x := y], y) } v4.Equal(v4.Inverse(f[x := y], y), v4.Union(v4.Inverse(f, y), v4.Singleton(x))));
-
-axiom (forall f: [int]int, x: int, y: int, z: int :: { v4.Inverse(f[x := y], z) } y == z || v4.Equal(v4.Inverse(f[x := y], z), v4.Difference(v4.Inverse(f, z), v4.Singleton(x))));
-
-axiom (forall x: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M)[x] } v4.Dereference(S, M)[x] ==> (exists y: int :: x == M[y] && S[y]));
-
-axiom (forall x: int, S: [int]bool, M: [int]int :: { M[x], S[x], v4.Dereference(S, M) } S[x] ==> v4.Dereference(S, M)[M[x]]);
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } !S[x] ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Dereference(S, M)));
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Difference(v4.Dereference(S, M), v4.Singleton(M[x])), v4.Singleton(y))));
-
-axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && !v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Dereference(S, M), v4.Singleton(y))));
-
-axiom (forall M: [name][int]int, x: int :: { v4.Unified(M)[x] } v4.Unified(M)[x] == M[v4.Field(x)][x]);
-
-axiom (forall M: [name][int]int, x: int, y: int :: { v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) } v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) == v4.Unified(M)[x := y]);
-
-procedure v2.havoc_assert(i: int);
-
-
-
-procedure v2.havoc_assume(i: int);
-
-
-
-procedure v2.__HAVOC_free(a: int);
-
-
-
-procedure v2.__HAVOC_malloc(obj_size: int) returns (new: int);
- free ensures new == _uf_v4.__HAVOC_malloc_new(obj_size);
-
-
-
-procedure v2.__HAVOC_det_malloc(obj_size: int) returns (new: int);
- free ensures new == _uf_v4.__HAVOC_det_malloc_new(obj_size);
-
-
-
-procedure v2.__HAVOC_memset_split_1(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_1_ret(A, p, c, n);
-
-
-
-procedure v2.__HAVOC_memset_split_2(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_2_ret(A, p, c, n);
-
-
-
-procedure v2.__HAVOC_memset_split_4(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
- free ensures ret == _uf_v4.__HAVOC_memset_split_4_ret(A, p, c, n);
-
-
-
-procedure v2.nondet_choice() returns (x: int);
- free ensures x == _uf_v4.nondet_choice_x();
-
-
-
-procedure v2.det_choice() returns (x: int);
- free ensures x == _uf_v4.det_choice_x();
-
-
-
-procedure v2._strdup(str: int) returns (new: int);
- free ensures new == _uf_v4._strdup_new(str);
-
-
-
-procedure v2._xstrcasecmp(a0: int, a1: int) returns (ret: int);
- free ensures ret == _uf_v4._xstrcasecmp_ret(a0, a1);
-
-
-
-procedure v2._xstrcmp(a0: int, a1: int) returns (ret: int);
- free ensures ret == _uf_v4._xstrcmp_ret(a0, a1);
-
-
-
-procedure {:inline 1} v2.Eval(e_.1: int);
- modifies v4.Mem_T.result__EXPR;
- free ensures v4.Mem_T.result__EXPR == _uf_v4.Eval_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
-
-
-
-procedure v2.EvalEntry1(e_.1: int, outval_.1: int);
- modifies v4.Mem_T.result__EXPR, v4.Mem_T.INT4;
- free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
- free ensures v4.Mem_T.INT4 == _uf_v4.EvalEntry1_v4.Mem_T.INT4(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
-
-
-
-procedure v2.EvalEntry2(e_.1: int);
- modifies v4.Mem_T.result__EXPR;
- free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
-
-
-
-procedure v2.__havoc_heapglobal_init();
-
-
-
-implementation {:inline 1} v2.Eval(e_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var a1: int;
- var a2: int;
- var e: int;
- var op: int;
- var res: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- a1 := 0;
- a2 := 0;
- e := 0;
- op := 0;
- res := 0;
- e := e_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto label_4#2;
-
- label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto label_5#2;
-
- label_5#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto label_6#2;
-
- label_6#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto label_7#2;
-
- label_7#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 19} true;
- assert true;
- op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)];
- assume v4.value_is(v2.__ctobpl_const_1, op);
- assume v4.value_is(v2.__ctobpl_const_2, e);
- assume v4.value_is(v2.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)]);
- goto label_8#2;
-
- label_8#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 20} true;
- assert true;
- a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)];
- assume v4.value_is(v2.__ctobpl_const_4, a1);
- assume v4.value_is(v2.__ctobpl_const_5, e);
- assume v4.value_is(v2.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)]);
- goto label_9#2;
-
- label_9#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 21} true;
- assert true;
- a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)];
- assume v4.value_is(v2.__ctobpl_const_7, a2);
- assume v4.value_is(v2.__ctobpl_const_8, e);
- assume v4.value_is(v2.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)]);
- goto label_10#2;
-
- label_10#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 22} true;
- res := 0 - 1;
- assume v4.value_is(v2.__ctobpl_const_10, res);
- goto label_11#2;
-
- label_11#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 24} true;
- goto label_11_case_0#2, label_11_case_1#2, label_11_case_2#2;
-
- label_11_case_2#2:
- assume v4.INT_EQ(op, 2);
- assume v4.value_is(v2.__ctobpl_const_11, op);
- goto label_14#2;
-
- label_14#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 29} true;
- res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(a1, a2, 1);
- assume v4.value_is(v2.__ctobpl_const_18, res);
- assume v4.value_is(v2.__ctobpl_const_19, a1);
- assume v4.value_is(v2.__ctobpl_const_20, a2);
- goto label_12#2;
-
- label_11_case_1#2:
- assume v4.INT_EQ(op, 1);
- assume v4.value_is(v2.__ctobpl_const_11, op);
- goto label_13#2;
-
- label_13#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 27} true;
- res := v4.PLUS(a1, 1, a2);
- assume v4.value_is(v2.__ctobpl_const_15, res);
- assume v4.value_is(v2.__ctobpl_const_16, a1);
- assume v4.value_is(v2.__ctobpl_const_17, a2);
- goto label_12#2;
-
- label_11_case_0#2:
- assume v4.INT_NEQ(op, 1);
- assume v4.INT_NEQ(op, 2);
- assume v4.value_is(v2.__ctobpl_const_11, op);
- goto label_12#2;
-
- label_12#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 35} true;
- assert true;
- v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(e) := res];
- assume v4.value_is(v2.__ctobpl_const_12, e);
- assume v4.value_is(v2.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
- assume v4.value_is(v2.__ctobpl_const_14, res);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 36} true;
- return;
-}
-
-
-
-implementation v2.EvalEntry1(e_.1: int, outval_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var e: int;
- var outval: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- assume v4.INT_LT(outval_.1, v4.alloc);
- e := 0;
- outval := 0;
- e := e_.1;
- outval := outval_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 40} true;
- call v2.Eval(e);
- assume v4.value_is(v2.__ctobpl_const_21, e);
- assume v4.value_is(v2.__ctobpl_const_22, e);
- goto label_6#2;
-
- label_6#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 41} true;
- assert true;
- assert true;
- v4.Mem_T.INT4 := v4.Mem_T.INT4[outval := v4.Mem_T.result__EXPR[v4.result__EXPR(e)]];
- assume v4.value_is(v2.__ctobpl_const_23, outval);
- assume v4.value_is(v2.__ctobpl_const_24, v4.Mem_T.INT4[outval]);
- assume v4.value_is(v2.__ctobpl_const_25, e);
- assume v4.value_is(v2.__ctobpl_const_26, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 42} true;
- return;
-}
-
-
-
-implementation v2.EvalEntry2(e_.1: int)
-{
- var havoc_stringTemp: int;
- var condVal: int;
- var e: int;
- var tempBoogie0: int;
- var tempBoogie1: int;
- var tempBoogie2: int;
- var tempBoogie3: int;
- var tempBoogie4: int;
- var tempBoogie5: int;
- var tempBoogie6: int;
- var tempBoogie7: int;
- var tempBoogie8: int;
- var tempBoogie9: int;
- var tempBoogie10: int;
- var tempBoogie11: int;
- var tempBoogie12: int;
- var tempBoogie13: int;
- var tempBoogie14: int;
- var tempBoogie15: int;
- var tempBoogie16: int;
- var tempBoogie17: int;
- var tempBoogie18: int;
- var tempBoogie19: int;
- var __havoc_dummy_return: int;
-
- anon0#2:
- havoc_stringTemp := 0;
- goto start#2;
-
- start#2:
- assume v4.INT_LT(e_.1, v4.alloc);
- e := 0;
- e := e_.1;
- goto label_3#2;
-
- label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 46} true;
- call v2.Eval(e);
- assume v4.value_is(v2.__ctobpl_const_27, e);
- assume v4.value_is(v2.__ctobpl_const_28, e);
- goto label_1#2;
-
- label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 47} true;
- return;
-}
-
-
-
-implementation v2.__havoc_heapglobal_init()
-{
-
- anon0#2:
- return;
-}
-
-
-
-type name;
-
-type byte;
-
-var Output_of_v2.Eval_v4.Mem_T.result__EXPR: [int]int;
-
-var Output_of_v4.Eval_v4.Mem_T.result__EXPR: [int]int;
-
-procedure EQ_v2.Eval__v4.Eval(e_.1: int) returns (AA_TEMP30: bool);
- modifies v4.Mem_T.result__EXPR, Output_of_v2.Eval_v4.Mem_T.result__EXPR, Output_of_v4.Eval_v4.Mem_T.result__EXPR;
- ensures AA_TEMP30;
-
-
-
-implementation EQ_v2.Eval__v4.Eval(e_.1: int) returns (AA_TEMP30: bool)
-{
- var AA_TEMP10: [int]int;
- var AA_TEMP00: [int]int;
- var inline$v2.Eval$0$havoc_stringTemp: int;
- var inline$v2.Eval$0$condVal: int;
- var inline$v2.Eval$0$a1: int;
- var inline$v2.Eval$0$a2: int;
- var inline$v2.Eval$0$e: int;
- var inline$v2.Eval$0$op: int;
- var inline$v2.Eval$0$res: int;
- var inline$v2.Eval$0$tempBoogie0: int;
- var inline$v2.Eval$0$tempBoogie1: int;
- var inline$v2.Eval$0$tempBoogie2: int;
- var inline$v2.Eval$0$tempBoogie3: int;
- var inline$v2.Eval$0$tempBoogie4: int;
- var inline$v2.Eval$0$tempBoogie5: int;
- var inline$v2.Eval$0$tempBoogie6: int;
- var inline$v2.Eval$0$tempBoogie7: int;
- var inline$v2.Eval$0$tempBoogie8: int;
- var inline$v2.Eval$0$tempBoogie9: int;
- var inline$v2.Eval$0$tempBoogie10: int;
- var inline$v2.Eval$0$tempBoogie11: int;
- var inline$v2.Eval$0$tempBoogie12: int;
- var inline$v2.Eval$0$tempBoogie13: int;
- var inline$v2.Eval$0$tempBoogie14: int;
- var inline$v2.Eval$0$tempBoogie15: int;
- var inline$v2.Eval$0$tempBoogie16: int;
- var inline$v2.Eval$0$tempBoogie17: int;
- var inline$v2.Eval$0$tempBoogie18: int;
- var inline$v2.Eval$0$tempBoogie19: int;
- var inline$v2.Eval$0$__havoc_dummy_return: int;
- var inline$v2.Eval$0$e_.1: int;
- var inline$v2.Eval$0$v4.Mem_T.result__EXPR: [int]int;
- var inline$v4.Eval$0$havoc_stringTemp: int;
- var inline$v4.Eval$0$condVal: int;
- var inline$v4.Eval$0$a1: int;
- var inline$v4.Eval$0$a2: int;
- var inline$v4.Eval$0$e: int;
- var inline$v4.Eval$0$op: int;
- var inline$v4.Eval$0$res: int;
- var inline$v4.Eval$0$result.UnsignedAdd$1: int;
- var inline$v4.Eval$0$result.UnsignedSub$2: int;
- var inline$v4.Eval$0$tempBoogie0: int;
- var inline$v4.Eval$0$tempBoogie1: int;
- var inline$v4.Eval$0$tempBoogie2: int;
- var inline$v4.Eval$0$tempBoogie3: int;
- var inline$v4.Eval$0$tempBoogie4: int;
- var inline$v4.Eval$0$tempBoogie5: int;
- var inline$v4.Eval$0$tempBoogie6: int;
- var inline$v4.Eval$0$tempBoogie7: int;
- var inline$v4.Eval$0$tempBoogie8: int;
- var inline$v4.Eval$0$tempBoogie9: int;
- var inline$v4.Eval$0$tempBoogie10: int;
- var inline$v4.Eval$0$tempBoogie11: int;
- var inline$v4.Eval$0$tempBoogie12: int;
- var inline$v4.Eval$0$tempBoogie13: int;
- var inline$v4.Eval$0$tempBoogie14: int;
- var inline$v4.Eval$0$tempBoogie15: int;
- var inline$v4.Eval$0$tempBoogie16: int;
- var inline$v4.Eval$0$tempBoogie17: int;
- var inline$v4.Eval$0$tempBoogie18: int;
- var inline$v4.Eval$0$tempBoogie19: int;
- var inline$v4.Eval$0$__havoc_dummy_return: int;
- var inline$v4.Eval$0$e_.1: int;
- var inline$v4.Eval$0$v4.Mem_T.result__EXPR: [int]int;
-
- AA_INSTR_EQ_BODY:
- AA_TEMP00 := v4.Mem_T.result__EXPR;
- goto inline$v2.Eval$0$Entry;
-
- inline$v2.Eval$0$Entry:
- inline$v2.Eval$0$e_.1 := e_.1;
- inline$v2.Eval$0$v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
- goto inline$v2.Eval$0$anon0#2;
-
- inline$v2.Eval$0$anon0#2:
- inline$v2.Eval$0$havoc_stringTemp := 0;
- goto inline$v2.Eval$0$start#2;
-
- inline$v2.Eval$0$start#2:
- assume v4.INT_LT(inline$v2.Eval$0$e_.1, v4.alloc);
- inline$v2.Eval$0$a1 := 0;
- inline$v2.Eval$0$a2 := 0;
- inline$v2.Eval$0$e := 0;
- inline$v2.Eval$0$op := 0;
- inline$v2.Eval$0$res := 0;
- inline$v2.Eval$0$e := inline$v2.Eval$0$e_.1;
- goto inline$v2.Eval$0$label_3#2;
-
- inline$v2.Eval$0$label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto inline$v2.Eval$0$label_4#2;
-
- inline$v2.Eval$0$label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto inline$v2.Eval$0$label_5#2;
-
- inline$v2.Eval$0$label_5#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto inline$v2.Eval$0$label_6#2;
-
- inline$v2.Eval$0$label_6#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
- goto inline$v2.Eval$0$label_7#2;
-
- inline$v2.Eval$0$label_7#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 19} true;
- assert true;
- inline$v2.Eval$0$op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v2.Eval$0$e)];
- assume v4.value_is(v2.__ctobpl_const_1, inline$v2.Eval$0$op);
- assume v4.value_is(v2.__ctobpl_const_2, inline$v2.Eval$0$e);
- assume v4.value_is(v2.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v2.Eval$0$e)]);
- goto inline$v2.Eval$0$label_8#2;
-
- inline$v2.Eval$0$label_8#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 20} true;
- assert true;
- inline$v2.Eval$0$a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v2.Eval$0$e)];
- assume v4.value_is(v2.__ctobpl_const_4, inline$v2.Eval$0$a1);
- assume v4.value_is(v2.__ctobpl_const_5, inline$v2.Eval$0$e);
- assume v4.value_is(v2.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v2.Eval$0$e)]);
- goto inline$v2.Eval$0$label_9#2;
-
- inline$v2.Eval$0$label_9#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 21} true;
- assert true;
- inline$v2.Eval$0$a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v2.Eval$0$e)];
- assume v4.value_is(v2.__ctobpl_const_7, inline$v2.Eval$0$a2);
- assume v4.value_is(v2.__ctobpl_const_8, inline$v2.Eval$0$e);
- assume v4.value_is(v2.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v2.Eval$0$e)]);
- goto inline$v2.Eval$0$label_10#2;
-
- inline$v2.Eval$0$label_10#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 22} true;
- inline$v2.Eval$0$res := 0 - 1;
- assume v4.value_is(v2.__ctobpl_const_10, inline$v2.Eval$0$res);
- goto inline$v2.Eval$0$label_11#2;
-
- inline$v2.Eval$0$label_11#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 24} true;
- goto inline$v2.Eval$0$label_11_case_0#2, inline$v2.Eval$0$label_11_case_1#2, inline$v2.Eval$0$label_11_case_2#2;
-
- inline$v2.Eval$0$label_11_case_2#2:
- assume v4.INT_EQ(inline$v2.Eval$0$op, 2);
- assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
- goto inline$v2.Eval$0$label_14#2;
-
- inline$v2.Eval$0$label_14#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 29} true;
- inline$v2.Eval$0$res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(inline$v2.Eval$0$a1, inline$v2.Eval$0$a2, 1);
- assume v4.value_is(v2.__ctobpl_const_18, inline$v2.Eval$0$res);
- assume v4.value_is(v2.__ctobpl_const_19, inline$v2.Eval$0$a1);
- assume v4.value_is(v2.__ctobpl_const_20, inline$v2.Eval$0$a2);
- goto inline$v2.Eval$0$label_12#2;
-
- inline$v2.Eval$0$label_11_case_1#2:
- assume v4.INT_EQ(inline$v2.Eval$0$op, 1);
- assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
- goto inline$v2.Eval$0$label_13#2;
-
- inline$v2.Eval$0$label_13#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 27} true;
- inline$v2.Eval$0$res := v4.PLUS(inline$v2.Eval$0$a1, 1, inline$v2.Eval$0$a2);
- assume v4.value_is(v2.__ctobpl_const_15, inline$v2.Eval$0$res);
- assume v4.value_is(v2.__ctobpl_const_16, inline$v2.Eval$0$a1);
- assume v4.value_is(v2.__ctobpl_const_17, inline$v2.Eval$0$a2);
- goto inline$v2.Eval$0$label_12#2;
-
- inline$v2.Eval$0$label_11_case_0#2:
- assume v4.INT_NEQ(inline$v2.Eval$0$op, 1);
- assume v4.INT_NEQ(inline$v2.Eval$0$op, 2);
- assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
- goto inline$v2.Eval$0$label_12#2;
-
- inline$v2.Eval$0$label_12#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 35} true;
- assert true;
- v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v2.Eval$0$e) := inline$v2.Eval$0$res];
- assume v4.value_is(v2.__ctobpl_const_12, inline$v2.Eval$0$e);
- assume v4.value_is(v2.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v2.Eval$0$e)]);
- assume v4.value_is(v2.__ctobpl_const_14, inline$v2.Eval$0$res);
- goto inline$v2.Eval$0$label_1#2;
-
- inline$v2.Eval$0$label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 36} true;
- goto inline$v2.Eval$0$Return;
-
- inline$v2.Eval$0$Return:
- goto AA_INSTR_EQ_BODY$1;
-
- AA_INSTR_EQ_BODY$1:
- AA_TEMP10 := v4.Mem_T.result__EXPR;
- v4.Mem_T.result__EXPR := AA_TEMP00;
- goto inline$v4.Eval$0$Entry;
-
- inline$v4.Eval$0$Entry:
- inline$v4.Eval$0$e_.1 := e_.1;
- inline$v4.Eval$0$v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
- goto inline$v4.Eval$0$anon0#2;
-
- inline$v4.Eval$0$anon0#2:
- inline$v4.Eval$0$havoc_stringTemp := 0;
- goto inline$v4.Eval$0$start#2;
-
- inline$v4.Eval$0$start#2:
- assume v4.INT_LT(inline$v4.Eval$0$e_.1, v4.alloc);
- inline$v4.Eval$0$a1 := 0;
- inline$v4.Eval$0$a2 := 0;
- inline$v4.Eval$0$e := 0;
- inline$v4.Eval$0$op := 0;
- inline$v4.Eval$0$res := 0;
- inline$v4.Eval$0$result.UnsignedAdd$1 := 0;
- inline$v4.Eval$0$result.UnsignedSub$2 := 0;
- inline$v4.Eval$0$e := inline$v4.Eval$0$e_.1;
- goto inline$v4.Eval$0$label_3#2;
-
- inline$v4.Eval$0$label_3#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto inline$v4.Eval$0$label_4#2;
-
- inline$v4.Eval$0$label_4#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto inline$v4.Eval$0$label_5#2;
-
- inline$v4.Eval$0$label_5#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto inline$v4.Eval$0$label_6#2;
-
- inline$v4.Eval$0$label_6#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
- goto inline$v4.Eval$0$label_7#2;
-
- inline$v4.Eval$0$label_7#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 21} true;
- assert true;
- inline$v4.Eval$0$op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v4.Eval$0$e)];
- assume v4.value_is(v4.__ctobpl_const_1, inline$v4.Eval$0$op);
- assume v4.value_is(v4.__ctobpl_const_2, inline$v4.Eval$0$e);
- assume v4.value_is(v4.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v4.Eval$0$e)]);
- goto inline$v4.Eval$0$label_8#2;
-
- inline$v4.Eval$0$label_8#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 22} true;
- assert true;
- inline$v4.Eval$0$a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v4.Eval$0$e)];
- assume v4.value_is(v4.__ctobpl_const_4, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_5, inline$v4.Eval$0$e);
- assume v4.value_is(v4.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v4.Eval$0$e)]);
- goto inline$v4.Eval$0$label_9#2;
-
- inline$v4.Eval$0$label_9#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 23} true;
- assert true;
- inline$v4.Eval$0$a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v4.Eval$0$e)];
- assume v4.value_is(v4.__ctobpl_const_7, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_8, inline$v4.Eval$0$e);
- assume v4.value_is(v4.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v4.Eval$0$e)]);
- goto inline$v4.Eval$0$label_10#2;
-
- inline$v4.Eval$0$label_10#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 24} true;
- inline$v4.Eval$0$res := 0 - 1;
- assume v4.value_is(v4.__ctobpl_const_10, inline$v4.Eval$0$res);
- goto inline$v4.Eval$0$label_11#2;
-
- inline$v4.Eval$0$label_11#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 26} true;
- goto inline$v4.Eval$0$label_11_case_0#2, inline$v4.Eval$0$label_11_case_1#2, inline$v4.Eval$0$label_11_case_2#2;
-
- inline$v4.Eval$0$label_11_case_2#2:
- assume v4.INT_EQ(inline$v4.Eval$0$op, 2);
- assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
- goto inline$v4.Eval$0$label_14#2;
-
- inline$v4.Eval$0$label_14#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 35} true;
- goto inline$v4.Eval$0$label_14_true#2, inline$v4.Eval$0$label_14_false#2;
-
- inline$v4.Eval$0$label_14_false#2:
- assume v4.isUnsigned == 0;
- assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
- goto inline$v4.Eval$0$label_15#2;
-
- inline$v4.Eval$0$label_15#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 38} true;
- inline$v4.Eval$0$res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2, 1);
- assume v4.value_is(v4.__ctobpl_const_17, inline$v4.Eval$0$res);
- assume v4.value_is(v4.__ctobpl_const_18, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_19, inline$v4.Eval$0$a2);
- goto inline$v4.Eval$0$label_12#2;
-
- inline$v4.Eval$0$label_14_true#2:
- assume v4.isUnsigned != 0;
- assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
- goto inline$v4.Eval$0$label_16#2;
-
- inline$v4.Eval$0$label_16#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
- call inline$v4.Eval$0$result.UnsignedSub$2 := v4.UnsignedSub(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_20, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_21, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_22, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_23, inline$v4.Eval$0$a2);
- goto inline$v4.Eval$0$label_19#2;
-
- inline$v4.Eval$0$label_19#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
- inline$v4.Eval$0$res := inline$v4.Eval$0$result.UnsignedSub$2;
- assume v4.value_is(v4.__ctobpl_const_24, inline$v4.Eval$0$res);
- assume v4.value_is(v4.__ctobpl_const_25, inline$v4.Eval$0$result.UnsignedSub$2);
- goto inline$v4.Eval$0$label_12#2;
-
- inline$v4.Eval$0$label_11_case_1#2:
- assume v4.INT_EQ(inline$v4.Eval$0$op, 1);
- assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
- goto inline$v4.Eval$0$label_13#2;
-
- inline$v4.Eval$0$label_13#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 29} true;
- goto inline$v4.Eval$0$label_13_true#2, inline$v4.Eval$0$label_13_false#2;
-
- inline$v4.Eval$0$label_13_false#2:
- assume v4.isUnsigned == 0;
- assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
- goto inline$v4.Eval$0$label_20#2;
-
- inline$v4.Eval$0$label_20#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 32} true;
- inline$v4.Eval$0$res := v4.PLUS(inline$v4.Eval$0$a1, 1, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_26, inline$v4.Eval$0$res);
- assume v4.value_is(v4.__ctobpl_const_27, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_28, inline$v4.Eval$0$a2);
- goto inline$v4.Eval$0$label_12#2;
-
- inline$v4.Eval$0$label_13_true#2:
- assume v4.isUnsigned != 0;
- assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
- goto inline$v4.Eval$0$label_21#2;
-
- inline$v4.Eval$0$label_21#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
- call inline$v4.Eval$0$result.UnsignedAdd$1 := v4.UnsignedAdd(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_29, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_30, inline$v4.Eval$0$a2);
- assume v4.value_is(v4.__ctobpl_const_31, inline$v4.Eval$0$a1);
- assume v4.value_is(v4.__ctobpl_const_32, inline$v4.Eval$0$a2);
- goto inline$v4.Eval$0$label_24#2;
-
- inline$v4.Eval$0$label_24#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
- inline$v4.Eval$0$res := inline$v4.Eval$0$result.UnsignedAdd$1;
- assume v4.value_is(v4.__ctobpl_const_33, inline$v4.Eval$0$res);
- assume v4.value_is(v4.__ctobpl_const_34, inline$v4.Eval$0$result.UnsignedAdd$1);
- goto inline$v4.Eval$0$label_12#2;
-
- inline$v4.Eval$0$label_11_case_0#2:
- assume v4.INT_NEQ(inline$v4.Eval$0$op, 1);
- assume v4.INT_NEQ(inline$v4.Eval$0$op, 2);
- assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
- goto inline$v4.Eval$0$label_12#2;
-
- inline$v4.Eval$0$label_12#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 41} true;
- assert true;
- v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v4.Eval$0$e) := inline$v4.Eval$0$res];
- assume v4.value_is(v4.__ctobpl_const_12, inline$v4.Eval$0$e);
- assume v4.value_is(v4.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v4.Eval$0$e)]);
- assume v4.value_is(v4.__ctobpl_const_14, inline$v4.Eval$0$res);
- goto inline$v4.Eval$0$label_1#2;
-
- inline$v4.Eval$0$label_1#2:
- assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 42} true;
- goto inline$v4.Eval$0$Return;
-
- inline$v4.Eval$0$Return:
- goto AA_INSTR_EQ_BODY$2;
-
- AA_INSTR_EQ_BODY$2:
- Output_of_v2.Eval_v4.Mem_T.result__EXPR := AA_TEMP10;
- Output_of_v4.Eval_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
- AA_TEMP30 := AA_TEMP10 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP10[_x0] == v4.Mem_T.result__EXPR[_x0]);
- return;
-}
-
-
-
-var Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR: [int]int;
-
-var Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR: [int]int;
-
-var Output_of_v2.EvalEntry1_v4.Mem_T.INT4: [int]int;
-
-var Output_of_v4.EvalEntry1_v4.Mem_T.INT4: [int]int;
-
-var Output_of_v2.EvalEntry1_v4.isUnsigned: int;
-
-var Output_of_v4.EvalEntry1_v4.isUnsigned: int;
-
-procedure EQ_v2.EvalEntry1__v4.EvalEntry1(e_.1: int, outval_.1: int) returns (AA_TEMP80: bool, AA_TEMP81: bool, AA_TEMP82: bool);
- modifies v4.Mem_T.result__EXPR, v4.Mem_T.INT4, v4.isUnsigned, Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR, Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR, Output_of_v2.EvalEntry1_v4.Mem_T.INT4, Output_of_v4.EvalEntry1_v4.Mem_T.INT4, Output_of_v2.EvalEntry1_v4.isUnsigned, Output_of_v4.EvalEntry1_v4.isUnsigned;
- ensures AA_TEMP82 && AA_TEMP81 && AA_TEMP80;
-
-
-
-implementation EQ_v2.EvalEntry1__v4.EvalEntry1(e_.1: int, outval_.1: int) returns (AA_TEMP80: bool, AA_TEMP81: bool, AA_TEMP82: bool)
-{
- var AA_TEMP60: [int]int;
- var AA_TEMP61: [int]int;
- var AA_TEMP62: int;
- var AA_TEMP50: [int]int;
- var AA_TEMP51: [int]int;
- var AA_TEMP52: int;
-
- AA_INSTR_EQ_BODY:
- AA_TEMP50 := v4.Mem_T.result__EXPR;
- AA_TEMP51 := v4.Mem_T.INT4;
- AA_TEMP52 := v4.isUnsigned;
- call v2.EvalEntry1(e_.1, outval_.1);
- AA_TEMP60 := v4.Mem_T.result__EXPR;
- AA_TEMP61 := v4.Mem_T.INT4;
- AA_TEMP62 := v4.isUnsigned;
- v4.Mem_T.result__EXPR := AA_TEMP50;
- v4.Mem_T.INT4 := AA_TEMP51;
- v4.isUnsigned := AA_TEMP52;
- call v4.EvalEntry1(e_.1, outval_.1);
- Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR := AA_TEMP60;
- Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
- Output_of_v2.EvalEntry1_v4.Mem_T.INT4 := AA_TEMP61;
- Output_of_v4.EvalEntry1_v4.Mem_T.INT4 := v4.Mem_T.INT4;
- Output_of_v2.EvalEntry1_v4.isUnsigned := AA_TEMP62;
- Output_of_v4.EvalEntry1_v4.isUnsigned := v4.isUnsigned;
- AA_TEMP80, AA_TEMP81, AA_TEMP82 := AA_TEMP60 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP60[_x0] == v4.Mem_T.result__EXPR[_x0]), AA_TEMP61 == v4.Mem_T.INT4 || (forall _x0: int :: AA_TEMP61[_x0] == v4.Mem_T.INT4[_x0]), AA_TEMP62 == v4.isUnsigned;
- return;
-}
-
-
-
-var Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR: [int]int;
-
-var Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR: [int]int;
-
-var Output_of_v2.EvalEntry2_v4.isUnsigned: int;
-
-var Output_of_v4.EvalEntry2_v4.isUnsigned: int;
-
-procedure EQ_v2.EvalEntry2__v4.EvalEntry2(e_.1: int) returns (AA_TEMP130: bool, AA_TEMP131: bool);
- modifies v4.Mem_T.result__EXPR, v4.isUnsigned, Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR, Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR, Output_of_v2.EvalEntry2_v4.isUnsigned, Output_of_v4.EvalEntry2_v4.isUnsigned;
- ensures AA_TEMP131 && AA_TEMP130;
-
-
-
-implementation EQ_v2.EvalEntry2__v4.EvalEntry2(e_.1: int) returns (AA_TEMP130: bool, AA_TEMP131: bool)
-{
- var AA_TEMP110: [int]int;
- var AA_TEMP111: int;
- var AA_TEMP100: [int]int;
- var AA_TEMP101: int;
-
- AA_INSTR_EQ_BODY:
- AA_TEMP100 := v4.Mem_T.result__EXPR;
- AA_TEMP101 := v4.isUnsigned;
- call v2.EvalEntry2(e_.1);
- AA_TEMP110 := v4.Mem_T.result__EXPR;
- AA_TEMP111 := v4.isUnsigned;
- v4.Mem_T.result__EXPR := AA_TEMP100;
- v4.isUnsigned := AA_TEMP101;
- call v4.EvalEntry2(e_.1);
- Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR := AA_TEMP110;
- Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
- Output_of_v2.EvalEntry2_v4.isUnsigned := AA_TEMP111;
- Output_of_v4.EvalEntry2_v4.isUnsigned := v4.isUnsigned;
- AA_TEMP130, AA_TEMP131 := AA_TEMP110 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP110[_x0] == v4.Mem_T.result__EXPR[_x0]), AA_TEMP111 == v4.isUnsigned;
- return;
-}
-
-
-
-function _uf_v4.__HAVOC_malloc_new(arg_0: int) : int;
-
-function _uf_v2.__HAVOC_malloc_new(arg_0: int) : int;
-
-function _uf_v4.__HAVOC_det_malloc_new(arg_0: int) : int;
-
-function _uf_v2.__HAVOC_det_malloc_new(arg_0: int) : int;
-
-function _uf_v4.__HAVOC_memset_split_1_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v2.__HAVOC_memset_split_1_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v4.__HAVOC_memset_split_2_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v2.__HAVOC_memset_split_2_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v4.__HAVOC_memset_split_4_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v2.__HAVOC_memset_split_4_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
-
-function _uf_v4.nondet_choice_x() : int;
-
-function _uf_v2.nondet_choice_x() : int;
-
-function _uf_v4.det_choice_x() : int;
-
-function _uf_v2.det_choice_x() : int;
-
-function _uf_v4._strdup_new(arg_0: int) : int;
-
-function _uf_v2._strdup_new(arg_0: int) : int;
-
-function _uf_v4._xstrcasecmp_ret(arg_0: int, arg_1: int) : int;
-
-function _uf_v2._xstrcasecmp_ret(arg_0: int, arg_1: int) : int;
-
-function _uf_v4._xstrcmp_ret(arg_0: int, arg_1: int) : int;
-
-function _uf_v2._xstrcmp_ret(arg_0: int, arg_1: int) : int;
-
-function _uf_v4.Eval_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
-
-function _uf_v2.Eval_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
-
-function _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
-
-function _uf_v4.EvalEntry1_v4.Mem_T.INT4(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
-
-function _uf_v4.EvalEntry1_v4.isUnsigned(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : int;
-
-function _uf_v2.EvalEntry1_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
-
-function _uf_v2.EvalEntry1_v4.Mem_T.INT4(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
-
-function _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
-
-function _uf_v4.EvalEntry2_v4.isUnsigned(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : int;
-
-function _uf_v2.EvalEntry2_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
+// RUN: %boogie -typeEncoding:m -z3multipleErrors "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var v4.Mem: [name][int]int;
+
+var v4.alloc: int;
+
+var v4.Mem_T.INT4: [int]int;
+
+var v4.Mem_T.op1__EXPR: [int]int;
+
+var v4.Mem_T.op2__EXPR: [int]int;
+
+var v4.Mem_T.oper__EXPR: [int]int;
+
+var v4.Mem_T.result__EXPR: [int]int;
+
+var v4.detChoiceCnt: int;
+
+var v4.Res_KERNEL_SOURCE: [int]int;
+
+var v4.Res_PROBED: [int]int;
+
+var v4.isUnsigned: int;
+
+const unique v4.T.oper__EXPR: name;
+
+const unique v4.T.op1__EXPR: name;
+
+const unique v4.T.op2__EXPR: name;
+
+const unique v4.T.result__EXPR: name;
+
+const unique v4.T.INT4: name;
+
+const unique v4.T.PINT4: name;
+
+const unique v4.T.PPINT4: name;
+
+const unique v4.T.PP_EXPR: name;
+
+const unique v4.T.P_EXPR: name;
+
+const unique v4.T._EXPR: name;
+
+const {:model_const "e->op2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_9: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 24} unique v4.__ctobpl_const_10: int;
+
+const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 26} unique v4.__ctobpl_const_11: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_12: int;
+
+const {:model_const "e->op1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_6: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_7: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 23} unique v4.__ctobpl_const_8: int;
+
+const {:model_const "e->oper"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_3: int;
+
+const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_1: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 21} unique v4.__ctobpl_const_2: int;
+
+const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_13: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 41} unique v4.__ctobpl_const_14: int;
+
+const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 29} unique v4.__ctobpl_const_15: int;
+
+const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 35} unique v4.__ctobpl_const_16: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_17: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_18: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 38} unique v4.__ctobpl_const_19: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_20: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_21: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_22: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_23: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_24: int;
+
+const {:model_const "result.UnsignedSub"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 36} unique v4.__ctobpl_const_25: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_26: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_27: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 32} unique v4.__ctobpl_const_28: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_29: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_30: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_31: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_32: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_33: int;
+
+const {:model_const "result.UnsignedAdd"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 30} unique v4.__ctobpl_const_34: int;
+
+const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 47} unique v4.__ctobpl_const_35: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_4: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 22} unique v4.__ctobpl_const_5: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 48} unique v4.__ctobpl_const_36: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 48} unique v4.__ctobpl_const_37: int;
+
+const {:model_const "outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_38: int;
+
+const {:model_const "*outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_39: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_40: int;
+
+const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 49} unique v4.__ctobpl_const_41: int;
+
+const {:model_const "isUnsigned"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 54} unique v4.__ctobpl_const_42: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 55} unique v4.__ctobpl_const_43: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceLine 55} unique v4.__ctobpl_const_44: int;
+
+function v4.OneByteToInt(arg_0: byte) : int;
+
+function v4.TwoBytesToInt(arg_0: byte, arg_1: byte) : int;
+
+function v4.FourBytesToInt(arg_0: byte, arg_1: byte, arg_2: byte, arg_3: byte) : int;
+
+function v4.Field(arg_0: int) : name;
+
+function v4.Base(arg_0: int) : int;
+
+function v4.Match(a: int, t: name) : bool;
+
+function v4.MatchBase(b: int, a: int, t: name) : bool;
+
+function v4.HasType(v: int, t: name) : bool;
+
+function v4.T.Ptr(t: name) : name;
+
+function v4.op1__EXPR(arg_0: int) : int;
+
+function v4.op1__EXPRInv(arg_0: int) : int;
+
+function v4._S_op1__EXPR(arg_0: [int]bool) : [int]bool;
+
+function v4._S_op1__EXPRInv(arg_0: [int]bool) : [int]bool;
+
+function v4.op2__EXPR(arg_0: int) : int;
+
+function v4.op2__EXPRInv(arg_0: int) : int;
+
+function v4._S_op2__EXPR(arg_0: [int]bool) : [int]bool;
+
+function v4._S_op2__EXPRInv(arg_0: [int]bool) : [int]bool;
+
+function v4.oper__EXPR(arg_0: int) : int;
+
+function v4.oper__EXPRInv(arg_0: int) : int;
+
+function v4._S_oper__EXPR(arg_0: [int]bool) : [int]bool;
+
+function v4._S_oper__EXPRInv(arg_0: [int]bool) : [int]bool;
+
+function v4.result__EXPR(arg_0: int) : int;
+
+function v4.result__EXPRInv(arg_0: int) : int;
+
+function v4._S_result__EXPR(arg_0: [int]bool) : [int]bool;
+
+function v4._S_result__EXPRInv(arg_0: [int]bool) : [int]bool;
+
+function v4.INT_EQ(x: int, y: int) : bool;
+
+function v4.INT_NEQ(x: int, y: int) : bool;
+
+function v4.INT_ADD(x: int, y: int) : int;
+
+function v4.INT_SUB(x: int, y: int) : int;
+
+function v4.INT_MULT(x: int, y: int) : int;
+
+function v4.INT_DIV(x: int, y: int) : int;
+
+function v4.INT_LT(x: int, y: int) : bool;
+
+function v4.INT_ULT(x: int, y: int) : bool;
+
+function v4.INT_LEQ(x: int, y: int) : bool;
+
+function v4.INT_ULEQ(x: int, y: int) : bool;
+
+function v4.INT_GT(x: int, y: int) : bool;
+
+function v4.INT_UGT(x: int, y: int) : bool;
+
+function v4.INT_GEQ(x: int, y: int) : bool;
+
+function v4.INT_UGEQ(x: int, y: int) : bool;
+
+function v4.BV32_EQ(x: bv32, y: bv32) : bool;
+
+function v4.BV32_NEQ(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvadd"} v4.BV32_ADD(x: bv32, y: bv32) : bv32;
+
+function {:bvbuiltin "bvsub"} v4.BV32_SUB(x: bv32, y: bv32) : bv32;
+
+function {:bvbuiltin "bvmul"} v4.BV32_MULT(x: bv32, y: bv32) : bv32;
+
+function {:bvbuiltin "bvudiv"} v4.BV32_DIV(x: bv32, y: bv32) : bv32;
+
+function {:bvbuiltin "bvult"} v4.BV32_ULT(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvslt"} v4.BV32_LT(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvule"} v4.BV32_ULEQ(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvsle"} v4.BV32_LEQ(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvugt"} v4.BV32_UGT(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvsgt"} v4.BV32_GT(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvuge"} v4.BV32_UGEQ(x: bv32, y: bv32) : bool;
+
+function {:bvbuiltin "bvsge"} v4.BV32_GEQ(x: bv32, y: bv32) : bool;
+
+function v4.MINUS_BOTH_PTR_OR_BOTH_INT(a: int, b: int, size: int) : int;
+
+function v4.MINUS_LEFT_PTR(a: int, a_size: int, b: int) : int;
+
+function v4.PLUS(a: int, a_size: int, b: int) : int;
+
+function v4.MULT(a: int, b: int) : int;
+
+function v4.DIV(a: int, b: int) : int;
+
+function v4.BINARY_BOTH_INT(a: int, b: int) : int;
+
+function v4.POW2(a: int) : bool;
+
+function v4.BIT_BAND(a: int, b: int) : int;
+
+function v4.BIT_BOR(a: int, b: int) : int;
+
+function v4.BIT_BXOR(a: int, b: int) : int;
+
+function v4.BIT_BNOT(a: int) : int;
+
+function v4.choose(a: bool, b: int, c: int) : int;
+
+function v4.LIFT(a: bool) : int;
+
+function v4.PTR_NOT(a: int) : int;
+
+function v4.NULL_CHECK(a: int) : int;
+
+function v4.NewAlloc(x: int, y: int) : int;
+
+function v4.DetChoiceFunc(a: int) : int;
+
+function v4.Equal(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function v4.Subset(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function v4.Disjoint(arg_0: [int]bool, arg_1: [int]bool) : bool;
+
+function v4.Empty() : [int]bool;
+
+function v4.SetTrue() : [int]bool;
+
+function v4.Singleton(arg_0: int) : [int]bool;
+
+function v4.Reachable(arg_0: [int,int]bool, arg_1: int) : [int]bool;
+
+function v4.Union(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function v4.Intersection(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function v4.Difference(arg_0: [int]bool, arg_1: [int]bool) : [int]bool;
+
+function v4.Dereference(arg_0: [int]bool, arg_1: [int]int) : [int]bool;
+
+function v4.Inverse(f: [int]int, x: int) : [int]bool;
+
+function v4.AtLeast(arg_0: int, arg_1: int) : [int]bool;
+
+function v4.Rep(arg_0: int, arg_1: int) : int;
+
+function v4.Array(arg_0: int, arg_1: int, arg_2: int) : [int]bool;
+
+function v4.Unified(arg_0: [name][int]int) : [int]int;
+
+function v4.value_is(c: int, e: int) : bool;
+
+axiom (forall b0: byte, c0: byte :: { v4.OneByteToInt(b0), v4.OneByteToInt(c0) } v4.OneByteToInt(b0) == v4.OneByteToInt(c0) ==> b0 == c0);
+
+axiom (forall b0: byte, b1: byte, c0: byte, c1: byte :: { v4.TwoBytesToInt(b0, b1), v4.TwoBytesToInt(c0, c1) } v4.TwoBytesToInt(b0, b1) == v4.TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+
+axiom (forall b0: byte, b1: byte, b2: byte, b3: byte, c0: byte, c1: byte, c2: byte, c3: byte :: { v4.FourBytesToInt(b0, b1, b2, b3), v4.FourBytesToInt(c0, c1, c2, c3) } v4.FourBytesToInt(b0, b1, b2, b3) == v4.FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+axiom (forall x: int :: { v4.Base(x) } v4.INT_LEQ(v4.Base(x), x));
+
+axiom (forall a: int, t: name :: { v4.Match(a, v4.T.Ptr(t)) } v4.Match(a, v4.T.Ptr(t)) <==> v4.Field(a) == v4.T.Ptr(t));
+
+axiom (forall b: int, a: int, t: name :: { v4.MatchBase(b, a, v4.T.Ptr(t)) } v4.MatchBase(b, a, v4.T.Ptr(t)) <==> v4.Base(a) == b);
+
+axiom (forall v: int, t: name :: { v4.HasType(v, v4.T.Ptr(t)) } v4.HasType(v, v4.T.Ptr(t)) <==> v == 0 || (v4.INT_GT(v, 0) && v4.Match(v, t) && v4.MatchBase(v4.Base(v), v, t)));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPR(S)[x] } v4._S_op1__EXPR(S)[x] <==> S[v4.op1__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPRInv(S)[x] } v4._S_op1__EXPRInv(S)[x] <==> S[v4.op1__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPR(S) } S[x] ==> v4._S_op1__EXPR(S)[v4.op1__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPRInv(S) } S[x] ==> v4._S_op1__EXPRInv(S)[v4.op1__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.INT_ADD(x, 4));
+
+axiom (forall x: int :: { v4.op1__EXPRInv(x) } v4.op1__EXPRInv(x) == v4.INT_SUB(x, 4));
+
+axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.PLUS(x, 1, 4));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPR(S)[x] } v4._S_op2__EXPR(S)[x] <==> S[v4.op2__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPRInv(S)[x] } v4._S_op2__EXPRInv(S)[x] <==> S[v4.op2__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPR(S) } S[x] ==> v4._S_op2__EXPR(S)[v4.op2__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPRInv(S) } S[x] ==> v4._S_op2__EXPRInv(S)[v4.op2__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.INT_ADD(x, 8));
+
+axiom (forall x: int :: { v4.op2__EXPRInv(x) } v4.op2__EXPRInv(x) == v4.INT_SUB(x, 8));
+
+axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.PLUS(x, 1, 8));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPR(S)[x] } v4._S_oper__EXPR(S)[x] <==> S[v4.oper__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPRInv(S)[x] } v4._S_oper__EXPRInv(S)[x] <==> S[v4.oper__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPR(S) } S[x] ==> v4._S_oper__EXPR(S)[v4.oper__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPRInv(S) } S[x] ==> v4._S_oper__EXPRInv(S)[v4.oper__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.INT_ADD(x, 0));
+
+axiom (forall x: int :: { v4.oper__EXPRInv(x) } v4.oper__EXPRInv(x) == v4.INT_SUB(x, 0));
+
+axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.PLUS(x, 1, 0));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPR(S)[x] } v4._S_result__EXPR(S)[x] <==> S[v4.result__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPRInv(S)[x] } v4._S_result__EXPRInv(S)[x] <==> S[v4.result__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPR(S) } S[x] ==> v4._S_result__EXPR(S)[v4.result__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPRInv(S) } S[x] ==> v4._S_result__EXPRInv(S)[v4.result__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.INT_ADD(x, 12));
+
+axiom (forall x: int :: { v4.result__EXPRInv(x) } v4.result__EXPRInv(x) == v4.INT_SUB(x, 12));
+
+axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.PLUS(x, 1, 12));
+
+axiom (forall x: int, y: int :: { v4.INT_EQ(x, y): bool } v4.INT_EQ(x, y): bool <==> x == y);
+
+axiom (forall x: int, y: int :: { v4.INT_NEQ(x, y): bool } v4.INT_NEQ(x, y): bool <==> x != y);
+
+axiom (forall x: int, y: int :: { v4.INT_ADD(x, y): int } v4.INT_ADD(x, y): int == x + y);
+
+axiom (forall x: int, y: int :: { v4.INT_SUB(x, y): int } v4.INT_SUB(x, y): int == x - y);
+
+axiom (forall x: int, y: int :: { v4.INT_MULT(x, y): int } v4.INT_MULT(x, y): int == x * y);
+
+axiom (forall x: int, y: int :: { v4.INT_DIV(x, y): int } v4.INT_DIV(x, y): int == x div y);
+
+axiom (forall x: int, y: int :: { v4.INT_LT(x, y): bool } v4.INT_LT(x, y): bool <==> x < y);
+
+axiom (forall x: int, y: int :: { v4.INT_ULT(x, y): bool } v4.INT_ULT(x, y): bool <==> x < y);
+
+axiom (forall x: int, y: int :: { v4.INT_LEQ(x, y): bool } v4.INT_LEQ(x, y): bool <==> x <= y);
+
+axiom (forall x: int, y: int :: { v4.INT_ULEQ(x, y): bool } v4.INT_ULEQ(x, y): bool <==> x <= y);
+
+axiom (forall x: int, y: int :: { v4.INT_GT(x, y): bool } v4.INT_GT(x, y): bool <==> x > y);
+
+axiom (forall x: int, y: int :: { v4.INT_UGT(x, y): bool } v4.INT_UGT(x, y): bool <==> x > y);
+
+axiom (forall x: int, y: int :: { v4.INT_GEQ(x, y): bool } v4.INT_GEQ(x, y): bool <==> x >= y);
+
+axiom (forall x: int, y: int :: { v4.INT_UGEQ(x, y): bool } v4.INT_UGEQ(x, y): bool <==> x >= y);
+
+axiom (forall x: bv32, y: bv32 :: { v4.BV32_EQ(x, y): bool } v4.BV32_EQ(x, y): bool <==> x == y);
+
+axiom (forall x: bv32, y: bv32 :: { v4.BV32_NEQ(x, y): bool } v4.BV32_NEQ(x, y): bool <==> x != y);
+
+axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.INT_LEQ(v4.INT_MULT(size, v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size)), v4.INT_SUB(a, b)) && v4.INT_LT(v4.INT_SUB(a, b), v4.INT_MULT(size, v4.INT_ADD(v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size), 1))));
+
+axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, 1) == v4.INT_SUB(a, b));
+
+axiom (forall a: int, a_size: int, b: int :: { v4.MINUS_LEFT_PTR(a, a_size, b) } v4.MINUS_LEFT_PTR(a, a_size, b) == v4.INT_SUB(a, v4.INT_MULT(a_size, b)));
+
+axiom (forall a: int, a_size: int, b: int :: { v4.PLUS(a, a_size, b) } v4.PLUS(a, a_size, b) == v4.INT_ADD(a, v4.INT_MULT(a_size, b)));
+
+axiom (forall a: int, b: int :: { v4.MULT(a, b) } v4.MULT(a, b) == v4.INT_MULT(a, b));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b > 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) + 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b < 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) - 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b > 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) - 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b < 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) + 1));
+
+axiom v4.POW2(1);
+
+axiom v4.POW2(2);
+
+axiom v4.POW2(4);
+
+axiom v4.POW2(8);
+
+axiom v4.POW2(16);
+
+axiom v4.POW2(32);
+
+axiom v4.POW2(64);
+
+axiom v4.POW2(128);
+
+axiom v4.POW2(256);
+
+axiom v4.POW2(512);
+
+axiom v4.POW2(1024);
+
+axiom v4.POW2(2048);
+
+axiom v4.POW2(4096);
+
+axiom v4.POW2(8192);
+
+axiom v4.POW2(16384);
+
+axiom v4.POW2(32768);
+
+axiom v4.POW2(65536);
+
+axiom v4.POW2(131072);
+
+axiom v4.POW2(262144);
+
+axiom v4.POW2(524288);
+
+axiom v4.POW2(1048576);
+
+axiom v4.POW2(2097152);
+
+axiom v4.POW2(4194304);
+
+axiom v4.POW2(8388608);
+
+axiom v4.POW2(16777216);
+
+axiom v4.POW2(33554432);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == b ==> v4.BIT_BAND(a, b) == a);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } v4.POW2(a) && v4.POW2(b) && a != b ==> v4.BIT_BAND(a, b) == 0);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == 0 || b == 0 ==> v4.BIT_BAND(a, b) == 0);
+
+axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } a ==> v4.choose(a, b, c) == b);
+
+axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } !a ==> v4.choose(a, b, c) == c);
+
+axiom (forall a: bool :: { v4.LIFT(a) } a <==> v4.LIFT(a) != 0);
+
+axiom (forall a: int :: { v4.PTR_NOT(a) } a == 0 ==> v4.PTR_NOT(a) != 0);
+
+axiom (forall a: int :: { v4.PTR_NOT(a) } a != 0 ==> v4.PTR_NOT(a) == 0);
+
+axiom (forall a: int :: { v4.NULL_CHECK(a) } a == 0 ==> v4.NULL_CHECK(a) != 0);
+
+axiom (forall a: int :: { v4.NULL_CHECK(a) } a != 0 ==> v4.NULL_CHECK(a) == 0);
+
+axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x)[y] } v4.AtLeast(n, x)[y] ==> v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y));
+
+axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x), v4.Rep(n, x), v4.Rep(n, y) } v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y) ==> v4.AtLeast(n, x)[y]);
+
+axiom (forall n: int, x: int :: { v4.AtLeast(n, x) } v4.AtLeast(n, x)[x]);
+
+axiom (forall n: int, x: int, z: int :: { v4.PLUS(x, n, z) } v4.Rep(n, x) == v4.Rep(n, v4.PLUS(x, n, z)));
+
+axiom (forall n: int, x: int :: { v4.Rep(n, x) } (exists k: int :: v4.INT_SUB(v4.Rep(n, x), x) == v4.INT_MULT(n, k)));
+
+axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_LEQ(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Empty()));
+
+axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_GT(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Difference(v4.AtLeast(n, x), v4.AtLeast(n, v4.PLUS(x, n, z)))));
+
+axiom (forall x: int :: !v4.Empty()[x]);
+
+axiom (forall x: int :: v4.SetTrue()[x]);
+
+axiom (forall x: int, y: int :: { v4.Singleton(y)[x] } v4.Singleton(y)[x] <==> x == y);
+
+axiom (forall y: int :: { v4.Singleton(y) } v4.Singleton(y)[y]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Union(S, T)[x] } { v4.Union(S, T), S[x] } { v4.Union(S, T), T[x] } v4.Union(S, T)[x] <==> S[x] || T[x]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Intersection(S, T)[x] } { v4.Intersection(S, T), S[x] } { v4.Intersection(S, T), T[x] } v4.Intersection(S, T)[x] <==> S[x] && T[x]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Difference(S, T)[x] } { v4.Difference(S, T), S[x] } { v4.Difference(S, T), T[x] } v4.Difference(S, T)[x] <==> S[x] && !T[x]);
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Equal(S, T) } v4.Equal(S, T) <==> v4.Subset(S, T) && v4.Subset(T, S));
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Subset(S, T) } { T[x], v4.Subset(S, T) } S[x] && v4.Subset(S, T) ==> T[x]);
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Subset(S, T) } v4.Subset(S, T) || (exists x: int :: S[x] && !T[x]));
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Disjoint(S, T) } { T[x], v4.Disjoint(S, T) } !(S[x] && v4.Disjoint(S, T) && T[x]));
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Disjoint(S, T) } v4.Disjoint(S, T) || (exists x: int :: S[x] && T[x]));
+
+axiom (forall f: [int]int, x: int :: { v4.Inverse(f, f[x]) } v4.Inverse(f, f[x])[x]);
+
+axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f, y), f[x] } v4.Inverse(f, y)[x] ==> f[x] == y);
+
+axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f[x := y], y) } v4.Equal(v4.Inverse(f[x := y], y), v4.Union(v4.Inverse(f, y), v4.Singleton(x))));
+
+axiom (forall f: [int]int, x: int, y: int, z: int :: { v4.Inverse(f[x := y], z) } y == z || v4.Equal(v4.Inverse(f[x := y], z), v4.Difference(v4.Inverse(f, z), v4.Singleton(x))));
+
+axiom (forall x: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M)[x] } v4.Dereference(S, M)[x] ==> (exists y: int :: x == M[y] && S[y]));
+
+axiom (forall x: int, S: [int]bool, M: [int]int :: { M[x], S[x], v4.Dereference(S, M) } S[x] ==> v4.Dereference(S, M)[M[x]]);
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } !S[x] ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Dereference(S, M)));
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Difference(v4.Dereference(S, M), v4.Singleton(M[x])), v4.Singleton(y))));
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && !v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Dereference(S, M), v4.Singleton(y))));
+
+axiom (forall M: [name][int]int, x: int :: { v4.Unified(M)[x] } v4.Unified(M)[x] == M[v4.Field(x)][x]);
+
+axiom (forall M: [name][int]int, x: int, y: int :: { v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) } v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) == v4.Unified(M)[x := y]);
+
+procedure v4.havoc_assert(i: int);
+
+
+
+procedure v4.havoc_assume(i: int);
+
+
+
+procedure v4.__HAVOC_free(a: int);
+
+
+
+procedure v4.__HAVOC_malloc(obj_size: int) returns (new: int);
+ free ensures new == _uf_v4.__HAVOC_malloc_new(obj_size);
+
+
+
+procedure v4.__HAVOC_det_malloc(obj_size: int) returns (new: int);
+ free ensures new == _uf_v4.__HAVOC_det_malloc_new(obj_size);
+
+
+
+procedure v4.__HAVOC_memset_split_1(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_1_ret(A, p, c, n);
+
+
+
+procedure v4.__HAVOC_memset_split_2(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_2_ret(A, p, c, n);
+
+
+
+procedure v4.__HAVOC_memset_split_4(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_4_ret(A, p, c, n);
+
+
+
+procedure v4.nondet_choice() returns (x: int);
+ free ensures x == _uf_v4.nondet_choice_x();
+
+
+
+procedure v4.det_choice() returns (x: int);
+ free ensures x == _uf_v4.det_choice_x();
+
+
+
+procedure v4._strdup(str: int) returns (new: int);
+ free ensures new == _uf_v4._strdup_new(str);
+
+
+
+procedure v4._xstrcasecmp(a0: int, a1: int) returns (ret: int);
+ free ensures ret == _uf_v4._xstrcasecmp_ret(a0, a1);
+
+
+
+procedure v4._xstrcmp(a0: int, a1: int) returns (ret: int);
+ free ensures ret == _uf_v4._xstrcmp_ret(a0, a1);
+
+
+
+procedure v4.UnsignedAdd(a0: int, a1: int) returns (ret: int);
+
+
+
+procedure v4.UnsignedSub(a0: int, a1: int) returns (ret: int);
+
+
+
+procedure {:inline 1} v4.Eval(e_.1: int);
+ modifies v4.Mem_T.result__EXPR;
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.Eval_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
+
+
+
+procedure v4.EvalEntry1(e_.1: int, outval_.1: int);
+ modifies v4.isUnsigned, v4.Mem_T.result__EXPR, v4.Mem_T.INT4;
+ free ensures v4.isUnsigned == _uf_v4.EvalEntry1_v4.isUnsigned(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
+ free ensures v4.Mem_T.INT4 == _uf_v4.EvalEntry1_v4.Mem_T.INT4(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
+
+
+
+procedure v4.EvalEntry2(e_.1: int);
+ modifies v4.isUnsigned, v4.Mem_T.result__EXPR;
+ free ensures v4.isUnsigned == _uf_v4.EvalEntry2_v4.isUnsigned(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
+
+
+
+procedure v4.__havoc_heapglobal_init();
+
+
+
+implementation {:inline 1} v4.Eval(e_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var a1: int;
+ var a2: int;
+ var e: int;
+ var op: int;
+ var res: int;
+ var result.UnsignedAdd$1: int;
+ var result.UnsignedSub$2: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ a1 := 0;
+ a2 := 0;
+ e := 0;
+ op := 0;
+ res := 0;
+ result.UnsignedAdd$1 := 0;
+ result.UnsignedSub$2 := 0;
+ e := e_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto label_4#2;
+
+ label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto label_5#2;
+
+ label_5#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto label_6#2;
+
+ label_6#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto label_7#2;
+
+ label_7#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 21} true;
+ assert true;
+ op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)];
+ assume v4.value_is(v4.__ctobpl_const_1, op);
+ assume v4.value_is(v4.__ctobpl_const_2, e);
+ assume v4.value_is(v4.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)]);
+ goto label_8#2;
+
+ label_8#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 22} true;
+ assert true;
+ a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)];
+ assume v4.value_is(v4.__ctobpl_const_4, a1);
+ assume v4.value_is(v4.__ctobpl_const_5, e);
+ assume v4.value_is(v4.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)]);
+ goto label_9#2;
+
+ label_9#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 23} true;
+ assert true;
+ a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)];
+ assume v4.value_is(v4.__ctobpl_const_7, a2);
+ assume v4.value_is(v4.__ctobpl_const_8, e);
+ assume v4.value_is(v4.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)]);
+ goto label_10#2;
+
+ label_10#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 24} true;
+ res := 0 - 1;
+ assume v4.value_is(v4.__ctobpl_const_10, res);
+ goto label_11#2;
+
+ label_11#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 26} true;
+ goto label_11_case_0#2, label_11_case_1#2, label_11_case_2#2;
+
+ label_11_case_2#2:
+ assume v4.INT_EQ(op, 2);
+ assume v4.value_is(v4.__ctobpl_const_11, op);
+ goto label_14#2;
+
+ label_14#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 35} true;
+ goto label_14_true#2, label_14_false#2;
+
+ label_14_false#2:
+ assume v4.isUnsigned == 0;
+ assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
+ goto label_15#2;
+
+ label_15#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 38} true;
+ res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(a1, a2, 1);
+ assume v4.value_is(v4.__ctobpl_const_17, res);
+ assume v4.value_is(v4.__ctobpl_const_18, a1);
+ assume v4.value_is(v4.__ctobpl_const_19, a2);
+ goto label_12#2;
+
+ label_14_true#2:
+ assume v4.isUnsigned != 0;
+ assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
+ goto label_16#2;
+
+ label_16#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
+ call result.UnsignedSub$2 := v4.UnsignedSub(a1, a2);
+ assume v4.value_is(v4.__ctobpl_const_20, a1);
+ assume v4.value_is(v4.__ctobpl_const_21, a2);
+ assume v4.value_is(v4.__ctobpl_const_22, a1);
+ assume v4.value_is(v4.__ctobpl_const_23, a2);
+ goto label_19#2;
+
+ label_19#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
+ res := result.UnsignedSub$2;
+ assume v4.value_is(v4.__ctobpl_const_24, res);
+ assume v4.value_is(v4.__ctobpl_const_25, result.UnsignedSub$2);
+ goto label_12#2;
+
+ label_11_case_1#2:
+ assume v4.INT_EQ(op, 1);
+ assume v4.value_is(v4.__ctobpl_const_11, op);
+ goto label_13#2;
+
+ label_13#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 29} true;
+ goto label_13_true#2, label_13_false#2;
+
+ label_13_false#2:
+ assume v4.isUnsigned == 0;
+ assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
+ goto label_20#2;
+
+ label_20#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 32} true;
+ res := v4.PLUS(a1, 1, a2);
+ assume v4.value_is(v4.__ctobpl_const_26, res);
+ assume v4.value_is(v4.__ctobpl_const_27, a1);
+ assume v4.value_is(v4.__ctobpl_const_28, a2);
+ goto label_12#2;
+
+ label_13_true#2:
+ assume v4.isUnsigned != 0;
+ assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
+ goto label_21#2;
+
+ label_21#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
+ call result.UnsignedAdd$1 := v4.UnsignedAdd(a1, a2);
+ assume v4.value_is(v4.__ctobpl_const_29, a1);
+ assume v4.value_is(v4.__ctobpl_const_30, a2);
+ assume v4.value_is(v4.__ctobpl_const_31, a1);
+ assume v4.value_is(v4.__ctobpl_const_32, a2);
+ goto label_24#2;
+
+ label_24#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
+ res := result.UnsignedAdd$1;
+ assume v4.value_is(v4.__ctobpl_const_33, res);
+ assume v4.value_is(v4.__ctobpl_const_34, result.UnsignedAdd$1);
+ goto label_12#2;
+
+ label_11_case_0#2:
+ assume v4.INT_NEQ(op, 1);
+ assume v4.INT_NEQ(op, 2);
+ assume v4.value_is(v4.__ctobpl_const_11, op);
+ goto label_12#2;
+
+ label_12#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 41} true;
+ assert true;
+ v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(e) := res];
+ assume v4.value_is(v4.__ctobpl_const_12, e);
+ assume v4.value_is(v4.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
+ assume v4.value_is(v4.__ctobpl_const_14, res);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 42} true;
+ return;
+}
+
+
+
+implementation v4.EvalEntry1(e_.1: int, outval_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var e: int;
+ var outval: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ assume v4.INT_LT(outval_.1, v4.alloc);
+ e := 0;
+ outval := 0;
+ e := e_.1;
+ outval := outval_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 47} true;
+ v4.isUnsigned := 1;
+ assume v4.value_is(v4.__ctobpl_const_35, v4.isUnsigned);
+ goto label_4#2;
+
+ label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 48} true;
+ call v4.Eval(e);
+ assume v4.value_is(v4.__ctobpl_const_36, e);
+ assume v4.value_is(v4.__ctobpl_const_37, e);
+ goto label_7#2;
+
+ label_7#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 49} true;
+ assert true;
+ assert true;
+ v4.Mem_T.INT4 := v4.Mem_T.INT4[outval := v4.Mem_T.result__EXPR[v4.result__EXPR(e)]];
+ assume v4.value_is(v4.__ctobpl_const_38, outval);
+ assume v4.value_is(v4.__ctobpl_const_39, v4.Mem_T.INT4[outval]);
+ assume v4.value_is(v4.__ctobpl_const_40, e);
+ assume v4.value_is(v4.__ctobpl_const_41, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 50} true;
+ return;
+}
+
+
+
+implementation v4.EvalEntry2(e_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var e: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ e := 0;
+ e := e_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 54} true;
+ v4.isUnsigned := 0;
+ assume v4.value_is(v4.__ctobpl_const_42, v4.isUnsigned);
+ goto label_4#2;
+
+ label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 55} true;
+ call v4.Eval(e);
+ assume v4.value_is(v4.__ctobpl_const_43, e);
+ assume v4.value_is(v4.__ctobpl_const_44, e);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 56} true;
+ return;
+}
+
+
+
+implementation v4.__havoc_heapglobal_init()
+{
+
+ anon0#2:
+ return;
+}
+
+
+
+var v2.Mem: [name][int]int;
+
+var v2.alloc: int;
+
+var v2.Mem_T.INT4: [int]int;
+
+var v2.Mem_T.op1__EXPR: [int]int;
+
+var v2.Mem_T.op2__EXPR: [int]int;
+
+var v2.Mem_T.oper__EXPR: [int]int;
+
+var v2.Mem_T.result__EXPR: [int]int;
+
+var v2.detChoiceCnt: int;
+
+var v2.Res_KERNEL_SOURCE: [int]int;
+
+var v2.Res_PROBED: [int]int;
+
+const unique v2.T.oper__EXPR: name;
+
+const unique v2.T.op1__EXPR: name;
+
+const unique v2.T.op2__EXPR: name;
+
+const unique v2.T.result__EXPR: name;
+
+const unique v2.T.INT4: name;
+
+const unique v2.T.PINT4: name;
+
+const unique v2.T.PPINT4: name;
+
+const unique v2.T.PP_EXPR: name;
+
+const unique v2.T.P_EXPR: name;
+
+const unique v2.T._EXPR: name;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_7: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_8: int;
+
+const {:model_const "e->oper"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_3: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_4: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_5: int;
+
+const {:model_const "e->op1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 20} unique v2.__ctobpl_const_6: int;
+
+const {:model_const "e->op2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 21} unique v2.__ctobpl_const_9: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 22} unique v2.__ctobpl_const_10: int;
+
+const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 24} unique v2.__ctobpl_const_11: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_12: int;
+
+const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_13: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 35} unique v2.__ctobpl_const_14: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_15: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_16: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 27} unique v2.__ctobpl_const_17: int;
+
+const {:model_const "res"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_18: int;
+
+const {:model_const "a1"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_19: int;
+
+const {:model_const "a2"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 29} unique v2.__ctobpl_const_20: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 40} unique v2.__ctobpl_const_21: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 40} unique v2.__ctobpl_const_22: int;
+
+const {:model_const "outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_23: int;
+
+const {:model_const "*outval"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_24: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_25: int;
+
+const {:model_const "e->result"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 41} unique v2.__ctobpl_const_26: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 46} unique v2.__ctobpl_const_27: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 46} unique v2.__ctobpl_const_28: int;
+
+const {:model_const "op"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_1: int;
+
+const {:model_const "e"} {:sourceFile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceLine 19} unique v2.__ctobpl_const_2: int;
+
+axiom (forall b0: byte, c0: byte :: { v4.OneByteToInt(b0), v4.OneByteToInt(c0) } v4.OneByteToInt(b0) == v4.OneByteToInt(c0) ==> b0 == c0);
+
+axiom (forall b0: byte, b1: byte, c0: byte, c1: byte :: { v4.TwoBytesToInt(b0, b1), v4.TwoBytesToInt(c0, c1) } v4.TwoBytesToInt(b0, b1) == v4.TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+
+axiom (forall b0: byte, b1: byte, b2: byte, b3: byte, c0: byte, c1: byte, c2: byte, c3: byte :: { v4.FourBytesToInt(b0, b1, b2, b3), v4.FourBytesToInt(c0, c1, c2, c3) } v4.FourBytesToInt(b0, b1, b2, b3) == v4.FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+axiom (forall x: int :: { v4.Base(x) } v4.INT_LEQ(v4.Base(x), x));
+
+axiom (forall a: int, t: name :: { v4.Match(a, v4.T.Ptr(t)) } v4.Match(a, v4.T.Ptr(t)) <==> v4.Field(a) == v4.T.Ptr(t));
+
+axiom (forall b: int, a: int, t: name :: { v4.MatchBase(b, a, v4.T.Ptr(t)) } v4.MatchBase(b, a, v4.T.Ptr(t)) <==> v4.Base(a) == b);
+
+axiom (forall v: int, t: name :: { v4.HasType(v, v4.T.Ptr(t)) } v4.HasType(v, v4.T.Ptr(t)) <==> v == 0 || (v4.INT_GT(v, 0) && v4.Match(v, t) && v4.MatchBase(v4.Base(v), v, t)));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPR(S)[x] } v4._S_op1__EXPR(S)[x] <==> S[v4.op1__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op1__EXPRInv(S)[x] } v4._S_op1__EXPRInv(S)[x] <==> S[v4.op1__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPR(S) } S[x] ==> v4._S_op1__EXPR(S)[v4.op1__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op1__EXPRInv(S) } S[x] ==> v4._S_op1__EXPRInv(S)[v4.op1__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.INT_ADD(x, 4));
+
+axiom (forall x: int :: { v4.op1__EXPRInv(x) } v4.op1__EXPRInv(x) == v4.INT_SUB(x, 4));
+
+axiom (forall x: int :: { v4.op1__EXPR(x) } v4.op1__EXPR(x) == v4.PLUS(x, 1, 4));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPR(S)[x] } v4._S_op2__EXPR(S)[x] <==> S[v4.op2__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_op2__EXPRInv(S)[x] } v4._S_op2__EXPRInv(S)[x] <==> S[v4.op2__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPR(S) } S[x] ==> v4._S_op2__EXPR(S)[v4.op2__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_op2__EXPRInv(S) } S[x] ==> v4._S_op2__EXPRInv(S)[v4.op2__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.INT_ADD(x, 8));
+
+axiom (forall x: int :: { v4.op2__EXPRInv(x) } v4.op2__EXPRInv(x) == v4.INT_SUB(x, 8));
+
+axiom (forall x: int :: { v4.op2__EXPR(x) } v4.op2__EXPR(x) == v4.PLUS(x, 1, 8));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPR(S)[x] } v4._S_oper__EXPR(S)[x] <==> S[v4.oper__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_oper__EXPRInv(S)[x] } v4._S_oper__EXPRInv(S)[x] <==> S[v4.oper__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPR(S) } S[x] ==> v4._S_oper__EXPR(S)[v4.oper__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_oper__EXPRInv(S) } S[x] ==> v4._S_oper__EXPRInv(S)[v4.oper__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.INT_ADD(x, 0));
+
+axiom (forall x: int :: { v4.oper__EXPRInv(x) } v4.oper__EXPRInv(x) == v4.INT_SUB(x, 0));
+
+axiom (forall x: int :: { v4.oper__EXPR(x) } v4.oper__EXPR(x) == v4.PLUS(x, 1, 0));
+
+axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPR(S)[x] } v4._S_result__EXPR(S)[x] <==> S[v4.result__EXPRInv(x)]);
+
+axiom (forall x: int, S: [int]bool :: { v4._S_result__EXPRInv(S)[x] } v4._S_result__EXPRInv(S)[x] <==> S[v4.result__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPR(S) } S[x] ==> v4._S_result__EXPR(S)[v4.result__EXPR(x)]);
+
+axiom (forall x: int, S: [int]bool :: { S[x], v4._S_result__EXPRInv(S) } S[x] ==> v4._S_result__EXPRInv(S)[v4.result__EXPRInv(x)]);
+
+axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.INT_ADD(x, 12));
+
+axiom (forall x: int :: { v4.result__EXPRInv(x) } v4.result__EXPRInv(x) == v4.INT_SUB(x, 12));
+
+axiom (forall x: int :: { v4.result__EXPR(x) } v4.result__EXPR(x) == v4.PLUS(x, 1, 12));
+
+axiom (forall x: int, y: int :: { v4.INT_EQ(x, y): bool } v4.INT_EQ(x, y): bool <==> x == y);
+
+axiom (forall x: int, y: int :: { v4.INT_NEQ(x, y): bool } v4.INT_NEQ(x, y): bool <==> x != y);
+
+axiom (forall x: int, y: int :: { v4.INT_ADD(x, y): int } v4.INT_ADD(x, y): int == x + y);
+
+axiom (forall x: int, y: int :: { v4.INT_SUB(x, y): int } v4.INT_SUB(x, y): int == x - y);
+
+axiom (forall x: int, y: int :: { v4.INT_MULT(x, y): int } v4.INT_MULT(x, y): int == x * y);
+
+axiom (forall x: int, y: int :: { v4.INT_DIV(x, y): int } v4.INT_DIV(x, y): int == x div y);
+
+axiom (forall x: int, y: int :: { v4.INT_LT(x, y): bool } v4.INT_LT(x, y): bool <==> x < y);
+
+axiom (forall x: int, y: int :: { v4.INT_ULT(x, y): bool } v4.INT_ULT(x, y): bool <==> x < y);
+
+axiom (forall x: int, y: int :: { v4.INT_LEQ(x, y): bool } v4.INT_LEQ(x, y): bool <==> x <= y);
+
+axiom (forall x: int, y: int :: { v4.INT_ULEQ(x, y): bool } v4.INT_ULEQ(x, y): bool <==> x <= y);
+
+axiom (forall x: int, y: int :: { v4.INT_GT(x, y): bool } v4.INT_GT(x, y): bool <==> x > y);
+
+axiom (forall x: int, y: int :: { v4.INT_UGT(x, y): bool } v4.INT_UGT(x, y): bool <==> x > y);
+
+axiom (forall x: int, y: int :: { v4.INT_GEQ(x, y): bool } v4.INT_GEQ(x, y): bool <==> x >= y);
+
+axiom (forall x: int, y: int :: { v4.INT_UGEQ(x, y): bool } v4.INT_UGEQ(x, y): bool <==> x >= y);
+
+axiom (forall x: bv32, y: bv32 :: { v4.BV32_EQ(x, y): bool } v4.BV32_EQ(x, y): bool <==> x == y);
+
+axiom (forall x: bv32, y: bv32 :: { v4.BV32_NEQ(x, y): bool } v4.BV32_NEQ(x, y): bool <==> x != y);
+
+axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.INT_LEQ(v4.INT_MULT(size, v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size)), v4.INT_SUB(a, b)) && v4.INT_LT(v4.INT_SUB(a, b), v4.INT_MULT(size, v4.INT_ADD(v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size), 1))));
+
+axiom (forall a: int, b: int, size: int :: { v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, size) } v4.MINUS_BOTH_PTR_OR_BOTH_INT(a, b, 1) == v4.INT_SUB(a, b));
+
+axiom (forall a: int, a_size: int, b: int :: { v4.MINUS_LEFT_PTR(a, a_size, b) } v4.MINUS_LEFT_PTR(a, a_size, b) == v4.INT_SUB(a, v4.INT_MULT(a_size, b)));
+
+axiom (forall a: int, a_size: int, b: int :: { v4.PLUS(a, a_size, b) } v4.PLUS(a, a_size, b) == v4.INT_ADD(a, v4.INT_MULT(a_size, b)));
+
+axiom (forall a: int, b: int :: { v4.MULT(a, b) } v4.MULT(a, b) == v4.INT_MULT(a, b));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b > 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) + 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a >= 0 && b < 0 ==> b * v4.DIV(a, b) <= a && a < b * (v4.DIV(a, b) - 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b > 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) - 1));
+
+axiom (forall a: int, b: int :: { v4.DIV(a, b) } a < 0 && b < 0 ==> b * v4.DIV(a, b) >= a && a > b * (v4.DIV(a, b) + 1));
+
+axiom v4.POW2(1);
+
+axiom v4.POW2(2);
+
+axiom v4.POW2(4);
+
+axiom v4.POW2(8);
+
+axiom v4.POW2(16);
+
+axiom v4.POW2(32);
+
+axiom v4.POW2(64);
+
+axiom v4.POW2(128);
+
+axiom v4.POW2(256);
+
+axiom v4.POW2(512);
+
+axiom v4.POW2(1024);
+
+axiom v4.POW2(2048);
+
+axiom v4.POW2(4096);
+
+axiom v4.POW2(8192);
+
+axiom v4.POW2(16384);
+
+axiom v4.POW2(32768);
+
+axiom v4.POW2(65536);
+
+axiom v4.POW2(131072);
+
+axiom v4.POW2(262144);
+
+axiom v4.POW2(524288);
+
+axiom v4.POW2(1048576);
+
+axiom v4.POW2(2097152);
+
+axiom v4.POW2(4194304);
+
+axiom v4.POW2(8388608);
+
+axiom v4.POW2(16777216);
+
+axiom v4.POW2(33554432);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == b ==> v4.BIT_BAND(a, b) == a);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } v4.POW2(a) && v4.POW2(b) && a != b ==> v4.BIT_BAND(a, b) == 0);
+
+axiom (forall a: int, b: int :: { v4.BIT_BAND(a, b) } a == 0 || b == 0 ==> v4.BIT_BAND(a, b) == 0);
+
+axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } a ==> v4.choose(a, b, c) == b);
+
+axiom (forall a: bool, b: int, c: int :: { v4.choose(a, b, c) } !a ==> v4.choose(a, b, c) == c);
+
+axiom (forall a: bool :: { v4.LIFT(a) } a <==> v4.LIFT(a) != 0);
+
+axiom (forall a: int :: { v4.PTR_NOT(a) } a == 0 ==> v4.PTR_NOT(a) != 0);
+
+axiom (forall a: int :: { v4.PTR_NOT(a) } a != 0 ==> v4.PTR_NOT(a) == 0);
+
+axiom (forall a: int :: { v4.NULL_CHECK(a) } a == 0 ==> v4.NULL_CHECK(a) != 0);
+
+axiom (forall a: int :: { v4.NULL_CHECK(a) } a != 0 ==> v4.NULL_CHECK(a) == 0);
+
+axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x)[y] } v4.AtLeast(n, x)[y] ==> v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y));
+
+axiom (forall n: int, x: int, y: int :: { v4.AtLeast(n, x), v4.Rep(n, x), v4.Rep(n, y) } v4.INT_LEQ(x, y) && v4.Rep(n, x) == v4.Rep(n, y) ==> v4.AtLeast(n, x)[y]);
+
+axiom (forall n: int, x: int :: { v4.AtLeast(n, x) } v4.AtLeast(n, x)[x]);
+
+axiom (forall n: int, x: int, z: int :: { v4.PLUS(x, n, z) } v4.Rep(n, x) == v4.Rep(n, v4.PLUS(x, n, z)));
+
+axiom (forall n: int, x: int :: { v4.Rep(n, x) } (exists k: int :: v4.INT_SUB(v4.Rep(n, x), x) == v4.INT_MULT(n, k)));
+
+axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_LEQ(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Empty()));
+
+axiom (forall x: int, n: int, z: int :: { v4.Array(x, n, z) } v4.INT_GT(z, 0) ==> v4.Equal(v4.Array(x, n, z), v4.Difference(v4.AtLeast(n, x), v4.AtLeast(n, v4.PLUS(x, n, z)))));
+
+axiom (forall x: int :: !v4.Empty()[x]);
+
+axiom (forall x: int :: v4.SetTrue()[x]);
+
+axiom (forall x: int, y: int :: { v4.Singleton(y)[x] } v4.Singleton(y)[x] <==> x == y);
+
+axiom (forall y: int :: { v4.Singleton(y) } v4.Singleton(y)[y]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Union(S, T)[x] } { v4.Union(S, T), S[x] } { v4.Union(S, T), T[x] } v4.Union(S, T)[x] <==> S[x] || T[x]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Intersection(S, T)[x] } { v4.Intersection(S, T), S[x] } { v4.Intersection(S, T), T[x] } v4.Intersection(S, T)[x] <==> S[x] && T[x]);
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { v4.Difference(S, T)[x] } { v4.Difference(S, T), S[x] } { v4.Difference(S, T), T[x] } v4.Difference(S, T)[x] <==> S[x] && !T[x]);
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Equal(S, T) } v4.Equal(S, T) <==> v4.Subset(S, T) && v4.Subset(T, S));
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Subset(S, T) } { T[x], v4.Subset(S, T) } S[x] && v4.Subset(S, T) ==> T[x]);
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Subset(S, T) } v4.Subset(S, T) || (exists x: int :: S[x] && !T[x]));
+
+axiom (forall x: int, S: [int]bool, T: [int]bool :: { S[x], v4.Disjoint(S, T) } { T[x], v4.Disjoint(S, T) } !(S[x] && v4.Disjoint(S, T) && T[x]));
+
+axiom (forall S: [int]bool, T: [int]bool :: { v4.Disjoint(S, T) } v4.Disjoint(S, T) || (exists x: int :: S[x] && T[x]));
+
+axiom (forall f: [int]int, x: int :: { v4.Inverse(f, f[x]) } v4.Inverse(f, f[x])[x]);
+
+axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f, y), f[x] } v4.Inverse(f, y)[x] ==> f[x] == y);
+
+axiom (forall f: [int]int, x: int, y: int :: { v4.Inverse(f[x := y], y) } v4.Equal(v4.Inverse(f[x := y], y), v4.Union(v4.Inverse(f, y), v4.Singleton(x))));
+
+axiom (forall f: [int]int, x: int, y: int, z: int :: { v4.Inverse(f[x := y], z) } y == z || v4.Equal(v4.Inverse(f[x := y], z), v4.Difference(v4.Inverse(f, z), v4.Singleton(x))));
+
+axiom (forall x: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M)[x] } v4.Dereference(S, M)[x] ==> (exists y: int :: x == M[y] && S[y]));
+
+axiom (forall x: int, S: [int]bool, M: [int]int :: { M[x], S[x], v4.Dereference(S, M) } S[x] ==> v4.Dereference(S, M)[M[x]]);
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } !S[x] ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Dereference(S, M)));
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Difference(v4.Dereference(S, M), v4.Singleton(M[x])), v4.Singleton(y))));
+
+axiom (forall x: int, y: int, S: [int]bool, M: [int]int :: { v4.Dereference(S, M[x := y]) } S[x] && !v4.Equal(v4.Intersection(v4.Inverse(M, M[x]), S), v4.Singleton(x)) ==> v4.Equal(v4.Dereference(S, M[x := y]), v4.Union(v4.Dereference(S, M), v4.Singleton(y))));
+
+axiom (forall M: [name][int]int, x: int :: { v4.Unified(M)[x] } v4.Unified(M)[x] == M[v4.Field(x)][x]);
+
+axiom (forall M: [name][int]int, x: int, y: int :: { v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) } v4.Unified(M[v4.Field(x) := M[v4.Field(x)][x := y]]) == v4.Unified(M)[x := y]);
+
+procedure v2.havoc_assert(i: int);
+
+
+
+procedure v2.havoc_assume(i: int);
+
+
+
+procedure v2.__HAVOC_free(a: int);
+
+
+
+procedure v2.__HAVOC_malloc(obj_size: int) returns (new: int);
+ free ensures new == _uf_v4.__HAVOC_malloc_new(obj_size);
+
+
+
+procedure v2.__HAVOC_det_malloc(obj_size: int) returns (new: int);
+ free ensures new == _uf_v4.__HAVOC_det_malloc_new(obj_size);
+
+
+
+procedure v2.__HAVOC_memset_split_1(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_1_ret(A, p, c, n);
+
+
+
+procedure v2.__HAVOC_memset_split_2(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_2_ret(A, p, c, n);
+
+
+
+procedure v2.__HAVOC_memset_split_4(A: [int]int, p: int, c: int, n: int) returns (ret: [int]int);
+ free ensures ret == _uf_v4.__HAVOC_memset_split_4_ret(A, p, c, n);
+
+
+
+procedure v2.nondet_choice() returns (x: int);
+ free ensures x == _uf_v4.nondet_choice_x();
+
+
+
+procedure v2.det_choice() returns (x: int);
+ free ensures x == _uf_v4.det_choice_x();
+
+
+
+procedure v2._strdup(str: int) returns (new: int);
+ free ensures new == _uf_v4._strdup_new(str);
+
+
+
+procedure v2._xstrcasecmp(a0: int, a1: int) returns (ret: int);
+ free ensures ret == _uf_v4._xstrcasecmp_ret(a0, a1);
+
+
+
+procedure v2._xstrcmp(a0: int, a1: int) returns (ret: int);
+ free ensures ret == _uf_v4._xstrcmp_ret(a0, a1);
+
+
+
+procedure {:inline 1} v2.Eval(e_.1: int);
+ modifies v4.Mem_T.result__EXPR;
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.Eval_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
+
+
+
+procedure v2.EvalEntry1(e_.1: int, outval_.1: int);
+ modifies v4.Mem_T.result__EXPR, v4.Mem_T.INT4;
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
+ free ensures v4.Mem_T.INT4 == _uf_v4.EvalEntry1_v4.Mem_T.INT4(e_.1, outval_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.Mem_T.INT4), old(v4.isUnsigned));
+
+
+
+procedure v2.EvalEntry2(e_.1: int);
+ modifies v4.Mem_T.result__EXPR;
+ free ensures v4.Mem_T.result__EXPR == _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(e_.1, old(v4.alloc), old(v4.Mem_T.oper__EXPR), old(v4.Mem_T.op1__EXPR), old(v4.Mem_T.op2__EXPR), old(v4.Mem_T.result__EXPR), old(v4.isUnsigned));
+
+
+
+procedure v2.__havoc_heapglobal_init();
+
+
+
+implementation {:inline 1} v2.Eval(e_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var a1: int;
+ var a2: int;
+ var e: int;
+ var op: int;
+ var res: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ a1 := 0;
+ a2 := 0;
+ e := 0;
+ op := 0;
+ res := 0;
+ e := e_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto label_4#2;
+
+ label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto label_5#2;
+
+ label_5#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto label_6#2;
+
+ label_6#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto label_7#2;
+
+ label_7#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 19} true;
+ assert true;
+ op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)];
+ assume v4.value_is(v2.__ctobpl_const_1, op);
+ assume v4.value_is(v2.__ctobpl_const_2, e);
+ assume v4.value_is(v2.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(e)]);
+ goto label_8#2;
+
+ label_8#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 20} true;
+ assert true;
+ a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)];
+ assume v4.value_is(v2.__ctobpl_const_4, a1);
+ assume v4.value_is(v2.__ctobpl_const_5, e);
+ assume v4.value_is(v2.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(e)]);
+ goto label_9#2;
+
+ label_9#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 21} true;
+ assert true;
+ a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)];
+ assume v4.value_is(v2.__ctobpl_const_7, a2);
+ assume v4.value_is(v2.__ctobpl_const_8, e);
+ assume v4.value_is(v2.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(e)]);
+ goto label_10#2;
+
+ label_10#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 22} true;
+ res := 0 - 1;
+ assume v4.value_is(v2.__ctobpl_const_10, res);
+ goto label_11#2;
+
+ label_11#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 24} true;
+ goto label_11_case_0#2, label_11_case_1#2, label_11_case_2#2;
+
+ label_11_case_2#2:
+ assume v4.INT_EQ(op, 2);
+ assume v4.value_is(v2.__ctobpl_const_11, op);
+ goto label_14#2;
+
+ label_14#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 29} true;
+ res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(a1, a2, 1);
+ assume v4.value_is(v2.__ctobpl_const_18, res);
+ assume v4.value_is(v2.__ctobpl_const_19, a1);
+ assume v4.value_is(v2.__ctobpl_const_20, a2);
+ goto label_12#2;
+
+ label_11_case_1#2:
+ assume v4.INT_EQ(op, 1);
+ assume v4.value_is(v2.__ctobpl_const_11, op);
+ goto label_13#2;
+
+ label_13#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 27} true;
+ res := v4.PLUS(a1, 1, a2);
+ assume v4.value_is(v2.__ctobpl_const_15, res);
+ assume v4.value_is(v2.__ctobpl_const_16, a1);
+ assume v4.value_is(v2.__ctobpl_const_17, a2);
+ goto label_12#2;
+
+ label_11_case_0#2:
+ assume v4.INT_NEQ(op, 1);
+ assume v4.INT_NEQ(op, 2);
+ assume v4.value_is(v2.__ctobpl_const_11, op);
+ goto label_12#2;
+
+ label_12#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 35} true;
+ assert true;
+ v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(e) := res];
+ assume v4.value_is(v2.__ctobpl_const_12, e);
+ assume v4.value_is(v2.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
+ assume v4.value_is(v2.__ctobpl_const_14, res);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 36} true;
+ return;
+}
+
+
+
+implementation v2.EvalEntry1(e_.1: int, outval_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var e: int;
+ var outval: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ assume v4.INT_LT(outval_.1, v4.alloc);
+ e := 0;
+ outval := 0;
+ e := e_.1;
+ outval := outval_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 40} true;
+ call v2.Eval(e);
+ assume v4.value_is(v2.__ctobpl_const_21, e);
+ assume v4.value_is(v2.__ctobpl_const_22, e);
+ goto label_6#2;
+
+ label_6#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 41} true;
+ assert true;
+ assert true;
+ v4.Mem_T.INT4 := v4.Mem_T.INT4[outval := v4.Mem_T.result__EXPR[v4.result__EXPR(e)]];
+ assume v4.value_is(v2.__ctobpl_const_23, outval);
+ assume v4.value_is(v2.__ctobpl_const_24, v4.Mem_T.INT4[outval]);
+ assume v4.value_is(v2.__ctobpl_const_25, e);
+ assume v4.value_is(v2.__ctobpl_const_26, v4.Mem_T.result__EXPR[v4.result__EXPR(e)]);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 42} true;
+ return;
+}
+
+
+
+implementation v2.EvalEntry2(e_.1: int)
+{
+ var havoc_stringTemp: int;
+ var condVal: int;
+ var e: int;
+ var tempBoogie0: int;
+ var tempBoogie1: int;
+ var tempBoogie2: int;
+ var tempBoogie3: int;
+ var tempBoogie4: int;
+ var tempBoogie5: int;
+ var tempBoogie6: int;
+ var tempBoogie7: int;
+ var tempBoogie8: int;
+ var tempBoogie9: int;
+ var tempBoogie10: int;
+ var tempBoogie11: int;
+ var tempBoogie12: int;
+ var tempBoogie13: int;
+ var tempBoogie14: int;
+ var tempBoogie15: int;
+ var tempBoogie16: int;
+ var tempBoogie17: int;
+ var tempBoogie18: int;
+ var tempBoogie19: int;
+ var __havoc_dummy_return: int;
+
+ anon0#2:
+ havoc_stringTemp := 0;
+ goto start#2;
+
+ start#2:
+ assume v4.INT_LT(e_.1, v4.alloc);
+ e := 0;
+ e := e_.1;
+ goto label_3#2;
+
+ label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 46} true;
+ call v2.Eval(e);
+ assume v4.value_is(v2.__ctobpl_const_27, e);
+ assume v4.value_is(v2.__ctobpl_const_28, e);
+ goto label_1#2;
+
+ label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 47} true;
+ return;
+}
+
+
+
+implementation v2.__havoc_heapglobal_init()
+{
+
+ anon0#2:
+ return;
+}
+
+
+
+type name;
+
+type byte;
+
+var Output_of_v2.Eval_v4.Mem_T.result__EXPR: [int]int;
+
+var Output_of_v4.Eval_v4.Mem_T.result__EXPR: [int]int;
+
+procedure EQ_v2.Eval__v4.Eval(e_.1: int) returns (AA_TEMP30: bool);
+ modifies v4.Mem_T.result__EXPR, Output_of_v2.Eval_v4.Mem_T.result__EXPR, Output_of_v4.Eval_v4.Mem_T.result__EXPR;
+ ensures AA_TEMP30;
+
+
+
+implementation EQ_v2.Eval__v4.Eval(e_.1: int) returns (AA_TEMP30: bool)
+{
+ var AA_TEMP10: [int]int;
+ var AA_TEMP00: [int]int;
+ var inline$v2.Eval$0$havoc_stringTemp: int;
+ var inline$v2.Eval$0$condVal: int;
+ var inline$v2.Eval$0$a1: int;
+ var inline$v2.Eval$0$a2: int;
+ var inline$v2.Eval$0$e: int;
+ var inline$v2.Eval$0$op: int;
+ var inline$v2.Eval$0$res: int;
+ var inline$v2.Eval$0$tempBoogie0: int;
+ var inline$v2.Eval$0$tempBoogie1: int;
+ var inline$v2.Eval$0$tempBoogie2: int;
+ var inline$v2.Eval$0$tempBoogie3: int;
+ var inline$v2.Eval$0$tempBoogie4: int;
+ var inline$v2.Eval$0$tempBoogie5: int;
+ var inline$v2.Eval$0$tempBoogie6: int;
+ var inline$v2.Eval$0$tempBoogie7: int;
+ var inline$v2.Eval$0$tempBoogie8: int;
+ var inline$v2.Eval$0$tempBoogie9: int;
+ var inline$v2.Eval$0$tempBoogie10: int;
+ var inline$v2.Eval$0$tempBoogie11: int;
+ var inline$v2.Eval$0$tempBoogie12: int;
+ var inline$v2.Eval$0$tempBoogie13: int;
+ var inline$v2.Eval$0$tempBoogie14: int;
+ var inline$v2.Eval$0$tempBoogie15: int;
+ var inline$v2.Eval$0$tempBoogie16: int;
+ var inline$v2.Eval$0$tempBoogie17: int;
+ var inline$v2.Eval$0$tempBoogie18: int;
+ var inline$v2.Eval$0$tempBoogie19: int;
+ var inline$v2.Eval$0$__havoc_dummy_return: int;
+ var inline$v2.Eval$0$e_.1: int;
+ var inline$v2.Eval$0$v4.Mem_T.result__EXPR: [int]int;
+ var inline$v4.Eval$0$havoc_stringTemp: int;
+ var inline$v4.Eval$0$condVal: int;
+ var inline$v4.Eval$0$a1: int;
+ var inline$v4.Eval$0$a2: int;
+ var inline$v4.Eval$0$e: int;
+ var inline$v4.Eval$0$op: int;
+ var inline$v4.Eval$0$res: int;
+ var inline$v4.Eval$0$result.UnsignedAdd$1: int;
+ var inline$v4.Eval$0$result.UnsignedSub$2: int;
+ var inline$v4.Eval$0$tempBoogie0: int;
+ var inline$v4.Eval$0$tempBoogie1: int;
+ var inline$v4.Eval$0$tempBoogie2: int;
+ var inline$v4.Eval$0$tempBoogie3: int;
+ var inline$v4.Eval$0$tempBoogie4: int;
+ var inline$v4.Eval$0$tempBoogie5: int;
+ var inline$v4.Eval$0$tempBoogie6: int;
+ var inline$v4.Eval$0$tempBoogie7: int;
+ var inline$v4.Eval$0$tempBoogie8: int;
+ var inline$v4.Eval$0$tempBoogie9: int;
+ var inline$v4.Eval$0$tempBoogie10: int;
+ var inline$v4.Eval$0$tempBoogie11: int;
+ var inline$v4.Eval$0$tempBoogie12: int;
+ var inline$v4.Eval$0$tempBoogie13: int;
+ var inline$v4.Eval$0$tempBoogie14: int;
+ var inline$v4.Eval$0$tempBoogie15: int;
+ var inline$v4.Eval$0$tempBoogie16: int;
+ var inline$v4.Eval$0$tempBoogie17: int;
+ var inline$v4.Eval$0$tempBoogie18: int;
+ var inline$v4.Eval$0$tempBoogie19: int;
+ var inline$v4.Eval$0$__havoc_dummy_return: int;
+ var inline$v4.Eval$0$e_.1: int;
+ var inline$v4.Eval$0$v4.Mem_T.result__EXPR: [int]int;
+
+ AA_INSTR_EQ_BODY:
+ AA_TEMP00 := v4.Mem_T.result__EXPR;
+ goto inline$v2.Eval$0$Entry;
+
+ inline$v2.Eval$0$Entry:
+ inline$v2.Eval$0$e_.1 := e_.1;
+ inline$v2.Eval$0$v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
+ goto inline$v2.Eval$0$anon0#2;
+
+ inline$v2.Eval$0$anon0#2:
+ inline$v2.Eval$0$havoc_stringTemp := 0;
+ goto inline$v2.Eval$0$start#2;
+
+ inline$v2.Eval$0$start#2:
+ assume v4.INT_LT(inline$v2.Eval$0$e_.1, v4.alloc);
+ inline$v2.Eval$0$a1 := 0;
+ inline$v2.Eval$0$a2 := 0;
+ inline$v2.Eval$0$e := 0;
+ inline$v2.Eval$0$op := 0;
+ inline$v2.Eval$0$res := 0;
+ inline$v2.Eval$0$e := inline$v2.Eval$0$e_.1;
+ goto inline$v2.Eval$0$label_3#2;
+
+ inline$v2.Eval$0$label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto inline$v2.Eval$0$label_4#2;
+
+ inline$v2.Eval$0$label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto inline$v2.Eval$0$label_5#2;
+
+ inline$v2.Eval$0$label_5#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto inline$v2.Eval$0$label_6#2;
+
+ inline$v2.Eval$0$label_6#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 17} true;
+ goto inline$v2.Eval$0$label_7#2;
+
+ inline$v2.Eval$0$label_7#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 19} true;
+ assert true;
+ inline$v2.Eval$0$op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v2.Eval$0$e)];
+ assume v4.value_is(v2.__ctobpl_const_1, inline$v2.Eval$0$op);
+ assume v4.value_is(v2.__ctobpl_const_2, inline$v2.Eval$0$e);
+ assume v4.value_is(v2.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v2.Eval$0$e)]);
+ goto inline$v2.Eval$0$label_8#2;
+
+ inline$v2.Eval$0$label_8#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 20} true;
+ assert true;
+ inline$v2.Eval$0$a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v2.Eval$0$e)];
+ assume v4.value_is(v2.__ctobpl_const_4, inline$v2.Eval$0$a1);
+ assume v4.value_is(v2.__ctobpl_const_5, inline$v2.Eval$0$e);
+ assume v4.value_is(v2.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v2.Eval$0$e)]);
+ goto inline$v2.Eval$0$label_9#2;
+
+ inline$v2.Eval$0$label_9#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 21} true;
+ assert true;
+ inline$v2.Eval$0$a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v2.Eval$0$e)];
+ assume v4.value_is(v2.__ctobpl_const_7, inline$v2.Eval$0$a2);
+ assume v4.value_is(v2.__ctobpl_const_8, inline$v2.Eval$0$e);
+ assume v4.value_is(v2.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v2.Eval$0$e)]);
+ goto inline$v2.Eval$0$label_10#2;
+
+ inline$v2.Eval$0$label_10#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 22} true;
+ inline$v2.Eval$0$res := 0 - 1;
+ assume v4.value_is(v2.__ctobpl_const_10, inline$v2.Eval$0$res);
+ goto inline$v2.Eval$0$label_11#2;
+
+ inline$v2.Eval$0$label_11#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 24} true;
+ goto inline$v2.Eval$0$label_11_case_0#2, inline$v2.Eval$0$label_11_case_1#2, inline$v2.Eval$0$label_11_case_2#2;
+
+ inline$v2.Eval$0$label_11_case_2#2:
+ assume v4.INT_EQ(inline$v2.Eval$0$op, 2);
+ assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
+ goto inline$v2.Eval$0$label_14#2;
+
+ inline$v2.Eval$0$label_14#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 29} true;
+ inline$v2.Eval$0$res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(inline$v2.Eval$0$a1, inline$v2.Eval$0$a2, 1);
+ assume v4.value_is(v2.__ctobpl_const_18, inline$v2.Eval$0$res);
+ assume v4.value_is(v2.__ctobpl_const_19, inline$v2.Eval$0$a1);
+ assume v4.value_is(v2.__ctobpl_const_20, inline$v2.Eval$0$a2);
+ goto inline$v2.Eval$0$label_12#2;
+
+ inline$v2.Eval$0$label_11_case_1#2:
+ assume v4.INT_EQ(inline$v2.Eval$0$op, 1);
+ assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
+ goto inline$v2.Eval$0$label_13#2;
+
+ inline$v2.Eval$0$label_13#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 27} true;
+ inline$v2.Eval$0$res := v4.PLUS(inline$v2.Eval$0$a1, 1, inline$v2.Eval$0$a2);
+ assume v4.value_is(v2.__ctobpl_const_15, inline$v2.Eval$0$res);
+ assume v4.value_is(v2.__ctobpl_const_16, inline$v2.Eval$0$a1);
+ assume v4.value_is(v2.__ctobpl_const_17, inline$v2.Eval$0$a2);
+ goto inline$v2.Eval$0$label_12#2;
+
+ inline$v2.Eval$0$label_11_case_0#2:
+ assume v4.INT_NEQ(inline$v2.Eval$0$op, 1);
+ assume v4.INT_NEQ(inline$v2.Eval$0$op, 2);
+ assume v4.value_is(v2.__ctobpl_const_11, inline$v2.Eval$0$op);
+ goto inline$v2.Eval$0$label_12#2;
+
+ inline$v2.Eval$0$label_12#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 35} true;
+ assert true;
+ v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v2.Eval$0$e) := inline$v2.Eval$0$res];
+ assume v4.value_is(v2.__ctobpl_const_12, inline$v2.Eval$0$e);
+ assume v4.value_is(v2.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v2.Eval$0$e)]);
+ assume v4.value_is(v2.__ctobpl_const_14, inline$v2.Eval$0$res);
+ goto inline$v2.Eval$0$label_1#2;
+
+ inline$v2.Eval$0$label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v2\foo.c"} {:sourceline 36} true;
+ goto inline$v2.Eval$0$Return;
+
+ inline$v2.Eval$0$Return:
+ goto AA_INSTR_EQ_BODY$1;
+
+ AA_INSTR_EQ_BODY$1:
+ AA_TEMP10 := v4.Mem_T.result__EXPR;
+ v4.Mem_T.result__EXPR := AA_TEMP00;
+ goto inline$v4.Eval$0$Entry;
+
+ inline$v4.Eval$0$Entry:
+ inline$v4.Eval$0$e_.1 := e_.1;
+ inline$v4.Eval$0$v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
+ goto inline$v4.Eval$0$anon0#2;
+
+ inline$v4.Eval$0$anon0#2:
+ inline$v4.Eval$0$havoc_stringTemp := 0;
+ goto inline$v4.Eval$0$start#2;
+
+ inline$v4.Eval$0$start#2:
+ assume v4.INT_LT(inline$v4.Eval$0$e_.1, v4.alloc);
+ inline$v4.Eval$0$a1 := 0;
+ inline$v4.Eval$0$a2 := 0;
+ inline$v4.Eval$0$e := 0;
+ inline$v4.Eval$0$op := 0;
+ inline$v4.Eval$0$res := 0;
+ inline$v4.Eval$0$result.UnsignedAdd$1 := 0;
+ inline$v4.Eval$0$result.UnsignedSub$2 := 0;
+ inline$v4.Eval$0$e := inline$v4.Eval$0$e_.1;
+ goto inline$v4.Eval$0$label_3#2;
+
+ inline$v4.Eval$0$label_3#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto inline$v4.Eval$0$label_4#2;
+
+ inline$v4.Eval$0$label_4#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto inline$v4.Eval$0$label_5#2;
+
+ inline$v4.Eval$0$label_5#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto inline$v4.Eval$0$label_6#2;
+
+ inline$v4.Eval$0$label_6#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 19} true;
+ goto inline$v4.Eval$0$label_7#2;
+
+ inline$v4.Eval$0$label_7#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 21} true;
+ assert true;
+ inline$v4.Eval$0$op := v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v4.Eval$0$e)];
+ assume v4.value_is(v4.__ctobpl_const_1, inline$v4.Eval$0$op);
+ assume v4.value_is(v4.__ctobpl_const_2, inline$v4.Eval$0$e);
+ assume v4.value_is(v4.__ctobpl_const_3, v4.Mem_T.oper__EXPR[v4.oper__EXPR(inline$v4.Eval$0$e)]);
+ goto inline$v4.Eval$0$label_8#2;
+
+ inline$v4.Eval$0$label_8#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 22} true;
+ assert true;
+ inline$v4.Eval$0$a1 := v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v4.Eval$0$e)];
+ assume v4.value_is(v4.__ctobpl_const_4, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_5, inline$v4.Eval$0$e);
+ assume v4.value_is(v4.__ctobpl_const_6, v4.Mem_T.op1__EXPR[v4.op1__EXPR(inline$v4.Eval$0$e)]);
+ goto inline$v4.Eval$0$label_9#2;
+
+ inline$v4.Eval$0$label_9#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 23} true;
+ assert true;
+ inline$v4.Eval$0$a2 := v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v4.Eval$0$e)];
+ assume v4.value_is(v4.__ctobpl_const_7, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_8, inline$v4.Eval$0$e);
+ assume v4.value_is(v4.__ctobpl_const_9, v4.Mem_T.op2__EXPR[v4.op2__EXPR(inline$v4.Eval$0$e)]);
+ goto inline$v4.Eval$0$label_10#2;
+
+ inline$v4.Eval$0$label_10#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 24} true;
+ inline$v4.Eval$0$res := 0 - 1;
+ assume v4.value_is(v4.__ctobpl_const_10, inline$v4.Eval$0$res);
+ goto inline$v4.Eval$0$label_11#2;
+
+ inline$v4.Eval$0$label_11#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 26} true;
+ goto inline$v4.Eval$0$label_11_case_0#2, inline$v4.Eval$0$label_11_case_1#2, inline$v4.Eval$0$label_11_case_2#2;
+
+ inline$v4.Eval$0$label_11_case_2#2:
+ assume v4.INT_EQ(inline$v4.Eval$0$op, 2);
+ assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
+ goto inline$v4.Eval$0$label_14#2;
+
+ inline$v4.Eval$0$label_14#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 35} true;
+ goto inline$v4.Eval$0$label_14_true#2, inline$v4.Eval$0$label_14_false#2;
+
+ inline$v4.Eval$0$label_14_false#2:
+ assume v4.isUnsigned == 0;
+ assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
+ goto inline$v4.Eval$0$label_15#2;
+
+ inline$v4.Eval$0$label_15#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 38} true;
+ inline$v4.Eval$0$res := v4.MINUS_BOTH_PTR_OR_BOTH_INT(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2, 1);
+ assume v4.value_is(v4.__ctobpl_const_17, inline$v4.Eval$0$res);
+ assume v4.value_is(v4.__ctobpl_const_18, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_19, inline$v4.Eval$0$a2);
+ goto inline$v4.Eval$0$label_12#2;
+
+ inline$v4.Eval$0$label_14_true#2:
+ assume v4.isUnsigned != 0;
+ assume v4.value_is(v4.__ctobpl_const_16, v4.isUnsigned);
+ goto inline$v4.Eval$0$label_16#2;
+
+ inline$v4.Eval$0$label_16#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
+ call inline$v4.Eval$0$result.UnsignedSub$2 := v4.UnsignedSub(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_20, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_21, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_22, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_23, inline$v4.Eval$0$a2);
+ goto inline$v4.Eval$0$label_19#2;
+
+ inline$v4.Eval$0$label_19#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 36} true;
+ inline$v4.Eval$0$res := inline$v4.Eval$0$result.UnsignedSub$2;
+ assume v4.value_is(v4.__ctobpl_const_24, inline$v4.Eval$0$res);
+ assume v4.value_is(v4.__ctobpl_const_25, inline$v4.Eval$0$result.UnsignedSub$2);
+ goto inline$v4.Eval$0$label_12#2;
+
+ inline$v4.Eval$0$label_11_case_1#2:
+ assume v4.INT_EQ(inline$v4.Eval$0$op, 1);
+ assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
+ goto inline$v4.Eval$0$label_13#2;
+
+ inline$v4.Eval$0$label_13#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 29} true;
+ goto inline$v4.Eval$0$label_13_true#2, inline$v4.Eval$0$label_13_false#2;
+
+ inline$v4.Eval$0$label_13_false#2:
+ assume v4.isUnsigned == 0;
+ assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
+ goto inline$v4.Eval$0$label_20#2;
+
+ inline$v4.Eval$0$label_20#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 32} true;
+ inline$v4.Eval$0$res := v4.PLUS(inline$v4.Eval$0$a1, 1, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_26, inline$v4.Eval$0$res);
+ assume v4.value_is(v4.__ctobpl_const_27, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_28, inline$v4.Eval$0$a2);
+ goto inline$v4.Eval$0$label_12#2;
+
+ inline$v4.Eval$0$label_13_true#2:
+ assume v4.isUnsigned != 0;
+ assume v4.value_is(v4.__ctobpl_const_15, v4.isUnsigned);
+ goto inline$v4.Eval$0$label_21#2;
+
+ inline$v4.Eval$0$label_21#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
+ call inline$v4.Eval$0$result.UnsignedAdd$1 := v4.UnsignedAdd(inline$v4.Eval$0$a1, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_29, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_30, inline$v4.Eval$0$a2);
+ assume v4.value_is(v4.__ctobpl_const_31, inline$v4.Eval$0$a1);
+ assume v4.value_is(v4.__ctobpl_const_32, inline$v4.Eval$0$a2);
+ goto inline$v4.Eval$0$label_24#2;
+
+ inline$v4.Eval$0$label_24#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 30} true;
+ inline$v4.Eval$0$res := inline$v4.Eval$0$result.UnsignedAdd$1;
+ assume v4.value_is(v4.__ctobpl_const_33, inline$v4.Eval$0$res);
+ assume v4.value_is(v4.__ctobpl_const_34, inline$v4.Eval$0$result.UnsignedAdd$1);
+ goto inline$v4.Eval$0$label_12#2;
+
+ inline$v4.Eval$0$label_11_case_0#2:
+ assume v4.INT_NEQ(inline$v4.Eval$0$op, 1);
+ assume v4.INT_NEQ(inline$v4.Eval$0$op, 2);
+ assume v4.value_is(v4.__ctobpl_const_11, inline$v4.Eval$0$op);
+ goto inline$v4.Eval$0$label_12#2;
+
+ inline$v4.Eval$0$label_12#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 41} true;
+ assert true;
+ v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v4.Eval$0$e) := inline$v4.Eval$0$res];
+ assume v4.value_is(v4.__ctobpl_const_12, inline$v4.Eval$0$e);
+ assume v4.value_is(v4.__ctobpl_const_13, v4.Mem_T.result__EXPR[v4.result__EXPR(inline$v4.Eval$0$e)]);
+ assume v4.value_is(v4.__ctobpl_const_14, inline$v4.Eval$0$res);
+ goto inline$v4.Eval$0$label_1#2;
+
+ inline$v4.Eval$0$label_1#2:
+ assert {:sourcefile "c:\tvm\projects\symb_diff\symdiff\test\c_examples\ex3\v4\foo.c"} {:sourceline 42} true;
+ goto inline$v4.Eval$0$Return;
+
+ inline$v4.Eval$0$Return:
+ goto AA_INSTR_EQ_BODY$2;
+
+ AA_INSTR_EQ_BODY$2:
+ Output_of_v2.Eval_v4.Mem_T.result__EXPR := AA_TEMP10;
+ Output_of_v4.Eval_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
+ AA_TEMP30 := AA_TEMP10 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP10[_x0] == v4.Mem_T.result__EXPR[_x0]);
+ return;
+}
+
+
+
+var Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR: [int]int;
+
+var Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR: [int]int;
+
+var Output_of_v2.EvalEntry1_v4.Mem_T.INT4: [int]int;
+
+var Output_of_v4.EvalEntry1_v4.Mem_T.INT4: [int]int;
+
+var Output_of_v2.EvalEntry1_v4.isUnsigned: int;
+
+var Output_of_v4.EvalEntry1_v4.isUnsigned: int;
+
+procedure EQ_v2.EvalEntry1__v4.EvalEntry1(e_.1: int, outval_.1: int) returns (AA_TEMP80: bool, AA_TEMP81: bool, AA_TEMP82: bool);
+ modifies v4.Mem_T.result__EXPR, v4.Mem_T.INT4, v4.isUnsigned, Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR, Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR, Output_of_v2.EvalEntry1_v4.Mem_T.INT4, Output_of_v4.EvalEntry1_v4.Mem_T.INT4, Output_of_v2.EvalEntry1_v4.isUnsigned, Output_of_v4.EvalEntry1_v4.isUnsigned;
+ ensures AA_TEMP82 && AA_TEMP81 && AA_TEMP80;
+
+
+
+implementation EQ_v2.EvalEntry1__v4.EvalEntry1(e_.1: int, outval_.1: int) returns (AA_TEMP80: bool, AA_TEMP81: bool, AA_TEMP82: bool)
+{
+ var AA_TEMP60: [int]int;
+ var AA_TEMP61: [int]int;
+ var AA_TEMP62: int;
+ var AA_TEMP50: [int]int;
+ var AA_TEMP51: [int]int;
+ var AA_TEMP52: int;
+
+ AA_INSTR_EQ_BODY:
+ AA_TEMP50 := v4.Mem_T.result__EXPR;
+ AA_TEMP51 := v4.Mem_T.INT4;
+ AA_TEMP52 := v4.isUnsigned;
+ call v2.EvalEntry1(e_.1, outval_.1);
+ AA_TEMP60 := v4.Mem_T.result__EXPR;
+ AA_TEMP61 := v4.Mem_T.INT4;
+ AA_TEMP62 := v4.isUnsigned;
+ v4.Mem_T.result__EXPR := AA_TEMP50;
+ v4.Mem_T.INT4 := AA_TEMP51;
+ v4.isUnsigned := AA_TEMP52;
+ call v4.EvalEntry1(e_.1, outval_.1);
+ Output_of_v2.EvalEntry1_v4.Mem_T.result__EXPR := AA_TEMP60;
+ Output_of_v4.EvalEntry1_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
+ Output_of_v2.EvalEntry1_v4.Mem_T.INT4 := AA_TEMP61;
+ Output_of_v4.EvalEntry1_v4.Mem_T.INT4 := v4.Mem_T.INT4;
+ Output_of_v2.EvalEntry1_v4.isUnsigned := AA_TEMP62;
+ Output_of_v4.EvalEntry1_v4.isUnsigned := v4.isUnsigned;
+ AA_TEMP80, AA_TEMP81, AA_TEMP82 := AA_TEMP60 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP60[_x0] == v4.Mem_T.result__EXPR[_x0]), AA_TEMP61 == v4.Mem_T.INT4 || (forall _x0: int :: AA_TEMP61[_x0] == v4.Mem_T.INT4[_x0]), AA_TEMP62 == v4.isUnsigned;
+ return;
+}
+
+
+
+var Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR: [int]int;
+
+var Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR: [int]int;
+
+var Output_of_v2.EvalEntry2_v4.isUnsigned: int;
+
+var Output_of_v4.EvalEntry2_v4.isUnsigned: int;
+
+procedure EQ_v2.EvalEntry2__v4.EvalEntry2(e_.1: int) returns (AA_TEMP130: bool, AA_TEMP131: bool);
+ modifies v4.Mem_T.result__EXPR, v4.isUnsigned, Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR, Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR, Output_of_v2.EvalEntry2_v4.isUnsigned, Output_of_v4.EvalEntry2_v4.isUnsigned;
+ ensures AA_TEMP131 && AA_TEMP130;
+
+
+
+implementation EQ_v2.EvalEntry2__v4.EvalEntry2(e_.1: int) returns (AA_TEMP130: bool, AA_TEMP131: bool)
+{
+ var AA_TEMP110: [int]int;
+ var AA_TEMP111: int;
+ var AA_TEMP100: [int]int;
+ var AA_TEMP101: int;
+
+ AA_INSTR_EQ_BODY:
+ AA_TEMP100 := v4.Mem_T.result__EXPR;
+ AA_TEMP101 := v4.isUnsigned;
+ call v2.EvalEntry2(e_.1);
+ AA_TEMP110 := v4.Mem_T.result__EXPR;
+ AA_TEMP111 := v4.isUnsigned;
+ v4.Mem_T.result__EXPR := AA_TEMP100;
+ v4.isUnsigned := AA_TEMP101;
+ call v4.EvalEntry2(e_.1);
+ Output_of_v2.EvalEntry2_v4.Mem_T.result__EXPR := AA_TEMP110;
+ Output_of_v4.EvalEntry2_v4.Mem_T.result__EXPR := v4.Mem_T.result__EXPR;
+ Output_of_v2.EvalEntry2_v4.isUnsigned := AA_TEMP111;
+ Output_of_v4.EvalEntry2_v4.isUnsigned := v4.isUnsigned;
+ AA_TEMP130, AA_TEMP131 := AA_TEMP110 == v4.Mem_T.result__EXPR || (forall _x0: int :: AA_TEMP110[_x0] == v4.Mem_T.result__EXPR[_x0]), AA_TEMP111 == v4.isUnsigned;
+ return;
+}
+
+
+
+function _uf_v4.__HAVOC_malloc_new(arg_0: int) : int;
+
+function _uf_v2.__HAVOC_malloc_new(arg_0: int) : int;
+
+function _uf_v4.__HAVOC_det_malloc_new(arg_0: int) : int;
+
+function _uf_v2.__HAVOC_det_malloc_new(arg_0: int) : int;
+
+function _uf_v4.__HAVOC_memset_split_1_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v2.__HAVOC_memset_split_1_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v4.__HAVOC_memset_split_2_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v2.__HAVOC_memset_split_2_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v4.__HAVOC_memset_split_4_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v2.__HAVOC_memset_split_4_ret(arg_0: [int]int, arg_1: int, arg_2: int, arg_3: int) : [int]int;
+
+function _uf_v4.nondet_choice_x() : int;
+
+function _uf_v2.nondet_choice_x() : int;
+
+function _uf_v4.det_choice_x() : int;
+
+function _uf_v2.det_choice_x() : int;
+
+function _uf_v4._strdup_new(arg_0: int) : int;
+
+function _uf_v2._strdup_new(arg_0: int) : int;
+
+function _uf_v4._xstrcasecmp_ret(arg_0: int, arg_1: int) : int;
+
+function _uf_v2._xstrcasecmp_ret(arg_0: int, arg_1: int) : int;
+
+function _uf_v4._xstrcmp_ret(arg_0: int, arg_1: int) : int;
+
+function _uf_v2._xstrcmp_ret(arg_0: int, arg_1: int) : int;
+
+function _uf_v4.Eval_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
+
+function _uf_v2.Eval_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
+
+function _uf_v4.EvalEntry1_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
+
+function _uf_v4.EvalEntry1_v4.Mem_T.INT4(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
+
+function _uf_v4.EvalEntry1_v4.isUnsigned(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : int;
+
+function _uf_v2.EvalEntry1_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
+
+function _uf_v2.EvalEntry1_v4.Mem_T.INT4(arg_0: int, arg_1: int, arg_2: int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: [int]int, arg_7: [int]int, arg_8: int) : [int]int;
+
+function _uf_v4.EvalEntry2_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
+
+function _uf_v4.EvalEntry2_v4.isUnsigned(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : int;
+
+function _uf_v2.EvalEntry2_v4.Mem_T.result__EXPR(arg_0: int, arg_1: int, arg_2: [int]int, arg_3: [int]int, arg_4: [int]int, arg_5: [int]int, arg_6: int) : [int]int;
diff --git a/Test/prover/usedot.bpl b/Test/prover/usedot.bpl
new file mode 100644
index 00000000..5815236e
--- /dev/null
+++ b/Test/prover/usedot.bpl
@@ -0,0 +1,9 @@
+// RUN: %boogie -typeEncoding:m -proverLog:"%t.smt2" "%s"
+// RUN: %OutputCheck "%s" --file-to-check="%t.smt2"
+procedure foo() {
+ // . is an illegal starting character in SMT-LIBv2
+ // so test that we don't emit it as a symbol name.
+ // CHECK-L:(declare-fun q@.x () Int)
+ var .x:int;
+ assert .x == 0;
+}
diff --git a/Test/prover/z3mutl.bpl b/Test/prover/z3mutl.bpl
index f42f7bb4..84b82ed6 100644
--- a/Test/prover/z3mutl.bpl
+++ b/Test/prover/z3mutl.bpl
@@ -1,24 +1,24 @@
-// RUN: %boogie -typeEncoding:m -z3multipleErrors "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var x:int;
-
-procedure Foo(){
-
-start:
-
- goto L1, L2, L3, L4;
-L1: assume x == 1;
- goto L5;
-
-L2: assume x == 2;
- goto L5;
-
-L3: assume x == 3;
- goto L5;
-
-L4: assume x > 10;
- goto L5;
-
-L5: assert (x > 4);
-
+// RUN: %boogie -typeEncoding:m -z3multipleErrors "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var x:int;
+
+procedure Foo(){
+
+start:
+
+ goto L1, L2, L3, L4;
+L1: assume x == 1;
+ goto L5;
+
+L2: assume x == 2;
+ goto L5;
+
+L3: assume x == 3;
+ goto L5;
+
+L4: assume x > 10;
+ goto L5;
+
+L5: assert (x > 4);
+
} \ No newline at end of file
diff --git a/Test/secure/tworound.bpl b/Test/secure/tworound.bpl
new file mode 100644
index 00000000..a78c4af4
--- /dev/null
+++ b/Test/secure/tworound.bpl
@@ -0,0 +1,116 @@
+type T = bv4;
+function {:bvbuiltin "bvult"} bvlt(p1: T, p2: T) : bool; // unsigned less than
+function {:bvbuiltin "bvxor"} xorT(p1: T, p2: T) : T;
+function {:bvbuiltin "bvadd"} bvadd(p1: T, p2: T) : T;
+
+
+procedure bar({:visible} v: T)
+ returns ({:hidden} h: T)
+ ensures true;
+{
+ h := v;
+}
+
+procedure foo0({:visible} x1: T, {:visible} x2: T, {:hidden} y1: T, {:hidden} y2: T)
+ returns ({:visible} r1: bool, {:visible} r2: bool,
+ {:visible} s1: T, {:visible} s2: T, {:visible} s3: T, {:visible} s4: T)
+ ensures (r2 == bvlt(bvadd(x1,x2), bvadd(y1,y2))) && (r1 == bvlt(x1, y1));
+{
+ var {:hidden} t1, t2: T;
+
+ r1 := bvlt(x1, y1);
+
+ havoc s1;
+ havoc s2;
+
+ s3 := xorT(x1, s1);
+ s4 := xorT(y1, s2);
+
+ t1 := xorT(s1, s3);
+ t2 := xorT(s2, s4);
+
+ r2 := bvlt(bvadd(x2, t1), bvadd(y2, t2));
+}
+
+
+procedure foo1({:visible} x1: T, {:visible} x2: T, {:hidden} y1: T, {:hidden} y2: T)
+ returns ({:visible} r1: bool, {:visible} r2: bool,
+ {:visible} s1: T, {:visible} s2: T, {:hidden} s3: T, {:hidden} s4: T)
+ ensures (r2 == bvlt(bvadd(x1,x2), bvadd(y1,y2))) && (r1 == bvlt(x1, y1));
+{
+ var {:hidden} t1, t2: T;
+
+ r1 := bvlt(x1, y1);
+
+ havoc s1;
+ havoc s2;
+
+ s3 := xorT(x1, s1);
+ s4 := xorT(y1, s2);
+
+ t1 := xorT(s1, s3);
+ t2 := xorT(s2, s4);
+
+ r2 := bvlt(bvadd(x2, t1), bvadd(y2, t2));
+}
+
+
+
+procedure foo2({:visible} x1: T, {:visible} x2: T, {:hidden} y1: T, {:hidden} y2: T)
+ returns ({:visible} r1: bool, {:visible} r2: bool,
+ {:visible} s1: T, {:visible} s2: T)
+ ensures (r2 == bvlt(bvadd(x1,x2), bvadd(y1,y2))) && (r1 == bvlt(x1, y1));
+{
+ var {:hidden} t1, t2: T;
+ var {:hidden} s3, s4: T;
+
+ r1 := bvlt(x1, y1);
+
+ havoc s1;
+ havoc s2;
+
+ s3 := xorT(x1, s1);
+ s4 := xorT(y1, s2);
+
+ t1 := xorT(s1, s3);
+ t2 := xorT(s2, s4);
+
+ r2 := bvlt(bvadd(x2, t1), bvadd(y2, t2));
+}
+
+procedure foo3({:visible} x1: T, {:visible} x2: T, {:hidden} y1: T, {:hidden} y2: T)
+ returns ({:visible} r1: bool, {:visible} r2: bool,
+ {:visible} s1: T, {:visible} s2: T, {:hidden} s3: T, {:hidden} s4: T)
+ ensures (r2 == bvlt(bvadd(x1,x2), bvadd(y1,y2))) && (r1 == bvlt(x1, y1)) && (s4 == xorT(y1,s2)) && (s3 == xorT(x1, s1));
+{
+ var {:hidden} t1, t2: T;
+
+ r1 := bvlt(x1, y1);
+
+ havoc s1;
+ havoc s2;
+
+ s3 := xorT(x1, s1);
+ s4 := xorT(y1, s2);
+
+ t1 := xorT(s1, s3);
+ t2 := xorT(s2, s4);
+
+ r2 := bvlt(bvadd(x2, t1), bvadd(y2, t2));
+}
+
+
+
+procedure bid({:visible} x1: T, {:visible} x2: T, {:hidden} y1: T, {:hidden} y2: T)
+ returns ({:visible} r: bool)
+ ensures r == bvlt(bvadd(x1,x2), bvadd(y1,y2));
+{
+ var {:hidden} r1, r2: bool;
+ var {:hidden} s1, s2, s3, s4: T;
+
+ call r1, r2, s1, s2, s3, s4 := foo1(x1, x2, y1, y2);
+
+ r := r2;
+}
+
+
diff --git a/Test/smoke/smoke0.bpl b/Test/smoke/smoke0.bpl
index 87531c8d..6c4c8167 100644
--- a/Test/smoke/smoke0.bpl
+++ b/Test/smoke/smoke0.bpl
@@ -1,55 +1,55 @@
-// RUN: %boogie -smoke "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure a(x:int)
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-procedure b(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
-
-procedure c(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- y := 1;
- assert false;
- } else {
- y := 2;
- }
-}
-
-procedure d(x:int)
- requires x>0;
-{
- var y : int;
-
- if(x<0) {
- assert false;
- y := 1;
- } else {
- y := 2;
- }
-}
-
-
+// RUN: %boogie -smoke "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure a(x:int)
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+procedure b(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
+
+procedure c(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ y := 1;
+ assert false;
+ } else {
+ y := 2;
+ }
+}
+
+procedure d(x:int)
+ requires x>0;
+{
+ var y : int;
+
+ if(x<0) {
+ assert false;
+ y := 1;
+ } else {
+ y := 2;
+ }
+}
+
+
diff --git a/Test/snapshots/Snapshots0.v0.bpl b/Test/snapshots/Snapshots0.v0.bpl
index c75e9520..68143cdf 100644
--- a/Test/snapshots/Snapshots0.v0.bpl
+++ b/Test/snapshots/Snapshots0.v0.bpl
@@ -1,42 +1,42 @@
-// id = "P1:0"
-// priority = 3
-// checksum = "123"
-//
-// Action: verify
-procedure {:priority 3} {:checksum "123"} P1()
-{
- assert false;
-}
-
-
-// id = "P2:0"
-// priority = 3
-// checksum = null
-//
-// Action: verify
-procedure {:priority 3} P2()
-{
- assert false;
-}
-
-
-// id = "P3:0"
-// priority = 1
-// checksum = null
-//
-// Action: verify
-procedure P3()
-{
- assert false;
-}
-
-
-// id = "P0:1"
-// priority = 5
-// checksum = "012"
-//
-// Action: verify
-procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
-{
- assert false;
-}
+// id = "P1:0"
+// priority = 3
+// checksum = "123"
+//
+// Action: verify
+procedure {:priority 3} {:checksum "123"} P1()
+{
+ assert false;
+}
+
+
+// id = "P2:0"
+// priority = 3
+// checksum = null
+//
+// Action: verify
+procedure {:priority 3} P2()
+{
+ assert false;
+}
+
+
+// id = "P3:0"
+// priority = 1
+// checksum = null
+//
+// Action: verify
+procedure P3()
+{
+ assert false;
+}
+
+
+// id = "P0:1"
+// priority = 5
+// checksum = "012"
+//
+// Action: verify
+procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
+{
+ assert false;
+}
diff --git a/Test/snapshots/Snapshots0.v1.bpl b/Test/snapshots/Snapshots0.v1.bpl
index efd30c8f..2be6ec1c 100644
--- a/Test/snapshots/Snapshots0.v1.bpl
+++ b/Test/snapshots/Snapshots0.v1.bpl
@@ -1,42 +1,42 @@
-// id = "P0:1"
-// priority = 5
-// checksum = "012"
-//
-// Action: skip
-procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
-{
- assert false;
-}
-
-
-// id = "P1:0"
-// priority = 5
-// checksum = "234"
-//
-// Action: verify (unknown checksum)
-procedure {:priority 5} {:checksum "234"} P1()
-{
- assert true;
-}
-
-
-// id = "P2:0"
-// priority = 3
-// checksum = null
-//
-// Action: verify (no checksum)
-procedure {:priority 3} P2()
-{
- assert false;
-}
-
-
-// id = "P3:0"
-// priority = 1
-// checksum = "234"
-//
-// Action: verify (unknown checksum)
-procedure {:checksum "234"} P3()
-{
- assert true;
-}
+// id = "P0:1"
+// priority = 5
+// checksum = "012"
+//
+// Action: skip
+procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
+{
+ assert false;
+}
+
+
+// id = "P1:0"
+// priority = 5
+// checksum = "234"
+//
+// Action: verify (unknown checksum)
+procedure {:priority 5} {:checksum "234"} P1()
+{
+ assert true;
+}
+
+
+// id = "P2:0"
+// priority = 3
+// checksum = null
+//
+// Action: verify (no checksum)
+procedure {:priority 3} P2()
+{
+ assert false;
+}
+
+
+// id = "P3:0"
+// priority = 1
+// checksum = "234"
+//
+// Action: verify (unknown checksum)
+procedure {:checksum "234"} P3()
+{
+ assert true;
+}
diff --git a/Test/snapshots/Snapshots0.v2.bpl b/Test/snapshots/Snapshots0.v2.bpl
index 156977f7..8c7efe24 100644
--- a/Test/snapshots/Snapshots0.v2.bpl
+++ b/Test/snapshots/Snapshots0.v2.bpl
@@ -1,31 +1,31 @@
-// id = "P0:1"
-// priority = 5
-// checksum = "012"
-//
-// Action: skip
-procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
-{
- assert false;
-}
-
-
-// id = "P1:0"
-// priority = 1
-// checksum = "234"
-//
-// Action: skip
-procedure {:priority 1} {:checksum "234"} P1()
-{
- assert true;
-}
-
-
-// id = "P3:0"
-// priority = 1
-// checksum = "234"
-//
-// Action: skip
-procedure {:checksum "234"} P3()
-{
- assert true;
-}
+// id = "P0:1"
+// priority = 5
+// checksum = "012"
+//
+// Action: skip
+procedure {:id "P0:1"} {:priority 5} {:checksum "012"} P0()
+{
+ assert false;
+}
+
+
+// id = "P1:0"
+// priority = 1
+// checksum = "234"
+//
+// Action: skip
+procedure {:priority 1} {:checksum "234"} P1()
+{
+ assert true;
+}
+
+
+// id = "P3:0"
+// priority = 1
+// checksum = "234"
+//
+// Action: skip
+procedure {:checksum "234"} P3()
+{
+ assert true;
+}
diff --git a/Test/snapshots/Snapshots1.v0.bpl b/Test/snapshots/Snapshots1.v0.bpl
index ea2c06bb..749db7af 100644
--- a/Test/snapshots/Snapshots1.v0.bpl
+++ b/Test/snapshots/Snapshots1.v0.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "P1$proc#0"} P1();
-// Action: verify
-implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
-{
- call P2();
-}
-
-
-procedure {:checksum "P2$proc#0"} P2();
-// Action: verify
-implementation {:id "P2"} {:checksum "P2$impl#0"} P2()
-{
- assert 1 != 1;
-}
+procedure {:checksum "P1$proc#0"} P1();
+// Action: verify
+implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
+{
+ call P2();
+}
+
+
+procedure {:checksum "P2$proc#0"} P2();
+// Action: verify
+implementation {:id "P2"} {:checksum "P2$impl#0"} P2()
+{
+ assert 1 != 1;
+}
diff --git a/Test/snapshots/Snapshots1.v1.bpl b/Test/snapshots/Snapshots1.v1.bpl
index 9fbe44af..9dc8b779 100644
--- a/Test/snapshots/Snapshots1.v1.bpl
+++ b/Test/snapshots/Snapshots1.v1.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "P1$proc#0"} P1();
-// Action: skip
-implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
-{
- call P2();
-}
-
-
-procedure {:checksum "P2$proc#0"} P2();
-// Action: verify
-implementation {:id "P2"} {:checksum "P2$impl#1"} P2()
-{
- assert 2 != 2;
-}
+procedure {:checksum "P1$proc#0"} P1();
+// Action: skip
+implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
+{
+ call P2();
+}
+
+
+procedure {:checksum "P2$proc#0"} P2();
+// Action: verify
+implementation {:id "P2"} {:checksum "P2$impl#1"} P2()
+{
+ assert 2 != 2;
+}
diff --git a/Test/snapshots/Snapshots1.v2.bpl b/Test/snapshots/Snapshots1.v2.bpl
index c699631e..9d399207 100644
--- a/Test/snapshots/Snapshots1.v2.bpl
+++ b/Test/snapshots/Snapshots1.v2.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "P1$proc#0"} P1();
-// Action: verify
-implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
-{
- call P2();
-}
-
-
-procedure {:checksum "P2$proc#1"} P2();
- requires false;
-// Action: verify
-implementation {:id "P2"} {:checksum "P2$impl#1"} P2()
-{
- assert 2 != 2;
-}
+procedure {:checksum "P1$proc#0"} P1();
+// Action: verify
+implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
+{
+ call P2();
+}
+
+
+procedure {:checksum "P2$proc#1"} P2();
+ requires false;
+// Action: verify
+implementation {:id "P2"} {:checksum "P2$impl#1"} P2()
+{
+ assert 2 != 2;
+}
diff --git a/Test/snapshots/Snapshots10.v0.bpl b/Test/snapshots/Snapshots10.v0.bpl
index bdbb6b63..80471302 100644
--- a/Test/snapshots/Snapshots10.v0.bpl
+++ b/Test/snapshots/Snapshots10.v0.bpl
@@ -1,20 +1,20 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- call O();
-
- assert 0 <= x;
-}
-
-procedure {:checksum "2"} N(n: int) returns (r: int);
- requires 0 < n;
- ensures 0 < r;
-
-procedure {:checksum "3"} O();
- ensures true;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ call O();
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "2"} N(n: int) returns (r: int);
+ requires 0 < n;
+ ensures 0 < r;
+
+procedure {:checksum "3"} O();
+ ensures true;
diff --git a/Test/snapshots/Snapshots10.v1.bpl b/Test/snapshots/Snapshots10.v1.bpl
index d4c09a5f..afc47e4c 100644
--- a/Test/snapshots/Snapshots10.v1.bpl
+++ b/Test/snapshots/Snapshots10.v1.bpl
@@ -1,21 +1,21 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- call O();
-
- assert 0 <= x;
-}
-
-procedure {:checksum "4"} N(n: int) returns (r: int);
- requires 0 < n;
- // Change: stronger postcondition
- ensures 42 < r;
-
-procedure {:checksum "3"} O();
- ensures true;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ call O();
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "4"} N(n: int) returns (r: int);
+ requires 0 < n;
+ // Change: stronger postcondition
+ ensures 42 < r;
+
+procedure {:checksum "3"} O();
+ ensures true;
diff --git a/Test/snapshots/Snapshots11.v0.bpl b/Test/snapshots/Snapshots11.v0.bpl
index 10b4ed43..89634552 100644
--- a/Test/snapshots/Snapshots11.v0.bpl
+++ b/Test/snapshots/Snapshots11.v0.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} M(n: int);
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "2"} N(n: int) returns (r: int);
- requires 0 < n;
- ensures 0 < r;
+procedure {:checksum "0"} M(n: int);
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "2"} N(n: int) returns (r: int);
+ requires 0 < n;
+ ensures 0 < r;
diff --git a/Test/snapshots/Snapshots11.v1.bpl b/Test/snapshots/Snapshots11.v1.bpl
index 3c3ea476..a6e6c64a 100644
--- a/Test/snapshots/Snapshots11.v1.bpl
+++ b/Test/snapshots/Snapshots11.v1.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M(n: int);
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "3"} N(n: int) returns (r: int);
- requires 0 < n;
- // Change: weaker postcondition
- ensures 0 <= r;
+procedure {:checksum "0"} M(n: int);
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "3"} N(n: int) returns (r: int);
+ requires 0 < n;
+ // Change: weaker postcondition
+ ensures 0 <= r;
diff --git a/Test/snapshots/Snapshots12.v0.bpl b/Test/snapshots/Snapshots12.v0.bpl
index da219bfd..56205ec8 100644
--- a/Test/snapshots/Snapshots12.v0.bpl
+++ b/Test/snapshots/Snapshots12.v0.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F();
-
-function {:checksum "3"} F() : bool
-{
- false
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F();
+
+function {:checksum "3"} F() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots12.v1.bpl b/Test/snapshots/Snapshots12.v1.bpl
index f71e3c5f..d3747c5f 100644
--- a/Test/snapshots/Snapshots12.v1.bpl
+++ b/Test/snapshots/Snapshots12.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F();
-
-function {:checksum "4"} F() : bool
-{
- true
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F();
+
+function {:checksum "4"} F() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots13.v0.bpl b/Test/snapshots/Snapshots13.v0.bpl
index 79dfe2c3..e4f80c70 100644
--- a/Test/snapshots/Snapshots13.v0.bpl
+++ b/Test/snapshots/Snapshots13.v0.bpl
@@ -1,21 +1,21 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F() && G();
-
-function {:checksum "3"} F() : bool
-{
- true
-}
-
-function {:checksum "4"} G() : bool
-{
- false
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F() && G();
+
+function {:checksum "3"} F() : bool
+{
+ true
+}
+
+function {:checksum "4"} G() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots13.v1.bpl b/Test/snapshots/Snapshots13.v1.bpl
index a7ec6bfb..4c74d06e 100644
--- a/Test/snapshots/Snapshots13.v1.bpl
+++ b/Test/snapshots/Snapshots13.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F();
-
-function {:checksum "3"} F() : bool
-{
- true
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F();
+
+function {:checksum "3"} F() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots14.v0.bpl b/Test/snapshots/Snapshots14.v0.bpl
index 79dfe2c3..e4f80c70 100644
--- a/Test/snapshots/Snapshots14.v0.bpl
+++ b/Test/snapshots/Snapshots14.v0.bpl
@@ -1,21 +1,21 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F() && G();
-
-function {:checksum "3"} F() : bool
-{
- true
-}
-
-function {:checksum "4"} G() : bool
-{
- false
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F() && G();
+
+function {:checksum "3"} F() : bool
+{
+ true
+}
+
+function {:checksum "4"} G() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots14.v1.bpl b/Test/snapshots/Snapshots14.v1.bpl
index b33c3430..b8c6c061 100644
--- a/Test/snapshots/Snapshots14.v1.bpl
+++ b/Test/snapshots/Snapshots14.v1.bpl
@@ -1,21 +1,21 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures F();
-
-function {:checksum "3"} F() : bool
-{
- true
-}
-
-function {:checksum "4"} G() : bool
-{
- false
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures F();
+
+function {:checksum "3"} F() : bool
+{
+ true
+}
+
+function {:checksum "4"} G() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots15.v0.bpl b/Test/snapshots/Snapshots15.v0.bpl
index a947157d..e3dfb3f3 100644
--- a/Test/snapshots/Snapshots15.v0.bpl
+++ b/Test/snapshots/Snapshots15.v0.bpl
@@ -1,17 +1,17 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- assert true;
-
- call N();
-
- assert true;
-
- call N();
-
- assert false;
-}
-
-procedure {:checksum "2"} N();
- ensures false;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ assert true;
+
+ call N();
+
+ assert true;
+
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "2"} N();
+ ensures false;
diff --git a/Test/snapshots/Snapshots15.v1.bpl b/Test/snapshots/Snapshots15.v1.bpl
index a797ab6c..94c3ec4d 100644
--- a/Test/snapshots/Snapshots15.v1.bpl
+++ b/Test/snapshots/Snapshots15.v1.bpl
@@ -1,17 +1,17 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- assert true;
-
- call N();
-
- assert true;
-
- call N();
-
- assert false;
-}
-
-procedure {:checksum "3"} N();
- ensures true;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ assert true;
+
+ call N();
+
+ assert true;
+
+ call N();
+
+ assert false;
+}
+
+procedure {:checksum "3"} N();
+ ensures true;
diff --git a/Test/snapshots/Snapshots16.v0.bpl b/Test/snapshots/Snapshots16.v0.bpl
index 45cb4a76..3ab51400 100644
--- a/Test/snapshots/Snapshots16.v0.bpl
+++ b/Test/snapshots/Snapshots16.v0.bpl
@@ -1,15 +1,15 @@
-function {:checksum "1"} PlusOne(n: int) : int
-{
- n + 1
-}
-
-function {:checksum "0"} F(n: int) : int;
-
-axiom (forall n: int :: { F(n) } F(n) == PlusOne(n));
-
-procedure {:checksum "2"} M();
-
-implementation {:id "M"} {:checksum "3"} M()
-{
- assert F(0) == 1;
-}
+function {:checksum "1"} PlusOne(n: int) : int
+{
+ n + 1
+}
+
+function {:checksum "0"} F(n: int) : int;
+
+axiom (forall n: int :: { F(n) } F(n) == PlusOne(n));
+
+procedure {:checksum "2"} M();
+
+implementation {:id "M"} {:checksum "3"} M()
+{
+ assert F(0) == 1;
+}
diff --git a/Test/snapshots/Snapshots16.v1.bpl b/Test/snapshots/Snapshots16.v1.bpl
index 4d7cc354..ab6a8ace 100644
--- a/Test/snapshots/Snapshots16.v1.bpl
+++ b/Test/snapshots/Snapshots16.v1.bpl
@@ -1,15 +1,15 @@
-function {:checksum "4"} PlusOne(n: int) : int
-{
- n + 2
-}
-
-function {:checksum "0"} F(n: int) : int;
-
-axiom (forall n: int :: { F(n) } F(n) == PlusOne(n));
-
-procedure {:checksum "2"} M();
-
-implementation {:id "M"} {:checksum "3"} M()
-{
- assert F(0) == 1; // error
-}
+function {:checksum "4"} PlusOne(n: int) : int
+{
+ n + 2
+}
+
+function {:checksum "0"} F(n: int) : int;
+
+axiom (forall n: int :: { F(n) } F(n) == PlusOne(n));
+
+procedure {:checksum "2"} M();
+
+implementation {:id "M"} {:checksum "3"} M()
+{
+ assert F(0) == 1; // error
+}
diff --git a/Test/snapshots/Snapshots17.v0.bpl b/Test/snapshots/Snapshots17.v0.bpl
index 58ef53e7..55992c79 100644
--- a/Test/snapshots/Snapshots17.v0.bpl
+++ b/Test/snapshots/Snapshots17.v0.bpl
@@ -1,32 +1,32 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- x := 0;
- while (*)
- {
- while (*)
- {
- assert true;
-
- call N();
-
- call N();
-
- x := x + 1;
-
- assert x == 1;
- }
-
- call N();
-
- assert false;
- }
-
- assert true;
-}
-
-procedure {:checksum "2"} N();
- ensures false;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ x := 0;
+ while (*)
+ {
+ while (*)
+ {
+ assert true;
+
+ call N();
+
+ call N();
+
+ x := x + 1;
+
+ assert x == 1;
+ }
+
+ call N();
+
+ assert false;
+ }
+
+ assert true;
+}
+
+procedure {:checksum "2"} N();
+ ensures false;
diff --git a/Test/snapshots/Snapshots17.v1.bpl b/Test/snapshots/Snapshots17.v1.bpl
index 4d22ab3d..66d0341a 100644
--- a/Test/snapshots/Snapshots17.v1.bpl
+++ b/Test/snapshots/Snapshots17.v1.bpl
@@ -1,32 +1,32 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- x := 0;
- while (*)
- {
- while (*)
- {
- assert true;
-
- call N();
-
- call N();
-
- x := x + 1;
-
- assert x == 1; // error
- }
-
- call N();
-
- assert false; // error
- }
-
- assert true;
-}
-
-procedure {:checksum "3"} N();
- ensures true;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ x := 0;
+ while (*)
+ {
+ while (*)
+ {
+ assert true;
+
+ call N();
+
+ call N();
+
+ x := x + 1;
+
+ assert x == 1; // error
+ }
+
+ call N();
+
+ assert false; // error
+ }
+
+ assert true;
+}
+
+procedure {:checksum "3"} N();
+ ensures true;
diff --git a/Test/snapshots/Snapshots18.v0.bpl b/Test/snapshots/Snapshots18.v0.bpl
index d37d9546..750c6891 100644
--- a/Test/snapshots/Snapshots18.v0.bpl
+++ b/Test/snapshots/Snapshots18.v0.bpl
@@ -1,24 +1,24 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- while (true)
- {
- assert 0 == 0;
-
- call N();
- call N();
-
- if (*)
- {
- break;
- }
-
- assert 1 != 1;
- }
-
- assert 2 != 2;
-}
-
-procedure {:checksum "2"} N();
- ensures false;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ while (true)
+ {
+ assert 0 == 0;
+
+ call N();
+ call N();
+
+ if (*)
+ {
+ break;
+ }
+
+ assert 1 != 1;
+ }
+
+ assert 2 != 2;
+}
+
+procedure {:checksum "2"} N();
+ ensures false;
diff --git a/Test/snapshots/Snapshots18.v1.bpl b/Test/snapshots/Snapshots18.v1.bpl
index 76f8c597..262b5e4f 100644
--- a/Test/snapshots/Snapshots18.v1.bpl
+++ b/Test/snapshots/Snapshots18.v1.bpl
@@ -1,24 +1,24 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- while (true)
- {
- assert 0 == 0;
-
- call N();
- call N();
-
- if (*)
- {
- break;
- }
-
- assert 1 != 1; // error
- }
-
- assert 2 != 2; // error
-}
-
-procedure {:checksum "3"} N();
- ensures true;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ while (true)
+ {
+ assert 0 == 0;
+
+ call N();
+ call N();
+
+ if (*)
+ {
+ break;
+ }
+
+ assert 1 != 1; // error
+ }
+
+ assert 2 != 2; // error
+}
+
+procedure {:checksum "3"} N();
+ ensures true;
diff --git a/Test/snapshots/Snapshots19.v0.bpl b/Test/snapshots/Snapshots19.v0.bpl
index 935ee793..fb8f4524 100644
--- a/Test/snapshots/Snapshots19.v0.bpl
+++ b/Test/snapshots/Snapshots19.v0.bpl
@@ -1,11 +1,11 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert 1 != 1;
-}
-
-procedure {:checksum "2"} N();
- requires 2 == 2;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert 1 != 1;
+}
+
+procedure {:checksum "2"} N();
+ requires 2 == 2;
diff --git a/Test/snapshots/Snapshots19.v1.bpl b/Test/snapshots/Snapshots19.v1.bpl
index 2afdd641..9e959b68 100644
--- a/Test/snapshots/Snapshots19.v1.bpl
+++ b/Test/snapshots/Snapshots19.v1.bpl
@@ -1,11 +1,11 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- call N();
-
- assert 1 != 1;
-}
-
-procedure {:checksum "3"} N();
- requires 2 == 2;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ call N();
+
+ assert 1 != 1;
+}
+
+procedure {:checksum "3"} N();
+ requires 2 == 2;
diff --git a/Test/snapshots/Snapshots2.v0.bpl b/Test/snapshots/Snapshots2.v0.bpl
index 91f05a0d..061f2c6c 100644
--- a/Test/snapshots/Snapshots2.v0.bpl
+++ b/Test/snapshots/Snapshots2.v0.bpl
@@ -1,12 +1,12 @@
-procedure {:checksum "P0$proc#0"} P0();
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function F0() : bool
-{
- true
-}
+procedure {:checksum "P0$proc#0"} P0();
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function F0() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots2.v1.bpl b/Test/snapshots/Snapshots2.v1.bpl
index 1dec4954..93c0e5d8 100644
--- a/Test/snapshots/Snapshots2.v1.bpl
+++ b/Test/snapshots/Snapshots2.v1.bpl
@@ -1,12 +1,12 @@
-procedure {:checksum "P0$proc#0"} P0();
-// Action: skip
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function F0() : bool
-{
- true
-}
+procedure {:checksum "P0$proc#0"} P0();
+// Action: skip
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function F0() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots2.v2.bpl b/Test/snapshots/Snapshots2.v2.bpl
index 567191d3..88865e75 100644
--- a/Test/snapshots/Snapshots2.v2.bpl
+++ b/Test/snapshots/Snapshots2.v2.bpl
@@ -1,13 +1,13 @@
-procedure {:checksum "P0$proc#2"} P0();
-requires F0();
-// Action: verify (procedure changed)
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function {:checksum "F0#0"} F0() : bool
-{
- true
-}
+procedure {:checksum "P0$proc#2"} P0();
+requires F0();
+// Action: verify (procedure changed)
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function {:checksum "F0#0"} F0() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots2.v3.bpl b/Test/snapshots/Snapshots2.v3.bpl
index 7f6c4a82..fd06e335 100644
--- a/Test/snapshots/Snapshots2.v3.bpl
+++ b/Test/snapshots/Snapshots2.v3.bpl
@@ -1,13 +1,13 @@
-procedure {:checksum "P0$proc#2"} P0();
-requires F0();
-// Action: verify (function changed)
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function {:checksum "F0#1"} F0() : bool
-{
- false
-}
+procedure {:checksum "P0$proc#2"} P0();
+requires F0();
+// Action: verify (function changed)
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function {:checksum "F0#1"} F0() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots2.v4.bpl b/Test/snapshots/Snapshots2.v4.bpl
index abbf5e86..eb183a1d 100644
--- a/Test/snapshots/Snapshots2.v4.bpl
+++ b/Test/snapshots/Snapshots2.v4.bpl
@@ -1,13 +1,13 @@
-procedure {:checksum "P0$proc#2"} P0();
-requires F0();
-// Action: skip
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function {:checksum "F0#1"} F0() : bool
-{
- false
-}
+procedure {:checksum "P0$proc#2"} P0();
+requires F0();
+// Action: skip
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function {:checksum "F0#1"} F0() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots2.v5.bpl b/Test/snapshots/Snapshots2.v5.bpl
index 55d08527..ea2904e9 100644
--- a/Test/snapshots/Snapshots2.v5.bpl
+++ b/Test/snapshots/Snapshots2.v5.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "P0$proc#5"} P0();
-requires F0();
-ensures F0();
-// Action: verify (procedure changed)
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
- call P0();
-}
-
-
-function {:checksum "F0#1"} F0() : bool
-{
- false
-}
+procedure {:checksum "P0$proc#5"} P0();
+requires F0();
+ensures F0();
+// Action: verify (procedure changed)
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+ call P0();
+}
+
+
+function {:checksum "F0#1"} F0() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots20.v0.bpl b/Test/snapshots/Snapshots20.v0.bpl
index 54934a05..d7544ec5 100644
--- a/Test/snapshots/Snapshots20.v0.bpl
+++ b/Test/snapshots/Snapshots20.v0.bpl
@@ -1,20 +1,20 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- call N();
-
- assert 1 != 1;
- }
- else
- {
- assert 2 != 2; // error
- }
-
- assert 3 != 3;
-}
-
-procedure {:checksum "2"} N();
- ensures 0 != 0;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ call N();
+
+ assert 1 != 1;
+ }
+ else
+ {
+ assert 2 != 2; // error
+ }
+
+ assert 3 != 3;
+}
+
+procedure {:checksum "2"} N();
+ ensures 0 != 0;
diff --git a/Test/snapshots/Snapshots20.v1.bpl b/Test/snapshots/Snapshots20.v1.bpl
index 04fd0a6e..7e4970e7 100644
--- a/Test/snapshots/Snapshots20.v1.bpl
+++ b/Test/snapshots/Snapshots20.v1.bpl
@@ -1,20 +1,20 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- call N();
-
- assert 1 != 1; // error
- }
- else
- {
- assert 2 != 2; // error
- }
-
- assert 3 != 3;
-}
-
-procedure {:checksum "3"} N();
- ensures 0 == 0;
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ call N();
+
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 != 2; // error
+ }
+
+ assert 3 != 3;
+}
+
+procedure {:checksum "3"} N();
+ ensures 0 == 0;
diff --git a/Test/snapshots/Snapshots21.v0.bpl b/Test/snapshots/Snapshots21.v0.bpl
index 4a4080f5..ef2c99eb 100644
--- a/Test/snapshots/Snapshots21.v0.bpl
+++ b/Test/snapshots/Snapshots21.v0.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- assert 1 != 1; // error
- }
- else
- {
- assert 2 != 2; // error
- }
-
- assert 3 != 3;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 != 2; // error
+ }
+
+ assert 3 != 3;
+}
diff --git a/Test/snapshots/Snapshots21.v1.bpl b/Test/snapshots/Snapshots21.v1.bpl
index ef51e5ac..e1fec0ed 100644
--- a/Test/snapshots/Snapshots21.v1.bpl
+++ b/Test/snapshots/Snapshots21.v1.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- if (*)
- {
- assert 1 == 1;
- }
- else
- {
- assert 2 != 2; // error
- }
-
- assert 3 != 3; // error
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ if (*)
+ {
+ assert 1 == 1;
+ }
+ else
+ {
+ assert 2 != 2; // error
+ }
+
+ assert 3 != 3; // error
+}
diff --git a/Test/snapshots/Snapshots22.v0.bpl b/Test/snapshots/Snapshots22.v0.bpl
index c2be13de..94968c33 100644
--- a/Test/snapshots/Snapshots22.v0.bpl
+++ b/Test/snapshots/Snapshots22.v0.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- assert 1 != 1; // error
- }
- else
- {
- assert 2 == 2;
- }
-
- assert 3 == 3;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 == 2;
+ }
+
+ assert 3 == 3;
+}
diff --git a/Test/snapshots/Snapshots22.v1.bpl b/Test/snapshots/Snapshots22.v1.bpl
index 9d43f2c2..78b5da9a 100644
--- a/Test/snapshots/Snapshots22.v1.bpl
+++ b/Test/snapshots/Snapshots22.v1.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- if (*)
- {
- assert 1 == 1;
- }
- else
- {
- assert 2 == 2;
- }
-
- assert 3 == 3;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ if (*)
+ {
+ assert 1 == 1;
+ }
+ else
+ {
+ assert 2 == 2;
+ }
+
+ assert 3 == 3;
+}
diff --git a/Test/snapshots/Snapshots23.v0.bpl b/Test/snapshots/Snapshots23.v0.bpl
index 8f637d19..740afa6a 100644
--- a/Test/snapshots/Snapshots23.v0.bpl
+++ b/Test/snapshots/Snapshots23.v0.bpl
@@ -1,22 +1,22 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- assert 1 != 1; // error
- }
- else
- {
- assert 2 == 2;
- }
-
- assert 3 == 3;
-}
-
-
-procedure {:checksum "2"} N();
-
-implementation {:id "N"} {:checksum "3"} N()
-{
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 == 2;
+ }
+
+ assert 3 == 3;
+}
+
+
+procedure {:checksum "2"} N();
+
+implementation {:id "N"} {:checksum "3"} N()
+{
+}
diff --git a/Test/snapshots/Snapshots23.v1.bpl b/Test/snapshots/Snapshots23.v1.bpl
index e13116dc..9e57a201 100644
--- a/Test/snapshots/Snapshots23.v1.bpl
+++ b/Test/snapshots/Snapshots23.v1.bpl
@@ -1,23 +1,23 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- assert 1 != 1; // error
- }
- else
- {
- assert 2 == 2;
- }
-
- assert 3 == 3;
-}
-
-
-procedure {:checksum "2"} N();
-
-implementation {:id "N"} {:checksum "4"} N()
-{
- assert 4 == 4;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 == 2;
+ }
+
+ assert 3 == 3;
+}
+
+
+procedure {:checksum "2"} N();
+
+implementation {:id "N"} {:checksum "4"} N()
+{
+ assert 4 == 4;
+}
diff --git a/Test/snapshots/Snapshots23.v2.bpl b/Test/snapshots/Snapshots23.v2.bpl
index 144a0922..e076b618 100644
--- a/Test/snapshots/Snapshots23.v2.bpl
+++ b/Test/snapshots/Snapshots23.v2.bpl
@@ -1,22 +1,22 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "5"} M()
-{
- if (*)
- {
- // Don't remove this comment.
- assert 1 != 1; // error
- }
- else
- {
- assert 2 == 2;
- }
-}
-
-
-procedure {:checksum "2"} N();
-
-implementation {:id "N"} {:checksum "4"} N()
-{
- assert 4 == 4;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "5"} M()
+{
+ if (*)
+ {
+ // Don't remove this comment.
+ assert 1 != 1; // error
+ }
+ else
+ {
+ assert 2 == 2;
+ }
+}
+
+
+procedure {:checksum "2"} N();
+
+implementation {:id "N"} {:checksum "4"} N()
+{
+ assert 4 == 4;
+}
diff --git a/Test/snapshots/Snapshots24.v0.bpl b/Test/snapshots/Snapshots24.v0.bpl
index 1289399b..5d038779 100644
--- a/Test/snapshots/Snapshots24.v0.bpl
+++ b/Test/snapshots/Snapshots24.v0.bpl
@@ -1,25 +1,25 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- if (*)
- {
- assert {:subsumption 0} 1 != 1; // error
- }
- else if (*)
- {
- assert {:subsumption 1} 5 != 5; // error
- }
- else if (*)
- {
- assert {:subsumption 2} 6 != 6; // error
- }
- else
- {
- assert {:subsumption 1} 2 == 2;
- assert {:subsumption 2} 4 == 4;
- assert 5 == 5;
- }
-
- assert {:subsumption 0} 3 == 3;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ if (*)
+ {
+ assert {:subsumption 0} 1 != 1; // error
+ }
+ else if (*)
+ {
+ assert {:subsumption 1} 5 != 5; // error
+ }
+ else if (*)
+ {
+ assert {:subsumption 2} 6 != 6; // error
+ }
+ else
+ {
+ assert {:subsumption 1} 2 == 2;
+ assert {:subsumption 2} 4 == 4;
+ assert 5 == 5;
+ }
+
+ assert {:subsumption 0} 3 == 3;
+}
diff --git a/Test/snapshots/Snapshots24.v1.bpl b/Test/snapshots/Snapshots24.v1.bpl
index 00d65961..382dc6dc 100644
--- a/Test/snapshots/Snapshots24.v1.bpl
+++ b/Test/snapshots/Snapshots24.v1.bpl
@@ -1,25 +1,25 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- if (*)
- {
- assert {:subsumption 0} 1 == 1;
- }
- else if (*)
- {
- assert {:subsumption 1} 5 == 5;
- }
- else if (*)
- {
- assert {:subsumption 2} 6 != 6; // error
- }
- else
- {
- assert {:subsumption 1} 2 == 2;
- assert {:subsumption 2} 4 == 4;
- assert 5 == 5;
- }
-
- assert {:subsumption 0} 3 == 3;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ if (*)
+ {
+ assert {:subsumption 0} 1 == 1;
+ }
+ else if (*)
+ {
+ assert {:subsumption 1} 5 == 5;
+ }
+ else if (*)
+ {
+ assert {:subsumption 2} 6 != 6; // error
+ }
+ else
+ {
+ assert {:subsumption 1} 2 == 2;
+ assert {:subsumption 2} 4 == 4;
+ assert 5 == 5;
+ }
+
+ assert {:subsumption 0} 3 == 3;
+}
diff --git a/Test/snapshots/Snapshots25.v0.bpl b/Test/snapshots/Snapshots25.v0.bpl
index 5276f1dd..514ba3fa 100644
--- a/Test/snapshots/Snapshots25.v0.bpl
+++ b/Test/snapshots/Snapshots25.v0.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- while (*)
- {
- x := 0;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ while (*)
+ {
+ x := 0;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots25.v1.bpl b/Test/snapshots/Snapshots25.v1.bpl
index fb735930..1cf2dc6e 100644
--- a/Test/snapshots/Snapshots25.v1.bpl
+++ b/Test/snapshots/Snapshots25.v1.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- var x: int;
-
- while (*)
- {
- x := 1;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ var x: int;
+
+ while (*)
+ {
+ x := 1;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots26.v0.bpl b/Test/snapshots/Snapshots26.v0.bpl
index 5276f1dd..514ba3fa 100644
--- a/Test/snapshots/Snapshots26.v0.bpl
+++ b/Test/snapshots/Snapshots26.v0.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- while (*)
- {
- x := 0;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ while (*)
+ {
+ x := 0;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots26.v1.bpl b/Test/snapshots/Snapshots26.v1.bpl
index 7c2e3292..c17596c7 100644
--- a/Test/snapshots/Snapshots26.v1.bpl
+++ b/Test/snapshots/Snapshots26.v1.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- var x: int;
-
- while (*)
- {
- x := 0;
- x := x + 1;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ var x: int;
+
+ while (*)
+ {
+ x := 0;
+ x := x + 1;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots27.v0.bpl b/Test/snapshots/Snapshots27.v0.bpl
index 5276f1dd..514ba3fa 100644
--- a/Test/snapshots/Snapshots27.v0.bpl
+++ b/Test/snapshots/Snapshots27.v0.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- while (*)
- {
- x := 0;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ while (*)
+ {
+ x := 0;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots27.v1.bpl b/Test/snapshots/Snapshots27.v1.bpl
index 4d60e149..7221721d 100644
--- a/Test/snapshots/Snapshots27.v1.bpl
+++ b/Test/snapshots/Snapshots27.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- var x: int;
- var y: int;
-
- while (*)
- {
- x := 0;
- y := 0;
- }
-
- assert 0 == 0;
- assert x != x;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ var x: int;
+ var y: int;
+
+ while (*)
+ {
+ x := 0;
+ y := 0;
+ }
+
+ assert 0 == 0;
+ assert x != x;
+}
diff --git a/Test/snapshots/Snapshots28.v0.bpl b/Test/snapshots/Snapshots28.v0.bpl
index b74b5013..8bce51fa 100644
--- a/Test/snapshots/Snapshots28.v0.bpl
+++ b/Test/snapshots/Snapshots28.v0.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- var x: int;
-
- assume x == 0;
-
- while (*)
- {
- }
-
- assert 0 == 0;
- assert x == 0;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ var x: int;
+
+ assume x == 0;
+
+ while (*)
+ {
+ }
+
+ assert 0 == 0;
+ assert x == 0;
+}
diff --git a/Test/snapshots/Snapshots28.v1.bpl b/Test/snapshots/Snapshots28.v1.bpl
index 0312b6a6..205515f5 100644
--- a/Test/snapshots/Snapshots28.v1.bpl
+++ b/Test/snapshots/Snapshots28.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M();
-
-implementation {:id "M"} {:checksum "2"} M()
-{
- var x: int;
-
- assume x == 0;
-
- while (*)
- {
- x := 1;
- }
-
- assert 0 == 0;
- assert x == 0;
-}
+procedure {:checksum "0"} M();
+
+implementation {:id "M"} {:checksum "2"} M()
+{
+ var x: int;
+
+ assume x == 0;
+
+ while (*)
+ {
+ x := 1;
+ }
+
+ assert 0 == 0;
+ assert x == 0;
+}
diff --git a/Test/snapshots/Snapshots29.v0.bpl b/Test/snapshots/Snapshots29.v0.bpl
index f4087f90..840aed82 100644
--- a/Test/snapshots/Snapshots29.v0.bpl
+++ b/Test/snapshots/Snapshots29.v0.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} P();
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- var i: int;
-
- i := 0;
-
- while (*)
- {
- i := 0;
- }
-
- assert i == 0;
-}
+procedure {:checksum "0"} P();
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ var i: int;
+
+ i := 0;
+
+ while (*)
+ {
+ i := 0;
+ }
+
+ assert i == 0;
+}
diff --git a/Test/snapshots/Snapshots29.v1.bpl b/Test/snapshots/Snapshots29.v1.bpl
index 5211f832..59a607ea 100644
--- a/Test/snapshots/Snapshots29.v1.bpl
+++ b/Test/snapshots/Snapshots29.v1.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} P();
-
-implementation {:id "P"} {:checksum "2"} P()
-{
- var i: int;
-
- i := 0;
-
- while (*)
- {
- i := 1;
- }
-
- assert i == 0;
-}
+procedure {:checksum "0"} P();
+
+implementation {:id "P"} {:checksum "2"} P()
+{
+ var i: int;
+
+ i := 0;
+
+ while (*)
+ {
+ i := 1;
+ }
+
+ assert i == 0;
+}
diff --git a/Test/snapshots/Snapshots3.v0.bpl b/Test/snapshots/Snapshots3.v0.bpl
index 7ab7aa5c..118f28d7 100644
--- a/Test/snapshots/Snapshots3.v0.bpl
+++ b/Test/snapshots/Snapshots3.v0.bpl
@@ -1,18 +1,18 @@
-procedure {:checksum "P0$proc#0"} P0();
-ensures G();
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
-}
-
-
-function {:checksum "F#0"} F() : bool
-{
- true
-}
-
-
-function {:checksum "G#0"} G() : bool
-{
- F()
-}
+procedure {:checksum "P0$proc#0"} P0();
+ensures G();
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+}
+
+
+function {:checksum "F#0"} F() : bool
+{
+ true
+}
+
+
+function {:checksum "G#0"} G() : bool
+{
+ F()
+}
diff --git a/Test/snapshots/Snapshots3.v1.bpl b/Test/snapshots/Snapshots3.v1.bpl
index 5eb57e78..a764c773 100644
--- a/Test/snapshots/Snapshots3.v1.bpl
+++ b/Test/snapshots/Snapshots3.v1.bpl
@@ -1,18 +1,18 @@
-procedure {:checksum "P0$proc#0"} P0();
-ensures G();
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
-}
-
-
-function {:checksum "F#1"} F() : bool
-{
- false
-}
-
-
-function {:checksum "G#0"} G() : bool
-{
- F()
-}
+procedure {:checksum "P0$proc#0"} P0();
+ensures G();
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+}
+
+
+function {:checksum "F#1"} F() : bool
+{
+ false
+}
+
+
+function {:checksum "G#0"} G() : bool
+{
+ F()
+}
diff --git a/Test/snapshots/Snapshots30.v0.bpl b/Test/snapshots/Snapshots30.v0.bpl
index 459c4007..d4eb4502 100644
--- a/Test/snapshots/Snapshots30.v0.bpl
+++ b/Test/snapshots/Snapshots30.v0.bpl
@@ -1,13 +1,13 @@
-procedure {:checksum "0"} P();
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
-}
-
-procedure {:checksum "2"} Q();
- requires 0 == 0;
- requires 1 == 1;
- requires 2 != 2;
- requires 3 == 3;
- requires 4 == 4;
+procedure {:checksum "0"} P();
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+}
+
+procedure {:checksum "2"} Q();
+ requires 0 == 0;
+ requires 1 == 1;
+ requires 2 != 2;
+ requires 3 == 3;
+ requires 4 == 4;
diff --git a/Test/snapshots/Snapshots30.v1.bpl b/Test/snapshots/Snapshots30.v1.bpl
index 089a1939..dbf2ec72 100644
--- a/Test/snapshots/Snapshots30.v1.bpl
+++ b/Test/snapshots/Snapshots30.v1.bpl
@@ -1,14 +1,14 @@
-procedure {:checksum "0"} P();
-
-implementation {:id "P"} {:checksum "2"} P()
-{
- call Q();
- assert 5 == 5;
-}
-
-procedure {:checksum "2"} Q();
- requires 0 == 0;
- requires 1 == 1;
- requires 2 != 2;
- requires 3 == 3;
- requires 4 == 4;
+procedure {:checksum "0"} P();
+
+implementation {:id "P"} {:checksum "2"} P()
+{
+ call Q();
+ assert 5 == 5;
+}
+
+procedure {:checksum "2"} Q();
+ requires 0 == 0;
+ requires 1 == 1;
+ requires 2 != 2;
+ requires 3 == 3;
+ requires 4 == 4;
diff --git a/Test/snapshots/Snapshots31.v0.bpl b/Test/snapshots/Snapshots31.v0.bpl
index 845a6cef..df8bbcbb 100644
--- a/Test/snapshots/Snapshots31.v0.bpl
+++ b/Test/snapshots/Snapshots31.v0.bpl
@@ -1,15 +1,15 @@
-var g: int;
-
-procedure {:checksum "0"} P();
- requires g == 0;
- modifies g;
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
- assert 0 < g;
-}
-
-procedure {:checksum "2"} Q();
- modifies g;
- ensures old(g) < g;
+var g: int;
+
+procedure {:checksum "0"} P();
+ requires g == 0;
+ modifies g;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+ assert 0 < g;
+}
+
+procedure {:checksum "2"} Q();
+ modifies g;
+ ensures old(g) < g;
diff --git a/Test/snapshots/Snapshots31.v1.bpl b/Test/snapshots/Snapshots31.v1.bpl
index a3b37168..26469d83 100644
--- a/Test/snapshots/Snapshots31.v1.bpl
+++ b/Test/snapshots/Snapshots31.v1.bpl
@@ -1,14 +1,14 @@
-var g: int;
-
-procedure {:checksum "0"} P();
- requires g == 0;
- modifies g;
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
- assert 0 < g;
-}
-
-procedure {:checksum "3"} Q();
- modifies g;
+var g: int;
+
+procedure {:checksum "0"} P();
+ requires g == 0;
+ modifies g;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+ assert 0 < g;
+}
+
+procedure {:checksum "3"} Q();
+ modifies g;
diff --git a/Test/snapshots/Snapshots32.v0.bpl b/Test/snapshots/Snapshots32.v0.bpl
index 845a6cef..df8bbcbb 100644
--- a/Test/snapshots/Snapshots32.v0.bpl
+++ b/Test/snapshots/Snapshots32.v0.bpl
@@ -1,15 +1,15 @@
-var g: int;
-
-procedure {:checksum "0"} P();
- requires g == 0;
- modifies g;
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
- assert 0 < g;
-}
-
-procedure {:checksum "2"} Q();
- modifies g;
- ensures old(g) < g;
+var g: int;
+
+procedure {:checksum "0"} P();
+ requires g == 0;
+ modifies g;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+ assert 0 < g;
+}
+
+procedure {:checksum "2"} Q();
+ modifies g;
+ ensures old(g) < g;
diff --git a/Test/snapshots/Snapshots32.v1.bpl b/Test/snapshots/Snapshots32.v1.bpl
index cbffe891..c0af5fb9 100644
--- a/Test/snapshots/Snapshots32.v1.bpl
+++ b/Test/snapshots/Snapshots32.v1.bpl
@@ -1,12 +1,12 @@
-var g: int;
-
-procedure {:checksum "0"} P();
- requires g == 0;
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
- assert 0 < g;
-}
-
-procedure {:checksum "3"} Q();
+var g: int;
+
+procedure {:checksum "0"} P();
+ requires g == 0;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+ assert 0 < g;
+}
+
+procedure {:checksum "3"} Q();
diff --git a/Test/snapshots/Snapshots33.v0.bpl b/Test/snapshots/Snapshots33.v0.bpl
index 845a6cef..df8bbcbb 100644
--- a/Test/snapshots/Snapshots33.v0.bpl
+++ b/Test/snapshots/Snapshots33.v0.bpl
@@ -1,15 +1,15 @@
-var g: int;
-
-procedure {:checksum "0"} P();
- requires g == 0;
- modifies g;
-
-implementation {:id "P"} {:checksum "1"} P()
-{
- call Q();
- assert 0 < g;
-}
-
-procedure {:checksum "2"} Q();
- modifies g;
- ensures old(g) < g;
+var g: int;
+
+procedure {:checksum "0"} P();
+ requires g == 0;
+ modifies g;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ call Q();
+ assert 0 < g;
+}
+
+procedure {:checksum "2"} Q();
+ modifies g;
+ ensures old(g) < g;
diff --git a/Test/snapshots/Snapshots33.v1.bpl b/Test/snapshots/Snapshots33.v1.bpl
index 1c6d6dbf..3cc1de13 100644
--- a/Test/snapshots/Snapshots33.v1.bpl
+++ b/Test/snapshots/Snapshots33.v1.bpl
@@ -1,8 +1,8 @@
-procedure {:checksum "5"} P();
-
-implementation {:id "P"} {:checksum "4"} P()
-{
- call Q();
-}
-
-procedure {:checksum "3"} Q();
+procedure {:checksum "5"} P();
+
+implementation {:id "P"} {:checksum "4"} P()
+{
+ call Q();
+}
+
+procedure {:checksum "3"} Q();
diff --git a/Test/snapshots/Snapshots34.v0.bpl b/Test/snapshots/Snapshots34.v0.bpl
new file mode 100644
index 00000000..5a996f40
--- /dev/null
+++ b/Test/snapshots/Snapshots34.v0.bpl
@@ -0,0 +1,7 @@
+procedure {:checksum "0"} P();
+ requires 0 != 0;
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ assert 1 != 1;
+}
diff --git a/Test/snapshots/Snapshots34.v1.bpl b/Test/snapshots/Snapshots34.v1.bpl
new file mode 100644
index 00000000..401b4f9e
--- /dev/null
+++ b/Test/snapshots/Snapshots34.v1.bpl
@@ -0,0 +1,6 @@
+procedure {:checksum "2"} P();
+
+implementation {:id "P"} {:checksum "1"} P()
+{
+ assert 1 != 1;
+}
diff --git a/Test/snapshots/Snapshots35.v0.bpl b/Test/snapshots/Snapshots35.v0.bpl
new file mode 100644
index 00000000..6377edaf
--- /dev/null
+++ b/Test/snapshots/Snapshots35.v0.bpl
@@ -0,0 +1,7 @@
+procedure {:checksum "0"} P(b: bool);
+ requires b;
+
+implementation {:id "P"} {:checksum "1"} P(p: bool)
+{
+ assert p;
+}
diff --git a/Test/snapshots/Snapshots35.v1.bpl b/Test/snapshots/Snapshots35.v1.bpl
new file mode 100644
index 00000000..605a862a
--- /dev/null
+++ b/Test/snapshots/Snapshots35.v1.bpl
@@ -0,0 +1,6 @@
+procedure {:checksum "2"} P(b: bool);
+
+implementation {:id "P"} {:checksum "1"} P(p: bool)
+{
+ assert p;
+}
diff --git a/Test/snapshots/Snapshots36.v0.bpl b/Test/snapshots/Snapshots36.v0.bpl
new file mode 100644
index 00000000..66c4ed85
--- /dev/null
+++ b/Test/snapshots/Snapshots36.v0.bpl
@@ -0,0 +1,14 @@
+function {:checksum "2"} F() : bool
+{
+ true
+}
+
+procedure {:checksum "0"} P(b: bool);
+
+implementation {:id "P"} {:checksum "1"} P(p: bool)
+{
+ var l: [int]bool;
+
+ l := (lambda n: int :: F());
+ assert l[0];
+}
diff --git a/Test/snapshots/Snapshots36.v1.bpl b/Test/snapshots/Snapshots36.v1.bpl
new file mode 100644
index 00000000..77172a3e
--- /dev/null
+++ b/Test/snapshots/Snapshots36.v1.bpl
@@ -0,0 +1,14 @@
+function {:checksum "3"} F() : bool
+{
+ false
+}
+
+procedure {:checksum "0"} P(b: bool);
+
+implementation {:id "P"} {:checksum "1"} P(p: bool)
+{
+ var l: [int]bool;
+
+ l := (lambda n: int :: F());
+ assert l[0];
+}
diff --git a/Test/snapshots/Snapshots37.v0.bpl b/Test/snapshots/Snapshots37.v0.bpl
new file mode 100644
index 00000000..a1b90fcc
--- /dev/null
+++ b/Test/snapshots/Snapshots37.v0.bpl
@@ -0,0 +1,9 @@
+procedure {:checksum "0"} P(b: bool);
+
+implementation {:id "P"} {:checksum "1"} P(p: bool)
+{
+ var l: [int]bool;
+
+ l := (lambda n: int :: true);
+ assert l[0];
+}
diff --git a/Test/snapshots/Snapshots37.v1.bpl b/Test/snapshots/Snapshots37.v1.bpl
new file mode 100644
index 00000000..825a16a0
--- /dev/null
+++ b/Test/snapshots/Snapshots37.v1.bpl
@@ -0,0 +1,9 @@
+procedure {:checksum "0"} P(b: bool);
+
+implementation {:id "P"} {:checksum "2"} P(p: bool)
+{
+ var l: [int]bool;
+
+ l := (lambda n: int :: false);
+ assert l[0];
+}
diff --git a/Test/snapshots/Snapshots38.v0.bpl b/Test/snapshots/Snapshots38.v0.bpl
new file mode 100644
index 00000000..496a75a9
--- /dev/null
+++ b/Test/snapshots/Snapshots38.v0.bpl
@@ -0,0 +1,13 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "0"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n != 0 ==> 1 <= r;
diff --git a/Test/snapshots/Snapshots38.v1.bpl b/Test/snapshots/Snapshots38.v1.bpl
new file mode 100644
index 00000000..062b22ea
--- /dev/null
+++ b/Test/snapshots/Snapshots38.v1.bpl
@@ -0,0 +1,14 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "2"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+ assert 42 <= r;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n != 0 ==> 1 <= r;
diff --git a/Test/snapshots/Snapshots38.v2.bpl b/Test/snapshots/Snapshots38.v2.bpl
new file mode 100644
index 00000000..5c4b69d6
--- /dev/null
+++ b/Test/snapshots/Snapshots38.v2.bpl
@@ -0,0 +1,14 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "2"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+ assert 42 <= r;
+}
+
+procedure {:checksum "3"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n != 0 ==> n <= r;
diff --git a/Test/snapshots/Snapshots39.v0.bpl b/Test/snapshots/Snapshots39.v0.bpl
new file mode 100644
index 00000000..083d497e
--- /dev/null
+++ b/Test/snapshots/Snapshots39.v0.bpl
@@ -0,0 +1,13 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "0"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n <= r;
diff --git a/Test/snapshots/Snapshots39.v1.bpl b/Test/snapshots/Snapshots39.v1.bpl
new file mode 100644
index 00000000..09850bfc
--- /dev/null
+++ b/Test/snapshots/Snapshots39.v1.bpl
@@ -0,0 +1,14 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "2"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+ assert r == (42 * 43) div 2;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n <= r;
diff --git a/Test/snapshots/Snapshots39.v2.bpl b/Test/snapshots/Snapshots39.v2.bpl
new file mode 100644
index 00000000..4bdc4b6e
--- /dev/null
+++ b/Test/snapshots/Snapshots39.v2.bpl
@@ -0,0 +1,14 @@
+procedure {:checksum "-1"} Callee();
+
+implementation {:id "Callee"} {:checksum "2"} Callee()
+{
+ var r: int;
+
+ call r := Sum(42);
+ assert r != 0;
+ assert r == (42 * 43) div 2;
+}
+
+procedure {:checksum "3"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures r == (n * (n + 1)) div 2;
diff --git a/Test/snapshots/Snapshots4.v0.bpl b/Test/snapshots/Snapshots4.v0.bpl
index 59a42289..db477aca 100644
--- a/Test/snapshots/Snapshots4.v0.bpl
+++ b/Test/snapshots/Snapshots4.v0.bpl
@@ -1,36 +1,36 @@
-procedure {:checksum "P0$proc#0"} P0();
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
-}
-
-
-procedure {:checksum "P1$proc#0"} P1();
-// Action: verify
-implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
-{
- call P2();
-}
-
-
-procedure {:checksum "P2$proc#0"} P2();
- ensures G();
-
-
-procedure {:checksum "P3$proc#0"} P3();
-// Action: verify
-implementation {:id "P3"} {:checksum "P3$impl#0"} P3()
-{
-}
-
-
-function {:checksum "G#0"} G() : bool
-{
- F()
-}
-
-
-function {:checksum "F#0"} F() : bool
-{
- true
-}
+procedure {:checksum "P0$proc#0"} P0();
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+}
+
+
+procedure {:checksum "P1$proc#0"} P1();
+// Action: verify
+implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
+{
+ call P2();
+}
+
+
+procedure {:checksum "P2$proc#0"} P2();
+ ensures G();
+
+
+procedure {:checksum "P3$proc#0"} P3();
+// Action: verify
+implementation {:id "P3"} {:checksum "P3$impl#0"} P3()
+{
+}
+
+
+function {:checksum "G#0"} G() : bool
+{
+ F()
+}
+
+
+function {:checksum "F#0"} F() : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots4.v1.bpl b/Test/snapshots/Snapshots4.v1.bpl
index 392a1648..973d0104 100644
--- a/Test/snapshots/Snapshots4.v1.bpl
+++ b/Test/snapshots/Snapshots4.v1.bpl
@@ -1,45 +1,45 @@
-procedure {:checksum "P0$proc#0"} P0();
-// Action: skip
-// Priority: 0
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
-{
-}
-
-
-procedure {:checksum "P1$proc#0"} P1();
-// Action: verify
-// Priority: 1
-implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
-{
- call P2();
-}
-
-
-procedure {:checksum "P3$proc#0"} P3();
-// Action: verify
-// Priority: 2
-implementation {:id "P3"} {:checksum "P3$impl#1"} P3()
-{
- assert false;
-}
-
-
-procedure {:checksum "P2$proc#0"} P2();
- ensures G();
-// Action: verify
-// Priority: 3
-implementation {:id "P2"} {:checksum "P2$impl#0"} P2()
-{
-}
-
-
-function {:checksum "G#0"} G() : bool
-{
- F()
-}
-
-
-function {:checksum "F#1"} F() : bool
-{
- false
-}
+procedure {:checksum "P0$proc#0"} P0();
+// Action: skip
+// Priority: 0
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0()
+{
+}
+
+
+procedure {:checksum "P1$proc#0"} P1();
+// Action: verify
+// Priority: 1
+implementation {:id "P1"} {:checksum "P1$impl#0"} P1()
+{
+ call P2();
+}
+
+
+procedure {:checksum "P3$proc#0"} P3();
+// Action: verify
+// Priority: 2
+implementation {:id "P3"} {:checksum "P3$impl#1"} P3()
+{
+ assert false;
+}
+
+
+procedure {:checksum "P2$proc#0"} P2();
+ ensures G();
+// Action: verify
+// Priority: 3
+implementation {:id "P2"} {:checksum "P2$impl#0"} P2()
+{
+}
+
+
+function {:checksum "G#0"} G() : bool
+{
+ F()
+}
+
+
+function {:checksum "F#1"} F() : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots40.v0.bpl b/Test/snapshots/Snapshots40.v0.bpl
new file mode 100644
index 00000000..27839752
--- /dev/null
+++ b/Test/snapshots/Snapshots40.v0.bpl
@@ -0,0 +1,14 @@
+procedure {:checksum "-1"} Foo(b: bool);
+
+implementation {:id "Foo"} {:checksum "0"} Foo(b: bool)
+{
+ var r: int;
+
+ assert b;
+ call r := Sum(42);
+ assert r != 0;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n <= r;
diff --git a/Test/snapshots/Snapshots40.v1.bpl b/Test/snapshots/Snapshots40.v1.bpl
new file mode 100644
index 00000000..e1c505f8
--- /dev/null
+++ b/Test/snapshots/Snapshots40.v1.bpl
@@ -0,0 +1,15 @@
+procedure {:checksum "-1"} Foo(b: bool);
+
+implementation {:id "Foo"} {:checksum "2"} Foo(b: bool)
+{
+ var r: int;
+
+ assert b;
+ call r := Sum(42);
+ assert r != 0;
+ assert r == (42 * 43) div 2;
+}
+
+procedure {:checksum "1"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures n <= r;
diff --git a/Test/snapshots/Snapshots40.v2.bpl b/Test/snapshots/Snapshots40.v2.bpl
new file mode 100644
index 00000000..842d33f5
--- /dev/null
+++ b/Test/snapshots/Snapshots40.v2.bpl
@@ -0,0 +1,15 @@
+procedure {:checksum "-1"} Foo(b: bool);
+
+implementation {:id "Foo"} {:checksum "2"} Foo(b: bool)
+{
+ var r: int;
+
+ assert b;
+ call r := Sum(42);
+ assert r != 0;
+ assert r == (42 * 43) div 2;
+}
+
+procedure {:checksum "3"} Sum(n: int) returns (r: int);
+ requires 0 <= n;
+ ensures r == (n * (n + 1)) div 2;
diff --git a/Test/snapshots/Snapshots41.v0.bpl b/Test/snapshots/Snapshots41.v0.bpl
new file mode 100644
index 00000000..dbfe3e2d
--- /dev/null
+++ b/Test/snapshots/Snapshots41.v0.bpl
@@ -0,0 +1,35 @@
+procedure {:checksum "0"} M(x: int);
+implementation {:id "M"} {:checksum "1"} M(x: int)
+{ assert x < 20 || 10 <= x; // always true
+ assert x < 10; // error
+ call Other(x); // error: precondition violation
+}
+
+procedure {:checksum "10"} Other(y: int);
+ requires 0 <= y;
+implementation {:id "Other"} {:checksum "11"} Other(y: int)
+{
+}
+
+procedure {:checksum "20"} Posty() returns (z: int);
+ ensures 2 <= z; // error: postcondition violation
+implementation {:id "Posty"} {:checksum "21"} Posty() returns (z: int)
+{
+ var t: int;
+ t := 20;
+ if (t < z) {
+ } else { // the postcondition violation occurs on this 'else' branch
+ }
+}
+
+procedure {:checksum "30"} NoChangeWhazzoeva(u: int);
+implementation {:id "NoChangeWhazzoeva"} {:checksum "3"} NoChangeWhazzoeva(u: int)
+{
+ assert u != 53; // error
+}
+
+procedure {:checksum "40"} NoChangeAndCorrect();
+implementation {:id "NoChangeAndCorrect"} {:checksum "41"} NoChangeAndCorrect()
+{
+ assert true;
+}
diff --git a/Test/snapshots/Snapshots41.v1.bpl b/Test/snapshots/Snapshots41.v1.bpl
new file mode 100644
index 00000000..9864e0e4
--- /dev/null
+++ b/Test/snapshots/Snapshots41.v1.bpl
@@ -0,0 +1,39 @@
+procedure {:checksum "0"} M(x: int);
+implementation {:id "M"} {:checksum "1"} M(x: int)
+{
+assert x < 20 || 10 <= x; // always true
+
+ assert x < 10; // error
+ call Other(x); // error: precondition violation
+ assert x == 7; // error: this is a new error in v1
+}
+
+
+ procedure {:checksum "10"} Other(y: int);
+ requires 0 <= y;
+ implementation {:id "Other"} {:checksum "11"} Other(y: int)
+ {
+ }
+
+
+
+procedure {:checksum "20"} Posty() returns (z: int);
+ ensures 2 <= z; // error: postcondition violation
+implementation {:id "Posty"} {:checksum "21"} Posty() returns (z: int)
+{
+ var t: int;
+ t := 20;
+ if (t < z) {
+ assert true; // this is a new assert
+ } else { // the postcondition violation occurs on this 'else' branch
+ }
+}
+
+ procedure {:checksum "30"} NoChangeWhazzoeva(u: int);
+ implementation {:id "NoChangeWhazzoeva"} {:checksum "3"} NoChangeWhazzoeva(u: int)
+ {
+ assert u != 53; // error
+ }
+
+procedure {:checksum "40"} NoChangeAndCorrect();
+implementation {:id "NoChangeAndCorrect"} {:checksum "41"} NoChangeAndCorrect() { assert true; }
diff --git a/Test/snapshots/Snapshots5.v0.bpl b/Test/snapshots/Snapshots5.v0.bpl
index b8652c7b..ea59bed5 100644
--- a/Test/snapshots/Snapshots5.v0.bpl
+++ b/Test/snapshots/Snapshots5.v0.bpl
@@ -1,11 +1,11 @@
-procedure {:checksum "P0$proc#0"} P0(n: int where F(n));
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0(n: int)
-{
- assert false;
-}
-
-function {:checksum "F#1"} F(n: int) : bool
-{
- false
-}
+procedure {:checksum "P0$proc#0"} P0(n: int where F(n));
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0(n: int)
+{
+ assert false;
+}
+
+function {:checksum "F#1"} F(n: int) : bool
+{
+ false
+}
diff --git a/Test/snapshots/Snapshots5.v1.bpl b/Test/snapshots/Snapshots5.v1.bpl
index b42b1576..12895df6 100644
--- a/Test/snapshots/Snapshots5.v1.bpl
+++ b/Test/snapshots/Snapshots5.v1.bpl
@@ -1,11 +1,11 @@
-procedure {:checksum "P0$proc#0"} P0(n: int where F(n));
-// Action: verify
-implementation {:id "P0"} {:checksum "P0$impl#0"} P0(n: int)
-{
- assert false;
-}
-
-function {:checksum "F#0"} F(n: int) : bool
-{
- true
-}
+procedure {:checksum "P0$proc#0"} P0(n: int where F(n));
+// Action: verify
+implementation {:id "P0"} {:checksum "P0$impl#0"} P0(n: int)
+{
+ assert false;
+}
+
+function {:checksum "F#0"} F(n: int) : bool
+{
+ true
+}
diff --git a/Test/snapshots/Snapshots6.v0.bpl b/Test/snapshots/Snapshots6.v0.bpl
index bdf9c14a..f255c020 100644
--- a/Test/snapshots/Snapshots6.v0.bpl
+++ b/Test/snapshots/Snapshots6.v0.bpl
@@ -1,17 +1,17 @@
-var x: int;
-var y: int;
-
-procedure {:checksum "0"} M();
- modifies x, y;
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- y := 0;
-
- call N();
-
- assert y == 0;
-}
-
-procedure {:checksum "2"} N();
- modifies x;
+var x: int;
+var y: int;
+
+procedure {:checksum "0"} M();
+ modifies x, y;
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ y := 0;
+
+ call N();
+
+ assert y == 0;
+}
+
+procedure {:checksum "2"} N();
+ modifies x;
diff --git a/Test/snapshots/Snapshots6.v1.bpl b/Test/snapshots/Snapshots6.v1.bpl
index be8b699d..73e280d2 100644
--- a/Test/snapshots/Snapshots6.v1.bpl
+++ b/Test/snapshots/Snapshots6.v1.bpl
@@ -1,18 +1,18 @@
-var x: int;
-var y: int;
-
-procedure {:checksum "0"} M();
- modifies x, y;
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- y := 0;
-
- call N();
-
- assert y == 0;
-}
-
-procedure {:checksum "3"} N();
- // Change: more modified variables
- modifies x, y;
+var x: int;
+var y: int;
+
+procedure {:checksum "0"} M();
+ modifies x, y;
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ y := 0;
+
+ call N();
+
+ assert y == 0;
+}
+
+procedure {:checksum "3"} N();
+ // Change: more modified variables
+ modifies x, y;
diff --git a/Test/snapshots/Snapshots7.v0.bpl b/Test/snapshots/Snapshots7.v0.bpl
index 6e0932c8..400b209c 100644
--- a/Test/snapshots/Snapshots7.v0.bpl
+++ b/Test/snapshots/Snapshots7.v0.bpl
@@ -1,19 +1,19 @@
-var x: int;
-var y: int;
-var z: int;
-
-procedure {:checksum "0"} M();
- modifies x, y, z;
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- z := 0;
-
- call N();
-
- assert y < 0;
-}
-
-procedure {:checksum "2"} N();
- modifies x, y;
- ensures y < z;
+var x: int;
+var y: int;
+var z: int;
+
+procedure {:checksum "0"} M();
+ modifies x, y, z;
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ z := 0;
+
+ call N();
+
+ assert y < 0;
+}
+
+procedure {:checksum "2"} N();
+ modifies x, y;
+ ensures y < z;
diff --git a/Test/snapshots/Snapshots7.v1.bpl b/Test/snapshots/Snapshots7.v1.bpl
index 8700e91c..ad3f1f27 100644
--- a/Test/snapshots/Snapshots7.v1.bpl
+++ b/Test/snapshots/Snapshots7.v1.bpl
@@ -1,20 +1,20 @@
-var x: int;
-var y: int;
-var z: int;
-
-procedure {:checksum "0"} M();
- modifies x, y, z;
-
-implementation {:id "M"} {:checksum "1"} M()
-{
- z := 0;
-
- call N();
-
- assert y < 0;
-}
-
-procedure {:checksum "3"} N();
- // Change: fewer modified variables
- modifies x;
- ensures y < z;
+var x: int;
+var y: int;
+var z: int;
+
+procedure {:checksum "0"} M();
+ modifies x, y, z;
+
+implementation {:id "M"} {:checksum "1"} M()
+{
+ z := 0;
+
+ call N();
+
+ assert y < 0;
+}
+
+procedure {:checksum "3"} N();
+ // Change: fewer modified variables
+ modifies x;
+ ensures y < z;
diff --git a/Test/snapshots/Snapshots8.v0.bpl b/Test/snapshots/Snapshots8.v0.bpl
index 73dcd9aa..0d33d81a 100644
--- a/Test/snapshots/Snapshots8.v0.bpl
+++ b/Test/snapshots/Snapshots8.v0.bpl
@@ -1,15 +1,15 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "2"} N(n: int) returns (r: int);
- requires 0 < n;
- ensures 0 < r;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "2"} N(n: int) returns (r: int);
+ requires 0 < n;
+ ensures 0 < r;
diff --git a/Test/snapshots/Snapshots8.v1.bpl b/Test/snapshots/Snapshots8.v1.bpl
index de241c24..a886d3bd 100644
--- a/Test/snapshots/Snapshots8.v1.bpl
+++ b/Test/snapshots/Snapshots8.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "3"} N(n: int) returns (r: int);
- requires 0 < n;
- // Change: stronger postcondition
- ensures 42 < r;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "3"} N(n: int) returns (r: int);
+ requires 0 < n;
+ // Change: stronger postcondition
+ ensures 42 < r;
diff --git a/Test/snapshots/Snapshots9.v0.bpl b/Test/snapshots/Snapshots9.v0.bpl
index 5b2cf68c..58839cbc 100644
--- a/Test/snapshots/Snapshots9.v0.bpl
+++ b/Test/snapshots/Snapshots9.v0.bpl
@@ -1,17 +1,17 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "2"} N(n: int) returns (r: int);
- requires 0 < n;
- requires true;
- ensures 0 < r;
- ensures true;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "2"} N(n: int) returns (r: int);
+ requires 0 < n;
+ requires true;
+ ensures 0 < r;
+ ensures true;
diff --git a/Test/snapshots/Snapshots9.v1.bpl b/Test/snapshots/Snapshots9.v1.bpl
index d1886a6d..c35653fe 100644
--- a/Test/snapshots/Snapshots9.v1.bpl
+++ b/Test/snapshots/Snapshots9.v1.bpl
@@ -1,16 +1,16 @@
-procedure {:checksum "0"} M(n: int);
- requires 0 < n;
-
-implementation {:id "M"} {:checksum "1"} M(n: int)
-{
- var x: int;
-
- call x := N(n);
-
- assert 0 <= x;
-}
-
-procedure {:checksum "3"} N(n: int) returns (r: int);
- requires 0 < n;
- // Change: weaker postcondition
- ensures 0 <= r;
+procedure {:checksum "0"} M(n: int);
+ requires 0 < n;
+
+implementation {:id "M"} {:checksum "1"} M(n: int)
+{
+ var x: int;
+
+ call x := N(n);
+
+ assert 0 <= x;
+}
+
+procedure {:checksum "3"} N(n: int) returns (r: int);
+ requires 0 < n;
+ // Change: weaker postcondition
+ ensures 0 <= r;
diff --git a/Test/snapshots/runtest.AI.snapshot b/Test/snapshots/runtest.AI.snapshot
index 51de91e8..116920b5 100644
--- a/Test/snapshots/runtest.AI.snapshot
+++ b/Test/snapshots/runtest.AI.snapshot
@@ -1,2 +1,2 @@
-// RUN: %boogie -errorTrace:0 -traceCaching:1 -verifySnapshots:2 -verifySeparately Snapshots29.bpl > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -errorTrace:0 -traceCaching:1 -verifySnapshots:2 -verifySeparately Snapshots29.bpl > "%t"
+// RUN: %diff "%s.expect" "%t"
diff --git a/Test/snapshots/runtest.AI.snapshot.expect b/Test/snapshots/runtest.AI.snapshot.expect
index 7b0288bb..f9e4dc32 100644
--- a/Test/snapshots/runtest.AI.snapshot.expect
+++ b/Test/snapshots/runtest.AI.snapshot.expect
@@ -1,9 +1,9 @@
-Processing command (at Snapshots29.v0.bpl(14,5)) assert i == 0;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots29.v1.bpl(14,5)) assert i == 0;
- >>> DoNothingToAssert
-Snapshots29.v1.bpl(14,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots29.v0.bpl(14,5)) assert i == 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots29.v1.bpl(14,5)) assert i == 0;
+ >>> DoNothingToAssert
+Snapshots29.v1.bpl(14,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
diff --git a/Test/snapshots/runtest.snapshot b/Test/snapshots/runtest.snapshot
index a203ffac..1d6e7c95 100644
--- a/Test/snapshots/runtest.snapshot
+++ b/Test/snapshots/runtest.snapshot
@@ -1,2 +1,3 @@
-// RUN: %boogie -errorTrace:0 -traceCaching:1 -verifySnapshots:2 -verifySeparately -noinfer Snapshots0.bpl Snapshots1.bpl Snapshots2.bpl Snapshots3.bpl Snapshots4.bpl Snapshots5.bpl Snapshots6.bpl Snapshots7.bpl Snapshots8.bpl Snapshots9.bpl Snapshots10.bpl Snapshots11.bpl Snapshots12.bpl Snapshots13.bpl Snapshots14.bpl Snapshots15.bpl Snapshots16.bpl Snapshots17.bpl Snapshots18.bpl Snapshots19.bpl Snapshots20.bpl Snapshots21.bpl Snapshots22.bpl Snapshots23.bpl Snapshots24.bpl Snapshots25.bpl Snapshots26.bpl Snapshots27.bpl Snapshots28.bpl Snapshots30.bpl Snapshots31.bpl Snapshots32.bpl Snapshots33.bpl > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -errorTrace:0 -traceCaching:1 -verifySnapshots:2 -verifySeparately -noinfer Snapshots0.bpl Snapshots1.bpl Snapshots2.bpl Snapshots3.bpl Snapshots4.bpl Snapshots5.bpl Snapshots6.bpl Snapshots7.bpl Snapshots8.bpl Snapshots9.bpl Snapshots10.bpl Snapshots11.bpl Snapshots12.bpl Snapshots13.bpl Snapshots14.bpl Snapshots15.bpl Snapshots16.bpl Snapshots17.bpl Snapshots18.bpl Snapshots19.bpl Snapshots20.bpl Snapshots21.bpl Snapshots22.bpl Snapshots23.bpl Snapshots24.bpl Snapshots25.bpl Snapshots26.bpl Snapshots27.bpl Snapshots28.bpl Snapshots30.bpl Snapshots31.bpl Snapshots32.bpl Snapshots33.bpl Snapshots34.bpl Snapshots35.bpl Snapshots36.bpl Snapshots37.bpl Snapshots38.bpl Snapshots39.bpl Snapshots40.bpl > "%t"
+// RUN: %boogie -errorTrace:0 -traceCaching:1 -verifySnapshots:3 -verifySeparately -noinfer Snapshots41.bpl >> "%t"
+// RUN: %diff "%s.expect" "%t"
diff --git a/Test/snapshots/runtest.snapshot.expect b/Test/snapshots/runtest.snapshot.expect
index 8f3c2015..393c9330 100644
--- a/Test/snapshots/runtest.snapshot.expect
+++ b/Test/snapshots/runtest.snapshot.expect
@@ -1,600 +1,810 @@
-Processing command (at Snapshots0.v0.bpl(41,5)) assert false;
- >>> DoNothingToAssert
-Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v0.bpl(8,5)) assert false;
- >>> DoNothingToAssert
-Snapshots0.v0.bpl(8,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v0.bpl(19,5)) assert false;
- >>> DoNothingToAssert
-Snapshots0.v0.bpl(19,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v0.bpl(30,5)) assert false;
- >>> DoNothingToAssert
-Snapshots0.v0.bpl(30,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 4 errors
-Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v1.bpl(19,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots0.v1.bpl(30,5)) assert false;
- >>> DoNothingToAssert
-Snapshots0.v1.bpl(30,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v1.bpl(41,5)) assert true;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 2 verified, 2 errors
-Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots0.v2.bpl(19,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots0.v2.bpl(30,5)) assert true;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 2 verified, 1 error
-Processing command (at Snapshots1.v0.bpl(13,5)) assert 1 != 1;
- >>> DoNothingToAssert
-Snapshots1.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 1 verified, 1 error
-Processing command (at Snapshots1.v1.bpl(13,5)) assert 2 != 2;
- >>> DoNothingToAssert
-Snapshots1.v1.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 1 verified, 1 error
-Processing call to procedure P2 in implementation P1 (at Snapshots1.v2.bpl(5,5)):
-Processing command (at Snapshots1.v2.bpl(5,5)) assert false;
- >>> DoNothingToAssert
-Snapshots1.v2.bpl(5,5): Error BP5002: A precondition for this call might not hold.
-Snapshots1.v2.bpl(10,3): Related location: This is the precondition that might not hold.
-Processing command (at Snapshots1.v2.bpl(14,5)) assert 2 != 2;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 1 error
-
-Boogie program verifier finished with 1 verified, 0 errors
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure P0 in implementation P0 (at Snapshots2.v2.bpl(6,5)):
-Processing command (at Snapshots2.v2.bpl(6,5)) assert F0();
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure P0 in implementation P0 (at Snapshots2.v3.bpl(6,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing command (at Snapshots2.v3.bpl(6,5)) assert F0();
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure P0 in implementation P0 (at Snapshots2.v5.bpl(7,5)):
- >>> added axiom: ##extracted_function##1() == F0()
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1();
-Processing command (at Snapshots2.v5.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##1();
- >>> MarkAsFullyVerified
-Processing command (at Snapshots2.v5.bpl(7,5)) assert F0();
- >>> MarkAsFullyVerified
-Processing command (at Snapshots2.v5.bpl(3,1)) assert F0();
- >>> MarkAsFullyVerified
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots3.v0.bpl(2,1)) assert G();
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots3.v1.bpl(2,1)) assert G();
- >>> DoNothingToAssert
-Snapshots3.v1.bpl(6,1): Error BP5003: A postcondition might not hold on this return path.
-Snapshots3.v1.bpl(2,1): Related location: This is the postcondition that might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-
-Boogie program verifier finished with 3 verified, 0 errors
-Processing call to procedure P2 in implementation P1 (at Snapshots4.v1.bpl(14,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing command (at Snapshots4.v1.bpl(23,5)) assert false;
- >>> DoNothingToAssert
-Snapshots4.v1.bpl(23,5): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots4.v1.bpl(28,3)) assert G();
- >>> DoNothingToAssert
-Snapshots4.v1.bpl(33,1): Error BP5003: A postcondition might not hold on this return path.
-Snapshots4.v1.bpl(28,3): Related location: This is the postcondition that might not hold.
-
-Boogie program verifier finished with 2 verified, 2 errors
-Processing command (at Snapshots5.v0.bpl(5,5)) assert false;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots5.v1.bpl(5,5)) assert false;
- >>> DoNothingToAssert
-Snapshots5.v1.bpl(5,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots6.v0.bpl(13,5)) assert y == 0;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots6.v1.bpl(11,5)):
- >>> added axiom: (forall y##old##0: int, y: int :: {:weight 30} { ##extracted_function##1(y##old##0, y) } ##extracted_function##1(y##old##0, y) == (y##old##0 == y))
- >>> added before: y##old##0 := y;
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1(y##old##0, y);
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##1(y##old##0, y);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots6.v1.bpl(13,5)) assert y == 0;
- >>> MarkAsPartiallyVerified
-Snapshots6.v1.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots7.v0.bpl(14,5)) assert y < 0;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots7.v1.bpl(12,5)):
- >>> added axiom: (forall y: int, z: int :: {:weight 30} { ##extracted_function##1(y, z) } ##extracted_function##1(y, z) == (y < z))
- >>> added before: y##old##0 := y;
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1(y, z);
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##1(y, z);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots7.v1.bpl(14,5)) assert y < 0;
- >>> MarkAsPartiallyVerified
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots8.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> DoNothingToAssert
-Processing command (at Snapshots8.v0.bpl(10,5)) assert 0 <= x;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots8.v1.bpl(8,5)):
- >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
- >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
-Processing command (at Snapshots8.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> MarkAsFullyVerified
-Processing command (at Snapshots8.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> MarkAsFullyVerified
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots8.v1.bpl(10,5)) assert 0 <= x;
- >>> MarkAsPartiallyVerified
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots9.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> DoNothingToAssert
-Processing command (at Snapshots9.v0.bpl(8,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots9.v0.bpl(10,5)) assert 0 <= x;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots9.v1.bpl(8,5)):
- >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n && true))
- >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r && true))
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
-Processing command (at Snapshots9.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> MarkAsFullyVerified
-Processing command (at Snapshots9.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> MarkAsFullyVerified
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots9.v1.bpl(10,5)) assert 0 <= x;
- >>> MarkAsPartiallyVerified
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots10.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> DoNothingToAssert
-Processing command (at Snapshots10.v0.bpl(12,5)) assert 0 <= x;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots10.v1.bpl(8,5)):
- >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
- >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
-Processing command (at Snapshots10.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> MarkAsFullyVerified
-Processing command (at Snapshots10.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
- >>> MarkAsFullyVerified
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots10.v1.bpl(12,5)) assert 0 <= x;
- >>> MarkAsPartiallyVerified
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots11.v0.bpl(7,5)) assert 0 < call0formal#AT#n;
- >>> DoNothingToAssert
-Processing command (at Snapshots11.v0.bpl(9,5)) assert 0 <= x;
- >>> DoNothingToAssert
-Snapshots11.v0.bpl(7,5): Error BP5002: A precondition for this call might not hold.
-Snapshots11.v0.bpl(13,3): Related location: This is the precondition that might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing call to procedure N in implementation M (at Snapshots11.v1.bpl(7,5)):
- >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
- >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##2(call1formal#AT#r);
-Processing command (at Snapshots11.v1.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
- >>> DropAssume
-Processing command (at Snapshots11.v1.bpl(7,5)) assert 0 < call0formal#AT#n;
- >>> RecycleError
-Processing command (at Snapshots11.v1.bpl(9,5)) assert 0 <= x;
- >>> MarkAsPartiallyVerified
-Snapshots11.v0.bpl(7,5): Error BP5002: A precondition for this call might not hold.
-Snapshots11.v0.bpl(13,3): Related location: This is the precondition that might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots12.v0.bpl(7,5)) assert false;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots12.v1.bpl(5,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing command (at Snapshots12.v1.bpl(7,5)) assert false;
- >>> DoNothingToAssert
-Snapshots12.v1.bpl(7,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots13.v0.bpl(7,5)) assert false;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots13.v1.bpl(5,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing command (at Snapshots13.v1.bpl(7,5)) assert false;
- >>> DoNothingToAssert
-Snapshots13.v1.bpl(7,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots14.v0.bpl(7,5)) assert false;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots14.v1.bpl(5,5)):
- >>> added axiom: ##extracted_function##1() == (F() && G())
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1();
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##1();
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots14.v1.bpl(7,5)) assert false;
- >>> MarkAsPartiallyVerified
-Snapshots14.v1.bpl(7,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots15.v0.bpl(5,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots15.v0.bpl(9,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots15.v0.bpl(13,5)) assert false;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots15.v1.bpl(7,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing call to procedure N in implementation M (at Snapshots15.v1.bpl(11,5)):
- >>> added after: a##post##1 := a##post##1 && false;
-Processing command (at Snapshots15.v1.bpl(5,5)) assert true;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots15.v1.bpl(9,5)) assert true;
- >>> MarkAsPartiallyVerified
-Processing command (at Snapshots15.v1.bpl(13,5)) assert false;
- >>> MarkAsPartiallyVerified
-Snapshots15.v1.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots16.v0.bpl(14,5)) assert F(0) == 1;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots16.v1.bpl(14,5)) assert F(0) == 1;
- >>> DoNothingToAssert
-Snapshots16.v1.bpl(14,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots17.v0.bpl(28,5)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots17.v0.bpl(25,9)) assert false;
- >>> DoNothingToAssert
-Processing command (at Snapshots17.v0.bpl(12,13)) assert true;
- >>> DoNothingToAssert
-Processing command (at Snapshots17.v0.bpl(20,13)) assert x == 1;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(14,13)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(16,13)):
- >>> added after: a##post##1 := a##post##1 && false;
-Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(23,9)):
- >>> added after: a##post##2 := a##post##2 && false;
-Processing command (at Snapshots17.v1.bpl(28,5)) assert true;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots17.v1.bpl(25,9)) assert false;
- >>> MarkAsPartiallyVerified
-Processing command (at Snapshots17.v1.bpl(12,13)) assert true;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots17.v1.bpl(20,13)) assert x == 1;
- >>> MarkAsPartiallyVerified
-Snapshots17.v1.bpl(20,13): Error BP5001: This assertion might not hold.
-Snapshots17.v1.bpl(25,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 2 errors
-Processing command (at Snapshots18.v0.bpl(7,9)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots18.v0.bpl(17,9)) assert 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots18.v0.bpl(20,5)) assert 2 != 2;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure N in implementation M (at Snapshots18.v1.bpl(9,9)):
- >>> added after: a##post##0 := a##post##0 && false;
-Processing call to procedure N in implementation M (at Snapshots18.v1.bpl(10,9)):
- >>> added after: a##post##1 := a##post##1 && false;
-Processing command (at Snapshots18.v1.bpl(7,9)) assert 0 == 0;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots18.v1.bpl(17,9)) assert 1 != 1;
- >>> MarkAsPartiallyVerified
-Processing command (at Snapshots18.v1.bpl(20,5)) assert 2 != 2;
- >>> MarkAsPartiallyVerified
-Snapshots18.v1.bpl(17,9): Error BP5001: This assertion might not hold.
-Snapshots18.v1.bpl(20,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 2 errors
-Processing command (at Snapshots19.v0.bpl(5,5)) assert 2 == 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots19.v0.bpl(7,5)) assert 1 != 1;
- >>> DoNothingToAssert
-Snapshots19.v0.bpl(7,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing call to procedure N in implementation M (at Snapshots19.v1.bpl(5,5)):
- >>> added axiom: ##extracted_function##1() == (2 == 2)
- >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1();
-Processing command (at Snapshots19.v1.bpl(5,5)) assume {:precondition_previous_snapshot} ##extracted_function##1();
- >>> MarkAsFullyVerified
-Processing command (at Snapshots19.v1.bpl(5,5)) assert 2 == 2;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots19.v1.bpl(7,5)) assert 1 != 1;
- >>> RecycleError
-Snapshots19.v0.bpl(7,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots20.v0.bpl(9,9)) assert 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots20.v0.bpl(13,9)) assert 2 != 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots20.v0.bpl(16,5)) assert 3 != 3;
- >>> DoNothingToAssert
-Snapshots20.v0.bpl(13,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing call to procedure N in implementation M (at Snapshots20.v1.bpl(7,9)):
- >>> added axiom: ##extracted_function##1() == (0 != 0)
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1();
-Processing command (at Snapshots20.v1.bpl(9,9)) assert 1 != 1;
- >>> MarkAsPartiallyVerified
-Processing command (at Snapshots20.v1.bpl(13,9)) assert 2 != 2;
- >>> RecycleError
-Processing command (at Snapshots20.v1.bpl(16,5)) assert 3 != 3;
- >>> MarkAsPartiallyVerified
-Snapshots20.v1.bpl(9,9): Error BP5001: This assertion might not hold.
-Snapshots20.v0.bpl(13,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 2 errors
-Processing command (at Snapshots21.v0.bpl(7,9)) assert 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots21.v0.bpl(11,9)) assert 2 != 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots21.v0.bpl(14,5)) assert 3 != 3;
- >>> DoNothingToAssert
-Snapshots21.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-Snapshots21.v0.bpl(11,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 2 errors
-Processing command (at Snapshots21.v1.bpl(7,9)) assert 1 == 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots21.v1.bpl(11,9)) assert 2 != 2;
- >>> RecycleError
-Processing command (at Snapshots21.v1.bpl(14,5)) assert 3 != 3;
- >>> DoNothingToAssert
-Snapshots21.v0.bpl(11,9): Error BP5001: This assertion might not hold.
-Snapshots21.v1.bpl(14,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 2 errors
-Processing command (at Snapshots22.v0.bpl(7,9)) assert 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots22.v0.bpl(11,9)) assert 2 == 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots22.v0.bpl(14,5)) assert 3 == 3;
- >>> DoNothingToAssert
-Snapshots22.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots22.v1.bpl(7,9)) assert 1 == 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots22.v1.bpl(11,9)) assert 2 == 2;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots22.v1.bpl(14,5)) assert 3 == 3;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots23.v0.bpl(7,9)) assert 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots23.v0.bpl(11,9)) assert 2 == 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots23.v0.bpl(14,5)) assert 3 == 3;
- >>> DoNothingToAssert
-Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 1 verified, 1 error
-Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-Processing command (at Snapshots23.v1.bpl(22,5)) assert 4 == 4;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 1 error
-Processing command (at Snapshots23.v2.bpl(8,9)) assert 1 != 1;
- >>> RecycleError
-Processing command (at Snapshots23.v2.bpl(12,9)) assert 2 == 2;
- >>> MarkAsFullyVerified
-Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 1 verified, 1 error
-Processing command (at Snapshots24.v0.bpl(7,9)) assert {:subsumption 0} 1 != 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(11,9)) assert {:subsumption 1} 5 != 5;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(15,9)) assert {:subsumption 2} 6 != 6;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(19,9)) assert {:subsumption 1} 2 == 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(20,9)) assert {:subsumption 2} 4 == 4;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(21,9)) assert 5 == 5;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v0.bpl(24,5)) assert {:subsumption 0} 3 == 3;
- >>> DoNothingToAssert
-Snapshots24.v0.bpl(7,9): Error BP5001: This assertion might not hold.
-Snapshots24.v0.bpl(11,9): Error BP5001: This assertion might not hold.
-Snapshots24.v0.bpl(15,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 3 errors
-Processing command (at Snapshots24.v1.bpl(7,9)) assert {:subsumption 0} 1 == 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v1.bpl(11,9)) assert {:subsumption 1} 5 == 5;
- >>> DoNothingToAssert
-Processing command (at Snapshots24.v1.bpl(15,9)) assert {:subsumption 2} 6 != 6;
- >>> RecycleError
-Processing command (at Snapshots24.v1.bpl(19,9)) assert {:subsumption 1} 2 == 2;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots24.v1.bpl(20,9)) assert {:subsumption 2} 4 == 4;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots24.v1.bpl(21,9)) assert 5 == 5;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots24.v1.bpl(24,5)) assert {:subsumption 0} 3 == 3;
- >>> DoNothingToAssert
-Snapshots24.v0.bpl(15,9): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots25.v0.bpl(12,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots25.v0.bpl(13,5)) assert x != x;
- >>> DoNothingToAssert
-Snapshots25.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots25.v1.bpl(12,5)) assert 0 == 0;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots25.v1.bpl(13,5)) assert x != x;
- >>> RecycleError
-Snapshots25.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots26.v0.bpl(12,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots26.v0.bpl(13,5)) assert x != x;
- >>> DoNothingToAssert
-Snapshots26.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots26.v1.bpl(13,5)) assert 0 == 0;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots26.v1.bpl(14,5)) assert x != x;
- >>> RecycleError
-Snapshots26.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots27.v0.bpl(12,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots27.v0.bpl(13,5)) assert x != x;
- >>> DoNothingToAssert
-Snapshots27.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots27.v1.bpl(14,5)) assert 0 == 0;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots27.v1.bpl(15,5)) assert x != x;
- >>> RecycleError
-Snapshots27.v0.bpl(13,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots28.v0.bpl(13,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots28.v0.bpl(14,5)) assert x == 0;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing command (at Snapshots28.v1.bpl(14,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots28.v1.bpl(15,5)) assert x == 0;
- >>> DoNothingToAssert
-Snapshots28.v1.bpl(15,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots30.v0.bpl(5,5)) assert 0 == 0;
- >>> DoNothingToAssert
-Processing command (at Snapshots30.v0.bpl(5,5)) assert 1 == 1;
- >>> DoNothingToAssert
-Processing command (at Snapshots30.v0.bpl(5,5)) assert 2 != 2;
- >>> DoNothingToAssert
-Processing command (at Snapshots30.v0.bpl(5,5)) assert 3 == 3;
- >>> DoNothingToAssert
-Processing command (at Snapshots30.v0.bpl(5,5)) assert 4 == 4;
- >>> DoNothingToAssert
-Snapshots30.v0.bpl(5,5): Error BP5002: A precondition for this call might not hold.
-Snapshots30.v0.bpl(11,3): Related location: This is the precondition that might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots30.v1.bpl(5,5)) assert 0 == 0;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots30.v1.bpl(5,5)) assert 1 == 1;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots30.v1.bpl(5,5)) assert 2 != 2;
- >>> RecycleError
-Processing command (at Snapshots30.v1.bpl(5,5)) assert 3 == 3;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots30.v1.bpl(5,5)) assert 4 == 4;
- >>> MarkAsFullyVerified
-Processing command (at Snapshots30.v1.bpl(6,5)) assert 5 == 5;
- >>> DoNothingToAssert
-Snapshots30.v0.bpl(5,5): Error BP5002: A precondition for this call might not hold.
-Snapshots30.v0.bpl(11,3): Related location: This is the precondition that might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots31.v0.bpl(10,5)) assert 0 < g;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure Q in implementation P (at Snapshots31.v1.bpl(9,5)):
- >>> added axiom: (forall call0old#AT#g: int, g: int :: {:weight 30} { ##extracted_function##1(call0old#AT#g, g) } ##extracted_function##1(call0old#AT#g, g) == (call0old#AT#g < g))
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1(call0old#AT#g, g);
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##1(call0old#AT#g, g);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots31.v1.bpl(10,5)) assert 0 < g;
- >>> MarkAsPartiallyVerified
-Snapshots31.v1.bpl(10,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots32.v0.bpl(10,5)) assert 0 < g;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure Q in implementation P (at Snapshots32.v1.bpl(8,5)):
- >>> added axiom: (forall g##old##0: int, g: int :: {:weight 30} { ##extracted_function##1(g##old##0, g) } ##extracted_function##1(g##old##0, g) == (g##old##0 < g))
- >>> added before: g##old##0 := g;
- >>> added after: a##post##0 := a##post##0 && ##extracted_function##1(g##old##0, g);
-Processing command (at <unknown location>) a##post##0 := a##post##0 && ##extracted_function##1(g##old##0, g);
- >>> AssumeNegationOfAssumptionVariable
-Processing command (at Snapshots32.v1.bpl(9,5)) assert 0 < g;
- >>> MarkAsPartiallyVerified
-Snapshots32.v1.bpl(9,5): Error BP5001: This assertion might not hold.
-
-Boogie program verifier finished with 0 verified, 1 error
-Processing command (at Snapshots33.v0.bpl(10,5)) assert 0 < g;
- >>> DoNothingToAssert
-
-Boogie program verifier finished with 1 verified, 0 errors
-Processing call to procedure Q in implementation P (at Snapshots33.v1.bpl(5,5)):
- >>> added after: a##post##0 := a##post##0 && false;
-
-Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots0.v0.bpl(41,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v0.bpl(8,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots0.v0.bpl(8,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v0.bpl(19,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots0.v0.bpl(19,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v0.bpl(30,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots0.v0.bpl(30,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 4 errors
+Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v1.bpl(19,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots0.v1.bpl(30,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots0.v1.bpl(30,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v1.bpl(41,5)) assert true;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 2 verified, 2 errors
+Snapshots0.v0.bpl(41,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots0.v2.bpl(19,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots0.v2.bpl(30,5)) assert true;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 2 verified, 1 error
+Processing command (at Snapshots1.v0.bpl(13,5)) assert 1 != 1;
+ >>> DoNothingToAssert
+Snapshots1.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 1 verified, 1 error
+Processing command (at Snapshots1.v1.bpl(13,5)) assert 2 != 2;
+ >>> DoNothingToAssert
+Snapshots1.v1.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 1 verified, 1 error
+Processing call to procedure P2 in implementation P1 (at Snapshots1.v2.bpl(5,5)):
+ >>> added after: a##cached##0 := a##cached##0 && true;
+Processing implementation P2 (at Snapshots1.v2.bpl(12,51)):
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && true;
+Processing command (at Snapshots1.v2.bpl(5,5)) assert false;
+ >>> DoNothingToAssert
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && true;
+ >>> AssumeNegationOfAssumptionVariable
+Snapshots1.v2.bpl(5,5): Error BP5002: A precondition for this call might not hold.
+Snapshots1.v2.bpl(10,3): Related location: This is the precondition that might not hold.
+Processing command (at Snapshots1.v2.bpl(14,5)) assert 2 != 2;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 1 error
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P0 (at Snapshots2.v2.bpl(4,51)):
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && true;
+Processing call to procedure P0 in implementation P0 (at Snapshots2.v2.bpl(6,5)):
+ >>> added after: a##cached##1 := a##cached##1 && true;
+Processing command (at Snapshots2.v2.bpl(6,5)) assert F0();
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P0 (at Snapshots2.v3.bpl(4,51)):
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && false;
+Processing call to procedure P0 in implementation P0 (at Snapshots2.v3.bpl(6,5)):
+ >>> added after: a##cached##1 := a##cached##1 && false;
+Processing command (at Snapshots2.v3.bpl(6,5)) assert F0();
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P0 (at Snapshots2.v5.bpl(5,51)):
+ >>> added axiom: ##extracted_function##1() == F0()
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && ##extracted_function##1();
+Processing call to procedure P0 in implementation P0 (at Snapshots2.v5.bpl(7,5)):
+ >>> added axiom: ##extracted_function##2() == F0()
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##2();
+ >>> added after: a##cached##1 := a##cached##1 && true;
+Processing command (at Snapshots2.v5.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##2();
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots2.v5.bpl(7,5)) assert F0();
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots2.v5.bpl(3,1)) assert F0();
+ >>> MarkAsPartiallyVerified
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots3.v0.bpl(2,1)) assert G();
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P0 (at Snapshots3.v1.bpl(4,51)):
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && false;
+Processing command (at Snapshots3.v1.bpl(2,1)) assert G();
+ >>> DoNothingToAssert
+Snapshots3.v1.bpl(6,1): Error BP5003: A postcondition might not hold on this return path.
+Snapshots3.v1.bpl(2,1): Related location: This is the postcondition that might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+
+Boogie program verifier finished with 3 verified, 0 errors
+Processing call to procedure P2 in implementation P1 (at Snapshots4.v1.bpl(14,5)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing command (at Snapshots4.v1.bpl(23,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots4.v1.bpl(23,5): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots4.v1.bpl(28,3)) assert G();
+ >>> DoNothingToAssert
+Snapshots4.v1.bpl(33,1): Error BP5003: A postcondition might not hold on this return path.
+Snapshots4.v1.bpl(28,3): Related location: This is the postcondition that might not hold.
+
+Boogie program verifier finished with 2 verified, 2 errors
+Processing command (at Snapshots5.v0.bpl(5,5)) assert false;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P0 (at Snapshots5.v1.bpl(3,51)):
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && false;
+Processing command (at Snapshots5.v1.bpl(5,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots5.v1.bpl(5,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots6.v0.bpl(13,5)) assert y == 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots6.v1.bpl(11,5)):
+ >>> added axiom: (forall y##old##0: int, y: int :: {:weight 30} { ##extracted_function##1(y##old##0, y) } ##extracted_function##1(y##old##0, y) == (y##old##0 == y))
+ >>> added before: y##old##0 := y;
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1(y##old##0, y);
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1(y##old##0, y);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots6.v1.bpl(13,5)) assert y == 0;
+ >>> MarkAsPartiallyVerified
+Snapshots6.v1.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots7.v0.bpl(14,5)) assert y < 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots7.v1.bpl(12,5)):
+ >>> added axiom: (forall y: int, z: int :: {:weight 30} { ##extracted_function##1(y, z) } ##extracted_function##1(y, z) == (y < z))
+ >>> added before: y##old##0 := y;
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1(y, z);
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1(y, z);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots7.v1.bpl(14,5)) assert y < 0;
+ >>> MarkAsPartiallyVerified
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots8.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots8.v0.bpl(10,5)) assert 0 <= x;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots8.v1.bpl(8,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
+ >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+Processing command (at Snapshots8.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots8.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots8.v1.bpl(10,5)) assert 0 <= x;
+ >>> MarkAsPartiallyVerified
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots9.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots9.v0.bpl(8,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots9.v0.bpl(10,5)) assert 0 <= x;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots9.v1.bpl(8,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n && true))
+ >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r && true))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+Processing command (at Snapshots9.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots9.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots9.v1.bpl(10,5)) assert 0 <= x;
+ >>> MarkAsPartiallyVerified
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots10.v0.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots10.v0.bpl(12,5)) assert 0 <= x;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots10.v1.bpl(8,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
+ >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+Processing command (at Snapshots10.v1.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots10.v1.bpl(8,5)) assert 0 < call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots10.v1.bpl(12,5)) assert 0 <= x;
+ >>> MarkAsPartiallyVerified
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots11.v0.bpl(7,5)) assert 0 < call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots11.v0.bpl(9,5)) assert 0 <= x;
+ >>> DoNothingToAssert
+Snapshots11.v0.bpl(7,5): Error BP5002: A precondition for this call might not hold.
+Snapshots11.v0.bpl(13,3): Related location: This is the precondition that might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing call to procedure N in implementation M (at Snapshots11.v1.bpl(7,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 < call0formal#AT#n))
+ >>> added axiom: (forall call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call1formal#AT#r) } ##extracted_function##2(call1formal#AT#r) == (0 < call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call1formal#AT#r);
+Processing command (at Snapshots11.v1.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> DropAssume
+Processing command (at Snapshots11.v1.bpl(7,5)) assert 0 < call0formal#AT#n;
+ >>> RecycleError
+Processing command (at Snapshots11.v1.bpl(9,5)) assert 0 <= x;
+ >>> MarkAsPartiallyVerified
+Snapshots11.v0.bpl(7,5): Error BP5002: A precondition for this call might not hold.
+Snapshots11.v0.bpl(13,3): Related location: This is the precondition that might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots12.v0.bpl(7,5)) assert false;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots12.v1.bpl(5,5)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing command (at Snapshots12.v1.bpl(7,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots12.v1.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots13.v0.bpl(7,5)) assert false;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots13.v1.bpl(5,5)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing command (at Snapshots13.v1.bpl(7,5)) assert false;
+ >>> DoNothingToAssert
+Snapshots13.v1.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots14.v0.bpl(7,5)) assert false;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots14.v1.bpl(5,5)):
+ >>> added axiom: ##extracted_function##1() == (F() && G())
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1();
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1();
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots14.v1.bpl(7,5)) assert false;
+ >>> MarkAsPartiallyVerified
+Snapshots14.v1.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots15.v0.bpl(5,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots15.v0.bpl(9,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots15.v0.bpl(13,5)) assert false;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots15.v1.bpl(7,5)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing call to procedure N in implementation M (at Snapshots15.v1.bpl(11,5)):
+ >>> added after: a##cached##1 := a##cached##1 && false;
+Processing command (at Snapshots15.v1.bpl(5,5)) assert true;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots15.v1.bpl(9,5)) assert true;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots15.v1.bpl(13,5)) assert false;
+ >>> MarkAsPartiallyVerified
+Snapshots15.v1.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots16.v0.bpl(14,5)) assert F(0) == 1;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots16.v1.bpl(14,5)) assert F(0) == 1;
+ >>> DoNothingToAssert
+Snapshots16.v1.bpl(14,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots17.v0.bpl(28,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots17.v0.bpl(25,9)) assert false;
+ >>> DoNothingToAssert
+Processing command (at Snapshots17.v0.bpl(12,13)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots17.v0.bpl(20,13)) assert x == 1;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(14,13)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(16,13)):
+ >>> added after: a##cached##1 := a##cached##1 && false;
+Processing call to procedure N in implementation M (at Snapshots17.v1.bpl(23,9)):
+ >>> added after: a##cached##2 := a##cached##2 && false;
+Processing command (at Snapshots17.v1.bpl(28,5)) assert true;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots17.v1.bpl(25,9)) assert false;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots17.v1.bpl(12,13)) assert true;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots17.v1.bpl(20,13)) assert x == 1;
+ >>> MarkAsPartiallyVerified
+Snapshots17.v1.bpl(20,13): Error BP5001: This assertion might not hold.
+Snapshots17.v1.bpl(25,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing command (at Snapshots18.v0.bpl(7,9)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots18.v0.bpl(17,9)) assert 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots18.v0.bpl(20,5)) assert 2 != 2;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure N in implementation M (at Snapshots18.v1.bpl(9,9)):
+ >>> added after: a##cached##0 := a##cached##0 && false;
+Processing call to procedure N in implementation M (at Snapshots18.v1.bpl(10,9)):
+ >>> added after: a##cached##1 := a##cached##1 && false;
+Processing command (at Snapshots18.v1.bpl(7,9)) assert 0 == 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots18.v1.bpl(17,9)) assert 1 != 1;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots18.v1.bpl(20,5)) assert 2 != 2;
+ >>> MarkAsPartiallyVerified
+Snapshots18.v1.bpl(17,9): Error BP5001: This assertion might not hold.
+Snapshots18.v1.bpl(20,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing command (at Snapshots19.v0.bpl(5,5)) assert 2 == 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots19.v0.bpl(7,5)) assert 1 != 1;
+ >>> DoNothingToAssert
+Snapshots19.v0.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing call to procedure N in implementation M (at Snapshots19.v1.bpl(5,5)):
+ >>> added axiom: ##extracted_function##1() == (2 == 2)
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1();
+ >>> added after: a##cached##0 := a##cached##0 && true;
+Processing command (at Snapshots19.v1.bpl(5,5)) assume {:precondition_previous_snapshot} ##extracted_function##1();
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots19.v1.bpl(5,5)) assert 2 == 2;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots19.v1.bpl(7,5)) assert 1 != 1;
+ >>> DoNothingToAssert
+Snapshots19.v1.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots20.v0.bpl(9,9)) assert 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots20.v0.bpl(13,9)) assert 2 != 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots20.v0.bpl(16,5)) assert 3 != 3;
+ >>> DoNothingToAssert
+Snapshots20.v0.bpl(13,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing call to procedure N in implementation M (at Snapshots20.v1.bpl(7,9)):
+ >>> added axiom: ##extracted_function##1() == (0 != 0)
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1();
+Processing command (at Snapshots20.v1.bpl(9,9)) assert 1 != 1;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots20.v1.bpl(13,9)) assert 2 != 2;
+ >>> RecycleError
+Processing command (at Snapshots20.v1.bpl(16,5)) assert 3 != 3;
+ >>> MarkAsPartiallyVerified
+Snapshots20.v1.bpl(9,9): Error BP5001: This assertion might not hold.
+Snapshots20.v0.bpl(13,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing command (at Snapshots21.v0.bpl(7,9)) assert 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots21.v0.bpl(11,9)) assert 2 != 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots21.v0.bpl(14,5)) assert 3 != 3;
+ >>> DoNothingToAssert
+Snapshots21.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+Snapshots21.v0.bpl(11,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing command (at Snapshots21.v1.bpl(7,9)) assert 1 == 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots21.v1.bpl(11,9)) assert 2 != 2;
+ >>> RecycleError
+Processing command (at Snapshots21.v1.bpl(14,5)) assert 3 != 3;
+ >>> DoNothingToAssert
+Snapshots21.v0.bpl(11,9): Error BP5001: This assertion might not hold.
+Snapshots21.v1.bpl(14,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing command (at Snapshots22.v0.bpl(7,9)) assert 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots22.v0.bpl(11,9)) assert 2 == 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots22.v0.bpl(14,5)) assert 3 == 3;
+ >>> DoNothingToAssert
+Snapshots22.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots22.v1.bpl(7,9)) assert 1 == 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots22.v1.bpl(11,9)) assert 2 == 2;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots22.v1.bpl(14,5)) assert 3 == 3;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots23.v0.bpl(7,9)) assert 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots23.v0.bpl(11,9)) assert 2 == 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots23.v0.bpl(14,5)) assert 3 == 3;
+ >>> DoNothingToAssert
+Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 1 verified, 1 error
+Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots23.v1.bpl(22,5)) assert 4 == 4;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 1 error
+Processing command (at Snapshots23.v2.bpl(8,9)) assert 1 != 1;
+ >>> RecycleError
+Processing command (at Snapshots23.v2.bpl(12,9)) assert 2 == 2;
+ >>> MarkAsFullyVerified
+Snapshots23.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 1 verified, 1 error
+Processing command (at Snapshots24.v0.bpl(7,9)) assert {:subsumption 0} 1 != 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(11,9)) assert {:subsumption 1} 5 != 5;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(15,9)) assert {:subsumption 2} 6 != 6;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(19,9)) assert {:subsumption 1} 2 == 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(20,9)) assert {:subsumption 2} 4 == 4;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(21,9)) assert 5 == 5;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v0.bpl(24,5)) assert {:subsumption 0} 3 == 3;
+ >>> DoNothingToAssert
+Snapshots24.v0.bpl(7,9): Error BP5001: This assertion might not hold.
+Snapshots24.v0.bpl(11,9): Error BP5001: This assertion might not hold.
+Snapshots24.v0.bpl(15,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 3 errors
+Processing command (at Snapshots24.v1.bpl(7,9)) assert {:subsumption 0} 1 == 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v1.bpl(11,9)) assert {:subsumption 1} 5 == 5;
+ >>> DoNothingToAssert
+Processing command (at Snapshots24.v1.bpl(15,9)) assert {:subsumption 2} 6 != 6;
+ >>> RecycleError
+Processing command (at Snapshots24.v1.bpl(19,9)) assert {:subsumption 1} 2 == 2;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots24.v1.bpl(20,9)) assert {:subsumption 2} 4 == 4;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots24.v1.bpl(21,9)) assert 5 == 5;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots24.v1.bpl(24,5)) assert {:subsumption 0} 3 == 3;
+ >>> DoNothingToAssert
+Snapshots24.v0.bpl(15,9): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots25.v0.bpl(12,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots25.v0.bpl(13,5)) assert x != x;
+ >>> DoNothingToAssert
+Snapshots25.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots25.v1.bpl(12,5)) assert 0 == 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots25.v1.bpl(13,5)) assert x != x;
+ >>> RecycleError
+Snapshots25.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots26.v0.bpl(12,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots26.v0.bpl(13,5)) assert x != x;
+ >>> DoNothingToAssert
+Snapshots26.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots26.v1.bpl(13,5)) assert 0 == 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots26.v1.bpl(14,5)) assert x != x;
+ >>> RecycleError
+Snapshots26.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots27.v0.bpl(12,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots27.v0.bpl(13,5)) assert x != x;
+ >>> DoNothingToAssert
+Snapshots27.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots27.v1.bpl(14,5)) assert 0 == 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots27.v1.bpl(15,5)) assert x != x;
+ >>> RecycleError
+Snapshots27.v0.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots28.v0.bpl(13,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots28.v0.bpl(14,5)) assert x == 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots28.v1.bpl(14,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots28.v1.bpl(15,5)) assert x == 0;
+ >>> DoNothingToAssert
+Snapshots28.v1.bpl(15,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots30.v0.bpl(5,5)) assert 0 == 0;
+ >>> DoNothingToAssert
+Processing command (at Snapshots30.v0.bpl(5,5)) assert 1 == 1;
+ >>> DoNothingToAssert
+Processing command (at Snapshots30.v0.bpl(5,5)) assert 2 != 2;
+ >>> DoNothingToAssert
+Processing command (at Snapshots30.v0.bpl(5,5)) assert 3 == 3;
+ >>> DoNothingToAssert
+Processing command (at Snapshots30.v0.bpl(5,5)) assert 4 == 4;
+ >>> DoNothingToAssert
+Snapshots30.v0.bpl(5,5): Error BP5002: A precondition for this call might not hold.
+Snapshots30.v0.bpl(11,3): Related location: This is the precondition that might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots30.v1.bpl(5,5)) assert 0 == 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots30.v1.bpl(5,5)) assert 1 == 1;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots30.v1.bpl(5,5)) assert 2 != 2;
+ >>> RecycleError
+Processing command (at Snapshots30.v1.bpl(5,5)) assert 3 == 3;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots30.v1.bpl(5,5)) assert 4 == 4;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots30.v1.bpl(6,5)) assert 5 == 5;
+ >>> DoNothingToAssert
+Snapshots30.v0.bpl(5,5): Error BP5002: A precondition for this call might not hold.
+Snapshots30.v0.bpl(11,3): Related location: This is the precondition that might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots31.v0.bpl(10,5)) assert 0 < g;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure Q in implementation P (at Snapshots31.v1.bpl(9,5)):
+ >>> added axiom: (forall call0old#AT#g: int, g: int :: {:weight 30} { ##extracted_function##1(call0old#AT#g, g) } ##extracted_function##1(call0old#AT#g, g) == (call0old#AT#g < g))
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1(call0old#AT#g, g);
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1(call0old#AT#g, g);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots31.v1.bpl(10,5)) assert 0 < g;
+ >>> MarkAsPartiallyVerified
+Snapshots31.v1.bpl(10,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots32.v0.bpl(10,5)) assert 0 < g;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing call to procedure Q in implementation P (at Snapshots32.v1.bpl(8,5)):
+ >>> added axiom: (forall g##old##0: int, g: int :: {:weight 30} { ##extracted_function##1(g##old##0, g) } ##extracted_function##1(g##old##0, g) == (g##old##0 < g))
+ >>> added before: g##old##0 := g;
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##1(g##old##0, g);
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1(g##old##0, g);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots32.v1.bpl(9,5)) assert 0 < g;
+ >>> MarkAsPartiallyVerified
+Snapshots32.v1.bpl(9,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots33.v0.bpl(10,5)) assert 0 < g;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P (at Snapshots33.v1.bpl(3,42)):
+ >>> added axiom: (forall g: int :: {:weight 30} { ##extracted_function##1(g) } ##extracted_function##1(g) == (g == 0))
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && ##extracted_function##1(g);
+Processing call to procedure Q in implementation P (at Snapshots33.v1.bpl(5,5)):
+ >>> added after: a##cached##1 := a##cached##1 && false;
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots34.v0.bpl(6,5)) assert 1 != 1;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P (at Snapshots34.v1.bpl(3,42)):
+ >>> added axiom: ##extracted_function##1() == (0 != 0)
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && ##extracted_function##1();
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1();
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots34.v1.bpl(5,5)) assert 1 != 1;
+ >>> MarkAsPartiallyVerified
+Snapshots34.v1.bpl(5,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots35.v0.bpl(6,5)) assert p;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing implementation P (at Snapshots35.v1.bpl(3,42)):
+ >>> added axiom: (forall p: bool :: {:weight 30} { ##extracted_function##1(p) } ##extracted_function##1(p) == p)
+ >>> added after assuming the current precondition: a##cached##0 := a##cached##0 && ##extracted_function##1(p);
+Processing command (at <unknown location>) a##cached##0 := a##cached##0 && ##extracted_function##1(p);
+ >>> AssumeNegationOfAssumptionVariable
+Processing command (at Snapshots35.v1.bpl(5,5)) assert p;
+ >>> MarkAsPartiallyVerified
+Snapshots35.v1.bpl(5,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots36.v0.bpl(13,5)) assert l[0];
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots36.v1.bpl(13,5)) assert l[0];
+ >>> DoNothingToAssert
+Snapshots36.v1.bpl(13,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots37.v0.bpl(8,5)) assert l[0];
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots37.v1.bpl(8,5)) assert l[0];
+ >>> DoNothingToAssert
+Snapshots37.v1.bpl(8,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots38.v0.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots38.v0.bpl(8,5)) assert r != 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots38.v1.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots38.v1.bpl(8,5)) assert r != 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots38.v1.bpl(9,5)) assert 42 <= r;
+ >>> DoNothingToAssert
+Snapshots38.v1.bpl(9,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing call to procedure Sum in implementation Callee (at Snapshots38.v2.bpl(7,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 <= call0formal#AT#n))
+ >>> added axiom: (forall call0formal#AT#n: int, call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) } ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) == (call0formal#AT#n != 0 ==> 1 <= call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call0formal#AT#n, call1formal#AT#r);
+Processing command (at Snapshots38.v2.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots38.v2.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots38.v2.bpl(8,5)) assert r != 0;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots38.v2.bpl(9,5)) assert 42 <= r;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots39.v0.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots39.v0.bpl(8,5)) assert r != 0;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots39.v1.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots39.v1.bpl(8,5)) assert r != 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots39.v1.bpl(9,5)) assert r == 42 * 43 div 2;
+ >>> DoNothingToAssert
+Snapshots39.v1.bpl(9,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing call to procedure Sum in implementation Callee (at Snapshots39.v2.bpl(7,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 <= call0formal#AT#n))
+ >>> added axiom: (forall call0formal#AT#n: int, call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) } ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) == (call0formal#AT#n <= call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call0formal#AT#n, call1formal#AT#r);
+Processing command (at Snapshots39.v2.bpl(7,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots39.v2.bpl(7,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots39.v2.bpl(8,5)) assert r != 0;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots39.v2.bpl(9,5)) assert r == 42 * 43 div 2;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 1 verified, 0 errors
+Processing command (at Snapshots40.v0.bpl(7,5)) assert b;
+ >>> DoNothingToAssert
+Processing command (at Snapshots40.v0.bpl(8,5)) assert 0 <= call0formal#AT#n;
+ >>> DoNothingToAssert
+Processing command (at Snapshots40.v0.bpl(9,5)) assert r != 0;
+ >>> DoNothingToAssert
+Snapshots40.v0.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots40.v1.bpl(7,5)) assert b;
+ >>> RecycleError
+Processing command (at Snapshots40.v1.bpl(8,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots40.v1.bpl(9,5)) assert r != 0;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots40.v1.bpl(10,5)) assert r == 42 * 43 div 2;
+ >>> DoNothingToAssert
+Snapshots40.v0.bpl(7,5): Error BP5001: This assertion might not hold.
+Snapshots40.v1.bpl(10,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 2 errors
+Processing call to procedure Sum in implementation Foo (at Snapshots40.v2.bpl(8,5)):
+ >>> added axiom: (forall call0formal#AT#n: int :: {:weight 30} { ##extracted_function##1(call0formal#AT#n) } ##extracted_function##1(call0formal#AT#n) == (0 <= call0formal#AT#n))
+ >>> added axiom: (forall call0formal#AT#n: int, call1formal#AT#r: int :: {:weight 30} { ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) } ##extracted_function##2(call0formal#AT#n, call1formal#AT#r) == (call0formal#AT#n <= call1formal#AT#r))
+ >>> added before precondition check: assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> added after: a##cached##0 := a##cached##0 && ##extracted_function##2(call0formal#AT#n, call1formal#AT#r);
+Processing command (at Snapshots40.v2.bpl(7,5)) assert b;
+ >>> RecycleError
+Processing command (at Snapshots40.v2.bpl(8,5)) assume {:precondition_previous_snapshot} ##extracted_function##1(call0formal#AT#n);
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots40.v2.bpl(8,5)) assert 0 <= call0formal#AT#n;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots40.v2.bpl(9,5)) assert r != 0;
+ >>> MarkAsPartiallyVerified
+Processing command (at Snapshots40.v2.bpl(10,5)) assert r == 42 * 43 div 2;
+ >>> DoNothingToAssert
+Snapshots40.v0.bpl(7,5): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 0 verified, 1 error
+Processing command (at Snapshots41.v0.bpl(3,23)) assert x < 20 || 10 <= x;
+ >>> DoNothingToAssert
+Processing command (at Snapshots41.v0.bpl(4,3)) assert x < 10;
+ >>> DoNothingToAssert
+Processing command (at Snapshots41.v0.bpl(5,3)) assert 0 <= call0formal#AT#y;
+ >>> DoNothingToAssert
+Snapshots41.v0.bpl(4,3): Error BP5001: This assertion might not hold.
+Snapshots41.v0.bpl(5,3): Error BP5002: A precondition for this call might not hold.
+Snapshots41.v0.bpl(9,3): Related location: This is the precondition that might not hold.
+Processing command (at Snapshots41.v0.bpl(15,3)) assert 2 <= z;
+ >>> DoNothingToAssert
+Snapshots41.v0.bpl(22,3): Error BP5003: A postcondition might not hold on this return path.
+Snapshots41.v0.bpl(15,3): Related location: This is the postcondition that might not hold.
+Processing command (at Snapshots41.v0.bpl(28,3)) assert u != 53;
+ >>> DoNothingToAssert
+Snapshots41.v0.bpl(28,3): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots41.v0.bpl(34,3)) assert true;
+ >>> DoNothingToAssert
+
+Boogie program verifier finished with 2 verified, 4 errors
+Processing command (at Snapshots41.v1.bpl(4,1)) assert x < 20 || 10 <= x;
+ >>> MarkAsFullyVerified
+Processing command (at Snapshots41.v1.bpl(6,8)) assert x < 10;
+ >>> RecycleError
+Processing command (at Snapshots41.v1.bpl(7,3)) assert 0 <= call0formal#AT#y;
+ >>> RecycleError
+Processing command (at Snapshots41.v1.bpl(8,3)) assert x == 7;
+ >>> DoNothingToAssert
+Snapshots41.v1.bpl(6,8): Error BP5001: This assertion might not hold.
+Snapshots41.v1.bpl(7,3): Error BP5002: A precondition for this call might not hold.
+Snapshots41.v1.bpl(13,10): Related location: This is the precondition that might not hold.
+Snapshots41.v1.bpl(8,3): Error BP5001: This assertion might not hold.
+Processing command (at Snapshots41.v1.bpl(27,5)) assert true;
+ >>> DoNothingToAssert
+Processing command (at Snapshots41.v1.bpl(21,3)) assert 2 <= z;
+ >>> DoNothingToAssert
+Snapshots41.v1.bpl(29,3): Error BP5003: A postcondition might not hold on this return path.
+Snapshots41.v1.bpl(21,3): Related location: This is the postcondition that might not hold.
+Processing command (at Snapshots41.v1.bpl(35,8)) assert u != 53;
+ >>> RecycleError
+Snapshots41.v1.bpl(35,8): Error BP5001: This assertion might not hold.
+
+Boogie program verifier finished with 2 verified, 5 errors
diff --git a/Test/stratifiedinline/bar1.bpl b/Test/stratifiedinline/bar1.bpl
index fdce8177..11256950 100644
--- a/Test/stratifiedinline/bar1.bpl
+++ b/Test/stratifiedinline/bar1.bpl
@@ -1,28 +1,28 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var x: int;
-var y: int;
-
-procedure bar()
-modifies y;
-{
- y := y + 1;
-}
-
-procedure foo()
-modifies x, y;
-{
- x := x + 1;
- call bar();
- call bar();
- x := x + 1;
-}
-
-procedure {:entrypoint} main()
-modifies x, y;
-{
- assume x == y;
- call foo();
- assume x == y;
-}
-
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var x: int;
+var y: int;
+
+procedure bar()
+modifies y;
+{
+ y := y + 1;
+}
+
+procedure foo()
+modifies x, y;
+{
+ x := x + 1;
+ call bar();
+ call bar();
+ x := x + 1;
+}
+
+procedure {:entrypoint} main()
+modifies x, y;
+{
+ assume x == y;
+ call foo();
+ assume x == y;
+}
+
diff --git a/Test/stratifiedinline/bar10.bpl b/Test/stratifiedinline/bar10.bpl
index 477cfb04..fef92a9e 100644
--- a/Test/stratifiedinline/bar10.bpl
+++ b/Test/stratifiedinline/bar10.bpl
@@ -1,43 +1,43 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var i: int;
-var m: int;
-
-procedure foo()
-modifies i;
-{
- if (i < 20) {
- i := i + 1;
- call foo();
- }
-}
-
-procedure bar1(j: int)
-modifies i;
-{
- if (j < 2*m)
- {
- i := i + 1;
- call bar1(j+1);
- }
-}
-
-procedure bar2(j: int)
-modifies i;
-{
- if (j < m) {
- i := i - 1;
- call bar2(j+1);
- }
-}
-
-procedure {:entrypoint} main()
-modifies i;
-{
- i := 0;
- call bar1(0);
- call bar2(0);
- i := 0;
- call foo();
- assume !(i < 10);
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var i: int;
+var m: int;
+
+procedure foo()
+modifies i;
+{
+ if (i < 20) {
+ i := i + 1;
+ call foo();
+ }
+}
+
+procedure bar1(j: int)
+modifies i;
+{
+ if (j < 2*m)
+ {
+ i := i + 1;
+ call bar1(j+1);
+ }
+}
+
+procedure bar2(j: int)
+modifies i;
+{
+ if (j < m) {
+ i := i - 1;
+ call bar2(j+1);
+ }
+}
+
+procedure {:entrypoint} main()
+modifies i;
+{
+ i := 0;
+ call bar1(0);
+ call bar2(0);
+ i := 0;
+ call foo();
+ assume !(i < 10);
+}
diff --git a/Test/stratifiedinline/bar11.bpl b/Test/stratifiedinline/bar11.bpl
index b25d8451..913501cc 100644
--- a/Test/stratifiedinline/bar11.bpl
+++ b/Test/stratifiedinline/bar11.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var x: int;
-var y: int;
-procedure boogie_si_record_int(x:int);
-
-procedure bar()
-modifies y;
-{
- y := y + 1;
- call boogie_si_record_int(y);
-}
-
-procedure foo()
-modifies x, y;
-{
- call boogie_si_record_int(x);
- x := x + 1;
- call bar();
- call bar();
- x := x + 1;
- call boogie_si_record_int(x);
-}
-
-procedure {:entrypoint} main()
-modifies x, y;
-{
- assume x == 0;
- assume x == y;
- call foo();
- assume x == y;
-}
-
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var x: int;
+var y: int;
+procedure boogie_si_record_int(x:int);
+
+procedure bar()
+modifies y;
+{
+ y := y + 1;
+ call boogie_si_record_int(y);
+}
+
+procedure foo()
+modifies x, y;
+{
+ call boogie_si_record_int(x);
+ x := x + 1;
+ call bar();
+ call bar();
+ x := x + 1;
+ call boogie_si_record_int(x);
+}
+
+procedure {:entrypoint} main()
+modifies x, y;
+{
+ assume x == 0;
+ assume x == y;
+ call foo();
+ assume x == y;
+}
+
diff --git a/Test/stratifiedinline/bar12.bpl b/Test/stratifiedinline/bar12.bpl
index b11daa52..1f28e212 100644
--- a/Test/stratifiedinline/bar12.bpl
+++ b/Test/stratifiedinline/bar12.bpl
@@ -1,10 +1,10 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:inline} f(a:bool) : bool { true }
-
-procedure {:entrypoint} main()
-{
- var x: int;
- assume f(x >= 0);
- assume x >= 0;
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:inline} f(a:bool) : bool { true }
+
+procedure {:entrypoint} main()
+{
+ var x: int;
+ assume f(x >= 0);
+ assume x >= 0;
+}
diff --git a/Test/stratifiedinline/bar13.bpl b/Test/stratifiedinline/bar13.bpl
index 7c8cc9bd..6447f622 100644
--- a/Test/stratifiedinline/bar13.bpl
+++ b/Test/stratifiedinline/bar13.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var alloc: int;
-var assertsPassed: bool;
-procedure boogie_si_record_li2bpl_int(x: int);
-
-procedure __HAVOC_malloc(size: int) returns (ret: int);
- free requires size >= 0;
- modifies alloc;
- free ensures ret == old(alloc);
- free ensures alloc >= old(alloc) + size;
-
-
-procedure foo(arg: int)
- modifies alloc;
-{
- var tt: int;
-
- anon0__unique__1:
- // assume NumberOfBytes_2 >= 0;
- call boogie_si_record_li2bpl_int(arg);
- call tt := __HAVOC_malloc(arg);
- call boogie_si_record_li2bpl_int(alloc);
- return;
-}
-
-procedure {:entrypoint} main()
- modifies alloc;
-{
- var t1: int;
-
- assume alloc > 0;
- call foo(t1);
- assume alloc < 0;
-}
-
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var alloc: int;
+var assertsPassed: bool;
+procedure boogie_si_record_li2bpl_int(x: int);
+
+procedure __HAVOC_malloc(size: int) returns (ret: int);
+ free requires size >= 0;
+ modifies alloc;
+ free ensures ret == old(alloc);
+ free ensures alloc >= old(alloc) + size;
+
+
+procedure foo(arg: int)
+ modifies alloc;
+{
+ var tt: int;
+
+ anon0__unique__1:
+ // assume NumberOfBytes_2 >= 0;
+ call boogie_si_record_li2bpl_int(arg);
+ call tt := __HAVOC_malloc(arg);
+ call boogie_si_record_li2bpl_int(alloc);
+ return;
+}
+
+procedure {:entrypoint} main()
+ modifies alloc;
+{
+ var t1: int;
+
+ assume alloc > 0;
+ call foo(t1);
+ assume alloc < 0;
+}
+
diff --git a/Test/stratifiedinline/bar2.bpl b/Test/stratifiedinline/bar2.bpl
index a4f367de..beebf459 100644
--- a/Test/stratifiedinline/bar2.bpl
+++ b/Test/stratifiedinline/bar2.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure foo() returns (x: bool)
-{
- var b: bool;
- if (b) {
- x := false;
- return;
- } else {
- x := true;
- return;
- }
-}
-
-procedure {:entrypoint} main()
-{
- var b1: bool;
- var b2: bool;
-
- call b1 := foo();
- call b2 := foo();
- assume b1 != b2;
-}
-
-
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure foo() returns (x: bool)
+{
+ var b: bool;
+ if (b) {
+ x := false;
+ return;
+ } else {
+ x := true;
+ return;
+ }
+}
+
+procedure {:entrypoint} main()
+{
+ var b1: bool;
+ var b2: bool;
+
+ call b1 := foo();
+ call b2 := foo();
+ assume b1 != b2;
+}
+
+
diff --git a/Test/stratifiedinline/bar3.bpl b/Test/stratifiedinline/bar3.bpl
index 4625552b..e405ec47 100644
--- a/Test/stratifiedinline/bar3.bpl
+++ b/Test/stratifiedinline/bar3.bpl
@@ -1,41 +1,41 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var y: int;
-var x: int;
-
-procedure bar(b: bool)
-modifies y;
-{
- if (b) {
- y := y + 1;
- } else {
- y := y - 1;
- }
-}
-
-procedure foo()
-modifies x, y;
-{
- var b: bool;
- if (b) {
- x := x + 1;
- call bar(true);
- call bar(true);
- x := x + 1;
- } else {
- x := x - 1;
- call bar(false);
- call bar(false);
- x := x - 1;
- }
-}
-
-
-procedure {:entrypoint} main()
-modifies x, y;
-{
- assume x == y;
- call foo();
- call bar(false);
- assume x != y;
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var y: int;
+var x: int;
+
+procedure bar(b: bool)
+modifies y;
+{
+ if (b) {
+ y := y + 1;
+ } else {
+ y := y - 1;
+ }
+}
+
+procedure foo()
+modifies x, y;
+{
+ var b: bool;
+ if (b) {
+ x := x + 1;
+ call bar(true);
+ call bar(true);
+ x := x + 1;
+ } else {
+ x := x - 1;
+ call bar(false);
+ call bar(false);
+ x := x - 1;
+ }
+}
+
+
+procedure {:entrypoint} main()
+modifies x, y;
+{
+ assume x == y;
+ call foo();
+ call bar(false);
+ assume x != y;
+}
diff --git a/Test/stratifiedinline/bar4.bpl b/Test/stratifiedinline/bar4.bpl
index 948683f8..4cf52946 100644
--- a/Test/stratifiedinline/bar4.bpl
+++ b/Test/stratifiedinline/bar4.bpl
@@ -1,39 +1,39 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var y: int;
-var x: int;
-
-procedure bar() returns (b: bool)
-modifies y;
-{
- if (b) {
- y := y + 1;
- } else {
- y := y - 1;
- }
-}
-
-procedure foo()
-modifies x, y;
-{
- var b: bool;
-
- call b := bar();
- if (b) {
- x := x + 1;
- } else {
- x := x - 1;
- }
-}
-
-
-procedure {:entrypoint} main() returns (b: bool)
-modifies x, y;
-{
- assume x == y;
- call foo();
- if (x == y) {
- call b := bar();
- assume (if b then x+1 != y else x != y+1);
- }
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var y: int;
+var x: int;
+
+procedure bar() returns (b: bool)
+modifies y;
+{
+ if (b) {
+ y := y + 1;
+ } else {
+ y := y - 1;
+ }
+}
+
+procedure foo()
+modifies x, y;
+{
+ var b: bool;
+
+ call b := bar();
+ if (b) {
+ x := x + 1;
+ } else {
+ x := x - 1;
+ }
+}
+
+
+procedure {:entrypoint} main() returns (b: bool)
+modifies x, y;
+{
+ assume x == y;
+ call foo();
+ if (x == y) {
+ call b := bar();
+ assume (if b then x+1 != y else x != y+1);
+ }
+}
diff --git a/Test/stratifiedinline/bar6.bpl b/Test/stratifiedinline/bar6.bpl
index d763a82c..68c1faac 100644
--- a/Test/stratifiedinline/bar6.bpl
+++ b/Test/stratifiedinline/bar6.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var M: [int]int;
-
-procedure bar(y: int) returns (b: bool)
-modifies M;
-{
- if (b) {
- M[y] := M[y] + 1;
- } else {
- M[y] := M[y] - 1;
- }
-}
-
-procedure foo(x: int, y: int)
-modifies M;
-{
- var b: bool;
-
- call b := bar(y);
- if (b) {
- M[x] := M[x] + 1;
- } else {
- M[x] := M[x] - 1;
- }
-}
-
-procedure {:entrypoint} main(x: int, y: int) returns (b: bool)
-modifies M;
-{
- assume x != y;
- assume M[x] == M[y];
- call foo(x, y);
- if (M[x] == M[y]) {
- call b := bar(y);
- assume (if b then M[x]+1 != M[y] else M[x] != M[y]+1);
- }
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var M: [int]int;
+
+procedure bar(y: int) returns (b: bool)
+modifies M;
+{
+ if (b) {
+ M[y] := M[y] + 1;
+ } else {
+ M[y] := M[y] - 1;
+ }
+}
+
+procedure foo(x: int, y: int)
+modifies M;
+{
+ var b: bool;
+
+ call b := bar(y);
+ if (b) {
+ M[x] := M[x] + 1;
+ } else {
+ M[x] := M[x] - 1;
+ }
+}
+
+procedure {:entrypoint} main(x: int, y: int) returns (b: bool)
+modifies M;
+{
+ assume x != y;
+ assume M[x] == M[y];
+ call foo(x, y);
+ if (M[x] == M[y]) {
+ call b := bar(y);
+ assume (if b then M[x]+1 != M[y] else M[x] != M[y]+1);
+ }
+}
diff --git a/Test/stratifiedinline/bar7.bpl b/Test/stratifiedinline/bar7.bpl
index 1c83fb68..e2af0e84 100644
--- a/Test/stratifiedinline/bar7.bpl
+++ b/Test/stratifiedinline/bar7.bpl
@@ -1,45 +1,45 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var i: int;
-var m: int;
-
-procedure foo()
-modifies i;
-{
- if (i < 20) {
- i := i + 1;
- call foo();
- }
-}
-
-procedure bar1(j: int)
-modifies i;
-{
- if (j < 2*m)
- {
- i := i + 1;
- call bar1(j+1);
- }
-}
-
-procedure bar2(j: int)
-modifies i;
-{
- if (j < m) {
- i := i - 1;
- call bar2(j+1);
- }
-}
-
-procedure {:entrypoint} main()
-modifies i;
-{
- i := 0;
- if (*) {
- call foo();
- } else {
- call bar1(0);
- call bar2(0);
- }
- assume !(i < 10);
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var i: int;
+var m: int;
+
+procedure foo()
+modifies i;
+{
+ if (i < 20) {
+ i := i + 1;
+ call foo();
+ }
+}
+
+procedure bar1(j: int)
+modifies i;
+{
+ if (j < 2*m)
+ {
+ i := i + 1;
+ call bar1(j+1);
+ }
+}
+
+procedure bar2(j: int)
+modifies i;
+{
+ if (j < m) {
+ i := i - 1;
+ call bar2(j+1);
+ }
+}
+
+procedure {:entrypoint} main()
+modifies i;
+{
+ i := 0;
+ if (*) {
+ call foo();
+ } else {
+ call bar1(0);
+ call bar2(0);
+ }
+ assume !(i < 10);
+}
diff --git a/Test/stratifiedinline/bar8.bpl b/Test/stratifiedinline/bar8.bpl
index e46b24e7..f6c8b42c 100644
--- a/Test/stratifiedinline/bar8.bpl
+++ b/Test/stratifiedinline/bar8.bpl
@@ -1,44 +1,44 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var i: int;
-var m: int;
-
-procedure foo()
-modifies i;
-{
- if (i < 20) {
- i := i + 1;
- call foo();
- }
-}
-
-procedure bar1(j: int)
-modifies i;
-{
- if (j < 2*m) {
- i := i + 1;
- call bar1(j+1);
- }
-}
-
-procedure bar2(j: int)
-modifies i;
-{
- if (j < m) {
- i := i - 2;
- call bar2(j+1);
- }
-}
-
-procedure {:entrypoint} main()
-modifies i;
-{
- i := 0;
- if (*) {
- call foo();
- } else {
- call bar1(0);
- call bar2(0);
- }
- assume !(i < 10);
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var i: int;
+var m: int;
+
+procedure foo()
+modifies i;
+{
+ if (i < 20) {
+ i := i + 1;
+ call foo();
+ }
+}
+
+procedure bar1(j: int)
+modifies i;
+{
+ if (j < 2*m) {
+ i := i + 1;
+ call bar1(j+1);
+ }
+}
+
+procedure bar2(j: int)
+modifies i;
+{
+ if (j < m) {
+ i := i - 2;
+ call bar2(j+1);
+ }
+}
+
+procedure {:entrypoint} main()
+modifies i;
+{
+ i := 0;
+ if (*) {
+ call foo();
+ } else {
+ call bar1(0);
+ call bar2(0);
+ }
+ assume !(i < 10);
+}
diff --git a/Test/stratifiedinline/bar9.bpl b/Test/stratifiedinline/bar9.bpl
index e5a84185..e91fb0a4 100644
--- a/Test/stratifiedinline/bar9.bpl
+++ b/Test/stratifiedinline/bar9.bpl
@@ -1,47 +1,47 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var i: int;
-var m: int;
-
-procedure foo(x: int)
-modifies i;
-{
- if (i < x) {
- i := i + 1;
- call foo(x);
- }
-}
-
-procedure bar1(j: int)
-modifies i;
-{
- if (j < 2*m)
- {
- i := i + 1;
- call bar1(j+1);
- }
-}
-
-procedure bar2(j: int)
-modifies i;
-{
- if (j < m) {
- i := i - 1;
- call bar2(j+1);
- }
-}
-
-procedure {:entrypoint} main()
-modifies i;
-{
- i := 0;
- if (*) {
- call foo(20);
- i := 0;
- call foo(4);
- } else {
- call bar1(0);
- call bar2(0);
- }
- assume !(i < 10);
-}
+// RUN: %boogie -stratifiedInline:1 -vc:i -nonUniformUnfolding "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var i: int;
+var m: int;
+
+procedure foo(x: int)
+modifies i;
+{
+ if (i < x) {
+ i := i + 1;
+ call foo(x);
+ }
+}
+
+procedure bar1(j: int)
+modifies i;
+{
+ if (j < 2*m)
+ {
+ i := i + 1;
+ call bar1(j+1);
+ }
+}
+
+procedure bar2(j: int)
+modifies i;
+{
+ if (j < m) {
+ i := i - 1;
+ call bar2(j+1);
+ }
+}
+
+procedure {:entrypoint} main()
+modifies i;
+{
+ i := 0;
+ if (*) {
+ call foo(20);
+ i := 0;
+ call foo(4);
+ } else {
+ call bar1(0);
+ call bar2(0);
+ }
+ assume !(i < 10);
+}
diff --git a/Test/stratifiedinline/large.bpl b/Test/stratifiedinline/large.bpl
index eae7c81a..6f94839f 100644
--- a/Test/stratifiedinline/large.bpl
+++ b/Test/stratifiedinline/large.bpl
@@ -1,16761 +1,16761 @@
-// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure proc63() returns (nVar5796: int, nVar5797: bool);
- modifies nVar2, nVar1, nVar3, nVar4, nVar5, nVar6, nVar7, nVar8, nVar9, nVar10, nVar11, nVar12, nVar13, nVar14, nVar15, nVar16, nVar17, nVar18, nVar19, nVar20, nVar21, nVar22, nVar23, nVar24, nVar25, nVar26, nVar27, nVar28, nVar29, nVar30, nVar31, nVar32, nVar33, nVar34, nVar35, nVar36, nVar37, nVar38, nVar39, nVar40, nVar41, nVar42, nVar43, nVar44, nVar45, nVar46, nVar47, nVar48, nVar49, nVar50, nVar51, nVar52, nVar53, nVar54, nVar55, nVar56, nVar57, nVar58, nVar59, nVar60, nVar61, nVar62, nVar63, nVar64, nVar65, nVar66, nVar67, nVar68, nVar69, nVar70, nVar71, nVar72, nVar73, nVar74, nVar75, nVar76, nVar77, nVar78, nVar79, nVar80, nVar81, nVar82, nVar83, nVar84, nVar85, nVar86, nVar87, nVar88, nVar89, nVar90, nVar91, nVar92, nVar93, nVar94, nVar95, nVar96, nVar97, nVar98, nVar99, nVar100, nVar101, nVar102, nVar103, nVar104, nVar105, nVar106, nVar107, nVar108, nVar109, nVar110, nVar111, nVar112, nVar113, nVar114, nVar115, nVar116, nVar117, nVar118, nVar119, nVar120, nVar121, nVar122, nVar123, nVar124, nVar125, nVar126, nVar127, nVar128, nVar129, nVar130, nVar131, nVar132, nVar133, nVar134, nVar135, nVar136, nVar137, nVar138, nVar139, nVar140, nVar141, nVar142, nVar143, nVar144, nVar145, nVar146, nVar147, nVar148, nVar149, nVar150, nVar151, nVar152, nVar153, nVar154, nVar155, nVar156, nVar157, nVar158, nVar159, nVar160, nVar161, nVar162, nVar163, nVar164, nVar165, nVar166, nVar167, nVar168, nVar169, nVar170, nVar171, nVar172, nVar173, nVar174, nVar175, nVar176, nVar177, nVar178, nVar179, nVar180, nVar181, nVar182, nVar183, nVar184, nVar185, nVar186, nVar187, nVar188, nVar189, nVar190, nVar191, nVar192, nVar193, nVar194, nVar195, nVar196, nVar197, nVar198, nVar199, nVar200, nVar201, nVar202, nVar203, nVar204, nVar205, nVar206, nVar207, nVar208, nVar209, nVar210, nVar211, nVar212, nVar213, nVar214, nVar215, nVar216, nVar217, nVar218, nVar219, nVar220, nVar221, nVar222, nVar223, nVar224, nVar225, nVar226, nVar227, nVar228, nVar229, nVar230, nVar231, nVar232, nVar233, nVar234, nVar235, nVar236, nVar237, nVar238, nVar239, nVar240, nVar241, nVar242, nVar243, nVar244, nVar245, nVar246, nVar247, nVar248, nVar249, nVar250, nVar251, nVar252, nVar253, nVar254, nVar255, nVar256, nVar257, nVar258, nVar259, nVar260, nVar261, nVar262, nVar263, nVar264, nVar265, nVar266, nVar267, nVar268, nVar269, nVar270, nVar271, nVar272, nVar273, nVar274, nVar275, nVar276, nVar277, nVar278, nVar279, nVar281, nVar282, nVar283, nVar284, nVar285, nVar286, nVar287, nVar288, nVar289, nVar290, nVar291, nVar292, nVar293, nVar294, nVar295, nVar296, nVar297, nVar298, nVar299, nVar300, nVar301, nVar302, nVar303, nVar304, nVar305, nVar306, nVar307, nVar308, nVar309, nVar310, nVar311, nVar312, nVar313, nVar314, nVar315, nVar316, nVar317, nVar318, nVar319, nVar320, nVar321, nVar322, nVar323, nVar324, nVar325, nVar326, nVar327, nVar328, nVar329, nVar330, nVar331, nVar332, nVar333, nVar334, nVar335, nVar336, nVar337, nVar338, nVar339, nVar340, nVar341, nVar342, nVar343, nVar344, nVar345, nVar346, nVar348, nVar349, nVar350, nVar351, nVar352, nVar353, nVar354, nVar355, nVar356, nVar357, nVar358, nVar359, nVar360, nVar361, nVar362, nVar363, nVar364, nVar365, nVar366, nVar367, nVar368, nVar369, nVar370, nVar371, nVar372, nVar373, nVar374, nVar375, nVar376, nVar377, nVar378, nVar379, nVar380, nVar381, nVar382, nVar383, nVar384, nVar385, nVar386, nVar387, nVar388, nVar389, nVar390, nVar391, nVar392, nVar393, nVar394, nVar395, nVar396, nVar397, nVar398, nVar400, nVar401, nVar402, nVar403, nVar404, nVar405, nVar406, nVar407, nVar408, nVar409, nVar410, nVar411, nVar412, nVar413, nVar414, nVar415, nVar416, nVar417, nVar418, nVar419, nVar420, nVar421, nVar422, nVar423, nVar424, nVar425, nVar426, nVar427, nVar428, nVar429, nVar430, nVar431, nVar432, nVar433, nVar434, nVar435, nVar436, nVar437, nVar438, nVar439, nVar440, nVar441, nVar442, nVar443, nVar444, nVar445, nVar446, nVar447, nVar448, nVar449, nVar450, nVar451, nVar452, nVar453, nVar454, nVar455, nVar456, nVar457, nVar458, nVar459, nVar460, nVar461, nVar462, nVar463, nVar464, nVar465, nVar466, nVar467, nVar468, nVar469, nVar470, nVar471, nVar472, nVar473, nVar474, nVar475, nVar476, nVar477, nVar478, nVar479, nVar480, nVar481, nVar482, nVar483, nVar484, nVar485, nVar486, nVar487, nVar488, nVar489, nVar490, nVar491, nVar492, nVar493, nVar494, nVar495, nVar496, nVar497, nVar498, nVar499, nVar500, nVar501, nVar502, nVar503, nVar504, nVar505, nVar506, nVar507, nVar508, nVar509, nVar510, nVar511, nVar512, nVar513, nVar514, nVar515, nVar516, nVar517, nVar518, nVar519, nVar520, nVar521, nVar522, nVar523, nVar524, nVar525, nVar526, nVar527, nVar528, nVar529, nVar530, nVar531, nVar532, nVar533, nVar534, nVar535, nVar536, nVar537, nVar538, nVar539, nVar540, nVar541, nVar542, nVar543, nVar544, nVar545, nVar546, nVar547, nVar548, nVar549, nVar550, nVar551, nVar552, nVar553, nVar554, nVar555, nVar556, nVar557, nVar558, nVar559, nVar560, nVar561, nVar562, nVar563, nVar564, nVar565, nVar566, nVar567, nVar568, nVar569, nVar570, nVar571, nVar572, nVar573, nVar574, nVar575, nVar576, nVar577, nVar578, nVar579, nVar580, nVar581, nVar582, nVar583, nVar584, nVar585, nVar586, nVar587, nVar588, nVar589, nVar590, nVar591, nVar592, nVar593, nVar594, nVar595, nVar596, nVar597, nVar598, nVar599, nVar600, nVar601, nVar602, nVar603, nVar604, nVar605, nVar606, nVar607, nVar608, nVar609, nVar610, nVar611, nVar612, nVar613, nVar614, nVar615, nVar616, nVar617, nVar618, nVar619, nVar620, nVar621, nVar622, nVar623, nVar624, nVar625, nVar626, nVar627, nVar628, nVar629, nVar630, nVar631, nVar632, nVar633, nVar634, nVar635, nVar636, nVar637, nVar638, nVar639, nVar640, nVar641, nVar642, nVar643, nVar644, nVar645, nVar646, nVar647, nVar648, nVar649, nVar650, nVar651, nVar652, nVar653, nVar654, nVar655, nVar656, nVar657, nVar658, nVar659, nVar660, nVar661, nVar662, nVar663, nVar664, nVar665, nVar666, nVar667, nVar668, nVar669, nVar670, nVar671, nVar672, nVar673, nVar674, nVar675, nVar676, nVar677, nVar678, nVar679, nVar680, nVar681, nVar682, nVar683, nVar684, nVar685, nVar686, nVar687, nVar688, nVar689, nVar690, nVar691, nVar692, nVar693, nVar694, nVar695, nVar696, nVar697, nVar698, nVar699, nVar700, nVar701, nVar702, nVar703, nVar704, nVar705, nVar706, nVar707, nVar708, nVar709, nVar710, nVar711, nVar712, nVar713, nVar714, nVar715, nVar716, nVar717, nVar718, nVar719, nVar720, nVar721, nVar722, nVar723, nVar724, nVar725, nVar726, nVar727, nVar728, nVar729, nVar730, nVar731, nVar732, nVar733, nVar734, nVar735, nVar736, nVar737, nVar738, nVar739, nVar740, nVar741, nVar742, nVar743, nVar744, nVar745, nVar746, nVar747, nVar748, nVar749, nVar750, nVar751, nVar752, nVar753, nVar754, nVar755, nVar756, nVar757, nVar758, nVar759, nVar760, nVar761, nVar762, nVar763, nVar764, nVar765, nVar766, nVar767, nVar768, nVar769, nVar770, nVar771, nVar772, nVar773, nVar774, nVar775, nVar776, nVar777, nVar778, nVar779, nVar780, nVar781, nVar782, nVar783, nVar784, nVar785, nVar786, nVar787, nVar788, nVar789, nVar790, nVar791, nVar792, nVar793, nVar794, nVar795, nVar796, nVar797, nVar798, nVar799, nVar800, nVar801, nVar802, nVar803, nVar804, nVar805, nVar806, nVar807, nVar808, nVar809, nVar810, nVar811, nVar812, nVar813, nVar814, nVar815, nVar816, nVar817, nVar818, nVar819, nVar820, nVar821, nVar822, nVar823, nVar824, nVar825, nVar826, nVar827, nVar828, nVar829, nVar830, nVar831, nVar832, nVar833, nVar834, nVar835, nVar836, nVar837, nVar838, nVar839, nVar840, nVar841, nVar842, nVar843, nVar844, nVar845, nVar846, nVar847, nVar848, nVar849, nVar850, nVar851, nVar852, nVar853, nVar854, nVar855, nVar856, nVar857, nVar858, nVar859, nVar860, nVar861, nVar862, nVar863, nVar864, nVar865, nVar866, nVar867, nVar868, nVar869, nVar870, nVar871, nVar872, nVar873, nVar874, nVar875, nVar876, nVar877, nVar878, nVar879, nVar880, nVar881, nVar882, nVar883, nVar884, nVar885, nVar886, nVar887, nVar888, nVar889, nVar890, nVar891, nVar892, nVar893, nVar894, nVar895, nVar896, nVar897, nVar898, nVar899, nVar900, nVar901, nVar902, nVar903, nVar904, nVar905, nVar906, nVar907, nVar908, nVar909, nVar910, nVar911, nVar912, nVar913, nVar914, nVar915, nVar916, nVar917, nVar918, nVar919, nVar920, nVar921, nVar922, nVar923, nVar924, nVar925, nVar926, nVar927, nVar928, nVar929, nVar930, nVar931, nVar932, nVar933, nVar934, nVar935, nVar936, nVar937, nVar938, nVar939, nVar940, nVar941, nVar942, nVar943, nVar944, nVar945, nVar946, nVar947, nVar948, nVar949, nVar950, nVar951, nVar952, nVar953, nVar954, nVar955, nVar956, nVar957, nVar958, nVar959, nVar960, nVar961, nVar962, nVar963, nVar964, nVar965, nVar966, nVar967, nVar968, nVar969, nVar970, nVar971, nVar972, nVar973, nVar974, nVar975, nVar976, nVar977, nVar978, nVar979, nVar980, nVar981, nVar982, nVar983, nVar984, nVar985, nVar986, nVar987, nVar988, nVar989, nVar990, nVar991, nVar992, nVar993, nVar994, nVar995, nVar996, nVar997, nVar998, nVar999, nVar1000, nVar1001, nVar1002, nVar1003, nVar1004, nVar1005, nVar1006, nVar1007, nVar1008, nVar1009, nVar1010, nVar1011, nVar1012, nVar1013, nVar1014, nVar1015, nVar1016, nVar1017, nVar1018, nVar1019, nVar1020, nVar1021, nVar1022, nVar1023, nVar1024, nVar1025, nVar1026, nVar1027, nVar1028, nVar1029, nVar1030, nVar1031, nVar1032, nVar1033, nVar1034, nVar1035, nVar1036, nVar1037, nVar1038, nVar1039, nVar1041, nVar1042, nVar1043, nVar1044, nVar1045, nVar1046, nVar1047, nVar1048, nVar1049, nVar1050, nVar1051, nVar1052, nVar1053, nVar1054, nVar1055, nVar1056, nVar1057, nVar1058, nVar1059, nVar1060, nVar1061, nVar1062, nVar1063, nVar1064, nVar1065, nVar1066, nVar1067, nVar1068, nVar1069, nVar1070, nVar1071, nVar1072, nVar1073, nVar1074, nVar1075, nVar1076, nVar1077, nVar1078, nVar1079, nVar1080, nVar1081, nVar1082, nVar1083, nVar1084, nVar1085, nVar1086, nVar1087, nVar1088, nVar1089, nVar1090, nVar1091, nVar1092, nVar1093, nVar1094, nVar1095, nVar1096, nVar1097, nVar1098, nVar1099, nVar1100, nVar1101, nVar1102, nVar1103, nVar1104, nVar1105, nVar1106, nVar1107, nVar1108, nVar1109, nVar1110, nVar1111, nVar1112, nVar1113, nVar1114, nVar1115, nVar1116, nVar1117, nVar1118, nVar1119, nVar1120, nVar1121, nVar1122, nVar1123, nVar1124, nVar1125, nVar1126, nVar1127, nVar1128, nVar1129, nVar1130, nVar1131, nVar1132, nVar1133, nVar1134, nVar1135, nVar1136, nVar1137, nVar1138, nVar1139, nVar1140, nVar1141, nVar1142, nVar1143, nVar1144, nVar1145, nVar1146, nVar1147, nVar1148, nVar1149, nVar1150, nVar1151, nVar1152, nVar1153, nVar1154, nVar1155, nVar1156, nVar1157, nVar1158, nVar1159, nVar1160, nVar1161, nVar1162, nVar1163, nVar1164, nVar1165, nVar1166, nVar1167, nVar1168, nVar1169, nVar1170, nVar1171, nVar1172, nVar1173, nVar1174, nVar1176, nVar1177, nVar1178, nVar1179, nVar1180, nVar1181, nVar1182, nVar1183, nVar1184, nVar1185, nVar1186, nVar1187, nVar1188, nVar1189, nVar1190, nVar1191, nVar1192, nVar1193, nVar1194, nVar1195, nVar1196, nVar1197, nVar1198, nVar1199, nVar1200, nVar1201, nVar1202, nVar1203, nVar1204, nVar1205, nVar1206, nVar1207, nVar1208, nVar1209, nVar1210, nVar1211, nVar1212, nVar1213, nVar1214, nVar1215, nVar1216, nVar1217, nVar1218, nVar1219, nVar1220, nVar1221, nVar1222, nVar1223, nVar1224, nVar1225, nVar1226, nVar1227, nVar1228, nVar1229, nVar1230, nVar1231, nVar1232, nVar1233, nVar1234, nVar1235, nVar1236, nVar1237, nVar1238, nVar1239, nVar1240, nVar1241, nVar1242, nVar1243, nVar1244, nVar1245, nVar1246, nVar1247, nVar1248, nVar1249, nVar1250, nVar1251, nVar1252, nVar1253, nVar1255, nVar1256, nVar1257, nVar1258, nVar1259, nVar1260, nVar1261, nVar1262, nVar1263, nVar1264, nVar1265, nVar1266, nVar1267, nVar1268, nVar1269, nVar1270, nVar1271, nVar1272, nVar1273, nVar1274, nVar1275, nVar1276, nVar1277, nVar1278, nVar1279, nVar1280, nVar1281, nVar1282, nVar1283, nVar1284, nVar1285, nVar1286, nVar1287, nVar1288, nVar1289, nVar1290, nVar1291, nVar1292, nVar1293, nVar1294, nVar1295, nVar1296, nVar1297, nVar1298, nVar1299, nVar1300, nVar1301, nVar1302, nVar1303, nVar1304, nVar1305, nVar1306, nVar1307, nVar1308, nVar1309, nVar1310, nVar1311, nVar1312, nVar1313, nVar1314, nVar1315, nVar1316, nVar1317, nVar1318, nVar1319, nVar1320, nVar1321, nVar1322, nVar1323, nVar1324, nVar1325, nVar1326, nVar1327, nVar1328, nVar1329, nVar1330, nVar1331, nVar1332, nVar1333, nVar1334, nVar1335, nVar1336, nVar1337, nVar1338, nVar1339, nVar1340, nVar1341, nVar1342, nVar1343, nVar1344, nVar1345, nVar1346, nVar1347, nVar1348, nVar1349, nVar1350, nVar1351, nVar1352, nVar1353, nVar1354, nVar1355, nVar1356, nVar1357, nVar1358, nVar1359, nVar1360, nVar1361, nVar1362, nVar1363, nVar1364, nVar1365, nVar1366, nVar1367, nVar1368, nVar1369, nVar1370, nVar1371, nVar1372, nVar1373, nVar1374, nVar1375, nVar1376, nVar1377, nVar1378, nVar1379, nVar1380, nVar1381, nVar1382, nVar1383, nVar1384, nVar1385, nVar1386, nVar1387, nVar1388, nVar1389, nVar1390, nVar1391, nVar1392, nVar1393, nVar1394, nVar1395, nVar1396, nVar1397, nVar1398, nVar1399, nVar1400, nVar1401, nVar1402, nVar1403, nVar1404, nVar1405, nVar1406, nVar1407, nVar1408, nVar1409, nVar1410, nVar1411, nVar1412, nVar1413, nVar1414, nVar1415, nVar1416, nVar1417, nVar1418, nVar1419, nVar1420, nVar1421, nVar1422, nVar1423, nVar1424, nVar1425, nVar1426, nVar1427, nVar1428, nVar1429, nVar1430, nVar1431, nVar1432, nVar1433, nVar1434, nVar1435, nVar1436, nVar1437, nVar1438, nVar1439, nVar1440, nVar1441, nVar1442, nVar1443, nVar1444, nVar1445, nVar1446, nVar1447, nVar1448, nVar1449, nVar1450, nVar1451, nVar1452, nVar1453, nVar1454, nVar1455, nVar1456, nVar1457, nVar1458, nVar1459, nVar1460, nVar1461, nVar1462, nVar1463, nVar1464, nVar1465, nVar1466, nVar1467, nVar1468, nVar1469, nVar1470, nVar1471, nVar1472, nVar1473, nVar1474, nVar1475, nVar1476, nVar1477, nVar1478, nVar1479, nVar1480, nVar1481, nVar1482, nVar1483, nVar1484, nVar1485, nVar1486, nVar1487, nVar1488, nVar1489, nVar1490, nVar1491, nVar1492, nVar1493, nVar1494, nVar1495, nVar1496, nVar1497, nVar1498, nVar1499, nVar1500, nVar1501, nVar1502, nVar1503, nVar1504, nVar1505, nVar1506, nVar1507, nVar1508, nVar1509, nVar1510, nVar1511, nVar1512, nVar1513, nVar1514, nVar1515, nVar1516, nVar1517, nVar1518, nVar1519, nVar1520, nVar1521, nVar1522, nVar1523, nVar1524, nVar1525, nVar1526, nVar1527, nVar1528, nVar1529, nVar1530, nVar1531, nVar1532, nVar1533, nVar1534, nVar1535, nVar1536, nVar1537, nVar1538, nVar1539, nVar1540, nVar1541, nVar1542, nVar1543, nVar1544, nVar1545, nVar1546, nVar1547, nVar1548, nVar1549, nVar1550, nVar1551, nVar1552, nVar1553, nVar1554, nVar1555, nVar1556, nVar1557, nVar1558, nVar1559, nVar1560, nVar1561, nVar1562, nVar1563, nVar1564, nVar1565, nVar1566, nVar1567, nVar1568, nVar1569, nVar1570, nVar1571, nVar1572, nVar1573, nVar1574, nVar1575, nVar1576, nVar1577, nVar1578, nVar1579, nVar1580, nVar1581, nVar1582, nVar1583, nVar1584, nVar1585, nVar1586, nVar1587, nVar1588, nVar1589, nVar1590, nVar1591, nVar1592, nVar1593, nVar1594, nVar1595, nVar1596, nVar1597, nVar1598, nVar1599, nVar1600, nVar1601, nVar1602, nVar1603, nVar1604, nVar1605, nVar1606, nVar1607, nVar1608, nVar1609, nVar1610, nVar1611, nVar1612, nVar1613, nVar1614, nVar1615, nVar1616, nVar1617, nVar1618, nVar1619, nVar1620, nVar1621, nVar1622, nVar1623, nVar1624, nVar1625, nVar1626, nVar1627, nVar1628, nVar1629, nVar1630, nVar1631, nVar1632, nVar1633, nVar1634, nVar1635, nVar1636, nVar1637, nVar1638, nVar1639, nVar1640, nVar1641, nVar1642, nVar1643, nVar1644, nVar1645, nVar1646, nVar1647, nVar1648, nVar1649, nVar1650, nVar1651, nVar1652, nVar1653, nVar1654, nVar1655, nVar1656, nVar1657, nVar1658, nVar1659, nVar1660, nVar1661, nVar1662, nVar1663, nVar1664, nVar1665, nVar1666, nVar1667, nVar1668, nVar1669, nVar1670, nVar1671, nVar1672, nVar1673, nVar1674, nVar1675, nVar1676, nVar1677, nVar1678, nVar1679, nVar1680, nVar1681, nVar1682, nVar1683, nVar1684, nVar1685, nVar1686, nVar1687, nVar1688, nVar1689, nVar1690, nVar1691, nVar1692, nVar1693, nVar1694, nVar1695, nVar1696, nVar1697, nVar1698, nVar1699, nVar1700, nVar1701, nVar1702, nVar1703, nVar1704, nVar1705, nVar1706, nVar1707, nVar1708, nVar1709, nVar1710, nVar1711, nVar1712, nVar1713, nVar1714, nVar1715, nVar1716, nVar1717, nVar1718, nVar1719, nVar1720, nVar1721, nVar1722, nVar1723, nVar1724, nVar1725, nVar1726, nVar1727, nVar1728, nVar1729, nVar1730, nVar1731, nVar1732, nVar1733, nVar1734, nVar1735, nVar1736, nVar1737, nVar1738, nVar1739, nVar1740, nVar1741, nVar1742, nVar1743, nVar1744, nVar1745, nVar1746, nVar1747, nVar1748, nVar1749, nVar1750, nVar1751, nVar1752, nVar1753, nVar1754, nVar1755, nVar1756, nVar1757, nVar1758, nVar1759, nVar1760, nVar1761, nVar1762, nVar1763, nVar1764, nVar1765, nVar1766, nVar1767, nVar1768, nVar1769, nVar1770, nVar1771, nVar1772, nVar1773, nVar1774, nVar1775, nVar1776, nVar1777, nVar1778, nVar1779, nVar1780, nVar1781, nVar1782, nVar1783, nVar1784, nVar1785, nVar1786, nVar1787, nVar1788, nVar1789, nVar1790, nVar1791, nVar1792, nVar1793, nVar1794, nVar1795, nVar1796, nVar1797, nVar1798, nVar1799, nVar1800, nVar1801, nVar1802, nVar1803, nVar1804, nVar1805, nVar1806, nVar1807, nVar1808, nVar1809, nVar1810, nVar1811, nVar1812, nVar1813, nVar1814, nVar1815, nVar1816, nVar1817, nVar1818, nVar1819, nVar1820, nVar1821, nVar1822, nVar1823, nVar1824, nVar1825, nVar1826, nVar1827, nVar1828, nVar1829, nVar1830, nVar1831, nVar1832, nVar1833, nVar1834, nVar1835, nVar1836, nVar1837, nVar1838, nVar1839, nVar1840, nVar1841, nVar1842, nVar1843, nVar1844, nVar1845, nVar1846, nVar1847, nVar1848, nVar1849, nVar1850, nVar1851, nVar1852, nVar1853, nVar1854, nVar1855, nVar1856, nVar1857, nVar1858, nVar1859, nVar1860, nVar1861, nVar1862, nVar1863, nVar1864, nVar1865, nVar1866, nVar1867, nVar1868, nVar1869, nVar1870, nVar1871, nVar1872, nVar1873, nVar1874, nVar1875, nVar1876, nVar1877, nVar1878, nVar1879, nVar1880, nVar1881, nVar1882, nVar1883, nVar1884, nVar1885, nVar1886, nVar1887, nVar1888, nVar1889, nVar1890, nVar1891, nVar1892, nVar1893, nVar1894, nVar1895, nVar1896, nVar1897, nVar1898, nVar1899, nVar1900, nVar1901, nVar1902, nVar1903, nVar1904, nVar1905, nVar1906, nVar1907, nVar1908, nVar1909, nVar1910, nVar1911, nVar1912, nVar1913, nVar1914, nVar1915, nVar1916, nVar1917, nVar1918, nVar1919, nVar1920, nVar1921, nVar1922, nVar1923, nVar1924, nVar1925, nVar1926, nVar1928, nVar1929, nVar1930, nVar1931, nVar1932, nVar1933, nVar1934, nVar1935, nVar1936, nVar1937, nVar1938, nVar1939, nVar1940, nVar1941, nVar1942, nVar1943, nVar1944, nVar1945, nVar1946, nVar1947, nVar1948, nVar1949, nVar1950, nVar1951, nVar1952, nVar1953, nVar1954, nVar1955, nVar1956, nVar1957, nVar1958, nVar1959, nVar1960, nVar1961, nVar1962, nVar1963, nVar1964, nVar1965, nVar1966, nVar1967, nVar1968, nVar1969, nVar1970, nVar1971, nVar1972, nVar1973, nVar1974, nVar1975, nVar1976, nVar1977, nVar1978, nVar1979, nVar1980, nVar1981, nVar1982, nVar1983, nVar1984, nVar1985, nVar1986, nVar1987, nVar1988, nVar1989, nVar1990, nVar1991, nVar1992, nVar1993, nVar1994, nVar1995, nVar1996, nVar1997, nVar1998, nVar1999, nVar2000, nVar2001, nVar2002, nVar2003, nVar2004, nVar2005, nVar2006, nVar2007, nVar2008, nVar2009, nVar2010, nVar2011, nVar2012, nVar2013, nVar2014, nVar2015, nVar2016, nVar2017, nVar2018, nVar2019, nVar2020, nVar2021, nVar2022, nVar2023, nVar2024, nVar2025, nVar2026, nVar2027, nVar2028, nVar2029, nVar2030, nVar2031, nVar2032, nVar2033, nVar2034, nVar2035, nVar2036, nVar2037, nVar2038, nVar2039, nVar2040, nVar2041, nVar2042, nVar2043, nVar2044, nVar2045, nVar2046, nVar2047, nVar2048, nVar2049, nVar2050, nVar2051, nVar2052, nVar2053, nVar2054, nVar2055, nVar2056, nVar2057, nVar2058, nVar2059, nVar2060, nVar2061, nVar2062, nVar2063, nVar2064, nVar2065, nVar2066, nVar2067, nVar2068, nVar2069, nVar2070, nVar2071, nVar2072, nVar2073, nVar2074, nVar2075, nVar2076, nVar2077, nVar2078, nVar2079, nVar2080, nVar2081, nVar2082, nVar2083, nVar2084, nVar2085, nVar2086, nVar2087, nVar2088, nVar2089, nVar2090, nVar2091, nVar2092, nVar2093, nVar2094, nVar2095, nVar2096, nVar2097, nVar2098, nVar2099, nVar2100, nVar2101, nVar2102, nVar2104, nVar2105, nVar2106, nVar2107, nVar2108, nVar2109, nVar2110, nVar2111, nVar2112, nVar2113, nVar2114, nVar2115, nVar2116, nVar2117, nVar2118, nVar2119, nVar2120, nVar2121, nVar2122, nVar2123, nVar2124, nVar2125, nVar2126, nVar2127, nVar2128, nVar2129, nVar2130, nVar2131, nVar2132, nVar2133, nVar2134, nVar2135, nVar2136, nVar2137, nVar2138, nVar2139, nVar2140, nVar2141, nVar2142, nVar2143, nVar2144, nVar2145, nVar2146, nVar2147, nVar2148, nVar2149, nVar2150, nVar2151, nVar2152, nVar2153, nVar2154, nVar2155, nVar2156, nVar2157, nVar2158, nVar2159, nVar2160, nVar2161, nVar2162, nVar2163, nVar2164, nVar2165, nVar2166, nVar2167, nVar2168, nVar2169, nVar2170, nVar2171, nVar2172, nVar2173, nVar2174, nVar2175, nVar2176, nVar2177, nVar2178, nVar2180, nVar2181, nVar2182, nVar2183, nVar2184, nVar2185, nVar2186, nVar2187, nVar2188, nVar2189, nVar2190, nVar2191, nVar2192, nVar2193, nVar2194, nVar2195, nVar2196, nVar2197, nVar2198, nVar2199, nVar2200, nVar2201, nVar2202, nVar2203, nVar2204, nVar2205, nVar2206, nVar2207, nVar2208, nVar2209, nVar2210, nVar2211, nVar2212, nVar2213, nVar2214, nVar2215, nVar2216, nVar2217, nVar2218, nVar2219, nVar2220, nVar2221, nVar2222, nVar2223, nVar2224, nVar2225, nVar2226, nVar2227, nVar2228, nVar2229, nVar2230, nVar2231, nVar2232, nVar2233, nVar2234, nVar2235, nVar2236, nVar2237, nVar2238, nVar2239, nVar2240, nVar2241, nVar2242, nVar2243, nVar2244, nVar2245, nVar2246, nVar2247, nVar2248, nVar2249, nVar2250, nVar2251, nVar2252, nVar2253, nVar2254, nVar2255, nVar2256, nVar2257, nVar2258, nVar2259, nVar2260, nVar2261, nVar2262, nVar2263, nVar2264, nVar2265, nVar2266, nVar2267, nVar2268, nVar2269, nVar2270, nVar2271, nVar2272, nVar2273, nVar2274, nVar2275, nVar2276, nVar2277, nVar2278, nVar2279, nVar2280, nVar2281, nVar2282, nVar2283, nVar2284, nVar2285, nVar2286, nVar2287, nVar2288, nVar2289, nVar2290, nVar2291, nVar2292, nVar2293, nVar2294, nVar2295, nVar2296, nVar2297, nVar2298, nVar2299, nVar2300, nVar2301, nVar2302, nVar2303, nVar2304, nVar2305, nVar2306, nVar2307, nVar2308, nVar2309, nVar2310, nVar2311, nVar2312, nVar2313, nVar2314, nVar2315, nVar2316, nVar2317, nVar2318, nVar2319, nVar2320, nVar2321, nVar2322, nVar2323, nVar2324, nVar2325, nVar2326, nVar2327, nVar2328, nVar2329, nVar2330, nVar2331, nVar2332, nVar2333, nVar2334, nVar2335, nVar2336, nVar2337, nVar2338, nVar2339, nVar2340, nVar2341, nVar2342, nVar2343, nVar2344, nVar2345, nVar2346, nVar2347, nVar2348, nVar2349, nVar2350, nVar2351, nVar2352, nVar2353, nVar2354, nVar2355, nVar2356, nVar2357, nVar2358, nVar2359, nVar2360, nVar2361, nVar2362, nVar2363, nVar2364, nVar2365, nVar2366, nVar2367, nVar2368, nVar2369, nVar2370, nVar2371, nVar2372, nVar2373, nVar2374, nVar2375, nVar2376, nVar2377, nVar2378, nVar2379, nVar2380, nVar2381, nVar2382, nVar2383, nVar2384, nVar2385, nVar2386, nVar2387, nVar2388, nVar2389, nVar2390, nVar2391, nVar2392, nVar2393, nVar2394, nVar2395, nVar2396, nVar2397, nVar2398, nVar2399, nVar2400, nVar2401, nVar2402, nVar2403, nVar2404, nVar2405, nVar2406, nVar2407, nVar2408, nVar2409, nVar2410, nVar2411, nVar2412, nVar2413, nVar2414, nVar2415, nVar2416, nVar2417, nVar2418, nVar2419, nVar2420, nVar2421, nVar2422, nVar2423, nVar2424, nVar2425, nVar2426, nVar2427, nVar2428, nVar2429, nVar2430, nVar2431, nVar2432, nVar2433, nVar2434, nVar2435, nVar2436, nVar2437, nVar2438, nVar2439, nVar2440, nVar2441, nVar2442, nVar2443, nVar2444, nVar2445, nVar2446, nVar2447, nVar2448, nVar2449, nVar2450, nVar2451, nVar2452, nVar2453, nVar2454, nVar2455, nVar2456, nVar2457, nVar2458, nVar2459, nVar2460, nVar2461, nVar2462, nVar2463, nVar2464, nVar2465, nVar2466, nVar2467, nVar2468, nVar2469, nVar2470, nVar2471, nVar2472, nVar2473, nVar2474, nVar2475, nVar2476, nVar2477, nVar2478, nVar2479, nVar2480, nVar2481, nVar2482, nVar2483, nVar2484, nVar2485, nVar2486, nVar2487, nVar2488, nVar2489, nVar2490, nVar2491, nVar2492, nVar2493, nVar2494, nVar2495, nVar2496, nVar2497, nVar2498, nVar2499, nVar2500, nVar2501, nVar2502, nVar2503, nVar2504, nVar2505, nVar2506, nVar2507, nVar2508, nVar2509, nVar2510, nVar2511, nVar2512, nVar2513, nVar2514, nVar2515, nVar2516, nVar2517, nVar2518, nVar2519, nVar2520, nVar2521, nVar2522, nVar2523, nVar2524, nVar2525, nVar2526, nVar2527, nVar2528, nVar2529, nVar2530, nVar2531, nVar2532, nVar2533, nVar2534, nVar2535, nVar2536, nVar2537, nVar2538, nVar2539, nVar2540, nVar2541, nVar2542, nVar2543, nVar2544, nVar2545, nVar2546, nVar2547, nVar2548, nVar2549, nVar2550, nVar2551, nVar2552, nVar2553, nVar2554, nVar2555, nVar2556, nVar2557, nVar2558, nVar2559, nVar2560, nVar2561, nVar2562, nVar2563, nVar2564, nVar2565, nVar2566, nVar2567, nVar2568, nVar2569, nVar2570, nVar2571, nVar2572, nVar2573, nVar2574, nVar2575, nVar2576, nVar2577, nVar2578, nVar2579, nVar2580, nVar2581, nVar2582, nVar2583, nVar2584, nVar2585, nVar2586, nVar2587, nVar2588, nVar2589, nVar2590, nVar2591, nVar2592, nVar2593, nVar2594, nVar2595, nVar2596, nVar2597, nVar2598, nVar2599, nVar2600, nVar2601, nVar2602, nVar2603, nVar2604, nVar2605, nVar2606, nVar2607, nVar2608, nVar2609, nVar2610, nVar2611, nVar2612, nVar2613, nVar2614, nVar2615, nVar2616, nVar2617, nVar2618, nVar2619, nVar2620, nVar2622, nVar2623, nVar2624, nVar2625, nVar2626, nVar2627, nVar2628, nVar2629, nVar2630, nVar2631, nVar2633, nVar2634, nVar2635, nVar2636, nVar2637, nVar2638, nVar2639, nVar2640, nVar2641, nVar2642, nVar2643, nVar2644, nVar2645, nVar2646, nVar2647, nVar2648, nVar2649, nVar2650, nVar2651, nVar2652, nVar2653, nVar2654, nVar2655, nVar2656, nVar2657, nVar2658, nVar2659, nVar2660, nVar2661, nVar2662, nVar2663, nVar2664, nVar2665, nVar2666, nVar2667, nVar2668, nVar2669, nVar2670, nVar2671, nVar2672, nVar2673, nVar2674, nVar2675, nVar2676, nVar2677, nVar2678, nVar2679, nVar2680, nVar2681, nVar2682, nVar2683, nVar2684, nVar2685, nVar2686, nVar2687, nVar2688, nVar2689, nVar2690, nVar2691, nVar2692, nVar2693, nVar2694, nVar2695, nVar2696, nVar2697, nVar2698, nVar2699, nVar2700, nVar2701, nVar2702, nVar2703, nVar2704, nVar2705, nVar2706, nVar2707, nVar2708, nVar2709, nVar2710, nVar2711, nVar2712, nVar2713, nVar2714, nVar2715, nVar2716, nVar2717, nVar2718, nVar2719, nVar2720, nVar2721, nVar2722, nVar2723, nVar2724, nVar2725, nVar2726, nVar2727, nVar2728, nVar2729, nVar2730, nVar2731, nVar2732, nVar2733, nVar2734, nVar2735, nVar2736, nVar2737, nVar2738, nVar2739, nVar2740, nVar2741, nVar2742, nVar2743, nVar2744, nVar2745, nVar2746, nVar2747, nVar2748, nVar2749, nVar2750, nVar2751, nVar2752, nVar2753, nVar2755, nVar2756, nVar2757, nVar2758, nVar2759, nVar2760, nVar2761, nVar2762, nVar2763, nVar2764, nVar2765, nVar2766, nVar2767, nVar2768, nVar2769, nVar2770, nVar2771, nVar2772, nVar2773, nVar2774, nVar2775, nVar2776, nVar2777, nVar2778, nVar2779, nVar2780, nVar2781, nVar2782, nVar2783, nVar2784, nVar2785, nVar2786, nVar2787, nVar2788, nVar2789, nVar2790, nVar2791, nVar2792, nVar2793, nVar2794, nVar2795, nVar2796, nVar2797, nVar2798, nVar2799, nVar2800, nVar2801, nVar2802, nVar2803, nVar2804, nVar2805, nVar2806, nVar2807, nVar2808, nVar2809, nVar2810, nVar2811, nVar2812, nVar2813, nVar2814, nVar2815, nVar2816, nVar2817, nVar2818, nVar2819, nVar2820, nVar2821, nVar2822, nVar2823, nVar2824, nVar2825, nVar2826, nVar2827, nVar2828, nVar2829, nVar2830, nVar2831, nVar2832, nVar2833, nVar2834, nVar2835, nVar2836, nVar2837, nVar2838, nVar2839, nVar2840, nVar2841, nVar2842, nVar2843, nVar2844, nVar2845, nVar2846, nVar2847, nVar2848, nVar2849, nVar2850, nVar2851, nVar2852, nVar2853, nVar2854, nVar2855, nVar2856, nVar2857, nVar2858, nVar2859, nVar2860, nVar2861, nVar2862, nVar2863, nVar2864, nVar2865, nVar2866, nVar2867, nVar2868, nVar2869, nVar2870, nVar2871, nVar2872, nVar2873, nVar2874, nVar2875, nVar2876, nVar2877, nVar2878, nVar2879, nVar2880, nVar2881, nVar2882, nVar2883, nVar2884, nVar2885, nVar2886, nVar2887, nVar2888, nVar2889, nVar2890, nVar2891, nVar2892, nVar2893, nVar2894, nVar2895, nVar2896, nVar2897, nVar2898, nVar2899, nVar2900, nVar2901, nVar2902, nVar2903, nVar2904, nVar2905, nVar2906, nVar2907, nVar2908, nVar2909, nVar2910, nVar2911, nVar2912, nVar2913, nVar2914, nVar2915, nVar2916, nVar2917, nVar2918, nVar2919, nVar2920, nVar2921, nVar2922, nVar2923, nVar2924, nVar2925, nVar2926, nVar2927, nVar2928, nVar2929, nVar2930, nVar2931, nVar2932, nVar2933, nVar2934, nVar2935, nVar2936, nVar2937, nVar2938, nVar2939, nVar2940, nVar2941, nVar2942, nVar2943, nVar2944, nVar2945, nVar2946, nVar2947, nVar2948, nVar2949, nVar2950, nVar2951, nVar2952, nVar2953, nVar2954, nVar2955, nVar2956, nVar2957, nVar2958, nVar2959, nVar2960, nVar2961, nVar2962, nVar2963, nVar2964, nVar2965, nVar2966, nVar2967, nVar2968, nVar2969, nVar2970, nVar2971, nVar2972, nVar2973, nVar2974, nVar2975, nVar2976, nVar2977, nVar2978, nVar2979, nVar2980, nVar2981, nVar2982, nVar2983, nVar2984, nVar2985, nVar2986, nVar2987, nVar2988, nVar2989, nVar2990, nVar2991, nVar2992, nVar2993, nVar2994, nVar2995, nVar2996, nVar2997, nVar2998, nVar2999, nVar3000, nVar3001, nVar3002, nVar3003, nVar3004, nVar3005, nVar3006, nVar3007, nVar3008, nVar3009, nVar3010, nVar3011, nVar3012, nVar3013, nVar3014, nVar3015, nVar3016, nVar3017, nVar3018, nVar3019, nVar3020, nVar3021, nVar3022, nVar3023, nVar3024, nVar3025, nVar3026, nVar3027, nVar3028, nVar3029, nVar3030, nVar3031, nVar3032, nVar3033, nVar3034, nVar3035, nVar3036, nVar3037, nVar3038, nVar3039, nVar3040, nVar3041, nVar3042, nVar3043, nVar3044, nVar3045, nVar3046, nVar3047, nVar3049, nVar3050, nVar3051, nVar3052, nVar3053, nVar3054, nVar3055, nVar3056, nVar3057, nVar3058, nVar3059, nVar3060, nVar3061, nVar3063, nVar3064, nVar3065, nVar3066, nVar3067, nVar3068, nVar3069, nVar3070, nVar3071, nVar3072, nVar3073, nVar3074, nVar3075, nVar3076, nVar3077, nVar3078, nVar3079, nVar3080, nVar3081, nVar3082, nVar3083, nVar3084, nVar3085, nVar3086, nVar3087, nVar3088, nVar3089, nVar3090, nVar3091, nVar3092, nVar3093, nVar3094, nVar3095, nVar3096, nVar3097, nVar3098, nVar3099, nVar3100, nVar3101, nVar3102, nVar3103, nVar3104, nVar3105, nVar3106, nVar3107, nVar3108, nVar3109, nVar3110, nVar3111, nVar3112, nVar3113, nVar3114, nVar3115, nVar3116, nVar3117, nVar3118, nVar3119, nVar3120, nVar3121, nVar3122, nVar3123, nVar3124, nVar3125, nVar3126, nVar3127, nVar3128, nVar3129, nVar3130, nVar3131, nVar3132, nVar3133, nVar3134, nVar3135, nVar3136, nVar3137, nVar3138, nVar3140, nVar3141, nVar3142, nVar3143, nVar3144, nVar3145, nVar3146, nVar3147, nVar3148, nVar3149, nVar3150, nVar3151, nVar3152, nVar3153, nVar3154, nVar3155, nVar3156, nVar3157, nVar3158, nVar3159, nVar3160, nVar3161, nVar3162, nVar3163, nVar3164, nVar3165, nVar3166, nVar3167, nVar3168, nVar3169, nVar3170, nVar3171, nVar3172, nVar3173, nVar3174, nVar3175, nVar3176, nVar3177, nVar3178, nVar3179, nVar3180, nVar3181, nVar3182, nVar3183, nVar3184, nVar3185, nVar3186, nVar3187, nVar3188, nVar3189, nVar3190, nVar3191, nVar3192, nVar3193, nVar3194, nVar3195, nVar3196, nVar3197, nVar3198, nVar3199, nVar3200, nVar3201, nVar3202, nVar3203, nVar3204, nVar3205, nVar3206, nVar3207, nVar3208, nVar3209, nVar3210, nVar3211, nVar3212, nVar3213, nVar3214, nVar3215, nVar3216, nVar3217, nVar3218, nVar3219, nVar3220, nVar3221, nVar3222, nVar3223, nVar3224, nVar3225, nVar3226, nVar3227, nVar3228, nVar3229, nVar3230, nVar3231, nVar3232, nVar3233, nVar3234, nVar3235, nVar3236, nVar3237, nVar3238, nVar3239, nVar3240, nVar3241, nVar3242, nVar3243, nVar3244, nVar3245, nVar3246, nVar3247, nVar3248, nVar3249, nVar3250, nVar3251, nVar3252, nVar3253, nVar3254, nVar3255, nVar3256, nVar3257, nVar3258, nVar3259, nVar3260, nVar3261, nVar3262, nVar3263, nVar3264, nVar3265, nVar3266, nVar3267, nVar3268, nVar3269, nVar3270, nVar3271, nVar3272, nVar3273, nVar3274, nVar3275, nVar3276, nVar3277, nVar3278, nVar3279, nVar3280, nVar3281, nVar3282, nVar3283, nVar3284, nVar3285, nVar3286, nVar3287, nVar3288, nVar3289, nVar3290, nVar3291, nVar3292, nVar3293, nVar3294, nVar3295, nVar3296, nVar3297, nVar3298, nVar3299, nVar3300, nVar3301, nVar3302, nVar3303, nVar3304, nVar3305, nVar3306, nVar3307, nVar3308, nVar3309, nVar3310, nVar3311, nVar3312, nVar3313, nVar3314, nVar3315, nVar3316, nVar3317, nVar3318, nVar3319, nVar3320, nVar3321, nVar3322, nVar3323, nVar3324, nVar3325, nVar3326, nVar3327, nVar3328, nVar3329, nVar3330, nVar3331, nVar3332, nVar3333, nVar3334, nVar3335, nVar3336, nVar3337, nVar3338, nVar3339, nVar3340, nVar3341, nVar3342, nVar3343, nVar3344, nVar3345, nVar3346, nVar3347, nVar3348, nVar3349, nVar3350, nVar3351, nVar3352, nVar3353, nVar3354, nVar3355, nVar3356, nVar3357, nVar3358, nVar3359, nVar3360, nVar3361, nVar3362, nVar3363, nVar3364, nVar3365, nVar3366, nVar3367, nVar3368, nVar3369, nVar3370, nVar3371, nVar3372, nVar3373, nVar3374, nVar3375, nVar3376, nVar3377, nVar3378, nVar3379, nVar3380, nVar3381, nVar3382, nVar3383, nVar3384, nVar3385, nVar3386, nVar3387, nVar3388, nVar3389, nVar3390, nVar3391, nVar3392, nVar3393, nVar3394, nVar3395, nVar3396, nVar3397, nVar3398, nVar3399, nVar3400, nVar3401, nVar3402, nVar3403, nVar3404, nVar3405, nVar3406, nVar3407, nVar3408, nVar3409, nVar3410, nVar3411, nVar3412, nVar3413, nVar3414, nVar3415, nVar3416, nVar3417, nVar3418, nVar3419, nVar3420, nVar3421, nVar3422, nVar3423, nVar3424, nVar3425, nVar3426, nVar3427, nVar3428, nVar3429, nVar3430, nVar3431, nVar3432, nVar3433, nVar3434, nVar3435, nVar3436, nVar3437, nVar3438, nVar3439, nVar3440, nVar3441, nVar3442, nVar3443, nVar3444, nVar3445, nVar3446, nVar3447, nVar3448, nVar3449, nVar3450, nVar3451, nVar3452, nVar3453, nVar3454, nVar3455, nVar3456, nVar3457, nVar3458, nVar3459, nVar3460, nVar3461, nVar3462, nVar3463, nVar3464, nVar3465, nVar3466, nVar3467, nVar3468, nVar3469, nVar3470, nVar3471, nVar3472, nVar3473, nVar3474, nVar3475, nVar3476, nVar3477, nVar3478, nVar3479, nVar3480, nVar3481, nVar3482, nVar3483, nVar3484, nVar3485, nVar3486, nVar3487, nVar3488, nVar3489, nVar3490, nVar3491, nVar3492, nVar3493, nVar3494, nVar3495, nVar3496, nVar3497, nVar3498, nVar3499, nVar3500, nVar3501, nVar3502, nVar3503, nVar3504, nVar3505, nVar3506, nVar3507, nVar3508, nVar3509, nVar3510, nVar3511, nVar3512, nVar3513, nVar3514, nVar3515, nVar3516, nVar3517, nVar3518, nVar3519, nVar3520, nVar3521, nVar3522, nVar3523, nVar3524, nVar3525, nVar3526, nVar3527, nVar3528, nVar3529, nVar3530, nVar3531, nVar3532, nVar3533, nVar3534, nVar3535, nVar3536, nVar3537, nVar3538, nVar3539, nVar3540, nVar3541, nVar3542, nVar3543, nVar3544, nVar3545, nVar3546, nVar3547, nVar3548, nVar3549, nVar3550, nVar3551, nVar3552, nVar3553, nVar3554, nVar3555, nVar3556, nVar3557, nVar3558, nVar3559, nVar3560, nVar3561, nVar3562, nVar3563, nVar3564, nVar3565, nVar3566, nVar3567, nVar3568, nVar3569, nVar3570, nVar3571, nVar3572, nVar3573, nVar3574, nVar3575, nVar3576, nVar3577, nVar3578, nVar3579, nVar3580, nVar3581, nVar3582, nVar3583, nVar3584, nVar3585, nVar3586, nVar3587, nVar3588, nVar3589, nVar3590, nVar3591, nVar3592, nVar3593, nVar3594, nVar3595, nVar3596, nVar3597, nVar3598, nVar3599, nVar3600, nVar3601, nVar3602, nVar3603, nVar3604, nVar3605, nVar3606, nVar3607, nVar3608, nVar3609, nVar3610, nVar3611, nVar3612, nVar3613, nVar3614, nVar3615, nVar3616, nVar3617, nVar3618, nVar3619, nVar3620, nVar3621, nVar3622, nVar3623, nVar3624, nVar3625, nVar3626, nVar3627, nVar3628, nVar3629, nVar3630, nVar3631, nVar3632, nVar3633, nVar3634, nVar3635, nVar3636, nVar3637, nVar3638, nVar3639, nVar3640, nVar3641, nVar3642, nVar3643, nVar3644, nVar3645, nVar3646, nVar3647, nVar3648, nVar3649, nVar3650, nVar3651, nVar3652, nVar3653, nVar3654, nVar3655, nVar3656, nVar3657, nVar3658, nVar3659, nVar3660, nVar3661, nVar3662, nVar3663, nVar3664, nVar3665, nVar3666, nVar3667, nVar3668, nVar3669, nVar3670, nVar3671, nVar3672, nVar3673, nVar3674, nVar3675, nVar3676, nVar3677, nVar3678, nVar3679, nVar3680, nVar3681, nVar3682, nVar3683, nVar3684, nVar3685, nVar3686, nVar3687, nVar3688, nVar3689, nVar3690, nVar3691, nVar3692, nVar3693, nVar3694, nVar3695, nVar3696, nVar3697, nVar3698, nVar3699, nVar3700, nVar3701, nVar3702, nVar3703, nVar3704, nVar3705, nVar3706, nVar3707, nVar3708, nVar3709, nVar3710, nVar347, nVar399, nVar1040, nVar1175, nVar2103, nVar2179, nVar2621, nVar2632, nVar2754, nVar3048, nVar3062, nVar3139, nVar3711, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280, nVar3718, nVar3719, nVar3720;
-
-
-
-procedure proc64() returns (nVar4933: int, nVar4934: bool);
- modifies nVar2, nVar1, nVar3, nVar4, nVar5, nVar6, nVar7, nVar8, nVar9, nVar10, nVar11, nVar12, nVar13, nVar14, nVar15, nVar16, nVar17, nVar18, nVar19, nVar20, nVar21, nVar22, nVar23, nVar24, nVar25, nVar26, nVar27, nVar28, nVar29, nVar30, nVar31, nVar32, nVar33, nVar34, nVar35, nVar36, nVar37, nVar38, nVar39, nVar40, nVar41, nVar42, nVar43, nVar44, nVar45, nVar46, nVar47, nVar48, nVar49, nVar50, nVar51, nVar52, nVar53, nVar54, nVar55, nVar56, nVar57, nVar58, nVar59, nVar60, nVar61, nVar62, nVar63, nVar64, nVar65, nVar66, nVar67, nVar68, nVar69, nVar70, nVar71, nVar72, nVar73, nVar74, nVar75, nVar76, nVar77, nVar78, nVar79, nVar80, nVar81, nVar82, nVar83, nVar84, nVar85, nVar86, nVar87, nVar88, nVar89, nVar90, nVar91, nVar92, nVar93, nVar94, nVar95, nVar96, nVar97, nVar98, nVar99, nVar100, nVar101, nVar102, nVar103, nVar104, nVar105, nVar106, nVar107, nVar108, nVar109, nVar110, nVar111, nVar112, nVar113, nVar114, nVar115, nVar116, nVar117, nVar118, nVar119, nVar120, nVar121, nVar122, nVar123, nVar124, nVar125, nVar126, nVar127, nVar128, nVar129, nVar130, nVar131, nVar132, nVar133, nVar134, nVar135, nVar136, nVar137, nVar138, nVar139, nVar140, nVar141, nVar142, nVar143, nVar144, nVar145, nVar146, nVar147, nVar148, nVar149, nVar150, nVar151, nVar152, nVar153, nVar154, nVar155, nVar156, nVar157, nVar158, nVar159, nVar160, nVar161, nVar162, nVar163, nVar164, nVar165, nVar166, nVar167, nVar168, nVar169, nVar170, nVar171, nVar172, nVar173, nVar174, nVar175, nVar176, nVar177, nVar178, nVar179, nVar180, nVar181, nVar182, nVar183, nVar184, nVar185, nVar186, nVar187, nVar188, nVar189, nVar190, nVar191, nVar192, nVar193, nVar194, nVar195, nVar196, nVar197, nVar198, nVar199, nVar200, nVar201, nVar202, nVar203, nVar204, nVar205, nVar206, nVar207, nVar208, nVar209, nVar210, nVar211, nVar212, nVar213, nVar214, nVar215, nVar216, nVar217, nVar218, nVar219, nVar220, nVar221, nVar222, nVar223, nVar224, nVar225, nVar226, nVar227, nVar228, nVar229, nVar230, nVar231, nVar232, nVar233, nVar234, nVar235, nVar236, nVar237, nVar238, nVar239, nVar240, nVar241, nVar242, nVar243, nVar244, nVar245, nVar246, nVar247, nVar248, nVar249, nVar250, nVar251, nVar252, nVar253, nVar254, nVar255, nVar256, nVar257, nVar258, nVar259, nVar260, nVar261, nVar262, nVar263, nVar264, nVar265, nVar266, nVar267, nVar268, nVar269, nVar270, nVar271, nVar272, nVar273, nVar274, nVar275, nVar276, nVar277, nVar278, nVar279, nVar281, nVar282, nVar283, nVar284, nVar285, nVar286, nVar287, nVar288, nVar289, nVar290, nVar291, nVar292, nVar293, nVar294, nVar295, nVar296, nVar297, nVar298, nVar299, nVar300, nVar301, nVar302, nVar303, nVar304, nVar305, nVar306, nVar307, nVar308, nVar309, nVar310, nVar311, nVar312, nVar313, nVar314, nVar315, nVar316, nVar317, nVar318, nVar319, nVar320, nVar321, nVar322, nVar323, nVar324, nVar325, nVar326, nVar327, nVar328, nVar329, nVar330, nVar331, nVar332, nVar333, nVar334, nVar335, nVar336, nVar337, nVar338, nVar339, nVar340, nVar341, nVar342, nVar343, nVar344, nVar345, nVar346, nVar348, nVar349, nVar350, nVar351, nVar352, nVar353, nVar354, nVar355, nVar356, nVar357, nVar358, nVar359, nVar360, nVar361, nVar362, nVar363, nVar364, nVar365, nVar366, nVar367, nVar368, nVar369, nVar370, nVar371, nVar372, nVar373, nVar374, nVar375, nVar376, nVar377, nVar378, nVar379, nVar380, nVar381, nVar382, nVar383, nVar384, nVar385, nVar386, nVar387, nVar388, nVar389, nVar390, nVar391, nVar392, nVar393, nVar394, nVar395, nVar396, nVar397, nVar398, nVar400, nVar401, nVar402, nVar403, nVar404, nVar405, nVar406, nVar407, nVar408, nVar409, nVar410, nVar411, nVar412, nVar413, nVar414, nVar415, nVar416, nVar417, nVar418, nVar419, nVar420, nVar421, nVar422, nVar423, nVar424, nVar425, nVar426, nVar427, nVar428, nVar429, nVar430, nVar431, nVar432, nVar433, nVar434, nVar435, nVar436, nVar437, nVar438, nVar439, nVar440, nVar441, nVar442, nVar443, nVar444, nVar445, nVar446, nVar447, nVar448, nVar449, nVar450, nVar451, nVar452, nVar453, nVar454, nVar455, nVar456, nVar457, nVar458, nVar459, nVar460, nVar461, nVar462, nVar463, nVar464, nVar465, nVar466, nVar467, nVar468, nVar469, nVar470, nVar471, nVar472, nVar473, nVar474, nVar475, nVar476, nVar477, nVar478, nVar479, nVar480, nVar481, nVar482, nVar483, nVar484, nVar485, nVar486, nVar487, nVar488, nVar489, nVar490, nVar491, nVar492, nVar493, nVar494, nVar495, nVar496, nVar497, nVar498, nVar499, nVar500, nVar501, nVar502, nVar503, nVar504, nVar505, nVar506, nVar507, nVar508, nVar509, nVar510, nVar511, nVar512, nVar513, nVar514, nVar515, nVar516, nVar517, nVar518, nVar519, nVar520, nVar521, nVar522, nVar523, nVar524, nVar525, nVar526, nVar527, nVar528, nVar529, nVar530, nVar531, nVar532, nVar533, nVar534, nVar535, nVar536, nVar537, nVar538, nVar539, nVar540, nVar541, nVar542, nVar543, nVar544, nVar545, nVar546, nVar547, nVar548, nVar549, nVar550, nVar551, nVar552, nVar553, nVar554, nVar555, nVar556, nVar557, nVar558, nVar559, nVar560, nVar561, nVar562, nVar563, nVar564, nVar565, nVar566, nVar567, nVar568, nVar569, nVar570, nVar571, nVar572, nVar573, nVar574, nVar575, nVar576, nVar577, nVar578, nVar579, nVar580, nVar581, nVar582, nVar583, nVar584, nVar585, nVar586, nVar587, nVar588, nVar589, nVar590, nVar591, nVar592, nVar593, nVar594, nVar595, nVar596, nVar597, nVar598, nVar599, nVar600, nVar601, nVar602, nVar603, nVar604, nVar605, nVar606, nVar607, nVar608, nVar609, nVar610, nVar611, nVar612, nVar613, nVar614, nVar615, nVar616, nVar617, nVar618, nVar619, nVar620, nVar621, nVar622, nVar623, nVar624, nVar625, nVar626, nVar627, nVar628, nVar629, nVar630, nVar631, nVar632, nVar633, nVar634, nVar635, nVar636, nVar637, nVar638, nVar639, nVar640, nVar641, nVar642, nVar643, nVar644, nVar645, nVar646, nVar647, nVar648, nVar649, nVar650, nVar651, nVar652, nVar653, nVar654, nVar655, nVar656, nVar657, nVar658, nVar659, nVar660, nVar661, nVar662, nVar663, nVar664, nVar665, nVar666, nVar667, nVar668, nVar669, nVar670, nVar671, nVar672, nVar673, nVar674, nVar675, nVar676, nVar677, nVar678, nVar679, nVar680, nVar681, nVar682, nVar683, nVar684, nVar685, nVar686, nVar687, nVar688, nVar689, nVar690, nVar691, nVar692, nVar693, nVar694, nVar695, nVar696, nVar697, nVar698, nVar699, nVar700, nVar701, nVar702, nVar703, nVar704, nVar705, nVar706, nVar707, nVar708, nVar709, nVar710, nVar711, nVar712, nVar713, nVar714, nVar715, nVar716, nVar717, nVar718, nVar719, nVar720, nVar721, nVar722, nVar723, nVar724, nVar725, nVar726, nVar727, nVar728, nVar729, nVar730, nVar731, nVar732, nVar733, nVar734, nVar735, nVar736, nVar737, nVar738, nVar739, nVar740, nVar741, nVar742, nVar743, nVar744, nVar745, nVar746, nVar747, nVar748, nVar749, nVar750, nVar751, nVar752, nVar753, nVar754, nVar755, nVar756, nVar757, nVar758, nVar759, nVar760, nVar761, nVar762, nVar763, nVar764, nVar765, nVar766, nVar767, nVar768, nVar769, nVar770, nVar771, nVar772, nVar773, nVar774, nVar775, nVar776, nVar777, nVar778, nVar779, nVar780, nVar781, nVar782, nVar783, nVar784, nVar785, nVar786, nVar787, nVar788, nVar789, nVar790, nVar791, nVar792, nVar793, nVar794, nVar795, nVar796, nVar797, nVar798, nVar799, nVar800, nVar801, nVar802, nVar803, nVar804, nVar805, nVar806, nVar807, nVar808, nVar809, nVar810, nVar811, nVar812, nVar813, nVar814, nVar815, nVar816, nVar817, nVar818, nVar819, nVar820, nVar821, nVar822, nVar823, nVar824, nVar825, nVar826, nVar827, nVar828, nVar829, nVar830, nVar831, nVar832, nVar833, nVar834, nVar835, nVar836, nVar837, nVar838, nVar839, nVar840, nVar841, nVar842, nVar843, nVar844, nVar845, nVar846, nVar847, nVar848, nVar849, nVar850, nVar851, nVar852, nVar853, nVar854, nVar855, nVar856, nVar857, nVar858, nVar859, nVar860, nVar861, nVar862, nVar863, nVar864, nVar865, nVar866, nVar867, nVar868, nVar869, nVar870, nVar871, nVar872, nVar873, nVar874, nVar875, nVar876, nVar877, nVar878, nVar879, nVar880, nVar881, nVar882, nVar883, nVar884, nVar885, nVar886, nVar887, nVar888, nVar889, nVar890, nVar891, nVar892, nVar893, nVar894, nVar895, nVar896, nVar897, nVar898, nVar899, nVar900, nVar901, nVar902, nVar903, nVar904, nVar905, nVar906, nVar907, nVar908, nVar909, nVar910, nVar911, nVar912, nVar913, nVar914, nVar915, nVar916, nVar917, nVar918, nVar919, nVar920, nVar921, nVar922, nVar923, nVar924, nVar925, nVar926, nVar927, nVar928, nVar929, nVar930, nVar931, nVar932, nVar933, nVar934, nVar935, nVar936, nVar937, nVar938, nVar939, nVar940, nVar941, nVar942, nVar943, nVar944, nVar945, nVar946, nVar947, nVar948, nVar949, nVar950, nVar951, nVar952, nVar953, nVar954, nVar955, nVar956, nVar957, nVar958, nVar959, nVar960, nVar961, nVar962, nVar963, nVar964, nVar965, nVar966, nVar967, nVar968, nVar969, nVar970, nVar971, nVar972, nVar973, nVar974, nVar975, nVar976, nVar977, nVar978, nVar979, nVar980, nVar981, nVar982, nVar983, nVar984, nVar985, nVar986, nVar987, nVar988, nVar989, nVar990, nVar991, nVar992, nVar993, nVar994, nVar995, nVar996, nVar997, nVar998, nVar999, nVar1000, nVar1001, nVar1002, nVar1003, nVar1004, nVar1005, nVar1006, nVar1007, nVar1008, nVar1009, nVar1010, nVar1011, nVar1012, nVar1013, nVar1014, nVar1015, nVar1016, nVar1017, nVar1018, nVar1019, nVar1020, nVar1021, nVar1022, nVar1023, nVar1024, nVar1025, nVar1026, nVar1027, nVar1028, nVar1029, nVar1030, nVar1031, nVar1032, nVar1033, nVar1034, nVar1035, nVar1036, nVar1037, nVar1038, nVar1039, nVar1041, nVar1042, nVar1043, nVar1044, nVar1045, nVar1046, nVar1047, nVar1048, nVar1049, nVar1050, nVar1051, nVar1052, nVar1053, nVar1054, nVar1055, nVar1056, nVar1057, nVar1058, nVar1059, nVar1060, nVar1061, nVar1062, nVar1063, nVar1064, nVar1065, nVar1066, nVar1067, nVar1068, nVar1069, nVar1070, nVar1071, nVar1072, nVar1073, nVar1074, nVar1075, nVar1076, nVar1077, nVar1078, nVar1079, nVar1080, nVar1081, nVar1082, nVar1083, nVar1084, nVar1085, nVar1086, nVar1087, nVar1088, nVar1089, nVar1090, nVar1091, nVar1092, nVar1093, nVar1094, nVar1095, nVar1096, nVar1097, nVar1098, nVar1099, nVar1100, nVar1101, nVar1102, nVar1103, nVar1104, nVar1105, nVar1106, nVar1107, nVar1108, nVar1109, nVar1110, nVar1111, nVar1112, nVar1113, nVar1114, nVar1115, nVar1116, nVar1117, nVar1118, nVar1119, nVar1120, nVar1121, nVar1122, nVar1123, nVar1124, nVar1125, nVar1126, nVar1127, nVar1128, nVar1129, nVar1130, nVar1131, nVar1132, nVar1133, nVar1134, nVar1135, nVar1136, nVar1137, nVar1138, nVar1139, nVar1140, nVar1141, nVar1142, nVar1143, nVar1144, nVar1145, nVar1146, nVar1147, nVar1148, nVar1149, nVar1150, nVar1151, nVar1152, nVar1153, nVar1154, nVar1155, nVar1156, nVar1157, nVar1158, nVar1159, nVar1160, nVar1161, nVar1162, nVar1163, nVar1164, nVar1165, nVar1166, nVar1167, nVar1168, nVar1169, nVar1170, nVar1171, nVar1172, nVar1173, nVar1174, nVar1176, nVar1177, nVar1178, nVar1179, nVar1180, nVar1181, nVar1182, nVar1183, nVar1184, nVar1185, nVar1186, nVar1187, nVar1188, nVar1189, nVar1190, nVar1191, nVar1192, nVar1193, nVar1194, nVar1195, nVar1196, nVar1197, nVar1198, nVar1199, nVar1200, nVar1201, nVar1202, nVar1203, nVar1204, nVar1205, nVar1206, nVar1207, nVar1208, nVar1209, nVar1210, nVar1211, nVar1212, nVar1213, nVar1214, nVar1215, nVar1216, nVar1217, nVar1218, nVar1219, nVar1220, nVar1221, nVar1222, nVar1223, nVar1224, nVar1225, nVar1226, nVar1227, nVar1228, nVar1229, nVar1230, nVar1231, nVar1232, nVar1233, nVar1234, nVar1235, nVar1236, nVar1237, nVar1238, nVar1239, nVar1240, nVar1241, nVar1242, nVar1243, nVar1244, nVar1245, nVar1246, nVar1247, nVar1248, nVar1249, nVar1250, nVar1251, nVar1252, nVar1253, nVar1255, nVar1256, nVar1257, nVar1258, nVar1259, nVar1260, nVar1261, nVar1262, nVar1263, nVar1264, nVar1265, nVar1266, nVar1267, nVar1268, nVar1269, nVar1270, nVar1271, nVar1272, nVar1273, nVar1274, nVar1275, nVar1276, nVar1277, nVar1278, nVar1279, nVar1280, nVar1281, nVar1282, nVar1283, nVar1284, nVar1285, nVar1286, nVar1287, nVar1288, nVar1289, nVar1290, nVar1291, nVar1292, nVar1293, nVar1294, nVar1295, nVar1296, nVar1297, nVar1298, nVar1299, nVar1300, nVar1301, nVar1302, nVar1303, nVar1304, nVar1305, nVar1306, nVar1307, nVar1308, nVar1309, nVar1310, nVar1311, nVar1312, nVar1313, nVar1314, nVar1315, nVar1316, nVar1317, nVar1318, nVar1319, nVar1320, nVar1321, nVar1322, nVar1323, nVar1324, nVar1325, nVar1326, nVar1327, nVar1328, nVar1329, nVar1330, nVar1331, nVar1332, nVar1333, nVar1334, nVar1335, nVar1336, nVar1337, nVar1338, nVar1339, nVar1340, nVar1341, nVar1342, nVar1343, nVar1344, nVar1345, nVar1346, nVar1347, nVar1348, nVar1349, nVar1350, nVar1351, nVar1352, nVar1353, nVar1354, nVar1355, nVar1356, nVar1357, nVar1358, nVar1359, nVar1360, nVar1361, nVar1362, nVar1363, nVar1364, nVar1365, nVar1366, nVar1367, nVar1368, nVar1369, nVar1370, nVar1371, nVar1372, nVar1373, nVar1374, nVar1375, nVar1376, nVar1377, nVar1378, nVar1379, nVar1380, nVar1381, nVar1382, nVar1383, nVar1384, nVar1385, nVar1386, nVar1387, nVar1388, nVar1389, nVar1390, nVar1391, nVar1392, nVar1393, nVar1394, nVar1395, nVar1396, nVar1397, nVar1398, nVar1399, nVar1400, nVar1401, nVar1402, nVar1403, nVar1404, nVar1405, nVar1406, nVar1407, nVar1408, nVar1409, nVar1410, nVar1411, nVar1412, nVar1413, nVar1414, nVar1415, nVar1416, nVar1417, nVar1418, nVar1419, nVar1420, nVar1421, nVar1422, nVar1423, nVar1424, nVar1425, nVar1426, nVar1427, nVar1428, nVar1429, nVar1430, nVar1431, nVar1432, nVar1433, nVar1434, nVar1435, nVar1436, nVar1437, nVar1438, nVar1439, nVar1440, nVar1441, nVar1442, nVar1443, nVar1444, nVar1445, nVar1446, nVar1447, nVar1448, nVar1449, nVar1450, nVar1451, nVar1452, nVar1453, nVar1454, nVar1455, nVar1456, nVar1457, nVar1458, nVar1459, nVar1460, nVar1461, nVar1462, nVar1463, nVar1464, nVar1465, nVar1466, nVar1467, nVar1468, nVar1469, nVar1470, nVar1471, nVar1472, nVar1473, nVar1474, nVar1475, nVar1476, nVar1477, nVar1478, nVar1479, nVar1480, nVar1481, nVar1482, nVar1483, nVar1484, nVar1485, nVar1486, nVar1487, nVar1488, nVar1489, nVar1490, nVar1491, nVar1492, nVar1493, nVar1494, nVar1495, nVar1496, nVar1497, nVar1498, nVar1499, nVar1500, nVar1501, nVar1502, nVar1503, nVar1504, nVar1505, nVar1506, nVar1507, nVar1508, nVar1509, nVar1510, nVar1511, nVar1512, nVar1513, nVar1514, nVar1515, nVar1516, nVar1517, nVar1518, nVar1519, nVar1520, nVar1521, nVar1522, nVar1523, nVar1524, nVar1525, nVar1526, nVar1527, nVar1528, nVar1529, nVar1530, nVar1531, nVar1532, nVar1533, nVar1534, nVar1535, nVar1536, nVar1537, nVar1538, nVar1539, nVar1540, nVar1541, nVar1542, nVar1543, nVar1544, nVar1545, nVar1546, nVar1547, nVar1548, nVar1549, nVar1550, nVar1551, nVar1552, nVar1553, nVar1554, nVar1555, nVar1556, nVar1557, nVar1558, nVar1559, nVar1560, nVar1561, nVar1562, nVar1563, nVar1564, nVar1565, nVar1566, nVar1567, nVar1568, nVar1569, nVar1570, nVar1571, nVar1572, nVar1573, nVar1574, nVar1575, nVar1576, nVar1577, nVar1578, nVar1579, nVar1580, nVar1581, nVar1582, nVar1583, nVar1584, nVar1585, nVar1586, nVar1587, nVar1588, nVar1589, nVar1590, nVar1591, nVar1592, nVar1593, nVar1594, nVar1595, nVar1596, nVar1597, nVar1598, nVar1599, nVar1600, nVar1601, nVar1602, nVar1603, nVar1604, nVar1605, nVar1606, nVar1607, nVar1608, nVar1609, nVar1610, nVar1611, nVar1612, nVar1613, nVar1614, nVar1615, nVar1616, nVar1617, nVar1618, nVar1619, nVar1620, nVar1621, nVar1622, nVar1623, nVar1624, nVar1625, nVar1626, nVar1627, nVar1628, nVar1629, nVar1630, nVar1631, nVar1632, nVar1633, nVar1634, nVar1635, nVar1636, nVar1637, nVar1638, nVar1639, nVar1640, nVar1641, nVar1642, nVar1643, nVar1644, nVar1645, nVar1646, nVar1647, nVar1648, nVar1649, nVar1650, nVar1651, nVar1652, nVar1653, nVar1654, nVar1655, nVar1656, nVar1657, nVar1658, nVar1659, nVar1660, nVar1661, nVar1662, nVar1663, nVar1664, nVar1665, nVar1666, nVar1667, nVar1668, nVar1669, nVar1670, nVar1671, nVar1672, nVar1673, nVar1674, nVar1675, nVar1676, nVar1677, nVar1678, nVar1679, nVar1680, nVar1681, nVar1682, nVar1683, nVar1684, nVar1685, nVar1686, nVar1687, nVar1688, nVar1689, nVar1690, nVar1691, nVar1692, nVar1693, nVar1694, nVar1695, nVar1696, nVar1697, nVar1698, nVar1699, nVar1700, nVar1701, nVar1702, nVar1703, nVar1704, nVar1705, nVar1706, nVar1707, nVar1708, nVar1709, nVar1710, nVar1711, nVar1712, nVar1713, nVar1714, nVar1715, nVar1716, nVar1717, nVar1718, nVar1719, nVar1720, nVar1721, nVar1722, nVar1723, nVar1724, nVar1725, nVar1726, nVar1727, nVar1728, nVar1729, nVar1730, nVar1731, nVar1732, nVar1733, nVar1734, nVar1735, nVar1736, nVar1737, nVar1738, nVar1739, nVar1740, nVar1741, nVar1742, nVar1743, nVar1744, nVar1745, nVar1746, nVar1747, nVar1748, nVar1749, nVar1750, nVar1751, nVar1752, nVar1753, nVar1754, nVar1755, nVar1756, nVar1757, nVar1758, nVar1759, nVar1760, nVar1761, nVar1762, nVar1763, nVar1764, nVar1765, nVar1766, nVar1767, nVar1768, nVar1769, nVar1770, nVar1771, nVar1772, nVar1773, nVar1774, nVar1775, nVar1776, nVar1777, nVar1778, nVar1779, nVar1780, nVar1781, nVar1782, nVar1783, nVar1784, nVar1785, nVar1786, nVar1787, nVar1788, nVar1789, nVar1790, nVar1791, nVar1792, nVar1793, nVar1794, nVar1795, nVar1796, nVar1797, nVar1798, nVar1799, nVar1800, nVar1801, nVar1802, nVar1803, nVar1804, nVar1805, nVar1806, nVar1807, nVar1808, nVar1809, nVar1810, nVar1811, nVar1812, nVar1813, nVar1814, nVar1815, nVar1816, nVar1817, nVar1818, nVar1819, nVar1820, nVar1821, nVar1822, nVar1823, nVar1824, nVar1825, nVar1826, nVar1827, nVar1828, nVar1829, nVar1830, nVar1831, nVar1832, nVar1833, nVar1834, nVar1835, nVar1836, nVar1837, nVar1838, nVar1839, nVar1840, nVar1841, nVar1842, nVar1843, nVar1844, nVar1845, nVar1846, nVar1847, nVar1848, nVar1849, nVar1850, nVar1851, nVar1852, nVar1853, nVar1854, nVar1855, nVar1856, nVar1857, nVar1858, nVar1859, nVar1860, nVar1861, nVar1862, nVar1863, nVar1864, nVar1865, nVar1866, nVar1867, nVar1868, nVar1869, nVar1870, nVar1871, nVar1872, nVar1873, nVar1874, nVar1875, nVar1876, nVar1877, nVar1878, nVar1879, nVar1880, nVar1881, nVar1882, nVar1883, nVar1884, nVar1885, nVar1886, nVar1887, nVar1888, nVar1889, nVar1890, nVar1891, nVar1892, nVar1893, nVar1894, nVar1895, nVar1896, nVar1897, nVar1898, nVar1899, nVar1900, nVar1901, nVar1902, nVar1903, nVar1904, nVar1905, nVar1906, nVar1907, nVar1908, nVar1909, nVar1910, nVar1911, nVar1912, nVar1913, nVar1914, nVar1915, nVar1916, nVar1917, nVar1918, nVar1919, nVar1920, nVar1921, nVar1922, nVar1923, nVar1924, nVar1925, nVar1926, nVar1928, nVar1929, nVar1930, nVar1931, nVar1932, nVar1933, nVar1934, nVar1935, nVar1936, nVar1937, nVar1938, nVar1939, nVar1940, nVar1941, nVar1942, nVar1943, nVar1944, nVar1945, nVar1946, nVar1947, nVar1948, nVar1949, nVar1950, nVar1951, nVar1952, nVar1953, nVar1954, nVar1955, nVar1956, nVar1957, nVar1958, nVar1959, nVar1960, nVar1961, nVar1962, nVar1963, nVar1964, nVar1965, nVar1966, nVar1967, nVar1968, nVar1969, nVar1970, nVar1971, nVar1972, nVar1973, nVar1974, nVar1975, nVar1976, nVar1977, nVar1978, nVar1979, nVar1980, nVar1981, nVar1982, nVar1983, nVar1984, nVar1985, nVar1986, nVar1987, nVar1988, nVar1989, nVar1990, nVar1991, nVar1992, nVar1993, nVar1994, nVar1995, nVar1996, nVar1997, nVar1998, nVar1999, nVar2000, nVar2001, nVar2002, nVar2003, nVar2004, nVar2005, nVar2006, nVar2007, nVar2008, nVar2009, nVar2010, nVar2011, nVar2012, nVar2013, nVar2014, nVar2015, nVar2016, nVar2017, nVar2018, nVar2019, nVar2020, nVar2021, nVar2022, nVar2023, nVar2024, nVar2025, nVar2026, nVar2027, nVar2028, nVar2029, nVar2030, nVar2031, nVar2032, nVar2033, nVar2034, nVar2035, nVar2036, nVar2037, nVar2038, nVar2039, nVar2040, nVar2041, nVar2042, nVar2043, nVar2044, nVar2045, nVar2046, nVar2047, nVar2048, nVar2049, nVar2050, nVar2051, nVar2052, nVar2053, nVar2054, nVar2055, nVar2056, nVar2057, nVar2058, nVar2059, nVar2060, nVar2061, nVar2062, nVar2063, nVar2064, nVar2065, nVar2066, nVar2067, nVar2068, nVar2069, nVar2070, nVar2071, nVar2072, nVar2073, nVar2074, nVar2075, nVar2076, nVar2077, nVar2078, nVar2079, nVar2080, nVar2081, nVar2082, nVar2083, nVar2084, nVar2085, nVar2086, nVar2087, nVar2088, nVar2089, nVar2090, nVar2091, nVar2092, nVar2093, nVar2094, nVar2095, nVar2096, nVar2097, nVar2098, nVar2099, nVar2100, nVar2101, nVar2102, nVar2104, nVar2105, nVar2106, nVar2107, nVar2108, nVar2109, nVar2110, nVar2111, nVar2112, nVar2113, nVar2114, nVar2115, nVar2116, nVar2117, nVar2118, nVar2119, nVar2120, nVar2121, nVar2122, nVar2123, nVar2124, nVar2125, nVar2126, nVar2127, nVar2128, nVar2129, nVar2130, nVar2131, nVar2132, nVar2133, nVar2134, nVar2135, nVar2136, nVar2137, nVar2138, nVar2139, nVar2140, nVar2141, nVar2142, nVar2143, nVar2144, nVar2145, nVar2146, nVar2147, nVar2148, nVar2149, nVar2150, nVar2151, nVar2152, nVar2153, nVar2154, nVar2155, nVar2156, nVar2157, nVar2158, nVar2159, nVar2160, nVar2161, nVar2162, nVar2163, nVar2164, nVar2165, nVar2166, nVar2167, nVar2168, nVar2169, nVar2170, nVar2171, nVar2172, nVar2173, nVar2174, nVar2175, nVar2176, nVar2177, nVar2178, nVar2180, nVar2181, nVar2182, nVar2183, nVar2184, nVar2185, nVar2186, nVar2187, nVar2188, nVar2189, nVar2190, nVar2191, nVar2192, nVar2193, nVar2194, nVar2195, nVar2196, nVar2197, nVar2198, nVar2199, nVar2200, nVar2201, nVar2202, nVar2203, nVar2204, nVar2205, nVar2206, nVar2207, nVar2208, nVar2209, nVar2210, nVar2211, nVar2212, nVar2213, nVar2214, nVar2215, nVar2216, nVar2217, nVar2218, nVar2219, nVar2220, nVar2221, nVar2222, nVar2223, nVar2224, nVar2225, nVar2226, nVar2227, nVar2228, nVar2229, nVar2230, nVar2231, nVar2232, nVar2233, nVar2234, nVar2235, nVar2236, nVar2237, nVar2238, nVar2239, nVar2240, nVar2241, nVar2242, nVar2243, nVar2244, nVar2245, nVar2246, nVar2247, nVar2248, nVar2249, nVar2250, nVar2251, nVar2252, nVar2253, nVar2254, nVar2255, nVar2256, nVar2257, nVar2258, nVar2259, nVar2260, nVar2261, nVar2262, nVar2263, nVar2264, nVar2265, nVar2266, nVar2267, nVar2268, nVar2269, nVar2270, nVar2271, nVar2272, nVar2273, nVar2274, nVar2275, nVar2276, nVar2277, nVar2278, nVar2279, nVar2280, nVar2281, nVar2282, nVar2283, nVar2284, nVar2285, nVar2286, nVar2287, nVar2288, nVar2289, nVar2290, nVar2291, nVar2292, nVar2293, nVar2294, nVar2295, nVar2296, nVar2297, nVar2298, nVar2299, nVar2300, nVar2301, nVar2302, nVar2303, nVar2304, nVar2305, nVar2306, nVar2307, nVar2308, nVar2309, nVar2310, nVar2311, nVar2312, nVar2313, nVar2314, nVar2315, nVar2316, nVar2317, nVar2318, nVar2319, nVar2320, nVar2321, nVar2322, nVar2323, nVar2324, nVar2325, nVar2326, nVar2327, nVar2328, nVar2329, nVar2330, nVar2331, nVar2332, nVar2333, nVar2334, nVar2335, nVar2336, nVar2337, nVar2338, nVar2339, nVar2340, nVar2341, nVar2342, nVar2343, nVar2344, nVar2345, nVar2346, nVar2347, nVar2348, nVar2349, nVar2350, nVar2351, nVar2352, nVar2353, nVar2354, nVar2355, nVar2356, nVar2357, nVar2358, nVar2359, nVar2360, nVar2361, nVar2362, nVar2363, nVar2364, nVar2365, nVar2366, nVar2367, nVar2368, nVar2369, nVar2370, nVar2371, nVar2372, nVar2373, nVar2374, nVar2375, nVar2376, nVar2377, nVar2378, nVar2379, nVar2380, nVar2381, nVar2382, nVar2383, nVar2384, nVar2385, nVar2386, nVar2387, nVar2388, nVar2389, nVar2390, nVar2391, nVar2392, nVar2393, nVar2394, nVar2395, nVar2396, nVar2397, nVar2398, nVar2399, nVar2400, nVar2401, nVar2402, nVar2403, nVar2404, nVar2405, nVar2406, nVar2407, nVar2408, nVar2409, nVar2410, nVar2411, nVar2412, nVar2413, nVar2414, nVar2415, nVar2416, nVar2417, nVar2418, nVar2419, nVar2420, nVar2421, nVar2422, nVar2423, nVar2424, nVar2425, nVar2426, nVar2427, nVar2428, nVar2429, nVar2430, nVar2431, nVar2432, nVar2433, nVar2434, nVar2435, nVar2436, nVar2437, nVar2438, nVar2439, nVar2440, nVar2441, nVar2442, nVar2443, nVar2444, nVar2445, nVar2446, nVar2447, nVar2448, nVar2449, nVar2450, nVar2451, nVar2452, nVar2453, nVar2454, nVar2455, nVar2456, nVar2457, nVar2458, nVar2459, nVar2460, nVar2461, nVar2462, nVar2463, nVar2464, nVar2465, nVar2466, nVar2467, nVar2468, nVar2469, nVar2470, nVar2471, nVar2472, nVar2473, nVar2474, nVar2475, nVar2476, nVar2477, nVar2478, nVar2479, nVar2480, nVar2481, nVar2482, nVar2483, nVar2484, nVar2485, nVar2486, nVar2487, nVar2488, nVar2489, nVar2490, nVar2491, nVar2492, nVar2493, nVar2494, nVar2495, nVar2496, nVar2497, nVar2498, nVar2499, nVar2500, nVar2501, nVar2502, nVar2503, nVar2504, nVar2505, nVar2506, nVar2507, nVar2508, nVar2509, nVar2510, nVar2511, nVar2512, nVar2513, nVar2514, nVar2515, nVar2516, nVar2517, nVar2518, nVar2519, nVar2520, nVar2521, nVar2522, nVar2523, nVar2524, nVar2525, nVar2526, nVar2527, nVar2528, nVar2529, nVar2530, nVar2531, nVar2532, nVar2533, nVar2534, nVar2535, nVar2536, nVar2537, nVar2538, nVar2539, nVar2540, nVar2541, nVar2542, nVar2543, nVar2544, nVar2545, nVar2546, nVar2547, nVar2548, nVar2549, nVar2550, nVar2551, nVar2552, nVar2553, nVar2554, nVar2555, nVar2556, nVar2557, nVar2558, nVar2559, nVar2560, nVar2561, nVar2562, nVar2563, nVar2564, nVar2565, nVar2566, nVar2567, nVar2568, nVar2569, nVar2570, nVar2571, nVar2572, nVar2573, nVar2574, nVar2575, nVar2576, nVar2577, nVar2578, nVar2579, nVar2580, nVar2581, nVar2582, nVar2583, nVar2584, nVar2585, nVar2586, nVar2587, nVar2588, nVar2589, nVar2590, nVar2591, nVar2592, nVar2593, nVar2594, nVar2595, nVar2596, nVar2597, nVar2598, nVar2599, nVar2600, nVar2601, nVar2602, nVar2603, nVar2604, nVar2605, nVar2606, nVar2607, nVar2608, nVar2609, nVar2610, nVar2611, nVar2612, nVar2613, nVar2614, nVar2615, nVar2616, nVar2617, nVar2618, nVar2619, nVar2620, nVar2622, nVar2623, nVar2624, nVar2625, nVar2626, nVar2627, nVar2628, nVar2629, nVar2630, nVar2631, nVar2633, nVar2634, nVar2635, nVar2636, nVar2637, nVar2638, nVar2639, nVar2640, nVar2641, nVar2642, nVar2643, nVar2644, nVar2645, nVar2646, nVar2647, nVar2648, nVar2649, nVar2650, nVar2651, nVar2652, nVar2653, nVar2654, nVar2655, nVar2656, nVar2657, nVar2658, nVar2659, nVar2660, nVar2661, nVar2662, nVar2663, nVar2664, nVar2665, nVar2666, nVar2667, nVar2668, nVar2669, nVar2670, nVar2671, nVar2672, nVar2673, nVar2674, nVar2675, nVar2676, nVar2677, nVar2678, nVar2679, nVar2680, nVar2681, nVar2682, nVar2683, nVar2684, nVar2685, nVar2686, nVar2687, nVar2688, nVar2689, nVar2690, nVar2691, nVar2692, nVar2693, nVar2694, nVar2695, nVar2696, nVar2697, nVar2698, nVar2699, nVar2700, nVar2701, nVar2702, nVar2703, nVar2704, nVar2705, nVar2706, nVar2707, nVar2708, nVar2709, nVar2710, nVar2711, nVar2712, nVar2713, nVar2714, nVar2715, nVar2716, nVar2717, nVar2718, nVar2719, nVar2720, nVar2721, nVar2722, nVar2723, nVar2724, nVar2725, nVar2726, nVar2727, nVar2728, nVar2729, nVar2730, nVar2731, nVar2732, nVar2733, nVar2734, nVar2735, nVar2736, nVar2737, nVar2738, nVar2739, nVar2740, nVar2741, nVar2742, nVar2743, nVar2744, nVar2745, nVar2746, nVar2747, nVar2748, nVar2749, nVar2750, nVar2751, nVar2752, nVar2753, nVar2755, nVar2756, nVar2757, nVar2758, nVar2759, nVar2760, nVar2761, nVar2762, nVar2763, nVar2764, nVar2765, nVar2766, nVar2767, nVar2768, nVar2769, nVar2770, nVar2771, nVar2772, nVar2773, nVar2774, nVar2775, nVar2776, nVar2777, nVar2778, nVar2779, nVar2780, nVar2781, nVar2782, nVar2783, nVar2784, nVar2785, nVar2786, nVar2787, nVar2788, nVar2789, nVar2790, nVar2791, nVar2792, nVar2793, nVar2794, nVar2795, nVar2796, nVar2797, nVar2798, nVar2799, nVar2800, nVar2801, nVar2802, nVar2803, nVar2804, nVar2805, nVar2806, nVar2807, nVar2808, nVar2809, nVar2810, nVar2811, nVar2812, nVar2813, nVar2814, nVar2815, nVar2816, nVar2817, nVar2818, nVar2819, nVar2820, nVar2821, nVar2822, nVar2823, nVar2824, nVar2825, nVar2826, nVar2827, nVar2828, nVar2829, nVar2830, nVar2831, nVar2832, nVar2833, nVar2834, nVar2835, nVar2836, nVar2837, nVar2838, nVar2839, nVar2840, nVar2841, nVar2842, nVar2843, nVar2844, nVar2845, nVar2846, nVar2847, nVar2848, nVar2849, nVar2850, nVar2851, nVar2852, nVar2853, nVar2854, nVar2855, nVar2856, nVar2857, nVar2858, nVar2859, nVar2860, nVar2861, nVar2862, nVar2863, nVar2864, nVar2865, nVar2866, nVar2867, nVar2868, nVar2869, nVar2870, nVar2871, nVar2872, nVar2873, nVar2874, nVar2875, nVar2876, nVar2877, nVar2878, nVar2879, nVar2880, nVar2881, nVar2882, nVar2883, nVar2884, nVar2885, nVar2886, nVar2887, nVar2888, nVar2889, nVar2890, nVar2891, nVar2892, nVar2893, nVar2894, nVar2895, nVar2896, nVar2897, nVar2898, nVar2899, nVar2900, nVar2901, nVar2902, nVar2903, nVar2904, nVar2905, nVar2906, nVar2907, nVar2908, nVar2909, nVar2910, nVar2911, nVar2912, nVar2913, nVar2914, nVar2915, nVar2916, nVar2917, nVar2918, nVar2919, nVar2920, nVar2921, nVar2922, nVar2923, nVar2924, nVar2925, nVar2926, nVar2927, nVar2928, nVar2929, nVar2930, nVar2931, nVar2932, nVar2933, nVar2934, nVar2935, nVar2936, nVar2937, nVar2938, nVar2939, nVar2940, nVar2941, nVar2942, nVar2943, nVar2944, nVar2945, nVar2946, nVar2947, nVar2948, nVar2949, nVar2950, nVar2951, nVar2952, nVar2953, nVar2954, nVar2955, nVar2956, nVar2957, nVar2958, nVar2959, nVar2960, nVar2961, nVar2962, nVar2963, nVar2964, nVar2965, nVar2966, nVar2967, nVar2968, nVar2969, nVar2970, nVar2971, nVar2972, nVar2973, nVar2974, nVar2975, nVar2976, nVar2977, nVar2978, nVar2979, nVar2980, nVar2981, nVar2982, nVar2983, nVar2984, nVar2985, nVar2986, nVar2987, nVar2988, nVar2989, nVar2990, nVar2991, nVar2992, nVar2993, nVar2994, nVar2995, nVar2996, nVar2997, nVar2998, nVar2999, nVar3000, nVar3001, nVar3002, nVar3003, nVar3004, nVar3005, nVar3006, nVar3007, nVar3008, nVar3009, nVar3010, nVar3011, nVar3012, nVar3013, nVar3014, nVar3015, nVar3016, nVar3017, nVar3018, nVar3019, nVar3020, nVar3021, nVar3022, nVar3023, nVar3024, nVar3025, nVar3026, nVar3027, nVar3028, nVar3029, nVar3030, nVar3031, nVar3032, nVar3033, nVar3034, nVar3035, nVar3036, nVar3037, nVar3038, nVar3039, nVar3040, nVar3041, nVar3042, nVar3043, nVar3044, nVar3045, nVar3046, nVar3047, nVar3049, nVar3050, nVar3051, nVar3052, nVar3053, nVar3054, nVar3055, nVar3056, nVar3057, nVar3058, nVar3059, nVar3060, nVar3061, nVar3063, nVar3064, nVar3065, nVar3066, nVar3067, nVar3068, nVar3069, nVar3070, nVar3071, nVar3072, nVar3073, nVar3074, nVar3075, nVar3076, nVar3077, nVar3078, nVar3079, nVar3080, nVar3081, nVar3082, nVar3083, nVar3084, nVar3085, nVar3086, nVar3087, nVar3088, nVar3089, nVar3090, nVar3091, nVar3092, nVar3093, nVar3094, nVar3095, nVar3096, nVar3097, nVar3098, nVar3099, nVar3100, nVar3101, nVar3102, nVar3103, nVar3104, nVar3105, nVar3106, nVar3107, nVar3108, nVar3109, nVar3110, nVar3111, nVar3112, nVar3113, nVar3114, nVar3115, nVar3116, nVar3117, nVar3118, nVar3119, nVar3120, nVar3121, nVar3122, nVar3123, nVar3124, nVar3125, nVar3126, nVar3127, nVar3128, nVar3129, nVar3130, nVar3131, nVar3132, nVar3133, nVar3134, nVar3135, nVar3136, nVar3137, nVar3138, nVar3140, nVar3141, nVar3142, nVar3143, nVar3144, nVar3145, nVar3146, nVar3147, nVar3148, nVar3149, nVar3150, nVar3151, nVar3152, nVar3153, nVar3154, nVar3155, nVar3156, nVar3157, nVar3158, nVar3159, nVar3160, nVar3161, nVar3162, nVar3163, nVar3164, nVar3165, nVar3166, nVar3167, nVar3168, nVar3169, nVar3170, nVar3171, nVar3172, nVar3173, nVar3174, nVar3175, nVar3176, nVar3177, nVar3178, nVar3179, nVar3180, nVar3181, nVar3182, nVar3183, nVar3184, nVar3185, nVar3186, nVar3187, nVar3188, nVar3189, nVar3190, nVar3191, nVar3192, nVar3193, nVar3194, nVar3195, nVar3196, nVar3197, nVar3198, nVar3199, nVar3200, nVar3201, nVar3202, nVar3203, nVar3204, nVar3205, nVar3206, nVar3207, nVar3208, nVar3209, nVar3210, nVar3211, nVar3212, nVar3213, nVar3214, nVar3215, nVar3216, nVar3217, nVar3218, nVar3219, nVar3220, nVar3221, nVar3222, nVar3223, nVar3224, nVar3225, nVar3226, nVar3227, nVar3228, nVar3229, nVar3230, nVar3231, nVar3232, nVar3233, nVar3234, nVar3235, nVar3236, nVar3237, nVar3238, nVar3239, nVar3240, nVar3241, nVar3242, nVar3243, nVar3244, nVar3245, nVar3246, nVar3247, nVar3248, nVar3249, nVar3250, nVar3251, nVar3252, nVar3253, nVar3254, nVar3255, nVar3256, nVar3257, nVar3258, nVar3259, nVar3260, nVar3261, nVar3262, nVar3263, nVar3264, nVar3265, nVar3266, nVar3267, nVar3268, nVar3269, nVar3270, nVar3271, nVar3272, nVar3273, nVar3274, nVar3275, nVar3276, nVar3277, nVar3278, nVar3279, nVar3280, nVar3281, nVar3282, nVar3283, nVar3284, nVar3285, nVar3286, nVar3287, nVar3288, nVar3289, nVar3290, nVar3291, nVar3292, nVar3293, nVar3294, nVar3295, nVar3296, nVar3297, nVar3298, nVar3299, nVar3300, nVar3301, nVar3302, nVar3303, nVar3304, nVar3305, nVar3306, nVar3307, nVar3308, nVar3309, nVar3310, nVar3311, nVar3312, nVar3313, nVar3314, nVar3315, nVar3316, nVar3317, nVar3318, nVar3319, nVar3320, nVar3321, nVar3322, nVar3323, nVar3324, nVar3325, nVar3326, nVar3327, nVar3328, nVar3329, nVar3330, nVar3331, nVar3332, nVar3333, nVar3334, nVar3335, nVar3336, nVar3337, nVar3338, nVar3339, nVar3340, nVar3341, nVar3342, nVar3343, nVar3344, nVar3345, nVar3346, nVar3347, nVar3348, nVar3349, nVar3350, nVar3351, nVar3352, nVar3353, nVar3354, nVar3355, nVar3356, nVar3357, nVar3358, nVar3359, nVar3360, nVar3361, nVar3362, nVar3363, nVar3364, nVar3365, nVar3366, nVar3367, nVar3368, nVar3369, nVar3370, nVar3371, nVar3372, nVar3373, nVar3374, nVar3375, nVar3376, nVar3377, nVar3378, nVar3379, nVar3380, nVar3381, nVar3382, nVar3383, nVar3384, nVar3385, nVar3386, nVar3387, nVar3388, nVar3389, nVar3390, nVar3391, nVar3392, nVar3393, nVar3394, nVar3395, nVar3396, nVar3397, nVar3398, nVar3399, nVar3400, nVar3401, nVar3402, nVar3403, nVar3404, nVar3405, nVar3406, nVar3407, nVar3408, nVar3409, nVar3410, nVar3411, nVar3412, nVar3413, nVar3414, nVar3415, nVar3416, nVar3417, nVar3418, nVar3419, nVar3420, nVar3421, nVar3422, nVar3423, nVar3424, nVar3425, nVar3426, nVar3427, nVar3428, nVar3429, nVar3430, nVar3431, nVar3432, nVar3433, nVar3434, nVar3435, nVar3436, nVar3437, nVar3438, nVar3439, nVar3440, nVar3441, nVar3442, nVar3443, nVar3444, nVar3445, nVar3446, nVar3447, nVar3448, nVar3449, nVar3450, nVar3451, nVar3452, nVar3453, nVar3454, nVar3455, nVar3456, nVar3457, nVar3458, nVar3459, nVar3460, nVar3461, nVar3462, nVar3463, nVar3464, nVar3465, nVar3466, nVar3467, nVar3468, nVar3469, nVar3470, nVar3471, nVar3472, nVar3473, nVar3474, nVar3475, nVar3476, nVar3477, nVar3478, nVar3479, nVar3480, nVar3481, nVar3482, nVar3483, nVar3484, nVar3485, nVar3486, nVar3487, nVar3488, nVar3489, nVar3490, nVar3491, nVar3492, nVar3493, nVar3494, nVar3495, nVar3496, nVar3497, nVar3498, nVar3499, nVar3500, nVar3501, nVar3502, nVar3503, nVar3504, nVar3505, nVar3506, nVar3507, nVar3508, nVar3509, nVar3510, nVar3511, nVar3512, nVar3513, nVar3514, nVar3515, nVar3516, nVar3517, nVar3518, nVar3519, nVar3520, nVar3521, nVar3522, nVar3523, nVar3524, nVar3525, nVar3526, nVar3527, nVar3528, nVar3529, nVar3530, nVar3531, nVar3532, nVar3533, nVar3534, nVar3535, nVar3536, nVar3537, nVar3538, nVar3539, nVar3540, nVar3541, nVar3542, nVar3543, nVar3544, nVar3545, nVar3546, nVar3547, nVar3548, nVar3549, nVar3550, nVar3551, nVar3552, nVar3553, nVar3554, nVar3555, nVar3556, nVar3557, nVar3558, nVar3559, nVar3560, nVar3561, nVar3562, nVar3563, nVar3564, nVar3565, nVar3566, nVar3567, nVar3568, nVar3569, nVar3570, nVar3571, nVar3572, nVar3573, nVar3574, nVar3575, nVar3576, nVar3577, nVar3578, nVar3579, nVar3580, nVar3581, nVar3582, nVar3583, nVar3584, nVar3585, nVar3586, nVar3587, nVar3588, nVar3589, nVar3590, nVar3591, nVar3592, nVar3593, nVar3594, nVar3595, nVar3596, nVar3597, nVar3598, nVar3599, nVar3600, nVar3601, nVar3602, nVar3603, nVar3604, nVar3605, nVar3606, nVar3607, nVar3608, nVar3609, nVar3610, nVar3611, nVar3612, nVar3613, nVar3614, nVar3615, nVar3616, nVar3617, nVar3618, nVar3619, nVar3620, nVar3621, nVar3622, nVar3623, nVar3624, nVar3625, nVar3626, nVar3627, nVar3628, nVar3629, nVar3630, nVar3631, nVar3632, nVar3633, nVar3634, nVar3635, nVar3636, nVar3637, nVar3638, nVar3639, nVar3640, nVar3641, nVar3642, nVar3643, nVar3644, nVar3645, nVar3646, nVar3647, nVar3648, nVar3649, nVar3650, nVar3651, nVar3652, nVar3653, nVar3654, nVar3655, nVar3656, nVar3657, nVar3658, nVar3659, nVar3660, nVar3661, nVar3662, nVar3663, nVar3664, nVar3665, nVar3666, nVar3667, nVar3668, nVar3669, nVar3670, nVar3671, nVar3672, nVar3673, nVar3674, nVar3675, nVar3676, nVar3677, nVar3678, nVar3679, nVar3680, nVar3681, nVar3682, nVar3683, nVar3684, nVar3685, nVar3686, nVar3687, nVar3688, nVar3689, nVar3690, nVar3691, nVar3692, nVar3693, nVar3694, nVar3695, nVar3696, nVar3697, nVar3698, nVar3699, nVar3700, nVar3701, nVar3702, nVar3703, nVar3704, nVar3705, nVar3706, nVar3707, nVar3708, nVar3709, nVar3710, nVar347, nVar399, nVar1040, nVar1175, nVar2103, nVar2179, nVar2621, nVar2632, nVar2754, nVar3048, nVar3062, nVar3139, nVar3711, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280, nVar3718, nVar3719, nVar3720;
-
-
-
-procedure proc65();
-
-
-
-implementation proc65()
-{
-
- anon0__unique__1:
- assume (forall x: int :: { nVar3714[x] } nVar3714[x] <= 0 || nVar3714[x] > 8912);
- assume (forall x: int :: { nVar3717[x] } nVar3717[x] <= 0 || nVar3717[x] > 8912);
- assume (forall x: int :: { nVar3721[x] } nVar3721[x] <= 0 || nVar3721[x] > 8912);
- assume (forall x: int :: { nVar3722[x] } nVar3722[x] <= 0 || nVar3722[x] > 8912);
- return;
-}
-
-
-
-procedure proc66();
- modifies nVar3711;
-
-
-
-implementation proc66()
-{
-
- anon0__unique__1:
- nVar3711 := 0;
- return;
-}
-
-
-
-procedure proc67();
- modifies nVar1, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280;
-
-
-
-procedure proc68(nVar4658: int, nVar4659: int) returns (nVar4660: int);
-
-
-
-implementation proc68(nVar4658: int, nVar4659: int) returns (nVar4660: int)
-{
- var nVar4661: int;
-
- anon0__unique__1:
- nVar4660 := nVar4661;
- return;
-}
-
-
-
-procedure proc69(nVar4662: int) returns (nVar4663: int);
-
-
-
-implementation proc69(nVar4662: int) returns (nVar4663: int)
-{
- var nVar4664: int;
-
- anon0__unique__1:
- nVar4663 := nVar4664;
- return;
-}
-
-
-
-procedure proc70(nVar4665: int, nVar4666: int) returns (nVar4667: int);
-
-
-
-implementation proc70(nVar4665: int, nVar4666: int) returns (nVar4667: int)
-{
- var nVar4668: int;
-
- anon0__unique__1:
- nVar4667 := nVar4668;
- return;
-}
-
-
-
-procedure proc71(nVar4669: int) returns (nVar4670: int);
-
-
-
-implementation proc71(nVar4669: int) returns (nVar4670: int)
-{
- var nVar4671: int;
-
- anon0__unique__1:
- nVar4670 := nVar4671;
- return;
-}
-
-
-
-procedure proc72(nVar4672: int, nVar4673: int) returns (nVar4674: int);
-
-
-
-implementation proc72(nVar4672: int, nVar4673: int) returns (nVar4674: int)
-{
- var nVar4675: int;
-
- anon0__unique__1:
- nVar4674 := nVar4675;
- return;
-}
-
-
-
-procedure proc73(nVar4676: int) returns (nVar4677: int);
-
-
-
-implementation proc73(nVar4676: int) returns (nVar4677: int)
-{
- var nVar4678: int;
-
- anon0__unique__1:
- nVar4677 := nVar4678;
- return;
-}
-
-
-
-procedure proc74(nVar4679: int, nVar4680: int) returns (nVar4681: int);
-
-
-
-implementation proc74(nVar4679: int, nVar4680: int) returns (nVar4681: int)
-{
- var nVar4682: int;
-
- anon0__unique__1:
- nVar4681 := nVar4682;
- return;
-}
-
-
-
-procedure proc75(nVar4683: int) returns (nVar4684: int);
-
-
-
-implementation proc75(nVar4683: int) returns (nVar4684: int)
-{
- var nVar4685: int;
-
- anon0__unique__1:
- nVar4684 := nVar4685;
- return;
-}
-
-
-
-procedure proc76(nVar4686: int, nVar4687: int) returns (nVar4688: int);
-
-
-
-implementation proc76(nVar4686: int, nVar4687: int) returns (nVar4688: int)
-{
- var nVar4689: int;
-
- anon0__unique__1:
- nVar4688 := nVar4689;
- return;
-}
-
-
-
-procedure proc77(nVar4690: int) returns (nVar4691: int);
-
-
-
-implementation proc77(nVar4690: int) returns (nVar4691: int)
-{
- var nVar4692: int;
-
- anon0__unique__1:
- nVar4691 := nVar4692;
- return;
-}
-
-
-
-procedure proc78(nVar4693: int, nVar4694: int) returns (nVar4695: int);
-
-
-
-implementation proc78(nVar4693: int, nVar4694: int) returns (nVar4695: int)
-{
- var nVar4696: int;
-
- anon0__unique__1:
- nVar4695 := nVar4696;
- return;
-}
-
-
-
-procedure proc79(nVar4697: int) returns (nVar4698: int);
-
-
-
-implementation proc79(nVar4697: int) returns (nVar4698: int)
-{
- var nVar4699: int;
-
- anon0__unique__1:
- nVar4698 := nVar4699;
- return;
-}
-
-
-
-procedure proc80(nVar4700: int, nVar4701: int) returns (nVar4702: int);
-
-
-
-implementation proc80(nVar4700: int, nVar4701: int) returns (nVar4702: int)
-{
- var nVar4703: int;
-
- anon0__unique__1:
- nVar4702 := nVar4703;
- return;
-}
-
-
-
-procedure proc81(nVar4704: int) returns (nVar4705: int);
-
-
-
-implementation proc81(nVar4704: int) returns (nVar4705: int)
-{
- var nVar4706: int;
-
- anon0__unique__1:
- nVar4705 := nVar4706;
- return;
-}
-
-
-
-procedure proc82(nVar4707: int, nVar4708: int) returns (nVar4709: int);
-
-
-
-implementation proc82(nVar4707: int, nVar4708: int) returns (nVar4709: int)
-{
- var nVar4710: int;
-
- anon0__unique__1:
- nVar4709 := nVar4710;
- return;
-}
-
-
-
-procedure proc83(nVar4711: int) returns (nVar4712: int);
-
-
-
-implementation proc83(nVar4711: int) returns (nVar4712: int)
-{
- var nVar4713: int;
-
- anon0__unique__1:
- nVar4712 := nVar4713;
- return;
-}
-
-
-
-procedure proc84(nVar4714: int, nVar4715: int) returns (nVar4716: int);
-
-
-
-implementation proc84(nVar4714: int, nVar4715: int) returns (nVar4716: int)
-{
- var nVar4717: int;
-
- anon0__unique__1:
- nVar4716 := nVar4717;
- return;
-}
-
-
-
-procedure proc85(nVar4718: int) returns (nVar4719: int);
-
-
-
-implementation proc85(nVar4718: int) returns (nVar4719: int)
-{
- var nVar4720: int;
-
- anon0__unique__1:
- nVar4719 := nVar4720;
- return;
-}
-
-
-
-procedure proc86(nVar4721: int, nVar4722: int) returns (nVar4723: int);
-
-
-
-implementation proc86(nVar4721: int, nVar4722: int) returns (nVar4723: int)
-{
- var nVar4724: int;
-
- anon0__unique__1:
- nVar4723 := nVar4724;
- return;
-}
-
-
-
-procedure proc87(nVar4725: int) returns (nVar4726: int);
-
-
-
-implementation proc87(nVar4725: int) returns (nVar4726: int)
-{
- var nVar4727: int;
-
- anon0__unique__1:
- nVar4726 := nVar4727;
- return;
-}
-
-
-
-procedure proc88(nVar4728: int, nVar4729: int) returns (nVar4730: int);
-
-
-
-implementation proc88(nVar4728: int, nVar4729: int) returns (nVar4730: int)
-{
- var nVar4731: int;
-
- anon0__unique__1:
- nVar4730 := nVar4731;
- return;
-}
-
-
-
-procedure proc89(nVar4732: int) returns (nVar4733: int);
-
-
-
-implementation proc89(nVar4732: int) returns (nVar4733: int)
-{
- var nVar4734: int;
-
- anon0__unique__1:
- nVar4733 := nVar4734;
- return;
-}
-
-
-
-procedure proc90(nVar4735: int, nVar4736: int) returns (nVar4737: int);
-
-
-
-implementation proc90(nVar4735: int, nVar4736: int) returns (nVar4737: int)
-{
- var nVar4738: int;
-
- anon0__unique__1:
- nVar4737 := nVar4738;
- return;
-}
-
-
-
-procedure proc91(nVar4739: int) returns (nVar4740: int);
-
-
-
-implementation proc91(nVar4739: int) returns (nVar4740: int)
-{
- var nVar4741: int;
-
- anon0__unique__1:
- nVar4740 := nVar4741;
- return;
-}
-
-
-
-procedure proc92(nVar4742: int, nVar4743: int) returns (nVar4744: int);
-
-
-
-implementation proc92(nVar4742: int, nVar4743: int) returns (nVar4744: int)
-{
- var nVar4745: int;
-
- anon0__unique__1:
- nVar4744 := nVar4745;
- return;
-}
-
-
-
-procedure proc93(nVar4746: int) returns (nVar4747: int);
-
-
-
-implementation proc93(nVar4746: int) returns (nVar4747: int)
-{
- var nVar4748: int;
-
- anon0__unique__1:
- nVar4747 := nVar4748;
- return;
-}
-
-
-
-procedure proc94(nVar4749: int, nVar4750: int) returns (nVar4751: int);
-
-
-
-implementation proc94(nVar4749: int, nVar4750: int) returns (nVar4751: int)
-{
- var nVar4752: int;
-
- anon0__unique__1:
- nVar4751 := nVar4752;
- return;
-}
-
-
-
-procedure proc95(nVar4753: int) returns (nVar4754: int);
-
-
-
-implementation proc95(nVar4753: int) returns (nVar4754: int)
-{
- var nVar4755: int;
-
- anon0__unique__1:
- nVar4754 := nVar4755;
- return;
-}
-
-
-
-procedure proc96(nVar4756: int) returns (nVar4757: int);
-
-
-
-implementation proc96(nVar4756: int) returns (nVar4757: int)
-{
- var nVar4758: int;
-
- anon0__unique__1:
- nVar4757 := nVar4758;
- return;
-}
-
-
-
-procedure proc97(nVar4759: int) returns (nVar4760: int);
-
-
-
-implementation proc97(nVar4759: int) returns (nVar4760: int)
-{
- var nVar4761: int;
-
- anon0__unique__1:
- nVar4760 := nVar4761;
- return;
-}
-
-
-
-procedure proc98(nVar4762: int) returns (nVar4763: int);
-
-
-
-implementation proc98(nVar4762: int) returns (nVar4763: int)
-{
- var nVar4764: int;
-
- anon0__unique__1:
- nVar4763 := nVar4764;
- return;
-}
-
-
-
-procedure proc99(nVar4765: int) returns (nVar4766: int);
-
-
-
-implementation proc99(nVar4765: int) returns (nVar4766: int)
-{
- var nVar4767: int;
-
- anon0__unique__1:
- nVar4766 := nVar4767;
- return;
-}
-
-
-
-procedure proc100(nVar4768: int) returns (nVar4769: int);
-
-
-
-implementation proc100(nVar4768: int) returns (nVar4769: int)
-{
- var nVar4770: int;
-
- anon0__unique__1:
- nVar4769 := nVar4770;
- return;
-}
-
-
-
-procedure proc101(nVar4771: int) returns (nVar4772: int);
-
-
-
-implementation proc101(nVar4771: int) returns (nVar4772: int)
-{
- var nVar4773: int;
-
- anon0__unique__1:
- nVar4772 := nVar4773;
- return;
-}
-
-
-
-procedure proc102(nVar4774: int) returns (nVar4775: int);
-
-
-
-implementation proc102(nVar4774: int) returns (nVar4775: int)
-{
- var nVar4776: int;
-
- anon0__unique__1:
- nVar4775 := nVar4776;
- return;
-}
-
-
-
-procedure proc103(nVar4777: int) returns (nVar4778: int);
-
-
-
-implementation proc103(nVar4777: int) returns (nVar4778: int)
-{
- var nVar4779: int;
-
- anon0__unique__1:
- nVar4778 := nVar4779;
- return;
-}
-
-
-
-procedure proc104(nVar4780: int) returns (nVar4781: int);
-
-
-
-implementation proc104(nVar4780: int) returns (nVar4781: int)
-{
- var nVar4782: int;
-
- anon0__unique__1:
- nVar4781 := nVar4782;
- return;
-}
-
-
-
-procedure proc105(nVar4783: int) returns (nVar4784: int);
-
-
-
-implementation proc105(nVar4783: int) returns (nVar4784: int)
-{
- var nVar4785: int;
-
- anon0__unique__1:
- nVar4784 := nVar4785;
- return;
-}
-
-
-
-procedure proc106(nVar4786: int) returns (nVar4787: int);
-
-
-
-implementation proc106(nVar4786: int) returns (nVar4787: int)
-{
- var nVar4788: int;
-
- anon0__unique__1:
- nVar4787 := nVar4788;
- return;
-}
-
-
-
-procedure proc107(nVar4789: int) returns (nVar4790: int);
-
-
-
-implementation proc107(nVar4789: int) returns (nVar4790: int)
-{
- var nVar4791: int;
-
- anon0__unique__1:
- nVar4790 := nVar4791;
- return;
-}
-
-
-
-procedure proc108(nVar4792: int) returns (nVar4793: int);
-
-
-
-implementation proc108(nVar4792: int) returns (nVar4793: int)
-{
- var nVar4794: int;
-
- anon0__unique__1:
- nVar4793 := nVar4794;
- return;
-}
-
-
-
-procedure proc109(nVar4795: int) returns (nVar4796: int);
-
-
-
-implementation proc109(nVar4795: int) returns (nVar4796: int)
-{
- var nVar4797: int;
-
- anon0__unique__1:
- nVar4796 := nVar4797;
- return;
-}
-
-
-
-procedure proc110(nVar4798: int, nVar4799: int) returns (nVar4800: int);
-
-
-
-implementation proc110(nVar4798: int, nVar4799: int) returns (nVar4800: int)
-{
- var nVar4801: int;
-
- anon0__unique__1:
- nVar4800 := nVar4801;
- return;
-}
-
-
-
-procedure proc111(nVar4802: int) returns (nVar4803: int);
-
-
-
-implementation proc111(nVar4802: int) returns (nVar4803: int)
-{
- var nVar4804: int;
-
- anon0__unique__1:
- nVar4803 := nVar4804;
- return;
-}
-
-
-
-procedure proc112(nVar4805: int, nVar4806: int) returns (nVar4807: int);
-
-
-
-implementation proc112(nVar4805: int, nVar4806: int) returns (nVar4807: int)
-{
- var nVar4808: int;
-
- anon0__unique__1:
- nVar4807 := nVar4808;
- return;
-}
-
-
-
-procedure proc113(nVar4809: int) returns (nVar4810: int);
-
-
-
-implementation proc113(nVar4809: int) returns (nVar4810: int)
-{
- var nVar4811: int;
-
- anon0__unique__1:
- nVar4810 := nVar4811;
- return;
-}
-
-
-
-procedure proc114(nVar4812: int) returns (nVar4813: int);
-
-
-
-implementation proc114(nVar4812: int) returns (nVar4813: int)
-{
- var nVar4814: int;
-
- anon0__unique__1:
- nVar4813 := nVar4814;
- return;
-}
-
-
-
-procedure proc115(nVar4815: int) returns (nVar4816: int);
-
-
-
-implementation proc115(nVar4815: int) returns (nVar4816: int)
-{
- var nVar4817: int;
-
- anon0__unique__1:
- nVar4816 := nVar4817;
- return;
-}
-
-
-
-implementation proc67()
-{
- var nVar4818: int;
- var nVar4819: int;
- var nVar4820: int;
- var nVar4821: int;
- var nVar4822: int;
- var nVar4823: int;
- var nVar4824: int;
- var nVar4825: int;
- var nVar4826: int;
- var nVar4827: int;
- var nVar4828: int;
- var nVar4829: int;
- var nVar4830: int;
- var nVar4831: int;
- var nVar4832: int;
- var nVar4833: int;
- var nVar4834: int;
- var nVar4835: int;
- var nVar4836: int;
- var nVar4837: int;
- var nVar4838: int;
- var nVar4839: int;
- var nVar4840: int;
- var nVar4841: int;
- var nVar4842: int;
- var nVar4843: int;
- var nVar4844: int;
- var nVar4845: int;
- var nVar4846: int;
- var nVar4847: int;
- var nVar4848: int;
- var nVar4849: int;
- var nVar4850: int;
- var nVar4851: int;
- var nVar4852: int;
- var nVar4853: int;
- var nVar4854: int;
- var nVar4855: int;
- var nVar4856: int;
- var nVar4857: int;
- var nVar4858: int;
- var nVar4859: int;
- var nVar4860: int;
- var nVar4861: int;
- var nVar4862: int;
- var nVar4863: int;
- var nVar4864: int;
- var nVar4865: int;
- var nVar4866: int;
- var nVar4867: int;
- var nVar4868: int;
- var nVar4869: int;
- var nVar4870: int;
- var nVar4871: int;
- var nVar4872: int;
- var nVar4873: int;
- var nVar4874: int;
- var nVar4875: int;
- var nVar4876: int;
- var nVar4877: int;
- var nVar4878: int;
- var nVar4879: int;
- var nVar4880: int;
- var nVar4881: int;
- var nVar4882: int;
- var nVar4883: int;
- var nVar4884: int;
- var nVar4885: int;
- var nVar4886: int;
- var nVar4887: int;
-
- anon0__unique__1:
- call {:si_unique_call 4808} nVar4818 := proc130(100);
- call {:si_unique_call 4809} nVar4819 := proc130(140);
- call {:si_unique_call 4810} nVar4820 := proc130(228);
- call {:si_unique_call 4811} nVar4821 := proc130(392);
- call {:si_unique_call 4812} nVar4822 := proc130(140);
- call {:si_unique_call 4813} nVar4823 := proc130(140);
- call {:si_unique_call 4814} nVar4824 := proc130(140);
- call {:si_unique_call 4815} nVar4825 := proc130(140);
- call {:si_unique_call 4816} nVar4826 := proc130(140);
- call {:si_unique_call 4817} nVar4827 := proc130(100);
- call {:si_unique_call 4818} nVar4828 := proc130(140);
- call {:si_unique_call 4819} nVar4829 := proc130(228);
- call {:si_unique_call 4820} nVar4830 := proc130(60);
- call {:si_unique_call 4821} nVar4831 := proc130(140);
- call {:si_unique_call 4822} nVar4832 := proc130(360);
- call {:si_unique_call 4823} nVar4833 := proc130(140);
- call {:si_unique_call 4824} nVar4834 := proc130(140);
- call {:si_unique_call 4825} nVar4835 := proc130(140);
- call {:si_unique_call 4826} nVar4836 := proc130(140);
- call {:si_unique_call 4827} nVar4837 := proc130(140);
- call {:si_unique_call 4828} nVar4838 := proc130(140);
- assume nVar3139 > 0;
- nVar3714[func18(nVar3139)] := 4158;
- assume nVar2179 > 0;
- nVar3714[func18(nVar2179)] := 4171;
- nVar4827 := nVar4276;
- nVar4818 := nVar4603;
- nVar4830 := nVar4651;
- nVar4821 := nVar4609;
- nVar4832 := nVar4610;
- nVar3717[func26(nVar868)] := 284;
- nVar3717[func26(nVar2360)] := 286;
- assume nVar3716[func21(nVar367)] > 0;
- nVar3722[func50(nVar402)] := 279;
- nVar3721[func43(nVar402)] := 0;
- assume nVar3716[func21(nVar2637)] > 0;
- nVar3722[func50(nVar3688)] := 388;
- nVar3721[func43(nVar3688)] := 0;
- nVar3717[func26(nVar1365)] := 658;
- assume nVar3716[func21(nVar2770)] > 0;
- nVar3722[func50(nVar1550)] := 654;
- nVar3721[func43(nVar1550)] := 0;
- nVar3717[func26(nVar2815)] := 680;
- assume nVar3716[func21(nVar1718)] > 0;
- nVar3722[func50(nVar1431)] := 675;
- nVar3721[func43(nVar1431)] := 0;
- nVar3717[func26(nVar3390)] := 689;
- assume nVar3716[func21(nVar3138)] > 0;
- nVar3722[func50(nVar2365)] := 685;
- nVar3721[func43(nVar2365)] := 0;
- nVar3717[func26(nVar3594)] := 698;
- assume nVar3716[func21(nVar2578)] > 0;
- nVar3722[func50(nVar1895)] := 694;
- nVar3721[func43(nVar1895)] := 0;
- nVar3717[func26(nVar691)] := 707;
- assume nVar3716[func21(nVar3538)] > 0;
- nVar3722[func50(nVar3083)] := 703;
- nVar3721[func43(nVar3083)] := 0;
- nVar3717[func26(nVar753)] := 716;
- assume nVar3716[func21(nVar1294)] > 0;
- nVar3722[func50(nVar1690)] := 712;
- nVar3721[func43(nVar1690)] := 0;
- nVar3717[func26(nVar1316)] := 726;
- assume nVar3716[func21(nVar1909)] > 0;
- nVar3722[func50(nVar3373)] := 721;
- nVar3721[func43(nVar3373)] := 0;
- nVar3717[func26(nVar624)] := 735;
- assume nVar3716[func21(nVar3544)] > 0;
- nVar3722[func50(nVar1548)] := 731;
- nVar3721[func43(nVar1548)] := 0;
- nVar3717[func26(nVar3111)] := 744;
- assume nVar3716[func21(nVar3657)] > 0;
- nVar3722[func50(nVar3279)] := 740;
- nVar3721[func43(nVar3279)] := 0;
- nVar3717[func26(nVar2001)] := 753;
- assume nVar3716[func21(nVar3534)] > 0;
- nVar3722[func50(nVar3176)] := 749;
- nVar3721[func43(nVar3176)] := 0;
- nVar3717[func26(nVar1915)] := 760;
- assume nVar3716[func21(nVar2444)] > 0;
- nVar3722[func50(nVar1472)] := 763;
- nVar3721[func43(nVar1472)] := 0;
- nVar3717[func26(nVar3661)] := 767;
- assume nVar3716[func21(nVar3400)] > 0;
- nVar3722[func50(nVar14)] := 770;
- nVar3721[func43(nVar14)] := 0;
- nVar3717[func26(nVar3261)] := 977;
- assume nVar3716[func21(nVar1164)] > 0;
- nVar3722[func50(nVar2713)] := 973;
- nVar3721[func43(nVar2713)] := 0;
- call {:si_unique_call 4894} nVar4887 := proc132();
- assume nVar1175 > 0;
- assume nVar399 > 0;
- assume nVar2621 > 0;
- assume nVar3712[func9(nVar1347)] > 0;
- assume nVar3712[func9(nVar371)] > 0;
- assume nVar3712[func9(nVar573)] > 0;
- assume nVar347 > 0;
- assume nVar3048 > 0;
- assume nVar3712[func9(nVar2368)] > 0;
- assume nVar3712[func9(nVar2948)] > 0;
- assume nVar3712[func9(nVar3240)] > 0;
- assume nVar2754 > 0;
- assume nVar2103 > 0;
- assume nVar3062 > 0;
- assume nVar2632 > 0;
- assume nVar1040 > 0;
- assume nVar3712[func9(nVar3424)] > 0;
- assume nVar3712[func9(nVar2898)] > 0;
- assume nVar3712[func9(nVar1366)] > 0;
- assume nVar3712[func9(nVar1264)] > 0;
- assume nVar3712[func9(nVar412)] > 0;
- assume nVar3712[func9(nVar2957)] > 0;
- assume nVar3712[func9(nVar3577)] > 0;
- assume nVar3712[func9(nVar876)] > 0;
- assume nVar3712[func9(nVar628)] > 0;
- assume nVar3712[func9(nVar1457)] > 0;
- assume nVar3712[func9(nVar194)] > 0;
- assume nVar3712[func9(nVar2771)] > 0;
- assume nVar3712[func9(nVar971)] > 0;
- assume nVar3712[func9(nVar968)] > 0;
- assume nVar3712[func9(nVar966)] > 0;
- assume nVar3712[func9(nVar1235)] > 0;
- nVar1254 := 0;
- nVar280 := -1;
- assume nVar3715[func20(nVar1871)] > 0;
- assume nVar3715[func20(nVar1789)] > 0;
- assume nVar3715[func20(nVar2411)] > 0;
- assume nVar3715[func20(nVar3338)] > 0;
- assume nVar3715[func20(nVar3638)] > 0;
- assume nVar3715[func20(nVar3451)] > 0;
- assume nVar3715[func20(nVar736)] > 0;
- assume nVar3715[func20(nVar3348)] > 0;
- assume nVar3715[func20(nVar212)] > 0;
- assume nVar3715[func20(nVar1853)] > 0;
- assume nVar3715[func20(nVar1455)] > 0;
- assume nVar3715[func20(nVar549)] > 0;
- assume nVar3715[func20(nVar2251)] > 0;
- assume nVar3715[func20(nVar3569)] > 0;
- assume nVar3715[func20(nVar2095)] > 0;
- assume nVar3715[func20(nVar260)] > 0;
- assume nVar3715[func20(nVar3389)] > 0;
- assume nVar3715[func20(nVar494)] > 0;
- assume nVar3715[func20(nVar765)] > 0;
- assume nVar3715[func20(nVar1476)] > 0;
- assume nVar3715[func20(nVar3469)] > 0;
- assume nVar3715[func20(nVar1512)] > 0;
- assume nVar3715[func20(nVar582)] > 0;
- assume nVar3715[func20(nVar3295)] > 0;
- assume nVar3715[func20(nVar2631)] > 0;
- assume nVar3715[func20(nVar3423)] > 0;
- assume nVar3715[func20(nVar578)] > 0;
- assume nVar3715[func20(nVar1468)] > 0;
- assume nVar3715[func20(nVar1039)] > 0;
- assume nVar3715[func20(nVar2507)] > 0;
- assume nVar3715[func20(nVar360)] > 0;
- assume nVar3715[func20(nVar2626)] > 0;
- assume nVar3715[func20(nVar2801)] > 0;
- assume nVar3715[func20(nVar3294)] > 0;
- assume nVar3715[func20(nVar2638)] > 0;
- assume nVar3715[func20(nVar2276)] > 0;
- assume nVar3715[func20(nVar3236)] > 0;
- assume nVar3715[func20(nVar1924)] > 0;
- assume nVar3715[func20(nVar899)] > 0;
- assume nVar3715[func20(nVar3377)] > 0;
- assume nVar3715[func20(nVar748)] > 0;
- assume nVar3715[func20(nVar2933)] > 0;
- assume nVar3715[func20(nVar3134)] > 0;
- assume nVar3715[func20(nVar577)] > 0;
- assume nVar3715[func20(nVar2208)] > 0;
- assume nVar3715[func20(nVar1150)] > 0;
- assume nVar3715[func20(nVar188)] > 0;
- assume nVar3715[func20(nVar1379)] > 0;
- assume nVar3715[func20(nVar2065)] > 0;
- assume nVar3715[func20(nVar2102)] > 0;
- assume nVar3715[func20(nVar3103)] > 0;
- assume nVar3715[func20(nVar1665)] > 0;
- assume nVar3715[func20(nVar2235)] > 0;
- assume nVar3715[func20(nVar3369)] > 0;
- assume nVar3715[func20(nVar2137)] > 0;
- assume nVar3715[func20(nVar3600)] > 0;
- assume nVar3715[func20(nVar3257)] > 0;
- assume nVar3715[func20(nVar1638)] > 0;
- assume nVar3715[func20(nVar3528)] > 0;
- assume nVar3715[func20(nVar1786)] > 0;
- assume nVar3715[func20(nVar38)] > 0;
- assume nVar3715[func20(nVar1959)] > 0;
- assume nVar3715[func20(nVar1238)] > 0;
- assume nVar3715[func20(nVar2519)] > 0;
- assume nVar3715[func20(nVar2246)] > 0;
- assume nVar3715[func20(nVar2831)] > 0;
- assume nVar3715[func20(nVar3699)] > 0;
- assume nVar3715[func20(nVar3315)] > 0;
- assume nVar3715[func20(nVar1685)] > 0;
- assume nVar3715[func20(nVar1340)] > 0;
- assume nVar3715[func20(nVar2766)] > 0;
- assume nVar3715[func20(nVar832)] > 0;
- assume nVar3715[func20(nVar1497)] > 0;
- assume nVar3715[func20(nVar2204)] > 0;
- assume nVar3715[func20(nVar3406)] > 0;
- assume nVar3715[func20(nVar2855)] > 0;
- assume nVar3715[func20(nVar237)] > 0;
- assume nVar3715[func20(nVar664)] > 0;
- assume nVar3715[func20(nVar3409)] > 0;
- assume nVar3715[func20(nVar1700)] > 0;
- assume nVar3715[func20(nVar2896)] > 0;
- assume nVar3715[func20(nVar1816)] > 0;
- assume nVar3715[func20(nVar669)] > 0;
- assume nVar3715[func20(nVar3483)] > 0;
- assume nVar3715[func20(nVar3507)] > 0;
- assume nVar3715[func20(nVar646)] > 0;
- assume nVar3715[func20(nVar3254)] > 0;
- assume nVar3715[func20(nVar2769)] > 0;
- assume nVar3715[func20(nVar2323)] > 0;
- assume nVar3715[func20(nVar3182)] > 0;
- assume nVar3715[func20(nVar755)] > 0;
- assume nVar3715[func20(nVar2148)] > 0;
- assume nVar3715[func20(nVar3676)] > 0;
- assume nVar3715[func20(nVar3269)] > 0;
- assume nVar3715[func20(nVar3184)] > 0;
- assume nVar3715[func20(nVar235)] > 0;
- assume nVar3715[func20(nVar398)] > 0;
- assume nVar3715[func20(nVar923)] > 0;
- assume nVar3715[func20(nVar3146)] > 0;
- assume nVar3715[func20(nVar3401)] > 0;
- assume nVar3715[func20(nVar1922)] > 0;
- assume nVar3715[func20(nVar563)] > 0;
- assume nVar3715[func20(nVar3288)] > 0;
- assume nVar3715[func20(nVar3214)] > 0;
- assume nVar3715[func20(nVar660)] > 0;
- assume nVar3715[func20(nVar2522)] > 0;
- assume nVar3715[func20(nVar1600)] > 0;
- assume nVar3715[func20(nVar3061)] > 0;
- assume nVar3715[func20(nVar3708)] > 0;
- assume nVar3715[func20(nVar3156)] > 0;
- assume nVar3715[func20(nVar430)] > 0;
- assume nVar3715[func20(nVar2997)] > 0;
- assume nVar3715[func20(nVar3180)] > 0;
- assume nVar3715[func20(nVar2155)] > 0;
- assume nVar3715[func20(nVar2400)] > 0;
- assume nVar3715[func20(nVar304)] > 0;
- assume nVar3715[func20(nVar1666)] > 0;
- assume nVar3715[func20(nVar2120)] > 0;
- assume nVar3715[func20(nVar1067)] > 0;
- assume nVar3715[func20(nVar2180)] > 0;
- assume nVar3715[func20(nVar821)] > 0;
- assume nVar3715[func20(nVar878)] > 0;
- assume nVar3715[func20(nVar1779)] > 0;
- assume nVar3715[func20(nVar1377)] > 0;
- assume nVar3715[func20(nVar3670)] > 0;
- assume nVar3715[func20(nVar2949)] > 0;
- assume nVar3715[func20(nVar2919)] > 0;
- assume nVar3715[func20(nVar2112)] > 0;
- assume nVar3715[func20(nVar1322)] > 0;
- assume nVar3715[func20(nVar538)] > 0;
- assume nVar3715[func20(nVar7)] > 0;
- assume nVar3715[func20(nVar3104)] > 0;
- assume nVar3715[func20(nVar1802)] > 0;
- assume nVar3715[func20(nVar3466)] > 0;
- assume nVar3715[func20(nVar233)] > 0;
- assume nVar3715[func20(nVar333)] > 0;
- assume nVar3715[func20(nVar3671)] > 0;
- assume nVar3715[func20(nVar2636)] > 0;
- assume nVar3715[func20(nVar238)] > 0;
- assume nVar3715[func20(nVar2210)] > 0;
- assume nVar3715[func20(nVar1095)] > 0;
- assume nVar3715[func20(nVar1841)] > 0;
- assume nVar3715[func20(nVar3601)] > 0;
- assume nVar3715[func20(nVar3392)] > 0;
- assume nVar3715[func20(nVar401)] > 0;
- assume nVar3715[func20(nVar844)] > 0;
- assume nVar3715[func20(nVar964)] > 0;
- assume nVar3715[func20(nVar2205)] > 0;
- assume nVar3715[func20(nVar1170)] > 0;
- assume nVar3715[func20(nVar2306)] > 0;
- assume nVar3715[func20(nVar2833)] > 0;
- assume nVar3715[func20(nVar232)] > 0;
- assume nVar3715[func20(nVar164)] > 0;
- assume nVar3715[func20(nVar1584)] > 0;
- assume nVar3715[func20(nVar2135)] > 0;
- assume nVar3715[func20(nVar341)] > 0;
- assume nVar3715[func20(nVar1777)] > 0;
- assume nVar3715[func20(nVar1896)] > 0;
- assume nVar3715[func20(nVar2429)] > 0;
- assume nVar3715[func20(nVar426)] > 0;
- assume nVar3715[func20(nVar1391)] > 0;
- assume nVar3715[func20(nVar3677)] > 0;
- assume nVar3715[func20(nVar3582)] > 0;
- assume nVar3715[func20(nVar2990)] > 0;
- assume nVar3715[func20(nVar2849)] > 0;
- assume nVar3712[func9(nVar1565)] > 0;
- assume nVar3712[func9(nVar2461)] > 0;
- assume nVar3712[func9(nVar3635)] > 0;
- assume nVar3712[func9(nVar530)] > 0;
- assume nVar3712[func9(nVar2125)] > 0;
- assume nVar3712[func9(nVar1830)] > 0;
- assume nVar3712[func9(nVar2524)] > 0;
- assume nVar3712[func9(nVar3077)] > 0;
- assume nVar3712[func9(nVar3458)] > 0;
- assume nVar3712[func9(nVar2652)] > 0;
- assume nVar3712[func9(nVar1393)] > 0;
- assume nVar3712[func9(nVar3313)] > 0;
- assume nVar3712[func9(nVar3283)] > 0;
- assume nVar3712[func9(nVar3602)] > 0;
- assume nVar3712[func9(nVar1904)] > 0;
- assume nVar3712[func9(nVar1398)] > 0;
- assume nVar3712[func9(nVar623)] > 0;
- assume nVar3712[func9(nVar1790)] > 0;
- assume nVar3712[func9(nVar685)] > 0;
- assume nVar3712[func9(nVar2857)] > 0;
- assume nVar3712[func9(nVar3328)] > 0;
- assume nVar3712[func9(nVar3526)] > 0;
- assume nVar3712[func9(nVar1938)] > 0;
- assume nVar3712[func9(nVar781)] > 0;
- assume nVar3712[func9(nVar1613)] > 0;
- assume nVar3712[func9(nVar1054)] > 0;
- nVar4823 := nVar4607;
- call {:si_unique_call 6280} nVar4871 := proc68(nVar1676, nVar4823);
- call {:si_unique_call 4745} nVar4839 := proc69(8560);
- nVar4834 := nVar4607;
- call {:si_unique_call 6283} nVar4872 := proc70(nVar195, nVar4834);
- call {:si_unique_call 4747} nVar4840 := proc71(8571);
- nVar4825 := nVar4607;
- call {:si_unique_call 6286} nVar4873 := proc72(nVar770, nVar4825);
- call {:si_unique_call 4749} nVar4841 := proc73(8592);
- nVar4836 := nVar4607;
- call {:si_unique_call 6289} nVar4874 := proc74(nVar864, nVar4836);
- call {:si_unique_call 4751} nVar4842 := proc75(8624);
- nVar4837 := nVar4607;
- call {:si_unique_call 6292} nVar4875 := proc76(nVar3096, nVar4837);
- call {:si_unique_call 4753} nVar4843 := proc77(8640);
- nVar4828 := nVar4607;
- call {:si_unique_call 6295} nVar4876 := proc78(nVar1136, nVar4828);
- call {:si_unique_call 4755} nVar4844 := proc79(8647);
- nVar4819 := nVar4607;
- call {:si_unique_call 6298} nVar4877 := proc80(nVar606, nVar4819);
- call {:si_unique_call 4757} nVar4845 := proc81(8658);
- nVar4831 := nVar4607;
- call {:si_unique_call 6301} nVar4878 := proc82(nVar2935, nVar4831);
- call {:si_unique_call 4759} nVar4846 := proc83(8661);
- nVar4822 := nVar4607;
- call {:si_unique_call 6304} nVar4879 := proc84(nVar403, nVar4822);
- call {:si_unique_call 4761} nVar4847 := proc85(8691);
- nVar4833 := nVar4607;
- call {:si_unique_call 6307} nVar4880 := proc86(nVar3675, nVar4833);
- call {:si_unique_call 4763} nVar4848 := proc87(8702);
- nVar4824 := nVar4607;
- call {:si_unique_call 6310} nVar4881 := proc88(nVar2648, nVar4824);
- call {:si_unique_call 4765} nVar4849 := proc89(8719);
- nVar4835 := nVar4607;
- call {:si_unique_call 6313} nVar4882 := proc90(nVar1756, nVar4835);
- call {:si_unique_call 4767} nVar4850 := proc91(8722);
- nVar4826 := nVar4607;
- call {:si_unique_call 6316} nVar4883 := proc92(nVar3521, nVar4826);
- call {:si_unique_call 4769} nVar4851 := proc93(8725);
- nVar4838 := nVar4607;
- call {:si_unique_call 6319} nVar4884 := proc94(nVar1042, nVar4838);
- call {:si_unique_call 4771} nVar4852 := proc95(8764);
- call {:si_unique_call 4773} nVar4853 := proc96(nVar1042);
- call {:si_unique_call 4775} nVar4854 := proc97(nVar3521);
- call {:si_unique_call 4777} nVar4855 := proc98(nVar1756);
- call {:si_unique_call 4779} nVar4856 := proc99(nVar2648);
- call {:si_unique_call 4781} nVar4857 := proc100(nVar3675);
- call {:si_unique_call 4783} nVar4858 := proc101(nVar403);
- call {:si_unique_call 4785} nVar4859 := proc102(nVar2935);
- call {:si_unique_call 4787} nVar4860 := proc103(nVar606);
- call {:si_unique_call 4789} nVar4861 := proc104(nVar1136);
- call {:si_unique_call 4791} nVar4862 := proc105(nVar3096);
- call {:si_unique_call 4793} nVar4863 := proc106(nVar864);
- call {:si_unique_call 4795} nVar4864 := proc107(nVar770);
- call {:si_unique_call 4797} nVar4865 := proc108(nVar195);
- call {:si_unique_call 4799} nVar4866 := proc109(nVar1676);
- nVar4829 := nVar4606;
- call {:si_unique_call 6322} nVar4885 := proc110(nVar223, nVar4829);
- call {:si_unique_call 4801} nVar4867 := proc111(8877);
- nVar4820 := nVar4606;
- call {:si_unique_call 6325} nVar4886 := proc112(nVar3559, nVar4820);
- call {:si_unique_call 4803} nVar4868 := proc113(8912);
- call {:si_unique_call 4805} nVar4869 := proc114(nVar3559);
- call {:si_unique_call 4807} nVar4870 := proc115(nVar223);
- return;
-}
-
-
-
-procedure proc116() returns (nVar4910: int);
- modifies nVar1, nVar3718, nVar3719;
-
-
-
-procedure proc117(nVar4897: int, nVar4898: int);
- modifies nVar3718, nVar3719;
-
-
-
-procedure proc118(nVar4891: int, nVar4892: int);
- modifies nVar3718;
-
-
-
-procedure proc119(nVar4888: int) returns (nVar4889: int);
-
-
-
-implementation proc119(nVar4888: int) returns (nVar4889: int)
-{
- var nVar4890: int;
-
- anon0__unique__1:
- nVar4889 := nVar4890;
- return;
-}
-
-
-
-implementation proc118(nVar4891: int, nVar4892: int)
-{
- var nVar4893: int;
- var nVar4894: int;
- var nVar4895: int;
- var nVar4896: int;
-
- anon0__unique__1:
- nVar4894 := nVar4891;
- nVar4895 := nVar4892;
- assume nVar4894 > 0;
- nVar3718[func29(nVar4894)] := nVar4895;
- assume nVar4894 > 0;
- nVar4893 := nVar3718[func29(nVar4894)];
- call {:si_unique_call 6334} nVar4896 := proc119(nVar4893);
- return;
-}
-
-
-
-implementation proc117(nVar4897: int, nVar4898: int)
-{
- var nVar4899: int;
- var nVar4900: int;
-
- anon0__unique__1:
- nVar4899 := nVar4897;
- nVar4900 := nVar4898;
- assume nVar4899 > 0;
- call {:si_unique_call 9} proc118(func31(nVar4899), nVar4900);
- assume nVar4899 > 0;
- nVar3719[func30(nVar4899)] := 1;
- return;
-}
-
-
-
-procedure proc120(nVar4908: int);
-
-
-
-procedure proc121(nVar4904: int);
-
-
-
-procedure proc122(nVar4901: int) returns (nVar4902: int);
-
-
-
-implementation proc122(nVar4901: int) returns (nVar4902: int)
-{
- var nVar4903: int;
-
- anon0__unique__1:
- nVar4902 := nVar4903;
- return;
-}
-
-
-
-implementation proc121(nVar4904: int)
-{
- var nVar4905: int;
- var nVar4906: int;
- var nVar4907: int;
-
- anon0__unique__1:
- nVar4906 := nVar4904;
- assume nVar4906 > 0;
- nVar4905 := nVar3718[func29(nVar4906)];
- call {:si_unique_call 6} nVar4907 := proc122(nVar4905);
- return;
-}
-
-
-
-implementation proc120(nVar4908: int)
-{
- var nVar4909: int;
-
- anon0__unique__1:
- nVar4909 := nVar4908;
- assume nVar4909 > 0;
- call {:si_unique_call 6339} proc121(func31(nVar4909));
- return;
-}
-
-
-
-implementation proc116() returns (nVar4910: int)
-{
- var nVar4911: int;
- var nVar4912: int;
- var nVar4913: int;
- var nVar4914: int;
- var nVar4915: int;
-
- anon0__unique__1:
- call {:si_unique_call 4730} nVar4912 := proc130(4);
- call {:si_unique_call 4731} nVar4914 := proc130(16);
- nVar4913 := 0;
- assume nVar4914 > 0;
- call {:si_unique_call 4741} proc117(nVar4914, nVar1042);
- goto L9__unique__2;
-
- L9__unique__2:
- goto anon5_Then__unique__3;
-
- anon5_Then__unique__3:
- assume nVar4914 > 0;
- assume nVar3719[func30(nVar4914)] == 0;
- call {:si_unique_call 4734} proc120(nVar4914);
- nVar4910 := nVar4913;
- return;
-}
-
-
-
-procedure proc123(nVar4916: int, nVar4917: int);
- modifies nVar3720;
-
-
-
-implementation proc123(nVar4916: int, nVar4917: int)
-{
- var nVar4918: int;
- var nVar4919: int;
- var nVar4920: int;
- var nVar4921: int;
-
- anon0__unique__1:
- nVar4919 := nVar4916;
- nVar4920 := nVar4917;
- assume nVar4919 > 0;
- nVar3720[func32(nVar4919)] := nVar4920;
- goto anon3_Then__unique__2;
-
- anon3_Then__unique__2:
- assume nVar4919 > 0;
- assume nVar3720[func32(nVar4919)] == 0;
- goto L1__unique__3;
-
- L1__unique__3:
- return;
-}
-
-
-
-procedure proc124(nVar4922: int) returns (nVar4923: int);
-
-
-
-implementation proc124(nVar4922: int) returns (nVar4923: int)
-{
- var nVar4924: int;
-
- anon0__unique__1:
- nVar4924 := nVar4922;
- assume nVar4924 > 0;
- nVar4923 := nVar3720[func32(nVar4924)];
- return;
-}
-
-
-
-procedure proc125(nVar4925: int) returns (nVar4926: int);
-
-
-
-implementation proc125(nVar4925: int) returns (nVar4926: int)
-{
- var nVar4927: int;
-
- anon0__unique__1:
- nVar4926 := nVar4927;
- return;
-}
-
-
-
-procedure proc126();
- modifies nVar3711;
-
-
-
-procedure proc127(nVar4931: int);
- modifies nVar3711;
-
-
-
-procedure proc128(nVar4929: int);
- modifies nVar3711;
-
-
-
-procedure proc129(nVar4928: int);
- modifies nVar3711;
-
-
-
-implementation proc129(nVar4928: int)
-{
-
- anon0__unique__1:
- nVar3711 := 1;
- return;
-}
-
-
-
-implementation proc128(nVar4929: int)
-{
- var nVar4930: int;
-
- anon0__unique__1:
- nVar4930 := nVar4929;
- call {:si_unique_call 6374} proc129(nVar4614);
- return;
-}
-
-
-
-implementation proc127(nVar4931: int)
-{
- var nVar4932: int;
-
- anon0__unique__1:
- nVar4932 := nVar4931;
- call {:si_unique_call 6370} proc128(nVar4932);
- goto anon3_Then__unique__2;
-
- anon3_Then__unique__2:
- assume nVar3711 == 1;
- goto LM2__unique__3;
-
- LM2__unique__3:
- return;
-}
-
-
-
-implementation proc126()
-{
-
- anon0__unique__1:
- call {:si_unique_call 6336} proc127(nVar4632);
- goto anon3_Then__unique__2;
-
- anon3_Then__unique__2:
- assume nVar3711 == 1;
- goto LM2__unique__3;
-
- LM2__unique__3:
- return;
-}
-
-
-
-implementation proc64() returns (nVar4933: int, nVar4934: bool)
-{
- var nVar4935: int;
- var nVar4936: int;
- var nVar4937: int;
- var nVar4938: int;
- var nVar4939: int;
- var nVar4940: int;
- var nVar4941: int;
- var nVar4942: int;
- var nVar4943: int;
- var nVar4944: int;
- var nVar4945: int;
- var nVar4946: int;
- var nVar4947: int;
- var nVar4948: int;
- var nVar4949: int;
- var nVar4950: int;
- var nVar4951: int;
- var nVar4952: int;
- var nVar4953: int;
- var nVar4954: int;
- var nVar4955: int;
- var nVar4956: int;
- var nVar4957: int;
- var nVar4958: int;
- var nVar4959: int;
- var nVar4960: int;
- var nVar4961: int;
- var nVar4962: int;
- var nVar4963: int;
- var nVar4964: int;
- var nVar4965: int;
- var nVar4966: int;
- var nVar4967: int;
- var nVar4968: int;
- var nVar4969: int;
- var nVar4970: int;
- var nVar4971: int;
- var nVar4972: int;
- var nVar4973: int;
- var nVar4974: int;
- var nVar4975: int;
- var nVar4976: int;
- var nVar4977: int;
- var nVar4978: int;
- var nVar4979: int;
- var nVar4980: int;
- var nVar4981: int;
- var nVar4982: int;
- var nVar4983: int;
- var nVar4984: int;
- var nVar4985: int;
- var nVar4986: int;
- var nVar4987: int;
- var nVar4988: int;
- var nVar4989: int;
- var nVar4990: int;
- var nVar4991: int;
- var nVar4992: int;
- var nVar4993: int;
- var nVar4994: int;
- var nVar4995: int;
- var nVar4996: int;
- var nVar4997: int;
- var nVar4998: int;
- var nVar4999: int;
- var nVar5000: int;
- var nVar5001: int;
- var nVar5002: int;
- var nVar5003: int;
- var nVar5004: int;
- var nVar5005: int;
- var nVar5006: int;
- var nVar5007: int;
- var nVar5008: int;
- var nVar5009: int;
- var nVar5010: int;
- var nVar5011: int;
- var nVar5012: int;
- var nVar5013: int;
- var nVar5014: int;
- var nVar5015: int;
- var nVar5016: int;
- var nVar5017: int;
- var nVar5018: int;
- var nVar5019: int;
- var nVar5020: int;
- var nVar5021: int;
- var nVar5022: int;
- var nVar5023: int;
- var nVar5024: int;
- var nVar5025: int;
- var nVar5026: int;
- var nVar5027: int;
- var nVar5028: int;
- var nVar5029: int;
- var nVar5030: int;
- var nVar5031: int;
- var nVar5032: int;
- var nVar5033: int;
- var nVar5034: int;
- var nVar5035: int;
- var nVar5036: int;
- var nVar5037: int;
- var nVar5038: int;
- var nVar5039: int;
- var nVar5040: int;
- var nVar5041: int;
- var nVar5042: int;
- var nVar5043: int;
- var nVar5044: int;
- var nVar5045: int;
- var nVar5046: int;
- var nVar5047: int;
- var nVar5048: int;
- var nVar5049: int;
- var nVar5050: int;
- var nVar5051: int;
- var nVar5052: int;
- var nVar5053: int;
- var nVar5054: int;
- var nVar5055: int;
- var nVar5056: int;
- var nVar5057: int;
- var nVar5058: int;
- var nVar5059: int;
- var nVar5060: int;
- var nVar5061: int;
- var nVar5062: int;
- var nVar5063: int;
- var nVar5064: int;
- var nVar5065: int;
- var nVar5066: int;
- var nVar5067: int;
- var nVar5068: int;
- var nVar5069: int;
- var nVar5070: int;
- var nVar5071: int;
- var nVar5072: int;
- var nVar5073: int;
- var nVar5074: int;
- var nVar5075: int;
- var nVar5076: int;
- var nVar5077: int;
- var nVar5078: int;
- var nVar5079: int;
- var nVar5080: int;
- var nVar5081: int;
- var nVar5082: int;
- var nVar5083: int;
- var nVar5084: int;
- var nVar5085: int;
- var nVar5086: int;
- var nVar5087: int;
- var nVar5088: int;
- var nVar5089: int;
- var nVar5090: int;
- var nVar5091: int;
- var nVar5092: int;
- var nVar5093: int;
- var nVar5094: int;
- var nVar5095: int;
- var nVar5096: int;
- var nVar5097: int;
- var nVar5098: int;
- var nVar5099: int;
- var nVar5100: int;
- var nVar5101: int;
- var nVar5102: int;
- var nVar5103: int;
- var nVar5104: int;
- var nVar5105: int;
- var nVar5106: int;
- var nVar5107: int;
- var nVar5108: int;
- var nVar5109: int;
- var nVar5110: int;
- var nVar5111: int;
- var nVar5112: int;
- var nVar5113: int;
- var nVar5114: int;
- var nVar5115: int;
- var nVar5116: int;
- var nVar5117: int;
- var nVar5118: int;
- var nVar5119: int;
- var nVar5120: int;
- var nVar5121: int;
- var nVar5122: int;
- var nVar5123: int;
- var nVar5124: int;
- var nVar5125: int;
- var nVar5126: int;
- var nVar5127: int;
- var nVar5128: int;
- var nVar5129: int;
- var nVar5130: int;
- var nVar5131: int;
- var nVar5132: int;
- var nVar5133: int;
- var nVar5134: int;
- var nVar5135: int;
- var nVar5136: int;
- var nVar5137: int;
- var nVar5138: int;
- var nVar5139: int;
- var nVar5140: int;
- var nVar5141: int;
- var nVar5142: int;
- var nVar5143: int;
- var nVar5144: int;
- var nVar5145: int;
- var nVar5146: int;
- var nVar5147: int;
- var nVar5148: int;
- var nVar5149: int;
- var nVar5150: int;
- var nVar5151: int;
- var nVar5152: int;
- var nVar5153: int;
- var nVar5154: int;
- var nVar5155: int;
- var nVar5156: int;
- var nVar5157: int;
- var nVar5158: int;
- var nVar5159: int;
- var nVar5160: int;
- var nVar5161: int;
- var nVar5162: int;
- var nVar5163: int;
- var nVar5164: int;
- var nVar5165: int;
- var nVar5166: int;
- var nVar5167: int;
- var nVar5168: int;
- var nVar5169: int;
- var nVar5170: int;
- var nVar5171: int;
- var nVar5172: int;
- var nVar5173: int;
- var nVar5174: int;
- var nVar5175: int;
- var nVar5176: int;
- var nVar5177: int;
- var nVar5178: int;
- var nVar5179: int;
- var nVar5180: int;
- var nVar5181: int;
- var nVar5182: int;
- var nVar5183: int;
- var nVar5184: int;
- var nVar5185: int;
- var nVar5186: int;
- var nVar5187: int;
- var nVar5188: int;
- var nVar5189: int;
- var nVar5190: int;
- var nVar5191: int;
- var nVar5192: int;
- var nVar5193: int;
- var nVar5194: int;
- var nVar5195: int;
- var nVar5196: int;
- var nVar5197: int;
- var nVar5198: int;
- var nVar5199: int;
- var nVar5200: int;
- var nVar5201: int;
- var nVar5202: int;
- var nVar5203: int;
- var nVar5204: int;
- var nVar5205: int;
- var nVar5206: int;
- var nVar5207: int;
- var nVar5208: int;
- var nVar5209: int;
- var nVar5210: int;
- var nVar5211: int;
- var nVar5212: int;
- var nVar5213: int;
- var nVar5214: int;
- var nVar5215: int;
- var nVar5216: int;
- var nVar5217: int;
- var nVar5218: int;
- var nVar5219: int;
- var nVar5220: int;
- var nVar5221: int;
- var nVar5222: int;
- var nVar5223: int;
- var nVar5224: int;
- var nVar5225: int;
- var nVar5226: int;
- var nVar5227: int;
- var nVar5228: int;
- var nVar5229: int;
- var nVar5230: int;
- var nVar5231: int;
- var nVar5232: int;
- var nVar5233: int;
- var nVar5234: int;
- var nVar5235: int;
- var nVar5236: int;
- var nVar5237: int;
- var nVar5238: int;
- var nVar5239: int;
- var nVar5240: int;
- var nVar5241: int;
- var nVar5242: int;
- var nVar5243: int;
- var nVar5244: int;
- var nVar5245: int;
- var nVar5246: int;
- var nVar5247: int;
- var nVar5248: int;
- var nVar5249: int;
- var nVar5250: int;
- var nVar5251: int;
- var nVar5252: int;
- var nVar5253: int;
- var nVar5254: int;
- var nVar5255: int;
- var nVar5256: int;
- var nVar5257: int;
- var nVar5258: int;
- var nVar5259: int;
- var nVar5260: int;
- var nVar5261: int;
- var nVar5262: int;
- var nVar5263: int;
- var nVar5264: int;
- var nVar5265: int;
- var nVar5266: int;
- var nVar5267: int;
- var nVar5268: int;
- var nVar5269: int;
- var nVar5270: int;
- var nVar5271: int;
- var nVar5272: int;
- var nVar5273: int;
- var nVar5274: int;
- var nVar5275: int;
- var nVar5276: int;
- var nVar5277: int;
- var nVar5278: int;
- var nVar5279: int;
- var nVar5280: int;
- var nVar5281: int;
- var nVar5282: int;
- var nVar5283: int;
- var nVar5284: int;
- var nVar5285: int;
- var nVar5286: int;
- var nVar5287: int;
- var nVar5288: int;
- var nVar5289: int;
- var nVar5290: int;
- var nVar5291: int;
- var nVar5292: int;
- var nVar5293: int;
- var nVar5294: int;
- var nVar5295: int;
- var nVar5296: int;
- var nVar5297: int;
- var nVar5298: int;
- var nVar5299: int;
- var nVar5300: int;
- var nVar5301: int;
- var nVar5302: int;
- var nVar5303: int;
- var nVar5304: int;
- var nVar5305: int;
- var nVar5306: int;
- var nVar5307: int;
- var nVar5308: int;
- var nVar5309: int;
- var nVar5310: int;
- var nVar5311: int;
- var nVar5312: int;
- var nVar5313: int;
- var nVar5314: int;
- var nVar5315: int;
- var nVar5316: int;
- var nVar5317: int;
- var nVar5318: int;
- var nVar5319: int;
- var nVar5320: int;
- var nVar5321: int;
- var nVar5322: int;
- var nVar5323: int;
- var nVar5324: int;
- var nVar5325: int;
- var nVar5326: int;
- var nVar5327: int;
- var nVar5328: int;
- var nVar5329: int;
- var nVar5330: int;
- var nVar5331: int;
- var nVar5332: int;
- var nVar5333: int;
- var nVar5334: int;
- var nVar5335: int;
- var nVar5336: int;
- var nVar5337: int;
- var nVar5338: int;
- var nVar5339: int;
- var nVar5340: int;
- var nVar5341: int;
- var nVar5342: int;
- var nVar5343: int;
- var nVar5344: int;
- var nVar5345: int;
- var nVar5346: int;
- var nVar5347: int;
- var nVar5348: int;
- var nVar5349: int;
- var nVar5350: int;
- var nVar5351: int;
- var nVar5352: int;
- var nVar5353: int;
- var nVar5354: int;
- var nVar5355: int;
- var nVar5356: int;
- var nVar5357: int;
- var nVar5358: int;
- var nVar5359: int;
- var nVar5360: int;
- var nVar5361: int;
- var nVar5362: int;
- var nVar5363: int;
- var nVar5364: int;
- var nVar5365: int;
- var nVar5366: int;
- var nVar5367: int;
- var nVar5368: int;
- var nVar5369: int;
- var nVar5370: int;
- var nVar5371: int;
- var nVar5372: int;
- var nVar5373: int;
- var nVar5374: int;
- var nVar5375: int;
- var nVar5376: int;
- var nVar5377: int;
- var nVar5378: int;
- var nVar5379: int;
- var nVar5380: int;
- var nVar5381: int;
- var nVar5382: int;
- var nVar5383: int;
- var nVar5384: int;
- var nVar5385: int;
- var nVar5386: int;
- var nVar5387: int;
- var nVar5388: int;
- var nVar5389: int;
- var nVar5390: int;
- var nVar5391: int;
- var nVar5392: int;
- var nVar5393: int;
- var nVar5394: int;
- var nVar5395: int;
- var nVar5396: int;
- var nVar5397: int;
- var nVar5398: int;
- var nVar5399: int;
- var nVar5400: int;
- var nVar5401: int;
- var nVar5402: int;
- var nVar5403: int;
- var nVar5404: int;
- var nVar5405: int;
- var nVar5406: int;
- var nVar5407: int;
- var nVar5408: int;
- var nVar5409: int;
- var nVar5410: int;
- var nVar5411: int;
- var nVar5412: int;
- var nVar5413: int;
- var nVar5414: int;
- var nVar5415: int;
- var nVar5416: int;
- var nVar5417: int;
- var nVar5418: int;
- var nVar5419: int;
- var nVar5420: int;
- var nVar5421: int;
- var nVar5422: int;
- var nVar5423: int;
- var nVar5424: int;
- var nVar5425: int;
- var nVar5426: int;
- var nVar5427: int;
- var nVar5428: int;
- var nVar5429: int;
- var nVar5430: int;
- var nVar5431: int;
- var nVar5432: int;
- var nVar5433: int;
- var nVar5434: int;
- var nVar5435: int;
- var nVar5436: int;
- var nVar5437: int;
- var nVar5438: int;
- var nVar5439: int;
- var nVar5440: int;
- var nVar5441: int;
- var nVar5442: int;
- var nVar5443: int;
- var nVar5444: int;
- var nVar5445: int;
- var nVar5446: int;
- var nVar5447: int;
- var nVar5448: int;
- var nVar5449: int;
- var nVar5450: int;
- var nVar5451: int;
- var nVar5452: int;
- var nVar5453: int;
- var nVar5454: int;
- var nVar5455: int;
- var nVar5456: int;
- var nVar5457: int;
- var nVar5458: int;
- var nVar5459: int;
- var nVar5460: int;
- var nVar5461: int;
- var nVar5462: int;
- var nVar5463: int;
- var nVar5464: int;
- var nVar5465: int;
- var nVar5466: int;
- var nVar5467: int;
- var nVar5468: int;
- var nVar5469: int;
- var nVar5470: int;
- var nVar5471: int;
- var nVar5472: int;
- var nVar5473: int;
- var nVar5474: int;
- var nVar5475: int;
- var nVar5476: int;
- var nVar5477: int;
- var nVar5478: int;
- var nVar5479: int;
- var nVar5480: int;
- var nVar5481: int;
- var nVar5482: int;
- var nVar5483: int;
- var nVar5484: int;
- var nVar5485: int;
- var nVar5486: int;
- var nVar5487: int;
- var nVar5488: int;
- var nVar5489: int;
- var nVar5490: int;
- var nVar5491: int;
- var nVar5492: int;
- var nVar5493: int;
- var nVar5494: int;
- var nVar5495: int;
- var nVar5496: int;
- var nVar5497: int;
- var nVar5498: int;
- var nVar5499: int;
- var nVar5500: int;
- var nVar5501: int;
- var nVar5502: int;
- var nVar5503: int;
- var nVar5504: int;
- var nVar5505: int;
- var nVar5506: int;
- var nVar5507: int;
- var nVar5508: int;
- var nVar5509: int;
- var nVar5510: int;
- var nVar5511: int;
- var nVar5512: int;
- var nVar5513: int;
- var nVar5514: int;
- var nVar5515: int;
- var nVar5516: int;
- var nVar5517: int;
- var nVar5518: int;
- var nVar5519: int;
- var nVar5520: int;
- var nVar5521: int;
- var nVar5522: int;
- var nVar5523: int;
- var nVar5524: int;
- var nVar5525: int;
- var nVar5526: int;
- var nVar5527: int;
- var nVar5528: int;
- var nVar5529: int;
- var nVar5530: int;
- var nVar5531: int;
- var nVar5532: int;
- var nVar5533: int;
- var nVar5534: int;
- var nVar5535: int;
- var nVar5536: int;
- var nVar5537: int;
- var nVar5538: int;
- var nVar5539: int;
- var nVar5540: int;
- var nVar5541: int;
- var nVar5542: int;
- var nVar5543: int;
- var nVar5544: int;
- var nVar5545: int;
- var nVar5546: int;
- var nVar5547: int;
- var nVar5548: int;
- var nVar5549: int;
- var nVar5550: int;
- var nVar5551: int;
- var nVar5552: int;
- var nVar5553: int;
- var nVar5554: int;
- var nVar5555: int;
- var nVar5556: int;
- var nVar5557: int;
- var nVar5558: int;
- var nVar5559: int;
- var nVar5560: int;
- var nVar5561: int;
- var nVar5562: int;
- var nVar5563: int;
- var nVar5564: int;
- var nVar5565: int;
- var nVar5566: int;
- var nVar5567: int;
- var nVar5568: int;
- var nVar5569: int;
- var nVar5570: int;
- var nVar5571: int;
- var nVar5572: int;
- var nVar5573: int;
- var nVar5574: int;
- var nVar5575: int;
- var nVar5576: int;
- var nVar5577: int;
- var nVar5578: int;
- var nVar5579: int;
- var nVar5580: int;
- var nVar5581: int;
- var nVar5582: int;
- var nVar5583: int;
- var nVar5584: int;
- var nVar5585: int;
- var nVar5586: int;
- var nVar5587: int;
- var nVar5588: int;
- var nVar5589: int;
- var nVar5590: int;
- var nVar5591: int;
- var nVar5592: int;
- var nVar5593: int;
- var nVar5594: int;
- var nVar5595: int;
- var nVar5596: int;
- var nVar5597: int;
- var nVar5598: int;
- var nVar5599: int;
- var nVar5600: int;
- var nVar5601: int;
- var nVar5602: int;
- var nVar5603: int;
- var nVar5604: int;
- var nVar5605: int;
- var nVar5606: int;
- var nVar5607: int;
- var nVar5608: int;
- var nVar5609: int;
- var nVar5610: int;
- var nVar5611: int;
- var nVar5612: int;
- var nVar5613: int;
- var nVar5614: int;
- var nVar5615: int;
- var nVar5616: int;
- var nVar5617: int;
- var nVar5618: int;
- var nVar5619: int;
- var nVar5620: int;
- var nVar5621: int;
- var nVar5622: int;
- var nVar5623: int;
- var nVar5624: int;
- var nVar5625: int;
- var nVar5626: int;
- var nVar5627: int;
- var nVar5628: int;
- var nVar5629: int;
- var nVar5630: int;
- var nVar5631: int;
- var nVar5632: int;
- var nVar5633: int;
- var nVar5634: int;
- var nVar5635: int;
- var nVar5636: int;
- var nVar5637: int;
- var nVar5638: int;
- var nVar5639: int;
- var nVar5640: int;
- var nVar5641: int;
- var nVar5642: int;
- var nVar5643: int;
- var nVar5644: int;
- var nVar5645: int;
- var nVar5646: int;
- var nVar5647: int;
- var nVar5648: int;
- var nVar5649: int;
- var nVar5650: int;
- var nVar5651: int;
- var nVar5652: int;
- var nVar5653: int;
- var nVar5654: int;
- var nVar5655: int;
- var nVar5656: int;
- var nVar5657: int;
- var nVar5658: int;
- var nVar5659: int;
- var nVar5660: int;
- var nVar5661: int;
- var nVar5662: int;
- var nVar5663: int;
- var nVar5664: int;
- var nVar5665: int;
- var nVar5666: int;
- var nVar5667: int;
- var nVar5668: int;
- var nVar5669: int;
- var nVar5670: int;
- var nVar5671: int;
- var nVar5672: int;
- var nVar5673: int;
- var nVar5674: int;
- var nVar5675: int;
- var nVar5676: int;
- var nVar5677: int;
- var nVar5678: int;
- var nVar5679: int;
- var nVar5680: int;
- var nVar5681: int;
- var nVar5682: int;
- var nVar5683: int;
- var nVar5684: int;
- var nVar5685: int;
- var nVar5686: int;
- var nVar5687: int;
- var nVar5688: int;
- var nVar5689: int;
- var nVar5690: int;
- var nVar5691: int;
- var nVar5692: int;
- var nVar5693: int;
- var nVar5694: int;
- var nVar5695: int;
- var nVar5696: int;
- var nVar5697: int;
- var nVar5698: int;
- var nVar5699: int;
- var nVar5700: int;
- var nVar5701: int;
- var nVar5702: int;
- var nVar5703: int;
- var nVar5704: int;
- var nVar5705: int;
- var nVar5706: int;
- var nVar5707: int;
- var nVar5708: int;
- var nVar5709: int;
- var nVar5710: int;
- var nVar5711: int;
- var nVar5712: int;
- var nVar5713: int;
- var nVar5714: int;
- var nVar5715: int;
- var nVar5716: int;
- var nVar5717: int;
- var nVar5718: int;
- var nVar5719: int;
- var nVar5720: int;
- var nVar5721: int;
- var nVar5722: int;
- var nVar5723: int;
- var nVar5724: int;
- var nVar5725: int;
- var nVar5726: int;
- var nVar5727: int;
- var nVar5728: int;
- var nVar5729: int;
- var nVar5730: int;
- var nVar5731: int;
- var nVar5732: int;
- var nVar5733: int;
- var nVar5734: int;
- var nVar5735: int;
- var nVar5736: int;
- var nVar5737: int;
- var nVar5738: int;
- var nVar5739: int;
- var nVar5740: int;
- var nVar5741: int;
- var nVar5742: int;
- var nVar5743: int;
- var nVar5744: int;
- var nVar5745: int;
- var nVar5746: int;
- var nVar5747: int;
- var nVar5748: int;
- var nVar5749: int;
- var nVar5750: int;
- var nVar5751: int;
- var nVar5752: int;
- var nVar5753: int;
- var nVar5754: int;
- var nVar5755: int;
- var nVar5756: int;
- var nVar5757: int;
- var nVar5758: int;
- var nVar5759: int;
- var nVar5760: int;
- var nVar5761: int;
- var nVar5762: int;
- var nVar5763: int;
- var nVar5764: int;
- var nVar5765: int;
- var nVar5766: int;
- var nVar5767: int;
- var nVar5768: int;
- var nVar5769: int;
- var nVar5770: int;
- var nVar5771: int;
- var nVar5772: int;
- var nVar5773: int;
- var nVar5774: int;
- var nVar5775: int;
- var nVar5776: int;
- var nVar5777: int;
- var nVar5778: int;
- var nVar5779: int;
- var nVar5780: int;
- var nVar5781: int;
- var nVar5782: int;
- var nVar5783: int;
- var nVar5784: int;
- var nVar5785: int;
- var nVar5786: int;
- var nVar5787: int;
- var nVar5788: int;
- var nVar5789: int;
- var nVar5790: int;
- var nVar5791: int;
- var nVar5792: int;
- var nVar5793: int;
- var nVar5794: int;
- var nVar5795: int;
-
- anon0__unique__1:
- nVar4934 := true;
- assume nVar1 > 0;
- call {:si_unique_call 46} nVar2 := proc130(28);
- call {:si_unique_call 47} nVar3 := proc130(12);
- call {:si_unique_call 48} nVar4 := proc130(28);
- call {:si_unique_call 49} nVar5 := proc130(24);
- call {:si_unique_call 50} nVar6 := proc130(28);
- call {:si_unique_call 51} nVar7 := proc130(4);
- call {:si_unique_call 52} nVar8 := proc130(28);
- call {:si_unique_call 53} nVar9 := proc130(16);
- call {:si_unique_call 54} nVar10 := proc130(28);
- call {:si_unique_call 55} nVar11 := proc130(28);
- call {:si_unique_call 56} nVar12 := proc130(28);
- call {:si_unique_call 57} nVar13 := proc130(28);
- call {:si_unique_call 58} nVar14 := proc130(16);
- call {:si_unique_call 59} nVar15 := proc130(28);
- call {:si_unique_call 60} nVar16 := proc130(28);
- call {:si_unique_call 61} nVar17 := proc130(28);
- call {:si_unique_call 62} nVar18 := proc130(28);
- call {:si_unique_call 63} nVar19 := proc130(28);
- call {:si_unique_call 64} nVar20 := proc130(28);
- call {:si_unique_call 65} nVar21 := proc130(28);
- call {:si_unique_call 66} nVar22 := proc130(28);
- call {:si_unique_call 67} nVar23 := proc130(28);
- call {:si_unique_call 68} nVar24 := proc130(28);
- call {:si_unique_call 69} nVar25 := proc130(28);
- call {:si_unique_call 70} nVar26 := proc130(28);
- call {:si_unique_call 71} nVar27 := proc130(28);
- call {:si_unique_call 72} nVar28 := proc130(28);
- call {:si_unique_call 73} nVar29 := proc130(28);
- call {:si_unique_call 74} nVar30 := proc130(28);
- call {:si_unique_call 75} nVar31 := proc130(28);
- call {:si_unique_call 76} nVar32 := proc130(24);
- call {:si_unique_call 77} nVar33 := proc130(28);
- call {:si_unique_call 78} nVar34 := proc130(28);
- call {:si_unique_call 79} nVar35 := proc130(28);
- call {:si_unique_call 80} nVar36 := proc130(28);
- call {:si_unique_call 81} nVar37 := proc130(28);
- call {:si_unique_call 82} nVar38 := proc130(4);
- call {:si_unique_call 83} nVar39 := proc130(28);
- call {:si_unique_call 84} nVar40 := proc130(28);
- call {:si_unique_call 85} nVar41 := proc130(28);
- call {:si_unique_call 86} nVar42 := proc130(28);
- call {:si_unique_call 87} nVar43 := proc130(28);
- call {:si_unique_call 88} nVar44 := proc130(28);
- call {:si_unique_call 89} nVar45 := proc130(24);
- call {:si_unique_call 90} nVar46 := proc130(28);
- call {:si_unique_call 91} nVar47 := proc130(28);
- call {:si_unique_call 92} nVar48 := proc130(16);
- call {:si_unique_call 93} nVar49 := proc130(28);
- call {:si_unique_call 94} nVar50 := proc130(28);
- call {:si_unique_call 95} nVar51 := proc130(28);
- call {:si_unique_call 96} nVar52 := proc130(16);
- call {:si_unique_call 97} nVar53 := proc130(28);
- call {:si_unique_call 98} nVar54 := proc130(28);
- call {:si_unique_call 99} nVar55 := proc130(28);
- call {:si_unique_call 100} nVar56 := proc130(28);
- call {:si_unique_call 101} nVar57 := proc130(28);
- call {:si_unique_call 102} nVar58 := proc130(28);
- call {:si_unique_call 103} nVar59 := proc130(16);
- call {:si_unique_call 104} nVar60 := proc130(28);
- call {:si_unique_call 105} nVar61 := proc130(12);
- call {:si_unique_call 106} nVar62 := proc130(28);
- call {:si_unique_call 107} nVar4940 := proc130(16);
- call {:si_unique_call 108} nVar63 := proc130(28);
- call {:si_unique_call 109} nVar64 := proc130(28);
- call {:si_unique_call 110} nVar65 := proc130(28);
- call {:si_unique_call 111} nVar66 := proc130(28);
- call {:si_unique_call 112} nVar67 := proc130(28);
- call {:si_unique_call 113} nVar68 := proc130(28);
- call {:si_unique_call 114} nVar69 := proc130(28);
- call {:si_unique_call 115} nVar70 := proc130(12);
- call {:si_unique_call 116} nVar71 := proc130(28);
- call {:si_unique_call 117} nVar72 := proc130(28);
- call {:si_unique_call 118} nVar73 := proc130(28);
- call {:si_unique_call 119} nVar74 := proc130(28);
- call {:si_unique_call 120} nVar75 := proc130(28);
- call {:si_unique_call 121} nVar76 := proc130(28);
- call {:si_unique_call 122} nVar77 := proc130(16);
- call {:si_unique_call 123} nVar78 := proc130(24);
- call {:si_unique_call 124} nVar79 := proc130(28);
- call {:si_unique_call 125} nVar80 := proc130(28);
- call {:si_unique_call 126} nVar81 := proc130(28);
- call {:si_unique_call 127} nVar82 := proc130(28);
- call {:si_unique_call 128} nVar83 := proc130(28);
- call {:si_unique_call 129} nVar84 := proc130(28);
- call {:si_unique_call 130} nVar85 := proc130(28);
- call {:si_unique_call 131} nVar86 := proc130(28);
- call {:si_unique_call 132} nVar87 := proc130(28);
- call {:si_unique_call 133} nVar88 := proc130(28);
- call {:si_unique_call 134} nVar89 := proc130(28);
- call {:si_unique_call 135} nVar90 := proc130(28);
- call {:si_unique_call 136} nVar91 := proc130(28);
- call {:si_unique_call 137} nVar92 := proc130(28);
- call {:si_unique_call 138} nVar93 := proc130(28);
- call {:si_unique_call 139} nVar94 := proc130(28);
- call {:si_unique_call 140} nVar95 := proc130(28);
- call {:si_unique_call 141} nVar96 := proc130(28);
- call {:si_unique_call 142} nVar97 := proc130(28);
- call {:si_unique_call 143} nVar98 := proc130(28);
- call {:si_unique_call 144} nVar99 := proc130(16);
- call {:si_unique_call 145} nVar100 := proc130(28);
- call {:si_unique_call 146} nVar101 := proc130(28);
- call {:si_unique_call 147} nVar102 := proc130(28);
- call {:si_unique_call 148} nVar103 := proc130(28);
- call {:si_unique_call 149} nVar104 := proc130(28);
- call {:si_unique_call 150} nVar105 := proc130(16);
- call {:si_unique_call 151} nVar106 := proc130(28);
- call {:si_unique_call 152} nVar107 := proc130(28);
- call {:si_unique_call 153} nVar108 := proc130(28);
- call {:si_unique_call 154} nVar109 := proc130(28);
- call {:si_unique_call 155} nVar110 := proc130(28);
- call {:si_unique_call 156} nVar4941 := proc130(16);
- call {:si_unique_call 157} nVar111 := proc130(28);
- call {:si_unique_call 158} nVar112 := proc130(28);
- call {:si_unique_call 159} nVar113 := proc130(28);
- call {:si_unique_call 160} nVar114 := proc130(28);
- call {:si_unique_call 161} nVar115 := proc130(28);
- call {:si_unique_call 162} nVar116 := proc130(28);
- call {:si_unique_call 163} nVar117 := proc130(28);
- call {:si_unique_call 164} nVar118 := proc130(28);
- call {:si_unique_call 165} nVar119 := proc130(28);
- call {:si_unique_call 166} nVar120 := proc130(28);
- call {:si_unique_call 167} nVar121 := proc130(28);
- call {:si_unique_call 168} nVar122 := proc130(28);
- call {:si_unique_call 169} nVar123 := proc130(28);
- call {:si_unique_call 170} nVar124 := proc130(28);
- call {:si_unique_call 171} nVar125 := proc130(28);
- call {:si_unique_call 172} nVar126 := proc130(28);
- call {:si_unique_call 173} nVar127 := proc130(28);
- call {:si_unique_call 174} nVar128 := proc130(28);
- call {:si_unique_call 175} nVar129 := proc130(28);
- call {:si_unique_call 176} nVar130 := proc130(28);
- call {:si_unique_call 177} nVar131 := proc130(12);
- call {:si_unique_call 178} nVar132 := proc130(28);
- call {:si_unique_call 179} nVar133 := proc130(28);
- call {:si_unique_call 180} nVar134 := proc130(28);
- call {:si_unique_call 181} nVar135 := proc130(28);
- call {:si_unique_call 182} nVar136 := proc130(28);
- call {:si_unique_call 183} nVar137 := proc130(28);
- call {:si_unique_call 184} nVar138 := proc130(28);
- call {:si_unique_call 185} nVar139 := proc130(16);
- call {:si_unique_call 186} nVar140 := proc130(28);
- call {:si_unique_call 187} nVar141 := proc130(28);
- call {:si_unique_call 188} nVar142 := proc130(28);
- call {:si_unique_call 189} nVar143 := proc130(28);
- call {:si_unique_call 190} nVar144 := proc130(28);
- call {:si_unique_call 191} nVar145 := proc130(28);
- call {:si_unique_call 192} nVar146 := proc130(28);
- call {:si_unique_call 193} nVar147 := proc130(28);
- call {:si_unique_call 194} nVar148 := proc130(28);
- call {:si_unique_call 195} nVar149 := proc130(28);
- call {:si_unique_call 196} nVar150 := proc130(28);
- call {:si_unique_call 197} nVar151 := proc130(28);
- call {:si_unique_call 198} nVar152 := proc130(28);
- call {:si_unique_call 199} nVar153 := proc130(28);
- call {:si_unique_call 200} nVar154 := proc130(28);
- call {:si_unique_call 201} nVar155 := proc130(28);
- call {:si_unique_call 202} nVar156 := proc130(28);
- call {:si_unique_call 203} nVar157 := proc130(28);
- call {:si_unique_call 204} nVar158 := proc130(28);
- call {:si_unique_call 205} nVar159 := proc130(28);
- call {:si_unique_call 206} nVar160 := proc130(24);
- call {:si_unique_call 207} nVar161 := proc130(28);
- call {:si_unique_call 208} nVar162 := proc130(28);
- call {:si_unique_call 209} nVar163 := proc130(28);
- call {:si_unique_call 210} nVar164 := proc130(4);
- call {:si_unique_call 211} nVar165 := proc130(16);
- call {:si_unique_call 212} nVar166 := proc130(28);
- call {:si_unique_call 213} nVar167 := proc130(28);
- call {:si_unique_call 214} nVar168 := proc130(28);
- call {:si_unique_call 215} nVar169 := proc130(12);
- call {:si_unique_call 216} nVar170 := proc130(16);
- call {:si_unique_call 217} nVar171 := proc130(28);
- call {:si_unique_call 218} nVar172 := proc130(28);
- call {:si_unique_call 219} nVar173 := proc130(28);
- call {:si_unique_call 220} nVar174 := proc130(28);
- call {:si_unique_call 221} nVar175 := proc130(12);
- call {:si_unique_call 222} nVar176 := proc130(28);
- call {:si_unique_call 223} nVar177 := proc130(28);
- call {:si_unique_call 224} nVar178 := proc130(28);
- call {:si_unique_call 225} nVar179 := proc130(28);
- call {:si_unique_call 226} nVar180 := proc130(28);
- call {:si_unique_call 227} nVar181 := proc130(28);
- call {:si_unique_call 228} nVar182 := proc130(28);
- call {:si_unique_call 229} nVar183 := proc130(28);
- call {:si_unique_call 230} nVar184 := proc130(28);
- call {:si_unique_call 231} nVar185 := proc130(28);
- call {:si_unique_call 232} nVar186 := proc130(28);
- call {:si_unique_call 233} nVar187 := proc130(12);
- call {:si_unique_call 234} nVar188 := proc130(4);
- call {:si_unique_call 235} nVar189 := proc130(28);
- call {:si_unique_call 236} nVar190 := proc130(28);
- call {:si_unique_call 237} nVar191 := proc130(16);
- call {:si_unique_call 238} nVar192 := proc130(12);
- call {:si_unique_call 239} nVar193 := proc130(28);
- call {:si_unique_call 240} nVar194 := proc130(16);
- call {:si_unique_call 241} nVar195 := proc130(56);
- call {:si_unique_call 242} nVar196 := proc130(28);
- call {:si_unique_call 243} nVar197 := proc130(28);
- call {:si_unique_call 244} nVar198 := proc130(28);
- call {:si_unique_call 245} nVar199 := proc130(28);
- call {:si_unique_call 246} nVar200 := proc130(28);
- call {:si_unique_call 247} nVar201 := proc130(28);
- call {:si_unique_call 248} nVar202 := proc130(28);
- call {:si_unique_call 249} nVar203 := proc130(28);
- call {:si_unique_call 250} nVar204 := proc130(24);
- call {:si_unique_call 251} nVar205 := proc130(28);
- call {:si_unique_call 252} nVar206 := proc130(28);
- call {:si_unique_call 253} nVar207 := proc130(16);
- call {:si_unique_call 254} nVar208 := proc130(28);
- call {:si_unique_call 255} nVar209 := proc130(28);
- call {:si_unique_call 256} nVar210 := proc130(28);
- call {:si_unique_call 257} nVar211 := proc130(28);
- call {:si_unique_call 258} nVar212 := proc130(4);
- call {:si_unique_call 259} nVar213 := proc130(28);
- call {:si_unique_call 260} nVar214 := proc130(28);
- call {:si_unique_call 261} nVar215 := proc130(12);
- call {:si_unique_call 262} nVar4942 := proc130(20);
- call {:si_unique_call 263} nVar216 := proc130(24);
- call {:si_unique_call 264} nVar217 := proc130(16);
- call {:si_unique_call 265} nVar218 := proc130(24);
- call {:si_unique_call 266} nVar219 := proc130(28);
- call {:si_unique_call 267} nVar220 := proc130(28);
- call {:si_unique_call 268} nVar221 := proc130(24);
- call {:si_unique_call 269} nVar222 := proc130(28);
- call {:si_unique_call 270} nVar223 := proc130(56);
- call {:si_unique_call 271} nVar224 := proc130(28);
- call {:si_unique_call 272} nVar225 := proc130(28);
- call {:si_unique_call 273} nVar226 := proc130(28);
- call {:si_unique_call 274} nVar227 := proc130(12);
- call {:si_unique_call 275} nVar228 := proc130(28);
- call {:si_unique_call 276} nVar229 := proc130(28);
- call {:si_unique_call 277} nVar230 := proc130(28);
- call {:si_unique_call 278} nVar231 := proc130(28);
- call {:si_unique_call 279} nVar232 := proc130(4);
- call {:si_unique_call 280} nVar233 := proc130(4);
- call {:si_unique_call 281} nVar234 := proc130(28);
- call {:si_unique_call 282} nVar235 := proc130(4);
- call {:si_unique_call 283} nVar236 := proc130(24);
- call {:si_unique_call 284} nVar237 := proc130(4);
- call {:si_unique_call 285} nVar238 := proc130(4);
- call {:si_unique_call 286} nVar239 := proc130(28);
- call {:si_unique_call 287} nVar240 := proc130(28);
- call {:si_unique_call 288} nVar241 := proc130(28);
- call {:si_unique_call 289} nVar242 := proc130(28);
- call {:si_unique_call 290} nVar243 := proc130(28);
- call {:si_unique_call 291} nVar244 := proc130(28);
- call {:si_unique_call 292} nVar245 := proc130(28);
- call {:si_unique_call 293} nVar246 := proc130(28);
- call {:si_unique_call 294} nVar247 := proc130(28);
- call {:si_unique_call 295} nVar248 := proc130(12);
- call {:si_unique_call 296} nVar249 := proc130(28);
- call {:si_unique_call 297} nVar250 := proc130(28);
- call {:si_unique_call 298} nVar251 := proc130(28);
- call {:si_unique_call 299} nVar252 := proc130(28);
- call {:si_unique_call 300} nVar253 := proc130(28);
- call {:si_unique_call 301} nVar254 := proc130(28);
- call {:si_unique_call 302} nVar255 := proc130(28);
- call {:si_unique_call 303} nVar256 := proc130(16);
- call {:si_unique_call 304} nVar4943 := proc130(16);
- call {:si_unique_call 305} nVar257 := proc130(28);
- call {:si_unique_call 306} nVar258 := proc130(28);
- call {:si_unique_call 307} nVar259 := proc130(28);
- call {:si_unique_call 308} nVar260 := proc130(4);
- call {:si_unique_call 309} nVar261 := proc130(28);
- call {:si_unique_call 310} nVar262 := proc130(28);
- call {:si_unique_call 311} nVar263 := proc130(16);
- call {:si_unique_call 312} nVar264 := proc130(16);
- call {:si_unique_call 313} nVar265 := proc130(28);
- call {:si_unique_call 314} nVar266 := proc130(12);
- call {:si_unique_call 315} nVar267 := proc130(28);
- call {:si_unique_call 316} nVar268 := proc130(28);
- call {:si_unique_call 317} nVar269 := proc130(28);
- call {:si_unique_call 318} nVar270 := proc130(28);
- call {:si_unique_call 319} nVar271 := proc130(12);
- call {:si_unique_call 320} nVar272 := proc130(28);
- call {:si_unique_call 321} nVar273 := proc130(28);
- call {:si_unique_call 322} nVar274 := proc130(28);
- call {:si_unique_call 323} nVar275 := proc130(28);
- call {:si_unique_call 324} nVar276 := proc130(28);
- call {:si_unique_call 325} nVar277 := proc130(28);
- call {:si_unique_call 326} nVar278 := proc130(28);
- call {:si_unique_call 327} nVar279 := proc130(28);
- call {:si_unique_call 328} nVar281 := proc130(28);
- call {:si_unique_call 329} nVar282 := proc130(28);
- call {:si_unique_call 330} nVar283 := proc130(28);
- call {:si_unique_call 331} nVar284 := proc130(28);
- call {:si_unique_call 332} nVar285 := proc130(28);
- call {:si_unique_call 333} nVar286 := proc130(28);
- call {:si_unique_call 334} nVar287 := proc130(16);
- call {:si_unique_call 335} nVar288 := proc130(28);
- call {:si_unique_call 336} nVar289 := proc130(28);
- call {:si_unique_call 337} nVar4944 := proc130(16);
- call {:si_unique_call 338} nVar290 := proc130(28);
- call {:si_unique_call 339} nVar291 := proc130(28);
- call {:si_unique_call 340} nVar292 := proc130(28);
- call {:si_unique_call 341} nVar293 := proc130(12);
- call {:si_unique_call 342} nVar294 := proc130(28);
- call {:si_unique_call 343} nVar295 := proc130(28);
- call {:si_unique_call 344} nVar296 := proc130(28);
- call {:si_unique_call 345} nVar297 := proc130(28);
- call {:si_unique_call 346} nVar298 := proc130(28);
- call {:si_unique_call 347} nVar299 := proc130(28);
- call {:si_unique_call 348} nVar300 := proc130(16);
- call {:si_unique_call 349} nVar301 := proc130(28);
- call {:si_unique_call 350} nVar302 := proc130(28);
- call {:si_unique_call 351} nVar303 := proc130(28);
- call {:si_unique_call 352} nVar304 := proc130(4);
- call {:si_unique_call 353} nVar305 := proc130(28);
- call {:si_unique_call 354} nVar306 := proc130(28);
- call {:si_unique_call 355} nVar307 := proc130(28);
- call {:si_unique_call 356} nVar308 := proc130(28);
- call {:si_unique_call 357} nVar309 := proc130(28);
- call {:si_unique_call 358} nVar310 := proc130(28);
- call {:si_unique_call 359} nVar311 := proc130(28);
- call {:si_unique_call 360} nVar312 := proc130(16);
- call {:si_unique_call 361} nVar313 := proc130(28);
- call {:si_unique_call 362} nVar314 := proc130(12);
- call {:si_unique_call 363} nVar315 := proc130(12);
- call {:si_unique_call 364} nVar316 := proc130(28);
- call {:si_unique_call 365} nVar317 := proc130(28);
- call {:si_unique_call 366} nVar318 := proc130(28);
- call {:si_unique_call 367} nVar319 := proc130(16);
- call {:si_unique_call 368} nVar320 := proc130(28);
- call {:si_unique_call 369} nVar321 := proc130(28);
- call {:si_unique_call 370} nVar322 := proc130(28);
- call {:si_unique_call 371} nVar323 := proc130(28);
- call {:si_unique_call 372} nVar324 := proc130(28);
- call {:si_unique_call 373} nVar325 := proc130(28);
- call {:si_unique_call 374} nVar326 := proc130(16);
- call {:si_unique_call 375} nVar327 := proc130(28);
- call {:si_unique_call 376} nVar328 := proc130(28);
- call {:si_unique_call 377} nVar329 := proc130(28);
- call {:si_unique_call 378} nVar330 := proc130(16);
- call {:si_unique_call 379} nVar331 := proc130(28);
- call {:si_unique_call 380} nVar332 := proc130(28);
- call {:si_unique_call 381} nVar333 := proc130(4);
- call {:si_unique_call 382} nVar334 := proc130(28);
- call {:si_unique_call 383} nVar335 := proc130(28);
- call {:si_unique_call 384} nVar336 := proc130(28);
- call {:si_unique_call 385} nVar337 := proc130(28);
- call {:si_unique_call 386} nVar338 := proc130(28);
- call {:si_unique_call 387} nVar339 := proc130(28);
- call {:si_unique_call 388} nVar340 := proc130(28);
- call {:si_unique_call 389} nVar341 := proc130(4);
- call {:si_unique_call 390} nVar342 := proc130(28);
- call {:si_unique_call 391} nVar343 := proc130(28);
- call {:si_unique_call 392} nVar344 := proc130(28);
- call {:si_unique_call 393} nVar345 := proc130(28);
- call {:si_unique_call 394} nVar346 := proc130(28);
- call {:si_unique_call 395} nVar348 := proc130(28);
- call {:si_unique_call 396} nVar349 := proc130(28);
- call {:si_unique_call 397} nVar350 := proc130(28);
- call {:si_unique_call 398} nVar351 := proc130(28);
- call {:si_unique_call 399} nVar352 := proc130(28);
- call {:si_unique_call 400} nVar353 := proc130(16);
- call {:si_unique_call 401} nVar354 := proc130(24);
- call {:si_unique_call 402} nVar355 := proc130(28);
- call {:si_unique_call 403} nVar356 := proc130(28);
- call {:si_unique_call 404} nVar357 := proc130(28);
- call {:si_unique_call 405} nVar358 := proc130(28);
- call {:si_unique_call 406} nVar359 := proc130(16);
- call {:si_unique_call 407} nVar360 := proc130(4);
- call {:si_unique_call 408} nVar361 := proc130(28);
- call {:si_unique_call 409} nVar362 := proc130(28);
- call {:si_unique_call 410} nVar363 := proc130(28);
- call {:si_unique_call 411} nVar364 := proc130(28);
- call {:si_unique_call 412} nVar365 := proc130(28);
- call {:si_unique_call 413} nVar366 := proc130(28);
- call {:si_unique_call 414} nVar367 := proc130(8);
- call {:si_unique_call 415} nVar368 := proc130(28);
- call {:si_unique_call 416} nVar369 := proc130(28);
- call {:si_unique_call 417} nVar370 := proc130(28);
- call {:si_unique_call 418} nVar371 := proc130(16);
- call {:si_unique_call 419} nVar372 := proc130(28);
- call {:si_unique_call 420} nVar373 := proc130(28);
- call {:si_unique_call 421} nVar374 := proc130(28);
- call {:si_unique_call 422} nVar375 := proc130(28);
- call {:si_unique_call 423} nVar376 := proc130(24);
- call {:si_unique_call 424} nVar377 := proc130(28);
- call {:si_unique_call 425} nVar378 := proc130(16);
- call {:si_unique_call 426} nVar379 := proc130(28);
- call {:si_unique_call 427} nVar380 := proc130(28);
- call {:si_unique_call 428} nVar381 := proc130(28);
- call {:si_unique_call 429} nVar382 := proc130(28);
- call {:si_unique_call 430} nVar383 := proc130(28);
- call {:si_unique_call 431} nVar384 := proc130(28);
- call {:si_unique_call 432} nVar385 := proc130(16);
- call {:si_unique_call 433} nVar386 := proc130(28);
- call {:si_unique_call 434} nVar387 := proc130(28);
- call {:si_unique_call 435} nVar388 := proc130(28);
- call {:si_unique_call 436} nVar389 := proc130(28);
- call {:si_unique_call 437} nVar4945 := proc130(16);
- call {:si_unique_call 438} nVar390 := proc130(28);
- call {:si_unique_call 439} nVar391 := proc130(28);
- call {:si_unique_call 440} nVar392 := proc130(28);
- call {:si_unique_call 441} nVar393 := proc130(28);
- call {:si_unique_call 442} nVar394 := proc130(28);
- call {:si_unique_call 443} nVar395 := proc130(28);
- call {:si_unique_call 444} nVar396 := proc130(24);
- call {:si_unique_call 445} nVar397 := proc130(28);
- call {:si_unique_call 446} nVar398 := proc130(4);
- call {:si_unique_call 447} nVar400 := proc130(28);
- call {:si_unique_call 448} nVar401 := proc130(4);
- call {:si_unique_call 449} nVar402 := proc130(16);
- call {:si_unique_call 450} nVar403 := proc130(56);
- call {:si_unique_call 451} nVar404 := proc130(24);
- call {:si_unique_call 452} nVar405 := proc130(12);
- call {:si_unique_call 453} nVar406 := proc130(28);
- call {:si_unique_call 454} nVar407 := proc130(28);
- call {:si_unique_call 455} nVar408 := proc130(28);
- call {:si_unique_call 456} nVar409 := proc130(28);
- call {:si_unique_call 457} nVar410 := proc130(28);
- call {:si_unique_call 458} nVar411 := proc130(16);
- call {:si_unique_call 459} nVar412 := proc130(16);
- call {:si_unique_call 460} nVar413 := proc130(28);
- call {:si_unique_call 461} nVar414 := proc130(28);
- call {:si_unique_call 462} nVar415 := proc130(28);
- call {:si_unique_call 463} nVar416 := proc130(28);
- call {:si_unique_call 464} nVar417 := proc130(28);
- call {:si_unique_call 465} nVar418 := proc130(28);
- call {:si_unique_call 466} nVar419 := proc130(28);
- call {:si_unique_call 467} nVar420 := proc130(12);
- call {:si_unique_call 468} nVar421 := proc130(28);
- call {:si_unique_call 469} nVar422 := proc130(28);
- call {:si_unique_call 470} nVar423 := proc130(28);
- call {:si_unique_call 471} nVar424 := proc130(28);
- call {:si_unique_call 472} nVar425 := proc130(28);
- call {:si_unique_call 473} nVar426 := proc130(4);
- call {:si_unique_call 474} nVar427 := proc130(28);
- call {:si_unique_call 475} nVar428 := proc130(28);
- call {:si_unique_call 476} nVar429 := proc130(28);
- call {:si_unique_call 477} nVar430 := proc130(4);
- call {:si_unique_call 478} nVar431 := proc130(28);
- call {:si_unique_call 479} nVar432 := proc130(28);
- call {:si_unique_call 480} nVar433 := proc130(28);
- call {:si_unique_call 481} nVar434 := proc130(28);
- call {:si_unique_call 482} nVar435 := proc130(28);
- call {:si_unique_call 483} nVar436 := proc130(28);
- call {:si_unique_call 484} nVar437 := proc130(28);
- call {:si_unique_call 485} nVar438 := proc130(28);
- call {:si_unique_call 486} nVar439 := proc130(28);
- call {:si_unique_call 487} nVar440 := proc130(28);
- call {:si_unique_call 488} nVar441 := proc130(28);
- call {:si_unique_call 489} nVar442 := proc130(24);
- call {:si_unique_call 490} nVar443 := proc130(28);
- call {:si_unique_call 491} nVar444 := proc130(28);
- call {:si_unique_call 492} nVar445 := proc130(28);
- call {:si_unique_call 493} nVar446 := proc130(16);
- call {:si_unique_call 494} nVar447 := proc130(24);
- call {:si_unique_call 495} nVar448 := proc130(28);
- call {:si_unique_call 496} nVar449 := proc130(28);
- call {:si_unique_call 497} nVar450 := proc130(28);
- call {:si_unique_call 498} nVar451 := proc130(28);
- call {:si_unique_call 499} nVar452 := proc130(28);
- call {:si_unique_call 500} nVar453 := proc130(28);
- call {:si_unique_call 501} nVar454 := proc130(28);
- call {:si_unique_call 502} nVar455 := proc130(12);
- call {:si_unique_call 503} nVar456 := proc130(28);
- call {:si_unique_call 504} nVar457 := proc130(28);
- call {:si_unique_call 505} nVar458 := proc130(28);
- call {:si_unique_call 506} nVar459 := proc130(28);
- call {:si_unique_call 507} nVar460 := proc130(28);
- call {:si_unique_call 508} nVar461 := proc130(28);
- call {:si_unique_call 509} nVar462 := proc130(28);
- call {:si_unique_call 510} nVar463 := proc130(28);
- call {:si_unique_call 511} nVar464 := proc130(16);
- call {:si_unique_call 512} nVar465 := proc130(28);
- call {:si_unique_call 513} nVar466 := proc130(28);
- call {:si_unique_call 514} nVar467 := proc130(24);
- call {:si_unique_call 515} nVar468 := proc130(28);
- call {:si_unique_call 516} nVar469 := proc130(12);
- call {:si_unique_call 517} nVar470 := proc130(28);
- call {:si_unique_call 518} nVar471 := proc130(28);
- call {:si_unique_call 519} nVar472 := proc130(28);
- call {:si_unique_call 520} nVar473 := proc130(28);
- call {:si_unique_call 521} nVar474 := proc130(16);
- call {:si_unique_call 522} nVar475 := proc130(28);
- call {:si_unique_call 523} nVar476 := proc130(28);
- call {:si_unique_call 524} nVar477 := proc130(4);
- call {:si_unique_call 525} nVar478 := proc130(28);
- call {:si_unique_call 526} nVar479 := proc130(28);
- call {:si_unique_call 527} nVar480 := proc130(12);
- call {:si_unique_call 528} nVar481 := proc130(24);
- call {:si_unique_call 529} nVar482 := proc130(28);
- call {:si_unique_call 530} nVar483 := proc130(28);
- call {:si_unique_call 531} nVar484 := proc130(28);
- call {:si_unique_call 532} nVar485 := proc130(28);
- call {:si_unique_call 533} nVar486 := proc130(28);
- call {:si_unique_call 534} nVar487 := proc130(28);
- call {:si_unique_call 535} nVar488 := proc130(28);
- call {:si_unique_call 536} nVar489 := proc130(28);
- call {:si_unique_call 537} nVar490 := proc130(28);
- call {:si_unique_call 538} nVar491 := proc130(28);
- call {:si_unique_call 539} nVar492 := proc130(28);
- call {:si_unique_call 540} nVar493 := proc130(28);
- call {:si_unique_call 541} nVar494 := proc130(4);
- call {:si_unique_call 542} nVar495 := proc130(28);
- call {:si_unique_call 543} nVar496 := proc130(28);
- call {:si_unique_call 544} nVar497 := proc130(28);
- call {:si_unique_call 545} nVar498 := proc130(28);
- call {:si_unique_call 546} nVar499 := proc130(28);
- call {:si_unique_call 547} nVar500 := proc130(28);
- call {:si_unique_call 548} nVar501 := proc130(28);
- call {:si_unique_call 549} nVar502 := proc130(28);
- call {:si_unique_call 550} nVar503 := proc130(28);
- call {:si_unique_call 551} nVar504 := proc130(28);
- call {:si_unique_call 552} nVar505 := proc130(28);
- call {:si_unique_call 553} nVar506 := proc130(28);
- call {:si_unique_call 554} nVar507 := proc130(16);
- call {:si_unique_call 555} nVar508 := proc130(24);
- call {:si_unique_call 556} nVar509 := proc130(28);
- call {:si_unique_call 557} nVar510 := proc130(28);
- call {:si_unique_call 558} nVar511 := proc130(28);
- call {:si_unique_call 559} nVar512 := proc130(28);
- call {:si_unique_call 560} nVar513 := proc130(24);
- call {:si_unique_call 561} nVar514 := proc130(16);
- call {:si_unique_call 562} nVar515 := proc130(28);
- call {:si_unique_call 563} nVar516 := proc130(28);
- call {:si_unique_call 564} nVar517 := proc130(28);
- call {:si_unique_call 565} nVar518 := proc130(28);
- call {:si_unique_call 566} nVar519 := proc130(28);
- call {:si_unique_call 567} nVar520 := proc130(28);
- call {:si_unique_call 568} nVar521 := proc130(28);
- call {:si_unique_call 569} nVar522 := proc130(16);
- call {:si_unique_call 570} nVar523 := proc130(16);
- call {:si_unique_call 571} nVar524 := proc130(28);
- call {:si_unique_call 572} nVar525 := proc130(28);
- call {:si_unique_call 573} nVar526 := proc130(28);
- call {:si_unique_call 574} nVar527 := proc130(24);
- call {:si_unique_call 575} nVar528 := proc130(28);
- call {:si_unique_call 576} nVar529 := proc130(28);
- call {:si_unique_call 577} nVar530 := proc130(16);
- call {:si_unique_call 578} nVar531 := proc130(28);
- call {:si_unique_call 579} nVar532 := proc130(28);
- call {:si_unique_call 580} nVar533 := proc130(28);
- call {:si_unique_call 581} nVar534 := proc130(28);
- call {:si_unique_call 582} nVar535 := proc130(28);
- call {:si_unique_call 583} nVar536 := proc130(28);
- call {:si_unique_call 584} nVar537 := proc130(12);
- call {:si_unique_call 585} nVar538 := proc130(4);
- call {:si_unique_call 586} nVar539 := proc130(28);
- call {:si_unique_call 587} nVar540 := proc130(28);
- call {:si_unique_call 588} nVar541 := proc130(28);
- call {:si_unique_call 589} nVar542 := proc130(28);
- call {:si_unique_call 590} nVar543 := proc130(28);
- call {:si_unique_call 591} nVar544 := proc130(28);
- call {:si_unique_call 592} nVar545 := proc130(28);
- call {:si_unique_call 593} nVar546 := proc130(28);
- call {:si_unique_call 594} nVar547 := proc130(28);
- call {:si_unique_call 595} nVar548 := proc130(28);
- call {:si_unique_call 596} nVar549 := proc130(4);
- call {:si_unique_call 597} nVar550 := proc130(28);
- call {:si_unique_call 598} nVar551 := proc130(28);
- call {:si_unique_call 599} nVar552 := proc130(28);
- call {:si_unique_call 600} nVar553 := proc130(28);
- call {:si_unique_call 601} nVar554 := proc130(28);
- call {:si_unique_call 602} nVar555 := proc130(28);
- call {:si_unique_call 603} nVar556 := proc130(28);
- call {:si_unique_call 604} nVar557 := proc130(28);
- call {:si_unique_call 605} nVar558 := proc130(28);
- call {:si_unique_call 606} nVar559 := proc130(28);
- call {:si_unique_call 607} nVar560 := proc130(28);
- call {:si_unique_call 608} nVar561 := proc130(28);
- call {:si_unique_call 609} nVar562 := proc130(28);
- call {:si_unique_call 610} nVar563 := proc130(4);
- call {:si_unique_call 611} nVar564 := proc130(28);
- call {:si_unique_call 612} nVar565 := proc130(28);
- call {:si_unique_call 613} nVar566 := proc130(28);
- call {:si_unique_call 614} nVar567 := proc130(28);
- call {:si_unique_call 615} nVar568 := proc130(28);
- call {:si_unique_call 616} nVar569 := proc130(28);
- call {:si_unique_call 617} nVar570 := proc130(28);
- call {:si_unique_call 618} nVar571 := proc130(28);
- call {:si_unique_call 619} nVar572 := proc130(16);
- call {:si_unique_call 620} nVar573 := proc130(16);
- call {:si_unique_call 621} nVar574 := proc130(16);
- call {:si_unique_call 622} nVar575 := proc130(28);
- call {:si_unique_call 623} nVar576 := proc130(28);
- call {:si_unique_call 624} nVar577 := proc130(4);
- call {:si_unique_call 625} nVar578 := proc130(4);
- call {:si_unique_call 626} nVar579 := proc130(16);
- call {:si_unique_call 627} nVar580 := proc130(28);
- call {:si_unique_call 628} nVar581 := proc130(28);
- call {:si_unique_call 629} nVar582 := proc130(4);
- call {:si_unique_call 630} nVar583 := proc130(24);
- call {:si_unique_call 631} nVar584 := proc130(28);
- call {:si_unique_call 632} nVar585 := proc130(28);
- call {:si_unique_call 633} nVar586 := proc130(28);
- call {:si_unique_call 634} nVar587 := proc130(28);
- call {:si_unique_call 635} nVar588 := proc130(16);
- call {:si_unique_call 636} nVar589 := proc130(28);
- call {:si_unique_call 637} nVar590 := proc130(24);
- call {:si_unique_call 638} nVar591 := proc130(28);
- call {:si_unique_call 639} nVar592 := proc130(28);
- call {:si_unique_call 640} nVar593 := proc130(28);
- call {:si_unique_call 641} nVar594 := proc130(28);
- call {:si_unique_call 642} nVar595 := proc130(28);
- call {:si_unique_call 643} nVar596 := proc130(16);
- call {:si_unique_call 644} nVar597 := proc130(28);
- call {:si_unique_call 645} nVar598 := proc130(28);
- call {:si_unique_call 646} nVar599 := proc130(28);
- call {:si_unique_call 647} nVar600 := proc130(28);
- call {:si_unique_call 648} nVar601 := proc130(12);
- call {:si_unique_call 649} nVar602 := proc130(28);
- call {:si_unique_call 650} nVar603 := proc130(28);
- call {:si_unique_call 651} nVar604 := proc130(28);
- call {:si_unique_call 652} nVar605 := proc130(28);
- call {:si_unique_call 653} nVar606 := proc130(56);
- call {:si_unique_call 654} nVar607 := proc130(28);
- call {:si_unique_call 655} nVar608 := proc130(28);
- call {:si_unique_call 656} nVar609 := proc130(28);
- call {:si_unique_call 657} nVar610 := proc130(28);
- call {:si_unique_call 658} nVar611 := proc130(28);
- call {:si_unique_call 659} nVar612 := proc130(16);
- call {:si_unique_call 660} nVar613 := proc130(28);
- call {:si_unique_call 661} nVar614 := proc130(28);
- call {:si_unique_call 662} nVar615 := proc130(28);
- call {:si_unique_call 663} nVar616 := proc130(28);
- call {:si_unique_call 664} nVar617 := proc130(28);
- call {:si_unique_call 665} nVar618 := proc130(24);
- call {:si_unique_call 666} nVar619 := proc130(28);
- call {:si_unique_call 667} nVar620 := proc130(28);
- call {:si_unique_call 668} nVar621 := proc130(28);
- call {:si_unique_call 669} nVar622 := proc130(28);
- call {:si_unique_call 670} nVar623 := proc130(16);
- call {:si_unique_call 671} nVar624 := proc130(28);
- call {:si_unique_call 672} nVar625 := proc130(28);
- call {:si_unique_call 673} nVar626 := proc130(28);
- call {:si_unique_call 674} nVar627 := proc130(12);
- call {:si_unique_call 675} nVar628 := proc130(16);
- call {:si_unique_call 676} nVar629 := proc130(28);
- call {:si_unique_call 677} nVar630 := proc130(28);
- call {:si_unique_call 678} nVar631 := proc130(12);
- call {:si_unique_call 679} nVar632 := proc130(16);
- call {:si_unique_call 680} nVar633 := proc130(28);
- call {:si_unique_call 681} nVar634 := proc130(28);
- call {:si_unique_call 682} nVar635 := proc130(28);
- call {:si_unique_call 683} nVar636 := proc130(28);
- call {:si_unique_call 684} nVar637 := proc130(28);
- call {:si_unique_call 685} nVar638 := proc130(28);
- call {:si_unique_call 686} nVar639 := proc130(28);
- call {:si_unique_call 687} nVar640 := proc130(28);
- call {:si_unique_call 688} nVar641 := proc130(28);
- call {:si_unique_call 689} nVar642 := proc130(28);
- call {:si_unique_call 690} nVar643 := proc130(24);
- call {:si_unique_call 691} nVar644 := proc130(28);
- call {:si_unique_call 692} nVar645 := proc130(28);
- call {:si_unique_call 693} nVar646 := proc130(4);
- call {:si_unique_call 694} nVar647 := proc130(28);
- call {:si_unique_call 695} nVar648 := proc130(28);
- call {:si_unique_call 696} nVar649 := proc130(28);
- call {:si_unique_call 697} nVar650 := proc130(16);
- call {:si_unique_call 698} nVar651 := proc130(28);
- call {:si_unique_call 699} nVar652 := proc130(28);
- call {:si_unique_call 700} nVar653 := proc130(12);
- call {:si_unique_call 701} nVar654 := proc130(28);
- call {:si_unique_call 702} nVar655 := proc130(28);
- call {:si_unique_call 703} nVar656 := proc130(28);
- call {:si_unique_call 704} nVar657 := proc130(28);
- call {:si_unique_call 705} nVar658 := proc130(28);
- call {:si_unique_call 706} nVar659 := proc130(28);
- call {:si_unique_call 707} nVar660 := proc130(4);
- call {:si_unique_call 708} nVar661 := proc130(28);
- call {:si_unique_call 709} nVar662 := proc130(28);
- call {:si_unique_call 710} nVar4946 := proc130(8);
- call {:si_unique_call 711} nVar663 := proc130(28);
- call {:si_unique_call 712} nVar664 := proc130(4);
- call {:si_unique_call 713} nVar665 := proc130(28);
- call {:si_unique_call 714} nVar666 := proc130(28);
- call {:si_unique_call 715} nVar667 := proc130(28);
- call {:si_unique_call 716} nVar668 := proc130(28);
- call {:si_unique_call 717} nVar669 := proc130(4);
- call {:si_unique_call 718} nVar670 := proc130(28);
- call {:si_unique_call 719} nVar671 := proc130(28);
- call {:si_unique_call 720} nVar672 := proc130(28);
- call {:si_unique_call 721} nVar673 := proc130(28);
- call {:si_unique_call 722} nVar674 := proc130(28);
- call {:si_unique_call 723} nVar675 := proc130(28);
- call {:si_unique_call 724} nVar676 := proc130(28);
- call {:si_unique_call 725} nVar677 := proc130(28);
- call {:si_unique_call 726} nVar678 := proc130(28);
- call {:si_unique_call 727} nVar679 := proc130(24);
- call {:si_unique_call 728} nVar680 := proc130(28);
- call {:si_unique_call 729} nVar681 := proc130(28);
- call {:si_unique_call 730} nVar682 := proc130(28);
- call {:si_unique_call 731} nVar683 := proc130(12);
- call {:si_unique_call 732} nVar684 := proc130(28);
- call {:si_unique_call 733} nVar685 := proc130(16);
- call {:si_unique_call 734} nVar686 := proc130(16);
- call {:si_unique_call 735} nVar687 := proc130(16);
- call {:si_unique_call 736} nVar688 := proc130(28);
- call {:si_unique_call 737} nVar689 := proc130(28);
- call {:si_unique_call 738} nVar690 := proc130(24);
- call {:si_unique_call 739} nVar691 := proc130(28);
- call {:si_unique_call 740} nVar692 := proc130(28);
- call {:si_unique_call 741} nVar693 := proc130(28);
- call {:si_unique_call 742} nVar694 := proc130(28);
- call {:si_unique_call 743} nVar695 := proc130(28);
- call {:si_unique_call 744} nVar696 := proc130(28);
- call {:si_unique_call 745} nVar697 := proc130(28);
- call {:si_unique_call 746} nVar698 := proc130(24);
- call {:si_unique_call 747} nVar699 := proc130(24);
- call {:si_unique_call 748} nVar700 := proc130(28);
- call {:si_unique_call 749} nVar701 := proc130(28);
- call {:si_unique_call 750} nVar702 := proc130(28);
- call {:si_unique_call 751} nVar703 := proc130(24);
- call {:si_unique_call 752} nVar704 := proc130(28);
- call {:si_unique_call 753} nVar705 := proc130(28);
- call {:si_unique_call 754} nVar706 := proc130(28);
- call {:si_unique_call 755} nVar707 := proc130(28);
- call {:si_unique_call 756} nVar708 := proc130(28);
- call {:si_unique_call 757} nVar709 := proc130(28);
- call {:si_unique_call 758} nVar710 := proc130(28);
- call {:si_unique_call 759} nVar711 := proc130(28);
- call {:si_unique_call 760} nVar712 := proc130(28);
- call {:si_unique_call 761} nVar713 := proc130(28);
- call {:si_unique_call 762} nVar714 := proc130(28);
- call {:si_unique_call 763} nVar715 := proc130(28);
- call {:si_unique_call 764} nVar716 := proc130(28);
- call {:si_unique_call 765} nVar717 := proc130(28);
- call {:si_unique_call 766} nVar718 := proc130(28);
- call {:si_unique_call 767} nVar719 := proc130(28);
- call {:si_unique_call 768} nVar720 := proc130(28);
- call {:si_unique_call 769} nVar721 := proc130(28);
- call {:si_unique_call 770} nVar722 := proc130(28);
- call {:si_unique_call 771} nVar723 := proc130(28);
- call {:si_unique_call 772} nVar724 := proc130(28);
- call {:si_unique_call 773} nVar725 := proc130(28);
- call {:si_unique_call 774} nVar726 := proc130(28);
- call {:si_unique_call 775} nVar727 := proc130(28);
- call {:si_unique_call 776} nVar728 := proc130(16);
- call {:si_unique_call 777} nVar729 := proc130(28);
- call {:si_unique_call 778} nVar730 := proc130(28);
- call {:si_unique_call 779} nVar731 := proc130(28);
- call {:si_unique_call 780} nVar732 := proc130(24);
- call {:si_unique_call 781} nVar733 := proc130(28);
- call {:si_unique_call 782} nVar734 := proc130(28);
- call {:si_unique_call 783} nVar735 := proc130(28);
- call {:si_unique_call 784} nVar736 := proc130(4);
- call {:si_unique_call 785} nVar737 := proc130(28);
- call {:si_unique_call 786} nVar738 := proc130(28);
- call {:si_unique_call 787} nVar739 := proc130(12);
- call {:si_unique_call 788} nVar740 := proc130(28);
- call {:si_unique_call 789} nVar741 := proc130(28);
- call {:si_unique_call 790} nVar742 := proc130(28);
- call {:si_unique_call 791} nVar743 := proc130(28);
- call {:si_unique_call 792} nVar744 := proc130(12);
- call {:si_unique_call 793} nVar745 := proc130(28);
- call {:si_unique_call 794} nVar746 := proc130(28);
- call {:si_unique_call 795} nVar747 := proc130(28);
- call {:si_unique_call 796} nVar748 := proc130(4);
- call {:si_unique_call 797} nVar749 := proc130(28);
- call {:si_unique_call 798} nVar750 := proc130(16);
- call {:si_unique_call 799} nVar751 := proc130(28);
- call {:si_unique_call 800} nVar752 := proc130(28);
- call {:si_unique_call 801} nVar753 := proc130(28);
- call {:si_unique_call 802} nVar754 := proc130(28);
- call {:si_unique_call 803} nVar755 := proc130(4);
- call {:si_unique_call 804} nVar756 := proc130(28);
- call {:si_unique_call 805} nVar757 := proc130(28);
- call {:si_unique_call 806} nVar758 := proc130(28);
- call {:si_unique_call 807} nVar759 := proc130(28);
- call {:si_unique_call 808} nVar760 := proc130(28);
- call {:si_unique_call 809} nVar761 := proc130(28);
- call {:si_unique_call 810} nVar762 := proc130(28);
- call {:si_unique_call 811} nVar763 := proc130(24);
- call {:si_unique_call 812} nVar764 := proc130(12);
- call {:si_unique_call 813} nVar765 := proc130(4);
- call {:si_unique_call 814} nVar766 := proc130(12);
- call {:si_unique_call 815} nVar767 := proc130(28);
- call {:si_unique_call 816} nVar768 := proc130(28);
- call {:si_unique_call 817} nVar769 := proc130(28);
- call {:si_unique_call 818} nVar770 := proc130(56);
- call {:si_unique_call 819} nVar771 := proc130(12);
- call {:si_unique_call 820} nVar772 := proc130(28);
- call {:si_unique_call 821} nVar773 := proc130(28);
- call {:si_unique_call 822} nVar774 := proc130(28);
- call {:si_unique_call 823} nVar775 := proc130(12);
- call {:si_unique_call 824} nVar776 := proc130(28);
- call {:si_unique_call 825} nVar777 := proc130(28);
- call {:si_unique_call 826} nVar778 := proc130(12);
- call {:si_unique_call 827} nVar779 := proc130(24);
- call {:si_unique_call 828} nVar780 := proc130(28);
- call {:si_unique_call 829} nVar781 := proc130(16);
- call {:si_unique_call 830} nVar782 := proc130(28);
- call {:si_unique_call 831} nVar783 := proc130(28);
- call {:si_unique_call 832} nVar784 := proc130(28);
- call {:si_unique_call 833} nVar785 := proc130(28);
- call {:si_unique_call 834} nVar786 := proc130(28);
- call {:si_unique_call 835} nVar787 := proc130(28);
- call {:si_unique_call 836} nVar788 := proc130(28);
- call {:si_unique_call 837} nVar789 := proc130(28);
- call {:si_unique_call 838} nVar790 := proc130(28);
- call {:si_unique_call 839} nVar791 := proc130(28);
- call {:si_unique_call 840} nVar792 := proc130(24);
- call {:si_unique_call 841} nVar793 := proc130(28);
- call {:si_unique_call 842} nVar794 := proc130(28);
- call {:si_unique_call 843} nVar795 := proc130(28);
- call {:si_unique_call 844} nVar796 := proc130(28);
- call {:si_unique_call 845} nVar797 := proc130(28);
- call {:si_unique_call 846} nVar798 := proc130(28);
- call {:si_unique_call 847} nVar799 := proc130(28);
- call {:si_unique_call 848} nVar800 := proc130(28);
- call {:si_unique_call 849} nVar801 := proc130(28);
- call {:si_unique_call 850} nVar802 := proc130(28);
- call {:si_unique_call 851} nVar803 := proc130(28);
- call {:si_unique_call 852} nVar804 := proc130(28);
- call {:si_unique_call 853} nVar805 := proc130(28);
- call {:si_unique_call 854} nVar806 := proc130(12);
- call {:si_unique_call 855} nVar807 := proc130(28);
- call {:si_unique_call 856} nVar808 := proc130(28);
- call {:si_unique_call 857} nVar809 := proc130(28);
- call {:si_unique_call 858} nVar810 := proc130(28);
- call {:si_unique_call 859} nVar811 := proc130(28);
- call {:si_unique_call 860} nVar812 := proc130(12);
- call {:si_unique_call 861} nVar813 := proc130(28);
- call {:si_unique_call 862} nVar814 := proc130(28);
- call {:si_unique_call 863} nVar815 := proc130(28);
- call {:si_unique_call 864} nVar816 := proc130(12);
- call {:si_unique_call 865} nVar817 := proc130(28);
- call {:si_unique_call 866} nVar818 := proc130(28);
- call {:si_unique_call 867} nVar819 := proc130(28);
- call {:si_unique_call 868} nVar820 := proc130(28);
- call {:si_unique_call 869} nVar821 := proc130(4);
- call {:si_unique_call 870} nVar822 := proc130(28);
- call {:si_unique_call 871} nVar823 := proc130(28);
- call {:si_unique_call 872} nVar824 := proc130(28);
- call {:si_unique_call 873} nVar825 := proc130(28);
- call {:si_unique_call 874} nVar826 := proc130(28);
- call {:si_unique_call 875} nVar827 := proc130(28);
- call {:si_unique_call 876} nVar828 := proc130(28);
- call {:si_unique_call 877} nVar829 := proc130(28);
- call {:si_unique_call 878} nVar830 := proc130(28);
- call {:si_unique_call 879} nVar831 := proc130(28);
- call {:si_unique_call 880} nVar832 := proc130(4);
- call {:si_unique_call 881} nVar833 := proc130(28);
- call {:si_unique_call 882} nVar834 := proc130(16);
- call {:si_unique_call 883} nVar835 := proc130(28);
- call {:si_unique_call 884} nVar836 := proc130(28);
- call {:si_unique_call 885} nVar837 := proc130(28);
- call {:si_unique_call 886} nVar838 := proc130(28);
- call {:si_unique_call 887} nVar839 := proc130(28);
- call {:si_unique_call 888} nVar840 := proc130(28);
- call {:si_unique_call 889} nVar841 := proc130(28);
- call {:si_unique_call 890} nVar842 := proc130(28);
- call {:si_unique_call 891} nVar843 := proc130(16);
- call {:si_unique_call 892} nVar844 := proc130(4);
- call {:si_unique_call 893} nVar845 := proc130(28);
- call {:si_unique_call 894} nVar846 := proc130(28);
- call {:si_unique_call 895} nVar847 := proc130(28);
- call {:si_unique_call 896} nVar848 := proc130(28);
- call {:si_unique_call 897} nVar849 := proc130(28);
- call {:si_unique_call 898} nVar850 := proc130(28);
- call {:si_unique_call 899} nVar851 := proc130(28);
- call {:si_unique_call 900} nVar852 := proc130(28);
- call {:si_unique_call 901} nVar853 := proc130(28);
- call {:si_unique_call 902} nVar854 := proc130(16);
- call {:si_unique_call 903} nVar855 := proc130(28);
- call {:si_unique_call 904} nVar856 := proc130(28);
- call {:si_unique_call 905} nVar857 := proc130(28);
- call {:si_unique_call 906} nVar858 := proc130(28);
- call {:si_unique_call 907} nVar859 := proc130(28);
- call {:si_unique_call 908} nVar860 := proc130(28);
- call {:si_unique_call 909} nVar861 := proc130(28);
- call {:si_unique_call 910} nVar862 := proc130(28);
- call {:si_unique_call 911} nVar863 := proc130(28);
- call {:si_unique_call 912} nVar864 := proc130(56);
- call {:si_unique_call 913} nVar865 := proc130(28);
- call {:si_unique_call 914} nVar866 := proc130(28);
- call {:si_unique_call 915} nVar867 := proc130(28);
- call {:si_unique_call 916} nVar868 := proc130(28);
- call {:si_unique_call 917} nVar869 := proc130(28);
- call {:si_unique_call 918} nVar870 := proc130(12);
- call {:si_unique_call 919} nVar871 := proc130(28);
- call {:si_unique_call 920} nVar872 := proc130(28);
- call {:si_unique_call 921} nVar873 := proc130(28);
- call {:si_unique_call 922} nVar874 := proc130(28);
- call {:si_unique_call 923} nVar875 := proc130(28);
- call {:si_unique_call 924} nVar876 := proc130(16);
- call {:si_unique_call 925} nVar877 := proc130(28);
- call {:si_unique_call 926} nVar878 := proc130(4);
- call {:si_unique_call 927} nVar879 := proc130(24);
- call {:si_unique_call 928} nVar880 := proc130(24);
- call {:si_unique_call 929} nVar881 := proc130(28);
- call {:si_unique_call 930} nVar882 := proc130(28);
- call {:si_unique_call 931} nVar883 := proc130(28);
- call {:si_unique_call 932} nVar884 := proc130(28);
- call {:si_unique_call 933} nVar885 := proc130(28);
- call {:si_unique_call 934} nVar886 := proc130(28);
- call {:si_unique_call 935} nVar887 := proc130(28);
- call {:si_unique_call 936} nVar888 := proc130(28);
- call {:si_unique_call 937} nVar889 := proc130(28);
- call {:si_unique_call 938} nVar890 := proc130(28);
- call {:si_unique_call 939} nVar891 := proc130(28);
- call {:si_unique_call 940} nVar892 := proc130(28);
- call {:si_unique_call 941} nVar893 := proc130(28);
- call {:si_unique_call 942} nVar894 := proc130(28);
- call {:si_unique_call 943} nVar895 := proc130(28);
- call {:si_unique_call 944} nVar896 := proc130(28);
- call {:si_unique_call 945} nVar897 := proc130(28);
- call {:si_unique_call 946} nVar898 := proc130(16);
- call {:si_unique_call 947} nVar899 := proc130(4);
- call {:si_unique_call 948} nVar900 := proc130(28);
- call {:si_unique_call 949} nVar901 := proc130(28);
- call {:si_unique_call 950} nVar902 := proc130(28);
- call {:si_unique_call 951} nVar903 := proc130(28);
- call {:si_unique_call 952} nVar904 := proc130(12);
- call {:si_unique_call 953} nVar905 := proc130(28);
- call {:si_unique_call 954} nVar906 := proc130(28);
- call {:si_unique_call 955} nVar907 := proc130(28);
- call {:si_unique_call 956} nVar908 := proc130(12);
- call {:si_unique_call 957} nVar909 := proc130(28);
- call {:si_unique_call 958} nVar910 := proc130(28);
- call {:si_unique_call 959} nVar911 := proc130(28);
- call {:si_unique_call 960} nVar912 := proc130(28);
- call {:si_unique_call 961} nVar913 := proc130(28);
- call {:si_unique_call 962} nVar914 := proc130(28);
- call {:si_unique_call 963} nVar915 := proc130(28);
- call {:si_unique_call 964} nVar916 := proc130(28);
- call {:si_unique_call 965} nVar917 := proc130(28);
- call {:si_unique_call 966} nVar918 := proc130(16);
- call {:si_unique_call 967} nVar919 := proc130(28);
- call {:si_unique_call 968} nVar920 := proc130(28);
- call {:si_unique_call 969} nVar921 := proc130(28);
- call {:si_unique_call 970} nVar922 := proc130(16);
- call {:si_unique_call 971} nVar923 := proc130(4);
- call {:si_unique_call 972} nVar924 := proc130(28);
- call {:si_unique_call 973} nVar925 := proc130(28);
- call {:si_unique_call 974} nVar926 := proc130(28);
- call {:si_unique_call 975} nVar927 := proc130(28);
- call {:si_unique_call 976} nVar928 := proc130(28);
- call {:si_unique_call 977} nVar929 := proc130(28);
- call {:si_unique_call 978} nVar930 := proc130(28);
- call {:si_unique_call 979} nVar931 := proc130(28);
- call {:si_unique_call 980} nVar932 := proc130(28);
- call {:si_unique_call 981} nVar933 := proc130(28);
- call {:si_unique_call 982} nVar934 := proc130(28);
- call {:si_unique_call 983} nVar935 := proc130(28);
- call {:si_unique_call 984} nVar936 := proc130(28);
- call {:si_unique_call 985} nVar937 := proc130(28);
- call {:si_unique_call 986} nVar938 := proc130(28);
- call {:si_unique_call 987} nVar939 := proc130(28);
- call {:si_unique_call 988} nVar940 := proc130(28);
- call {:si_unique_call 989} nVar941 := proc130(28);
- call {:si_unique_call 990} nVar942 := proc130(28);
- call {:si_unique_call 991} nVar943 := proc130(28);
- call {:si_unique_call 992} nVar944 := proc130(28);
- call {:si_unique_call 993} nVar945 := proc130(28);
- call {:si_unique_call 994} nVar946 := proc130(28);
- call {:si_unique_call 995} nVar947 := proc130(28);
- call {:si_unique_call 996} nVar948 := proc130(28);
- call {:si_unique_call 997} nVar949 := proc130(28);
- call {:si_unique_call 998} nVar950 := proc130(28);
- call {:si_unique_call 999} nVar951 := proc130(28);
- call {:si_unique_call 1000} nVar952 := proc130(28);
- call {:si_unique_call 1001} nVar953 := proc130(28);
- call {:si_unique_call 1002} nVar954 := proc130(28);
- call {:si_unique_call 1003} nVar955 := proc130(28);
- call {:si_unique_call 1004} nVar956 := proc130(28);
- call {:si_unique_call 1005} nVar957 := proc130(28);
- call {:si_unique_call 1006} nVar958 := proc130(28);
- call {:si_unique_call 1007} nVar959 := proc130(12);
- call {:si_unique_call 1008} nVar960 := proc130(28);
- call {:si_unique_call 1009} nVar961 := proc130(28);
- call {:si_unique_call 1010} nVar962 := proc130(28);
- call {:si_unique_call 1011} nVar963 := proc130(28);
- call {:si_unique_call 1012} nVar964 := proc130(4);
- call {:si_unique_call 1013} nVar965 := proc130(28);
- call {:si_unique_call 1014} nVar966 := proc130(16);
- call {:si_unique_call 1015} nVar967 := proc130(28);
- call {:si_unique_call 1016} nVar968 := proc130(16);
- call {:si_unique_call 1017} nVar969 := proc130(28);
- call {:si_unique_call 1018} nVar970 := proc130(28);
- call {:si_unique_call 1019} nVar971 := proc130(16);
- call {:si_unique_call 1020} nVar972 := proc130(12);
- call {:si_unique_call 1021} nVar973 := proc130(28);
- call {:si_unique_call 1022} nVar974 := proc130(28);
- call {:si_unique_call 1023} nVar975 := proc130(28);
- call {:si_unique_call 1024} nVar976 := proc130(12);
- call {:si_unique_call 1025} nVar977 := proc130(28);
- call {:si_unique_call 1026} nVar978 := proc130(12);
- call {:si_unique_call 1027} nVar979 := proc130(28);
- call {:si_unique_call 1028} nVar980 := proc130(28);
- call {:si_unique_call 1029} nVar981 := proc130(12);
- call {:si_unique_call 1030} nVar982 := proc130(16);
- call {:si_unique_call 1031} nVar983 := proc130(28);
- call {:si_unique_call 1032} nVar984 := proc130(28);
- call {:si_unique_call 1033} nVar985 := proc130(16);
- call {:si_unique_call 1034} nVar986 := proc130(28);
- call {:si_unique_call 1035} nVar987 := proc130(28);
- call {:si_unique_call 1036} nVar988 := proc130(28);
- call {:si_unique_call 1037} nVar989 := proc130(28);
- call {:si_unique_call 1038} nVar990 := proc130(28);
- call {:si_unique_call 1039} nVar991 := proc130(28);
- call {:si_unique_call 1040} nVar992 := proc130(28);
- call {:si_unique_call 1041} nVar993 := proc130(28);
- call {:si_unique_call 1042} nVar994 := proc130(28);
- call {:si_unique_call 1043} nVar995 := proc130(28);
- call {:si_unique_call 1044} nVar996 := proc130(28);
- call {:si_unique_call 1045} nVar997 := proc130(28);
- call {:si_unique_call 1046} nVar998 := proc130(28);
- call {:si_unique_call 1047} nVar999 := proc130(28);
- call {:si_unique_call 1048} nVar1000 := proc130(28);
- call {:si_unique_call 1049} nVar1001 := proc130(28);
- call {:si_unique_call 1050} nVar1002 := proc130(28);
- call {:si_unique_call 1051} nVar1003 := proc130(28);
- call {:si_unique_call 1052} nVar1004 := proc130(28);
- call {:si_unique_call 1053} nVar1005 := proc130(28);
- call {:si_unique_call 1054} nVar1006 := proc130(24);
- call {:si_unique_call 1055} nVar1007 := proc130(28);
- call {:si_unique_call 1056} nVar1008 := proc130(28);
- call {:si_unique_call 1057} nVar1009 := proc130(28);
- call {:si_unique_call 1058} nVar4947 := proc130(16);
- call {:si_unique_call 1059} nVar1010 := proc130(28);
- call {:si_unique_call 1060} nVar1011 := proc130(28);
- call {:si_unique_call 1061} nVar1012 := proc130(28);
- call {:si_unique_call 1062} nVar1013 := proc130(28);
- call {:si_unique_call 1063} nVar1014 := proc130(28);
- call {:si_unique_call 1064} nVar1015 := proc130(28);
- call {:si_unique_call 1065} nVar1016 := proc130(12);
- call {:si_unique_call 1066} nVar1017 := proc130(12);
- call {:si_unique_call 1067} nVar1018 := proc130(28);
- call {:si_unique_call 1068} nVar1019 := proc130(28);
- call {:si_unique_call 1069} nVar1020 := proc130(12);
- call {:si_unique_call 1070} nVar1021 := proc130(28);
- call {:si_unique_call 1071} nVar1022 := proc130(28);
- call {:si_unique_call 1072} nVar1023 := proc130(24);
- call {:si_unique_call 1073} nVar1024 := proc130(28);
- call {:si_unique_call 1074} nVar1025 := proc130(16);
- call {:si_unique_call 1075} nVar1026 := proc130(16);
- call {:si_unique_call 1076} nVar1027 := proc130(28);
- call {:si_unique_call 1077} nVar1028 := proc130(28);
- call {:si_unique_call 1078} nVar1029 := proc130(12);
- call {:si_unique_call 1079} nVar1030 := proc130(12);
- call {:si_unique_call 1080} nVar1031 := proc130(28);
- call {:si_unique_call 1081} nVar1032 := proc130(28);
- call {:si_unique_call 1082} nVar1033 := proc130(28);
- call {:si_unique_call 1083} nVar1034 := proc130(28);
- call {:si_unique_call 1084} nVar1035 := proc130(12);
- call {:si_unique_call 1085} nVar1036 := proc130(16);
- call {:si_unique_call 1086} nVar1037 := proc130(28);
- call {:si_unique_call 1087} nVar1038 := proc130(28);
- call {:si_unique_call 1088} nVar1039 := proc130(4);
- call {:si_unique_call 1089} nVar1041 := proc130(28);
- call {:si_unique_call 1090} nVar1042 := proc130(56);
- call {:si_unique_call 1091} nVar1043 := proc130(28);
- call {:si_unique_call 1092} nVar1044 := proc130(28);
- call {:si_unique_call 1093} nVar1045 := proc130(28);
- call {:si_unique_call 1094} nVar1046 := proc130(28);
- call {:si_unique_call 1095} nVar1047 := proc130(12);
- call {:si_unique_call 1096} nVar1048 := proc130(28);
- call {:si_unique_call 1097} nVar1049 := proc130(28);
- call {:si_unique_call 1098} nVar1050 := proc130(16);
- call {:si_unique_call 1099} nVar1051 := proc130(28);
- call {:si_unique_call 1100} nVar1052 := proc130(28);
- call {:si_unique_call 1101} nVar1053 := proc130(28);
- call {:si_unique_call 1102} nVar1054 := proc130(16);
- call {:si_unique_call 1103} nVar1055 := proc130(28);
- call {:si_unique_call 1104} nVar1056 := proc130(28);
- call {:si_unique_call 1105} nVar1057 := proc130(28);
- call {:si_unique_call 1106} nVar1058 := proc130(28);
- call {:si_unique_call 1107} nVar1059 := proc130(28);
- call {:si_unique_call 1108} nVar1060 := proc130(28);
- call {:si_unique_call 1109} nVar1061 := proc130(28);
- call {:si_unique_call 1110} nVar1062 := proc130(28);
- call {:si_unique_call 1111} nVar1063 := proc130(28);
- call {:si_unique_call 1112} nVar1064 := proc130(28);
- call {:si_unique_call 1113} nVar1065 := proc130(28);
- call {:si_unique_call 1114} nVar1066 := proc130(28);
- call {:si_unique_call 1115} nVar1067 := proc130(4);
- call {:si_unique_call 1116} nVar1068 := proc130(28);
- call {:si_unique_call 1117} nVar1069 := proc130(28);
- call {:si_unique_call 1118} nVar1070 := proc130(28);
- call {:si_unique_call 1119} nVar1071 := proc130(24);
- call {:si_unique_call 1120} nVar1072 := proc130(28);
- call {:si_unique_call 1121} nVar1073 := proc130(8);
- call {:si_unique_call 1122} nVar1074 := proc130(28);
- call {:si_unique_call 1123} nVar1075 := proc130(28);
- call {:si_unique_call 1124} nVar1076 := proc130(16);
- call {:si_unique_call 1125} nVar1077 := proc130(28);
- call {:si_unique_call 1126} nVar1078 := proc130(28);
- call {:si_unique_call 1127} nVar1079 := proc130(28);
- call {:si_unique_call 1128} nVar1080 := proc130(28);
- call {:si_unique_call 1129} nVar1081 := proc130(28);
- call {:si_unique_call 1130} nVar1082 := proc130(28);
- call {:si_unique_call 1131} nVar1083 := proc130(28);
- call {:si_unique_call 1132} nVar1084 := proc130(28);
- call {:si_unique_call 1133} nVar1085 := proc130(28);
- call {:si_unique_call 1134} nVar1086 := proc130(28);
- call {:si_unique_call 1135} nVar1087 := proc130(28);
- call {:si_unique_call 1136} nVar1088 := proc130(28);
- call {:si_unique_call 1137} nVar1089 := proc130(28);
- call {:si_unique_call 1138} nVar1090 := proc130(28);
- call {:si_unique_call 1139} nVar1091 := proc130(28);
- call {:si_unique_call 1140} nVar1092 := proc130(28);
- call {:si_unique_call 1141} nVar1093 := proc130(28);
- call {:si_unique_call 1142} nVar1094 := proc130(12);
- call {:si_unique_call 1143} nVar1095 := proc130(4);
- call {:si_unique_call 1144} nVar1096 := proc130(16);
- call {:si_unique_call 1145} nVar1097 := proc130(24);
- call {:si_unique_call 1146} nVar1098 := proc130(28);
- call {:si_unique_call 1147} nVar1099 := proc130(28);
- call {:si_unique_call 1148} nVar1100 := proc130(28);
- call {:si_unique_call 1149} nVar1101 := proc130(28);
- call {:si_unique_call 1150} nVar1102 := proc130(28);
- call {:si_unique_call 1151} nVar1103 := proc130(28);
- call {:si_unique_call 1152} nVar1104 := proc130(24);
- call {:si_unique_call 1153} nVar1105 := proc130(28);
- call {:si_unique_call 1154} nVar1106 := proc130(16);
- call {:si_unique_call 1155} nVar1107 := proc130(12);
- call {:si_unique_call 1156} nVar1108 := proc130(28);
- call {:si_unique_call 1157} nVar1109 := proc130(28);
- call {:si_unique_call 1158} nVar1110 := proc130(28);
- call {:si_unique_call 1159} nVar1111 := proc130(28);
- call {:si_unique_call 1160} nVar1112 := proc130(16);
- call {:si_unique_call 1161} nVar1113 := proc130(28);
- call {:si_unique_call 1162} nVar1114 := proc130(28);
- call {:si_unique_call 1163} nVar1115 := proc130(28);
- call {:si_unique_call 1164} nVar1116 := proc130(28);
- call {:si_unique_call 1165} nVar1117 := proc130(28);
- call {:si_unique_call 1166} nVar1118 := proc130(16);
- call {:si_unique_call 1167} nVar1119 := proc130(16);
- call {:si_unique_call 1168} nVar1120 := proc130(28);
- call {:si_unique_call 1169} nVar1121 := proc130(28);
- call {:si_unique_call 1170} nVar1122 := proc130(28);
- call {:si_unique_call 1171} nVar1123 := proc130(16);
- call {:si_unique_call 1172} nVar1124 := proc130(28);
- call {:si_unique_call 1173} nVar1125 := proc130(28);
- call {:si_unique_call 1174} nVar1126 := proc130(28);
- call {:si_unique_call 1175} nVar1127 := proc130(28);
- call {:si_unique_call 1176} nVar1128 := proc130(28);
- call {:si_unique_call 1177} nVar1129 := proc130(28);
- call {:si_unique_call 1178} nVar1130 := proc130(28);
- call {:si_unique_call 1179} nVar1131 := proc130(28);
- call {:si_unique_call 1180} nVar1132 := proc130(28);
- call {:si_unique_call 1181} nVar1133 := proc130(28);
- call {:si_unique_call 1182} nVar1134 := proc130(16);
- call {:si_unique_call 1183} nVar1135 := proc130(28);
- call {:si_unique_call 1184} nVar1136 := proc130(56);
- call {:si_unique_call 1185} nVar1137 := proc130(28);
- call {:si_unique_call 1186} nVar1138 := proc130(16);
- call {:si_unique_call 1187} nVar1139 := proc130(28);
- call {:si_unique_call 1188} nVar1140 := proc130(28);
- call {:si_unique_call 1189} nVar1141 := proc130(28);
- call {:si_unique_call 1190} nVar1142 := proc130(28);
- call {:si_unique_call 1191} nVar1143 := proc130(28);
- call {:si_unique_call 1192} nVar1144 := proc130(12);
- call {:si_unique_call 1193} nVar1145 := proc130(28);
- call {:si_unique_call 1194} nVar1146 := proc130(28);
- call {:si_unique_call 1195} nVar1147 := proc130(28);
- call {:si_unique_call 1196} nVar1148 := proc130(16);
- call {:si_unique_call 1197} nVar1149 := proc130(28);
- call {:si_unique_call 1198} nVar1150 := proc130(4);
- call {:si_unique_call 1199} nVar1151 := proc130(28);
- call {:si_unique_call 1200} nVar1152 := proc130(28);
- call {:si_unique_call 1201} nVar1153 := proc130(28);
- call {:si_unique_call 1202} nVar1154 := proc130(28);
- call {:si_unique_call 1203} nVar1155 := proc130(28);
- call {:si_unique_call 1204} nVar1156 := proc130(28);
- call {:si_unique_call 1205} nVar1157 := proc130(28);
- call {:si_unique_call 1206} nVar1158 := proc130(28);
- call {:si_unique_call 1207} nVar1159 := proc130(28);
- call {:si_unique_call 1208} nVar1160 := proc130(28);
- call {:si_unique_call 1209} nVar1161 := proc130(28);
- call {:si_unique_call 1210} nVar1162 := proc130(28);
- call {:si_unique_call 1211} nVar1163 := proc130(28);
- call {:si_unique_call 1212} nVar1164 := proc130(8);
- call {:si_unique_call 1213} nVar1165 := proc130(28);
- call {:si_unique_call 1214} nVar1166 := proc130(28);
- call {:si_unique_call 1215} nVar1167 := proc130(28);
- call {:si_unique_call 1216} nVar1168 := proc130(16);
- call {:si_unique_call 1217} nVar1169 := proc130(28);
- call {:si_unique_call 1218} nVar1170 := proc130(4);
- call {:si_unique_call 1219} nVar1171 := proc130(28);
- call {:si_unique_call 1220} nVar1172 := proc130(28);
- call {:si_unique_call 1221} nVar1173 := proc130(28);
- call {:si_unique_call 1222} nVar1174 := proc130(12);
- call {:si_unique_call 1223} nVar1176 := proc130(28);
- call {:si_unique_call 1224} nVar1177 := proc130(28);
- call {:si_unique_call 1225} nVar1178 := proc130(28);
- call {:si_unique_call 1226} nVar1179 := proc130(28);
- call {:si_unique_call 1227} nVar1180 := proc130(28);
- call {:si_unique_call 1228} nVar1181 := proc130(28);
- call {:si_unique_call 1229} nVar1182 := proc130(16);
- call {:si_unique_call 1230} nVar1183 := proc130(28);
- call {:si_unique_call 1231} nVar1184 := proc130(28);
- call {:si_unique_call 1232} nVar1185 := proc130(28);
- call {:si_unique_call 1233} nVar1186 := proc130(28);
- call {:si_unique_call 1234} nVar1187 := proc130(28);
- call {:si_unique_call 1235} nVar1188 := proc130(28);
- call {:si_unique_call 1236} nVar1189 := proc130(28);
- call {:si_unique_call 1237} nVar1190 := proc130(28);
- call {:si_unique_call 1238} nVar1191 := proc130(28);
- call {:si_unique_call 1239} nVar1192 := proc130(28);
- call {:si_unique_call 1240} nVar1193 := proc130(28);
- call {:si_unique_call 1241} nVar1194 := proc130(28);
- call {:si_unique_call 1242} nVar1195 := proc130(28);
- call {:si_unique_call 1243} nVar1196 := proc130(28);
- call {:si_unique_call 1244} nVar1197 := proc130(16);
- call {:si_unique_call 1245} nVar1198 := proc130(28);
- call {:si_unique_call 1246} nVar1199 := proc130(28);
- call {:si_unique_call 1247} nVar1200 := proc130(28);
- call {:si_unique_call 1248} nVar1201 := proc130(28);
- call {:si_unique_call 1249} nVar1202 := proc130(28);
- call {:si_unique_call 1250} nVar1203 := proc130(28);
- call {:si_unique_call 1251} nVar1204 := proc130(28);
- call {:si_unique_call 1252} nVar1205 := proc130(28);
- call {:si_unique_call 1253} nVar1206 := proc130(28);
- call {:si_unique_call 1254} nVar1207 := proc130(28);
- call {:si_unique_call 1255} nVar1208 := proc130(28);
- call {:si_unique_call 1256} nVar1209 := proc130(28);
- call {:si_unique_call 1257} nVar1210 := proc130(28);
- call {:si_unique_call 1258} nVar1211 := proc130(28);
- call {:si_unique_call 1259} nVar1212 := proc130(28);
- call {:si_unique_call 1260} nVar1213 := proc130(28);
- call {:si_unique_call 1261} nVar1214 := proc130(28);
- call {:si_unique_call 1262} nVar1215 := proc130(16);
- call {:si_unique_call 1263} nVar1216 := proc130(12);
- call {:si_unique_call 1264} nVar1217 := proc130(28);
- call {:si_unique_call 1265} nVar1218 := proc130(28);
- call {:si_unique_call 1266} nVar1219 := proc130(28);
- call {:si_unique_call 1267} nVar1220 := proc130(28);
- call {:si_unique_call 1268} nVar1221 := proc130(28);
- call {:si_unique_call 1269} nVar1222 := proc130(16);
- call {:si_unique_call 1270} nVar1223 := proc130(28);
- call {:si_unique_call 1271} nVar1224 := proc130(28);
- call {:si_unique_call 1272} nVar1225 := proc130(28);
- call {:si_unique_call 1273} nVar1226 := proc130(12);
- call {:si_unique_call 1274} nVar1227 := proc130(24);
- call {:si_unique_call 1275} nVar1228 := proc130(28);
- call {:si_unique_call 1276} nVar1229 := proc130(28);
- call {:si_unique_call 1277} nVar1230 := proc130(28);
- call {:si_unique_call 1278} nVar1231 := proc130(28);
- call {:si_unique_call 1279} nVar1232 := proc130(28);
- call {:si_unique_call 1280} nVar1233 := proc130(28);
- call {:si_unique_call 1281} nVar1234 := proc130(28);
- call {:si_unique_call 1282} nVar1235 := proc130(16);
- call {:si_unique_call 1283} nVar1236 := proc130(28);
- call {:si_unique_call 1284} nVar1237 := proc130(28);
- call {:si_unique_call 1285} nVar1238 := proc130(4);
- call {:si_unique_call 1286} nVar1239 := proc130(28);
- call {:si_unique_call 1287} nVar1240 := proc130(28);
- call {:si_unique_call 1288} nVar1241 := proc130(28);
- call {:si_unique_call 1289} nVar1242 := proc130(16);
- call {:si_unique_call 1290} nVar1243 := proc130(28);
- call {:si_unique_call 1291} nVar1244 := proc130(28);
- call {:si_unique_call 1292} nVar1245 := proc130(28);
- call {:si_unique_call 1293} nVar1246 := proc130(28);
- call {:si_unique_call 1294} nVar1247 := proc130(24);
- call {:si_unique_call 1295} nVar1248 := proc130(28);
- call {:si_unique_call 1296} nVar1249 := proc130(28);
- call {:si_unique_call 1297} nVar1250 := proc130(28);
- call {:si_unique_call 1298} nVar1251 := proc130(12);
- call {:si_unique_call 1299} nVar1252 := proc130(28);
- call {:si_unique_call 1300} nVar1253 := proc130(28);
- call {:si_unique_call 1301} nVar1255 := proc130(28);
- call {:si_unique_call 1302} nVar1256 := proc130(28);
- call {:si_unique_call 1303} nVar1257 := proc130(28);
- call {:si_unique_call 1304} nVar1258 := proc130(28);
- call {:si_unique_call 1305} nVar1259 := proc130(28);
- call {:si_unique_call 1306} nVar1260 := proc130(28);
- call {:si_unique_call 1307} nVar1261 := proc130(28);
- call {:si_unique_call 1308} nVar1262 := proc130(28);
- call {:si_unique_call 1309} nVar1263 := proc130(28);
- call {:si_unique_call 1310} nVar1264 := proc130(16);
- call {:si_unique_call 1311} nVar1265 := proc130(28);
- call {:si_unique_call 1312} nVar1266 := proc130(28);
- call {:si_unique_call 1313} nVar1267 := proc130(24);
- call {:si_unique_call 1314} nVar1268 := proc130(24);
- call {:si_unique_call 1315} nVar1269 := proc130(28);
- call {:si_unique_call 1316} nVar1270 := proc130(28);
- call {:si_unique_call 1317} nVar1271 := proc130(28);
- call {:si_unique_call 1318} nVar1272 := proc130(28);
- call {:si_unique_call 1319} nVar1273 := proc130(28);
- call {:si_unique_call 1320} nVar1274 := proc130(12);
- call {:si_unique_call 1321} nVar1275 := proc130(12);
- call {:si_unique_call 1322} nVar1276 := proc130(28);
- call {:si_unique_call 1323} nVar1277 := proc130(28);
- call {:si_unique_call 1324} nVar1278 := proc130(28);
- call {:si_unique_call 1325} nVar1279 := proc130(16);
- call {:si_unique_call 1326} nVar1280 := proc130(24);
- call {:si_unique_call 1327} nVar1281 := proc130(28);
- call {:si_unique_call 1328} nVar1282 := proc130(28);
- call {:si_unique_call 1329} nVar1283 := proc130(28);
- call {:si_unique_call 1330} nVar1284 := proc130(28);
- call {:si_unique_call 1331} nVar1285 := proc130(28);
- call {:si_unique_call 1332} nVar1286 := proc130(28);
- call {:si_unique_call 1333} nVar1287 := proc130(28);
- call {:si_unique_call 1334} nVar1288 := proc130(28);
- call {:si_unique_call 1335} nVar1289 := proc130(28);
- call {:si_unique_call 1336} nVar1290 := proc130(28);
- call {:si_unique_call 1337} nVar1291 := proc130(28);
- call {:si_unique_call 1338} nVar1292 := proc130(28);
- call {:si_unique_call 1339} nVar1293 := proc130(28);
- call {:si_unique_call 1340} nVar1294 := proc130(8);
- call {:si_unique_call 1341} nVar1295 := proc130(28);
- call {:si_unique_call 1342} nVar1296 := proc130(28);
- call {:si_unique_call 1343} nVar1297 := proc130(28);
- call {:si_unique_call 1344} nVar1298 := proc130(12);
- call {:si_unique_call 1345} nVar1299 := proc130(28);
- call {:si_unique_call 1346} nVar1300 := proc130(12);
- call {:si_unique_call 1347} nVar1301 := proc130(28);
- call {:si_unique_call 1348} nVar1302 := proc130(28);
- call {:si_unique_call 1349} nVar1303 := proc130(28);
- call {:si_unique_call 1350} nVar1304 := proc130(28);
- call {:si_unique_call 1351} nVar1305 := proc130(28);
- call {:si_unique_call 1352} nVar1306 := proc130(28);
- call {:si_unique_call 1353} nVar1307 := proc130(28);
- call {:si_unique_call 1354} nVar4948 := proc130(16);
- call {:si_unique_call 1355} nVar1308 := proc130(28);
- call {:si_unique_call 1356} nVar1309 := proc130(28);
- call {:si_unique_call 1357} nVar1310 := proc130(28);
- call {:si_unique_call 1358} nVar1311 := proc130(28);
- call {:si_unique_call 1359} nVar1312 := proc130(28);
- call {:si_unique_call 1360} nVar1313 := proc130(28);
- call {:si_unique_call 1361} nVar1314 := proc130(28);
- call {:si_unique_call 1362} nVar1315 := proc130(28);
- call {:si_unique_call 1363} nVar1316 := proc130(28);
- call {:si_unique_call 1364} nVar1317 := proc130(12);
- call {:si_unique_call 1365} nVar1318 := proc130(28);
- call {:si_unique_call 1366} nVar1319 := proc130(28);
- call {:si_unique_call 1367} nVar1320 := proc130(28);
- call {:si_unique_call 1368} nVar1321 := proc130(28);
- call {:si_unique_call 1369} nVar1322 := proc130(4);
- call {:si_unique_call 1370} nVar1323 := proc130(28);
- call {:si_unique_call 1371} nVar1324 := proc130(8);
- call {:si_unique_call 1372} nVar1325 := proc130(28);
- call {:si_unique_call 1373} nVar1326 := proc130(28);
- call {:si_unique_call 1374} nVar1327 := proc130(28);
- call {:si_unique_call 1375} nVar1328 := proc130(12);
- call {:si_unique_call 1376} nVar1329 := proc130(28);
- call {:si_unique_call 1377} nVar1330 := proc130(28);
- call {:si_unique_call 1378} nVar1331 := proc130(28);
- call {:si_unique_call 1379} nVar1332 := proc130(28);
- call {:si_unique_call 1380} nVar1333 := proc130(28);
- call {:si_unique_call 1381} nVar1334 := proc130(28);
- call {:si_unique_call 1382} nVar1335 := proc130(28);
- call {:si_unique_call 1383} nVar1336 := proc130(28);
- call {:si_unique_call 1384} nVar1337 := proc130(12);
- call {:si_unique_call 1385} nVar1338 := proc130(28);
- call {:si_unique_call 1386} nVar1339 := proc130(24);
- call {:si_unique_call 1387} nVar1340 := proc130(4);
- call {:si_unique_call 1388} nVar1341 := proc130(28);
- call {:si_unique_call 1389} nVar1342 := proc130(28);
- call {:si_unique_call 1390} nVar1343 := proc130(16);
- call {:si_unique_call 1391} nVar1344 := proc130(28);
- call {:si_unique_call 1392} nVar1345 := proc130(28);
- call {:si_unique_call 1393} nVar1346 := proc130(28);
- call {:si_unique_call 1394} nVar1347 := proc130(16);
- call {:si_unique_call 1395} nVar1348 := proc130(28);
- call {:si_unique_call 1396} nVar1349 := proc130(28);
- call {:si_unique_call 1397} nVar1350 := proc130(28);
- call {:si_unique_call 1398} nVar1351 := proc130(28);
- call {:si_unique_call 1399} nVar1352 := proc130(28);
- call {:si_unique_call 1400} nVar1353 := proc130(28);
- call {:si_unique_call 1401} nVar1354 := proc130(28);
- call {:si_unique_call 1402} nVar1355 := proc130(28);
- call {:si_unique_call 1403} nVar1356 := proc130(28);
- call {:si_unique_call 1404} nVar1357 := proc130(28);
- call {:si_unique_call 1405} nVar1358 := proc130(28);
- call {:si_unique_call 1406} nVar1359 := proc130(28);
- call {:si_unique_call 1407} nVar1360 := proc130(28);
- call {:si_unique_call 1408} nVar1361 := proc130(28);
- call {:si_unique_call 1409} nVar1362 := proc130(28);
- call {:si_unique_call 1410} nVar1363 := proc130(12);
- call {:si_unique_call 1411} nVar1364 := proc130(28);
- call {:si_unique_call 1412} nVar1365 := proc130(28);
- call {:si_unique_call 1413} nVar1366 := proc130(16);
- call {:si_unique_call 1414} nVar1367 := proc130(28);
- call {:si_unique_call 1415} nVar1368 := proc130(28);
- call {:si_unique_call 1416} nVar1369 := proc130(28);
- call {:si_unique_call 1417} nVar1370 := proc130(28);
- call {:si_unique_call 1418} nVar1371 := proc130(12);
- call {:si_unique_call 1419} nVar1372 := proc130(28);
- call {:si_unique_call 1420} nVar1373 := proc130(12);
- call {:si_unique_call 1421} nVar1374 := proc130(28);
- call {:si_unique_call 1422} nVar1375 := proc130(28);
- call {:si_unique_call 1423} nVar1376 := proc130(28);
- call {:si_unique_call 1424} nVar1377 := proc130(4);
- call {:si_unique_call 1425} nVar1378 := proc130(28);
- call {:si_unique_call 1426} nVar1379 := proc130(4);
- call {:si_unique_call 1427} nVar1380 := proc130(28);
- call {:si_unique_call 1428} nVar1381 := proc130(28);
- call {:si_unique_call 1429} nVar1382 := proc130(28);
- call {:si_unique_call 1430} nVar1383 := proc130(28);
- call {:si_unique_call 1431} nVar1384 := proc130(28);
- call {:si_unique_call 1432} nVar1385 := proc130(28);
- call {:si_unique_call 1433} nVar1386 := proc130(28);
- call {:si_unique_call 1434} nVar1387 := proc130(28);
- call {:si_unique_call 1435} nVar1388 := proc130(28);
- call {:si_unique_call 1436} nVar1389 := proc130(28);
- call {:si_unique_call 1437} nVar1390 := proc130(28);
- call {:si_unique_call 1438} nVar1391 := proc130(4);
- call {:si_unique_call 1439} nVar1392 := proc130(28);
- call {:si_unique_call 1440} nVar1393 := proc130(16);
- call {:si_unique_call 1441} nVar1394 := proc130(28);
- call {:si_unique_call 1442} nVar1395 := proc130(28);
- call {:si_unique_call 1443} nVar1396 := proc130(28);
- call {:si_unique_call 1444} nVar1397 := proc130(28);
- call {:si_unique_call 1445} nVar1398 := proc130(16);
- call {:si_unique_call 1446} nVar1399 := proc130(28);
- call {:si_unique_call 1447} nVar1400 := proc130(28);
- call {:si_unique_call 1448} nVar1401 := proc130(12);
- call {:si_unique_call 1449} nVar1402 := proc130(16);
- call {:si_unique_call 1450} nVar1403 := proc130(28);
- call {:si_unique_call 1451} nVar1404 := proc130(28);
- call {:si_unique_call 1452} nVar1405 := proc130(28);
- call {:si_unique_call 1453} nVar1406 := proc130(28);
- call {:si_unique_call 1454} nVar1407 := proc130(28);
- call {:si_unique_call 1455} nVar1408 := proc130(16);
- call {:si_unique_call 1456} nVar1409 := proc130(28);
- call {:si_unique_call 1457} nVar1410 := proc130(12);
- call {:si_unique_call 1458} nVar1411 := proc130(28);
- call {:si_unique_call 1459} nVar1412 := proc130(28);
- call {:si_unique_call 1460} nVar1413 := proc130(28);
- call {:si_unique_call 1461} nVar1414 := proc130(28);
- call {:si_unique_call 1462} nVar1415 := proc130(28);
- call {:si_unique_call 1463} nVar1416 := proc130(28);
- call {:si_unique_call 1464} nVar1417 := proc130(28);
- call {:si_unique_call 1465} nVar1418 := proc130(28);
- call {:si_unique_call 1466} nVar1419 := proc130(28);
- call {:si_unique_call 1467} nVar1420 := proc130(28);
- call {:si_unique_call 1468} nVar1421 := proc130(28);
- call {:si_unique_call 1469} nVar1422 := proc130(28);
- call {:si_unique_call 1470} nVar1423 := proc130(28);
- call {:si_unique_call 1471} nVar1424 := proc130(28);
- call {:si_unique_call 1472} nVar1425 := proc130(28);
- call {:si_unique_call 1473} nVar1426 := proc130(28);
- call {:si_unique_call 1474} nVar1427 := proc130(28);
- call {:si_unique_call 1475} nVar1428 := proc130(28);
- call {:si_unique_call 1476} nVar1429 := proc130(28);
- call {:si_unique_call 1477} nVar1430 := proc130(28);
- call {:si_unique_call 1478} nVar1431 := proc130(16);
- call {:si_unique_call 1479} nVar1432 := proc130(24);
- call {:si_unique_call 1480} nVar1433 := proc130(28);
- call {:si_unique_call 1481} nVar1434 := proc130(28);
- call {:si_unique_call 1482} nVar1435 := proc130(28);
- call {:si_unique_call 1483} nVar1436 := proc130(28);
- call {:si_unique_call 1484} nVar1437 := proc130(16);
- call {:si_unique_call 1485} nVar1438 := proc130(28);
- call {:si_unique_call 1486} nVar1439 := proc130(28);
- call {:si_unique_call 1487} nVar1440 := proc130(28);
- call {:si_unique_call 1488} nVar1441 := proc130(28);
- call {:si_unique_call 1489} nVar1442 := proc130(24);
- call {:si_unique_call 1490} nVar1443 := proc130(28);
- call {:si_unique_call 1491} nVar1444 := proc130(28);
- call {:si_unique_call 1492} nVar1445 := proc130(28);
- call {:si_unique_call 1493} nVar1446 := proc130(28);
- call {:si_unique_call 1494} nVar1447 := proc130(28);
- call {:si_unique_call 1495} nVar1448 := proc130(28);
- call {:si_unique_call 1496} nVar1449 := proc130(28);
- call {:si_unique_call 1497} nVar1450 := proc130(28);
- call {:si_unique_call 1498} nVar1451 := proc130(28);
- call {:si_unique_call 1499} nVar1452 := proc130(12);
- call {:si_unique_call 1500} nVar1453 := proc130(28);
- call {:si_unique_call 1501} nVar1454 := proc130(28);
- call {:si_unique_call 1502} nVar1455 := proc130(4);
- call {:si_unique_call 1503} nVar1456 := proc130(28);
- call {:si_unique_call 1504} nVar1457 := proc130(16);
- call {:si_unique_call 1505} nVar1458 := proc130(28);
- call {:si_unique_call 1506} nVar1459 := proc130(12);
- call {:si_unique_call 1507} nVar1460 := proc130(24);
- call {:si_unique_call 1508} nVar1461 := proc130(28);
- call {:si_unique_call 1509} nVar1462 := proc130(28);
- call {:si_unique_call 1510} nVar1463 := proc130(24);
- call {:si_unique_call 1511} nVar1464 := proc130(28);
- call {:si_unique_call 1512} nVar1465 := proc130(28);
- call {:si_unique_call 1513} nVar1466 := proc130(28);
- call {:si_unique_call 1514} nVar1467 := proc130(28);
- call {:si_unique_call 1515} nVar1468 := proc130(4);
- call {:si_unique_call 1516} nVar1469 := proc130(28);
- call {:si_unique_call 1517} nVar1470 := proc130(28);
- call {:si_unique_call 1518} nVar1471 := proc130(28);
- call {:si_unique_call 1519} nVar1472 := proc130(16);
- call {:si_unique_call 1520} nVar1473 := proc130(16);
- call {:si_unique_call 1521} nVar1474 := proc130(28);
- call {:si_unique_call 1522} nVar1475 := proc130(28);
- call {:si_unique_call 1523} nVar1476 := proc130(4);
- call {:si_unique_call 1524} nVar1477 := proc130(28);
- call {:si_unique_call 1525} nVar1478 := proc130(28);
- call {:si_unique_call 1526} nVar1479 := proc130(28);
- call {:si_unique_call 1527} nVar1480 := proc130(28);
- call {:si_unique_call 1528} nVar1481 := proc130(28);
- call {:si_unique_call 1529} nVar1482 := proc130(28);
- call {:si_unique_call 1530} nVar1483 := proc130(28);
- call {:si_unique_call 1531} nVar1484 := proc130(28);
- call {:si_unique_call 1532} nVar1485 := proc130(28);
- call {:si_unique_call 1533} nVar1486 := proc130(28);
- call {:si_unique_call 1534} nVar1487 := proc130(28);
- call {:si_unique_call 1535} nVar4949 := proc130(16);
- call {:si_unique_call 1536} nVar1488 := proc130(28);
- call {:si_unique_call 1537} nVar1489 := proc130(28);
- call {:si_unique_call 1538} nVar1490 := proc130(28);
- call {:si_unique_call 1539} nVar1491 := proc130(28);
- call {:si_unique_call 1540} nVar1492 := proc130(28);
- call {:si_unique_call 1541} nVar1493 := proc130(24);
- call {:si_unique_call 1542} nVar1494 := proc130(28);
- call {:si_unique_call 1543} nVar1495 := proc130(28);
- call {:si_unique_call 1544} nVar1496 := proc130(28);
- call {:si_unique_call 1545} nVar1497 := proc130(4);
- call {:si_unique_call 1546} nVar1498 := proc130(28);
- call {:si_unique_call 1547} nVar1499 := proc130(28);
- call {:si_unique_call 1548} nVar1500 := proc130(28);
- call {:si_unique_call 1549} nVar1501 := proc130(28);
- call {:si_unique_call 1550} nVar1502 := proc130(12);
- call {:si_unique_call 1551} nVar1503 := proc130(28);
- call {:si_unique_call 1552} nVar1504 := proc130(12);
- call {:si_unique_call 1553} nVar1505 := proc130(28);
- call {:si_unique_call 1554} nVar1506 := proc130(28);
- call {:si_unique_call 1555} nVar1507 := proc130(28);
- call {:si_unique_call 1556} nVar1508 := proc130(28);
- call {:si_unique_call 1557} nVar1509 := proc130(28);
- call {:si_unique_call 1558} nVar1510 := proc130(28);
- call {:si_unique_call 1559} nVar1511 := proc130(28);
- call {:si_unique_call 1560} nVar1512 := proc130(4);
- call {:si_unique_call 1561} nVar1513 := proc130(28);
- call {:si_unique_call 1562} nVar1514 := proc130(28);
- call {:si_unique_call 1563} nVar1515 := proc130(28);
- call {:si_unique_call 1564} nVar1516 := proc130(16);
- call {:si_unique_call 1565} nVar1517 := proc130(28);
- call {:si_unique_call 1566} nVar1518 := proc130(28);
- call {:si_unique_call 1567} nVar1519 := proc130(16);
- call {:si_unique_call 1568} nVar1520 := proc130(28);
- call {:si_unique_call 1569} nVar1521 := proc130(28);
- call {:si_unique_call 1570} nVar1522 := proc130(28);
- call {:si_unique_call 1571} nVar1523 := proc130(12);
- call {:si_unique_call 1572} nVar1524 := proc130(28);
- call {:si_unique_call 1573} nVar1525 := proc130(28);
- call {:si_unique_call 1574} nVar1526 := proc130(28);
- call {:si_unique_call 1575} nVar1527 := proc130(12);
- call {:si_unique_call 1576} nVar1528 := proc130(28);
- call {:si_unique_call 1577} nVar1529 := proc130(28);
- call {:si_unique_call 1578} nVar1530 := proc130(28);
- call {:si_unique_call 1579} nVar1531 := proc130(28);
- call {:si_unique_call 1580} nVar1532 := proc130(28);
- call {:si_unique_call 1581} nVar1533 := proc130(28);
- call {:si_unique_call 1582} nVar1534 := proc130(28);
- call {:si_unique_call 1583} nVar1535 := proc130(28);
- call {:si_unique_call 1584} nVar1536 := proc130(28);
- call {:si_unique_call 1585} nVar1537 := proc130(28);
- call {:si_unique_call 1586} nVar1538 := proc130(28);
- call {:si_unique_call 1587} nVar1539 := proc130(28);
- call {:si_unique_call 1588} nVar1540 := proc130(28);
- call {:si_unique_call 1589} nVar1541 := proc130(28);
- call {:si_unique_call 1590} nVar1542 := proc130(28);
- call {:si_unique_call 1591} nVar1543 := proc130(28);
- call {:si_unique_call 1592} nVar1544 := proc130(28);
- call {:si_unique_call 1593} nVar1545 := proc130(28);
- call {:si_unique_call 1594} nVar1546 := proc130(28);
- call {:si_unique_call 1595} nVar1547 := proc130(28);
- call {:si_unique_call 1596} nVar1548 := proc130(16);
- call {:si_unique_call 1597} nVar1549 := proc130(28);
- call {:si_unique_call 1598} nVar1550 := proc130(16);
- call {:si_unique_call 1599} nVar1551 := proc130(28);
- call {:si_unique_call 1600} nVar1552 := proc130(28);
- call {:si_unique_call 1601} nVar1553 := proc130(28);
- call {:si_unique_call 1602} nVar1554 := proc130(28);
- call {:si_unique_call 1603} nVar1555 := proc130(28);
- call {:si_unique_call 1604} nVar1556 := proc130(28);
- call {:si_unique_call 1605} nVar1557 := proc130(28);
- call {:si_unique_call 1606} nVar1558 := proc130(28);
- call {:si_unique_call 1607} nVar1559 := proc130(28);
- call {:si_unique_call 1608} nVar1560 := proc130(24);
- call {:si_unique_call 1609} nVar1561 := proc130(28);
- call {:si_unique_call 1610} nVar1562 := proc130(28);
- call {:si_unique_call 1611} nVar1563 := proc130(28);
- call {:si_unique_call 1612} nVar1564 := proc130(28);
- call {:si_unique_call 1613} nVar1565 := proc130(16);
- call {:si_unique_call 1614} nVar1566 := proc130(28);
- call {:si_unique_call 1615} nVar1567 := proc130(28);
- call {:si_unique_call 1616} nVar1568 := proc130(28);
- call {:si_unique_call 1617} nVar1569 := proc130(28);
- call {:si_unique_call 1618} nVar1570 := proc130(12);
- call {:si_unique_call 1619} nVar1571 := proc130(28);
- call {:si_unique_call 1620} nVar1572 := proc130(28);
- call {:si_unique_call 1621} nVar1573 := proc130(28);
- call {:si_unique_call 1622} nVar1574 := proc130(28);
- call {:si_unique_call 1623} nVar1575 := proc130(28);
- call {:si_unique_call 1624} nVar1576 := proc130(28);
- call {:si_unique_call 1625} nVar1577 := proc130(28);
- call {:si_unique_call 1626} nVar1578 := proc130(28);
- call {:si_unique_call 1627} nVar1579 := proc130(28);
- call {:si_unique_call 1628} nVar1580 := proc130(28);
- call {:si_unique_call 1629} nVar1581 := proc130(28);
- call {:si_unique_call 1630} nVar1582 := proc130(28);
- call {:si_unique_call 1631} nVar1583 := proc130(16);
- call {:si_unique_call 1632} nVar1584 := proc130(4);
- call {:si_unique_call 1633} nVar1585 := proc130(12);
- call {:si_unique_call 1634} nVar1586 := proc130(28);
- call {:si_unique_call 1635} nVar1587 := proc130(28);
- call {:si_unique_call 1636} nVar1588 := proc130(28);
- call {:si_unique_call 1637} nVar1589 := proc130(16);
- call {:si_unique_call 1638} nVar1590 := proc130(28);
- call {:si_unique_call 1639} nVar1591 := proc130(28);
- call {:si_unique_call 1640} nVar1592 := proc130(16);
- call {:si_unique_call 1641} nVar1593 := proc130(28);
- call {:si_unique_call 1642} nVar1594 := proc130(28);
- call {:si_unique_call 1643} nVar1595 := proc130(28);
- call {:si_unique_call 1644} nVar1596 := proc130(12);
- call {:si_unique_call 1645} nVar1597 := proc130(28);
- call {:si_unique_call 1646} nVar1598 := proc130(28);
- call {:si_unique_call 1647} nVar1599 := proc130(28);
- call {:si_unique_call 1648} nVar1600 := proc130(4);
- call {:si_unique_call 1649} nVar1601 := proc130(28);
- call {:si_unique_call 1650} nVar1602 := proc130(28);
- call {:si_unique_call 1651} nVar1603 := proc130(28);
- call {:si_unique_call 1652} nVar1604 := proc130(28);
- call {:si_unique_call 1653} nVar1605 := proc130(24);
- call {:si_unique_call 1654} nVar1606 := proc130(28);
- call {:si_unique_call 1655} nVar1607 := proc130(28);
- call {:si_unique_call 1656} nVar1608 := proc130(28);
- call {:si_unique_call 1657} nVar1609 := proc130(28);
- call {:si_unique_call 1658} nVar1610 := proc130(12);
- call {:si_unique_call 1659} nVar1611 := proc130(28);
- call {:si_unique_call 1660} nVar1612 := proc130(28);
- call {:si_unique_call 1661} nVar1613 := proc130(16);
- call {:si_unique_call 1662} nVar1614 := proc130(28);
- call {:si_unique_call 1663} nVar1615 := proc130(28);
- call {:si_unique_call 1664} nVar1616 := proc130(28);
- call {:si_unique_call 1665} nVar1617 := proc130(28);
- call {:si_unique_call 1666} nVar1618 := proc130(28);
- call {:si_unique_call 1667} nVar1619 := proc130(28);
- call {:si_unique_call 1668} nVar1620 := proc130(28);
- call {:si_unique_call 1669} nVar1621 := proc130(28);
- call {:si_unique_call 1670} nVar1622 := proc130(28);
- call {:si_unique_call 1671} nVar1623 := proc130(28);
- call {:si_unique_call 1672} nVar1624 := proc130(28);
- call {:si_unique_call 1673} nVar1625 := proc130(28);
- call {:si_unique_call 1674} nVar1626 := proc130(28);
- call {:si_unique_call 1675} nVar1627 := proc130(28);
- call {:si_unique_call 1676} nVar1628 := proc130(28);
- call {:si_unique_call 1677} nVar1629 := proc130(12);
- call {:si_unique_call 1678} nVar1630 := proc130(12);
- call {:si_unique_call 1679} nVar1631 := proc130(16);
- call {:si_unique_call 1680} nVar1632 := proc130(16);
- call {:si_unique_call 1681} nVar1633 := proc130(28);
- call {:si_unique_call 1682} nVar1634 := proc130(28);
- call {:si_unique_call 1683} nVar1635 := proc130(28);
- call {:si_unique_call 1684} nVar1636 := proc130(28);
- call {:si_unique_call 1685} nVar1637 := proc130(28);
- call {:si_unique_call 1686} nVar1638 := proc130(4);
- call {:si_unique_call 1687} nVar1639 := proc130(28);
- call {:si_unique_call 1688} nVar1640 := proc130(28);
- call {:si_unique_call 1689} nVar1641 := proc130(16);
- call {:si_unique_call 1690} nVar1642 := proc130(28);
- call {:si_unique_call 1691} nVar1643 := proc130(28);
- call {:si_unique_call 1692} nVar1644 := proc130(28);
- call {:si_unique_call 1693} nVar1645 := proc130(16);
- call {:si_unique_call 1694} nVar1646 := proc130(28);
- call {:si_unique_call 1695} nVar1647 := proc130(28);
- call {:si_unique_call 1696} nVar1648 := proc130(28);
- call {:si_unique_call 1697} nVar1649 := proc130(28);
- call {:si_unique_call 1698} nVar1650 := proc130(28);
- call {:si_unique_call 1699} nVar1651 := proc130(28);
- call {:si_unique_call 1700} nVar1652 := proc130(28);
- call {:si_unique_call 1701} nVar1653 := proc130(28);
- call {:si_unique_call 1702} nVar1654 := proc130(28);
- call {:si_unique_call 1703} nVar1655 := proc130(28);
- call {:si_unique_call 1704} nVar1656 := proc130(28);
- call {:si_unique_call 1705} nVar1657 := proc130(28);
- call {:si_unique_call 1706} nVar1658 := proc130(28);
- call {:si_unique_call 1707} nVar1659 := proc130(28);
- call {:si_unique_call 1708} nVar1660 := proc130(28);
- call {:si_unique_call 1709} nVar1661 := proc130(28);
- call {:si_unique_call 1710} nVar1662 := proc130(28);
- call {:si_unique_call 1711} nVar1663 := proc130(28);
- call {:si_unique_call 1712} nVar1664 := proc130(16);
- call {:si_unique_call 1713} nVar1665 := proc130(4);
- call {:si_unique_call 1714} nVar1666 := proc130(4);
- call {:si_unique_call 1715} nVar1667 := proc130(28);
- call {:si_unique_call 1716} nVar4950 := proc130(8);
- call {:si_unique_call 1717} nVar1668 := proc130(28);
- call {:si_unique_call 1718} nVar1669 := proc130(28);
- call {:si_unique_call 1719} nVar1670 := proc130(28);
- call {:si_unique_call 1720} nVar1671 := proc130(28);
- call {:si_unique_call 1721} nVar1672 := proc130(28);
- call {:si_unique_call 1722} nVar1673 := proc130(28);
- call {:si_unique_call 1723} nVar1674 := proc130(28);
- call {:si_unique_call 1724} nVar1675 := proc130(28);
- call {:si_unique_call 1725} nVar1676 := proc130(56);
- call {:si_unique_call 1726} nVar1677 := proc130(28);
- call {:si_unique_call 1727} nVar1678 := proc130(16);
- call {:si_unique_call 1728} nVar1679 := proc130(28);
- call {:si_unique_call 1729} nVar1680 := proc130(28);
- call {:si_unique_call 1730} nVar1681 := proc130(28);
- call {:si_unique_call 1731} nVar1682 := proc130(28);
- call {:si_unique_call 1732} nVar1683 := proc130(28);
- call {:si_unique_call 1733} nVar1684 := proc130(28);
- call {:si_unique_call 1734} nVar1685 := proc130(4);
- call {:si_unique_call 1735} nVar1686 := proc130(28);
- call {:si_unique_call 1736} nVar1687 := proc130(28);
- call {:si_unique_call 1737} nVar1688 := proc130(28);
- call {:si_unique_call 1738} nVar1689 := proc130(28);
- call {:si_unique_call 1739} nVar1690 := proc130(16);
- call {:si_unique_call 1740} nVar1691 := proc130(28);
- call {:si_unique_call 1741} nVar1692 := proc130(28);
- call {:si_unique_call 1742} nVar1693 := proc130(28);
- call {:si_unique_call 1743} nVar1694 := proc130(28);
- call {:si_unique_call 1744} nVar1695 := proc130(28);
- call {:si_unique_call 1745} nVar1696 := proc130(28);
- call {:si_unique_call 1746} nVar4951 := proc130(16);
- call {:si_unique_call 1747} nVar1697 := proc130(28);
- call {:si_unique_call 1748} nVar1698 := proc130(28);
- call {:si_unique_call 1749} nVar1699 := proc130(28);
- call {:si_unique_call 1750} nVar1700 := proc130(4);
- call {:si_unique_call 1751} nVar1701 := proc130(28);
- call {:si_unique_call 1752} nVar1702 := proc130(28);
- call {:si_unique_call 1753} nVar1703 := proc130(16);
- call {:si_unique_call 1754} nVar1704 := proc130(28);
- call {:si_unique_call 1755} nVar1705 := proc130(28);
- call {:si_unique_call 1756} nVar1706 := proc130(28);
- call {:si_unique_call 1757} nVar1707 := proc130(28);
- call {:si_unique_call 1758} nVar1708 := proc130(28);
- call {:si_unique_call 1759} nVar1709 := proc130(28);
- call {:si_unique_call 1760} nVar1710 := proc130(28);
- call {:si_unique_call 1761} nVar1711 := proc130(12);
- call {:si_unique_call 1762} nVar1712 := proc130(12);
- call {:si_unique_call 1763} nVar1713 := proc130(28);
- call {:si_unique_call 1764} nVar1714 := proc130(28);
- call {:si_unique_call 1765} nVar1715 := proc130(16);
- call {:si_unique_call 1766} nVar1716 := proc130(24);
- call {:si_unique_call 1767} nVar1717 := proc130(28);
- call {:si_unique_call 1768} nVar1718 := proc130(8);
- call {:si_unique_call 1769} nVar1719 := proc130(28);
- call {:si_unique_call 1770} nVar1720 := proc130(28);
- call {:si_unique_call 1771} nVar1721 := proc130(28);
- call {:si_unique_call 1772} nVar1722 := proc130(28);
- call {:si_unique_call 1773} nVar1723 := proc130(28);
- call {:si_unique_call 1774} nVar1724 := proc130(28);
- call {:si_unique_call 1775} nVar1725 := proc130(28);
- call {:si_unique_call 1776} nVar1726 := proc130(28);
- call {:si_unique_call 1777} nVar1727 := proc130(28);
- call {:si_unique_call 1778} nVar1728 := proc130(28);
- call {:si_unique_call 1779} nVar1729 := proc130(24);
- call {:si_unique_call 1780} nVar1730 := proc130(28);
- call {:si_unique_call 1781} nVar1731 := proc130(28);
- call {:si_unique_call 1782} nVar1732 := proc130(28);
- call {:si_unique_call 1783} nVar1733 := proc130(28);
- call {:si_unique_call 1784} nVar1734 := proc130(28);
- call {:si_unique_call 1785} nVar1735 := proc130(28);
- call {:si_unique_call 1786} nVar1736 := proc130(28);
- call {:si_unique_call 1787} nVar1737 := proc130(28);
- call {:si_unique_call 1788} nVar1738 := proc130(28);
- call {:si_unique_call 1789} nVar1739 := proc130(28);
- call {:si_unique_call 1790} nVar1740 := proc130(28);
- call {:si_unique_call 1791} nVar1741 := proc130(28);
- call {:si_unique_call 1792} nVar1742 := proc130(28);
- call {:si_unique_call 1793} nVar1743 := proc130(28);
- call {:si_unique_call 1794} nVar1744 := proc130(28);
- call {:si_unique_call 1795} nVar1745 := proc130(28);
- call {:si_unique_call 1796} nVar1746 := proc130(28);
- call {:si_unique_call 1797} nVar1747 := proc130(28);
- call {:si_unique_call 1798} nVar1748 := proc130(28);
- call {:si_unique_call 1799} nVar1749 := proc130(28);
- call {:si_unique_call 1800} nVar1750 := proc130(28);
- call {:si_unique_call 1801} nVar1751 := proc130(28);
- call {:si_unique_call 1802} nVar1752 := proc130(28);
- call {:si_unique_call 1803} nVar1753 := proc130(28);
- call {:si_unique_call 1804} nVar1754 := proc130(28);
- call {:si_unique_call 1805} nVar1755 := proc130(28);
- call {:si_unique_call 1806} nVar1756 := proc130(56);
- call {:si_unique_call 1807} nVar1757 := proc130(28);
- call {:si_unique_call 1808} nVar1758 := proc130(28);
- call {:si_unique_call 1809} nVar1759 := proc130(28);
- call {:si_unique_call 1810} nVar1760 := proc130(28);
- call {:si_unique_call 1811} nVar1761 := proc130(28);
- call {:si_unique_call 1812} nVar1762 := proc130(28);
- call {:si_unique_call 1813} nVar1763 := proc130(28);
- call {:si_unique_call 1814} nVar4952 := proc130(16);
- call {:si_unique_call 1815} nVar1764 := proc130(28);
- call {:si_unique_call 1816} nVar1765 := proc130(28);
- call {:si_unique_call 1817} nVar1766 := proc130(28);
- call {:si_unique_call 1818} nVar1767 := proc130(28);
- call {:si_unique_call 1819} nVar1768 := proc130(28);
- call {:si_unique_call 1820} nVar1769 := proc130(28);
- call {:si_unique_call 1821} nVar1770 := proc130(16);
- call {:si_unique_call 1822} nVar1771 := proc130(28);
- call {:si_unique_call 1823} nVar1772 := proc130(28);
- call {:si_unique_call 1824} nVar1773 := proc130(28);
- call {:si_unique_call 1825} nVar1774 := proc130(28);
- call {:si_unique_call 1826} nVar1775 := proc130(28);
- call {:si_unique_call 1827} nVar1776 := proc130(28);
- call {:si_unique_call 1828} nVar1777 := proc130(4);
- call {:si_unique_call 1829} nVar1778 := proc130(28);
- call {:si_unique_call 1830} nVar1779 := proc130(4);
- call {:si_unique_call 1831} nVar1780 := proc130(28);
- call {:si_unique_call 1832} nVar1781 := proc130(28);
- call {:si_unique_call 1833} nVar1782 := proc130(28);
- call {:si_unique_call 1834} nVar1783 := proc130(28);
- call {:si_unique_call 1835} nVar1784 := proc130(28);
- call {:si_unique_call 1836} nVar1785 := proc130(28);
- call {:si_unique_call 1837} nVar1786 := proc130(4);
- call {:si_unique_call 1838} nVar1787 := proc130(28);
- call {:si_unique_call 1839} nVar1788 := proc130(28);
- call {:si_unique_call 1840} nVar1789 := proc130(4);
- call {:si_unique_call 1841} nVar1790 := proc130(16);
- call {:si_unique_call 1842} nVar1791 := proc130(28);
- call {:si_unique_call 1843} nVar1792 := proc130(28);
- call {:si_unique_call 1844} nVar1793 := proc130(12);
- call {:si_unique_call 1845} nVar1794 := proc130(28);
- call {:si_unique_call 1846} nVar1795 := proc130(28);
- call {:si_unique_call 1847} nVar1796 := proc130(12);
- call {:si_unique_call 1848} nVar1797 := proc130(28);
- call {:si_unique_call 1849} nVar1798 := proc130(28);
- call {:si_unique_call 1850} nVar1799 := proc130(28);
- call {:si_unique_call 1851} nVar1800 := proc130(28);
- call {:si_unique_call 1852} nVar1801 := proc130(24);
- call {:si_unique_call 1853} nVar1802 := proc130(4);
- call {:si_unique_call 1854} nVar1803 := proc130(24);
- call {:si_unique_call 1855} nVar1804 := proc130(28);
- call {:si_unique_call 1856} nVar1805 := proc130(28);
- call {:si_unique_call 1857} nVar1806 := proc130(28);
- call {:si_unique_call 1858} nVar1807 := proc130(12);
- call {:si_unique_call 1859} nVar1808 := proc130(28);
- call {:si_unique_call 1860} nVar1809 := proc130(28);
- call {:si_unique_call 1861} nVar1810 := proc130(28);
- call {:si_unique_call 1862} nVar1811 := proc130(24);
- call {:si_unique_call 1863} nVar1812 := proc130(28);
- call {:si_unique_call 1864} nVar1813 := proc130(28);
- call {:si_unique_call 1865} nVar1814 := proc130(28);
- call {:si_unique_call 1866} nVar1815 := proc130(12);
- call {:si_unique_call 1867} nVar1816 := proc130(4);
- call {:si_unique_call 1868} nVar1817 := proc130(28);
- call {:si_unique_call 1869} nVar1818 := proc130(28);
- call {:si_unique_call 1870} nVar1819 := proc130(28);
- call {:si_unique_call 1871} nVar1820 := proc130(28);
- call {:si_unique_call 1872} nVar1821 := proc130(16);
- call {:si_unique_call 1873} nVar1822 := proc130(28);
- call {:si_unique_call 1874} nVar1823 := proc130(28);
- call {:si_unique_call 1875} nVar1824 := proc130(24);
- call {:si_unique_call 1876} nVar1825 := proc130(28);
- call {:si_unique_call 1877} nVar1826 := proc130(28);
- call {:si_unique_call 1878} nVar1827 := proc130(28);
- call {:si_unique_call 1879} nVar1828 := proc130(28);
- call {:si_unique_call 1880} nVar1829 := proc130(28);
- call {:si_unique_call 1881} nVar1830 := proc130(16);
- call {:si_unique_call 1882} nVar1831 := proc130(28);
- call {:si_unique_call 1883} nVar1832 := proc130(24);
- call {:si_unique_call 1884} nVar1833 := proc130(24);
- call {:si_unique_call 1885} nVar1834 := proc130(28);
- call {:si_unique_call 1886} nVar1835 := proc130(28);
- call {:si_unique_call 1887} nVar1836 := proc130(28);
- call {:si_unique_call 1888} nVar1837 := proc130(28);
- call {:si_unique_call 1889} nVar1838 := proc130(16);
- call {:si_unique_call 1890} nVar1839 := proc130(28);
- call {:si_unique_call 1891} nVar1840 := proc130(28);
- call {:si_unique_call 1892} nVar1841 := proc130(4);
- call {:si_unique_call 1893} nVar1842 := proc130(28);
- call {:si_unique_call 1894} nVar1843 := proc130(28);
- call {:si_unique_call 1895} nVar1844 := proc130(28);
- call {:si_unique_call 1896} nVar1845 := proc130(12);
- call {:si_unique_call 1897} nVar1846 := proc130(12);
- call {:si_unique_call 1898} nVar1847 := proc130(28);
- call {:si_unique_call 1899} nVar1848 := proc130(28);
- call {:si_unique_call 1900} nVar1849 := proc130(28);
- call {:si_unique_call 1901} nVar1850 := proc130(28);
- call {:si_unique_call 1902} nVar1851 := proc130(28);
- call {:si_unique_call 1903} nVar1852 := proc130(12);
- call {:si_unique_call 1904} nVar1853 := proc130(4);
- call {:si_unique_call 1905} nVar1854 := proc130(28);
- call {:si_unique_call 1906} nVar1855 := proc130(28);
- call {:si_unique_call 1907} nVar1856 := proc130(28);
- call {:si_unique_call 1908} nVar1857 := proc130(28);
- call {:si_unique_call 1909} nVar1858 := proc130(28);
- call {:si_unique_call 1910} nVar1859 := proc130(28);
- call {:si_unique_call 1911} nVar1860 := proc130(28);
- call {:si_unique_call 1912} nVar1861 := proc130(28);
- call {:si_unique_call 1913} nVar1862 := proc130(28);
- call {:si_unique_call 1914} nVar1863 := proc130(28);
- call {:si_unique_call 1915} nVar1864 := proc130(28);
- call {:si_unique_call 1916} nVar1865 := proc130(28);
- call {:si_unique_call 1917} nVar1866 := proc130(28);
- call {:si_unique_call 1918} nVar1867 := proc130(28);
- call {:si_unique_call 1919} nVar1868 := proc130(28);
- call {:si_unique_call 1920} nVar1869 := proc130(28);
- call {:si_unique_call 1921} nVar1870 := proc130(28);
- call {:si_unique_call 1922} nVar1871 := proc130(4);
- call {:si_unique_call 1923} nVar1872 := proc130(24);
- call {:si_unique_call 1924} nVar1873 := proc130(28);
- call {:si_unique_call 1925} nVar1874 := proc130(12);
- call {:si_unique_call 1926} nVar1875 := proc130(28);
- call {:si_unique_call 1927} nVar1876 := proc130(28);
- call {:si_unique_call 1928} nVar1877 := proc130(28);
- call {:si_unique_call 1929} nVar1878 := proc130(28);
- call {:si_unique_call 1930} nVar1879 := proc130(28);
- call {:si_unique_call 1931} nVar1880 := proc130(12);
- call {:si_unique_call 1932} nVar1881 := proc130(28);
- call {:si_unique_call 1933} nVar1882 := proc130(28);
- call {:si_unique_call 1934} nVar1883 := proc130(28);
- call {:si_unique_call 1935} nVar1884 := proc130(24);
- call {:si_unique_call 1936} nVar1885 := proc130(28);
- call {:si_unique_call 1937} nVar1886 := proc130(28);
- call {:si_unique_call 1938} nVar1887 := proc130(28);
- call {:si_unique_call 1939} nVar1888 := proc130(28);
- call {:si_unique_call 1940} nVar1889 := proc130(28);
- call {:si_unique_call 1941} nVar1890 := proc130(28);
- call {:si_unique_call 1942} nVar1891 := proc130(28);
- call {:si_unique_call 1943} nVar1892 := proc130(28);
- call {:si_unique_call 1944} nVar1893 := proc130(28);
- call {:si_unique_call 1945} nVar1894 := proc130(28);
- call {:si_unique_call 1946} nVar1895 := proc130(16);
- call {:si_unique_call 1947} nVar1896 := proc130(4);
- call {:si_unique_call 1948} nVar1897 := proc130(12);
- call {:si_unique_call 1949} nVar1898 := proc130(28);
- call {:si_unique_call 1950} nVar1899 := proc130(28);
- call {:si_unique_call 1951} nVar1900 := proc130(28);
- call {:si_unique_call 1952} nVar1901 := proc130(28);
- call {:si_unique_call 1953} nVar1902 := proc130(28);
- call {:si_unique_call 1954} nVar1903 := proc130(28);
- call {:si_unique_call 1955} nVar1904 := proc130(16);
- call {:si_unique_call 1956} nVar1905 := proc130(28);
- call {:si_unique_call 1957} nVar1906 := proc130(28);
- call {:si_unique_call 1958} nVar1907 := proc130(28);
- call {:si_unique_call 1959} nVar1908 := proc130(28);
- call {:si_unique_call 1960} nVar1909 := proc130(8);
- call {:si_unique_call 1961} nVar1910 := proc130(28);
- call {:si_unique_call 1962} nVar1911 := proc130(28);
- call {:si_unique_call 1963} nVar4953 := proc130(16);
- call {:si_unique_call 1964} nVar1912 := proc130(28);
- call {:si_unique_call 1965} nVar1913 := proc130(28);
- call {:si_unique_call 1966} nVar1914 := proc130(28);
- call {:si_unique_call 1967} nVar1915 := proc130(28);
- call {:si_unique_call 1968} nVar1916 := proc130(28);
- call {:si_unique_call 1969} nVar1917 := proc130(28);
- call {:si_unique_call 1970} nVar1918 := proc130(28);
- call {:si_unique_call 1971} nVar1919 := proc130(28);
- call {:si_unique_call 1972} nVar1920 := proc130(28);
- call {:si_unique_call 1973} nVar1921 := proc130(28);
- call {:si_unique_call 1974} nVar1922 := proc130(4);
- call {:si_unique_call 1975} nVar1923 := proc130(24);
- call {:si_unique_call 1976} nVar1924 := proc130(4);
- call {:si_unique_call 1977} nVar1925 := proc130(28);
- call {:si_unique_call 1978} nVar1926 := proc130(28);
- call {:si_unique_call 1979} nVar1928 := proc130(28);
- call {:si_unique_call 1980} nVar1929 := proc130(28);
- call {:si_unique_call 1981} nVar1930 := proc130(28);
- call {:si_unique_call 1982} nVar1931 := proc130(28);
- call {:si_unique_call 1983} nVar1932 := proc130(28);
- call {:si_unique_call 1984} nVar1933 := proc130(24);
- call {:si_unique_call 1985} nVar1934 := proc130(28);
- call {:si_unique_call 1986} nVar1935 := proc130(28);
- call {:si_unique_call 1987} nVar1936 := proc130(28);
- call {:si_unique_call 1988} nVar1937 := proc130(28);
- call {:si_unique_call 1989} nVar1938 := proc130(16);
- call {:si_unique_call 1990} nVar1939 := proc130(28);
- call {:si_unique_call 1991} nVar1940 := proc130(28);
- call {:si_unique_call 1992} nVar1941 := proc130(28);
- call {:si_unique_call 1993} nVar1942 := proc130(28);
- call {:si_unique_call 1994} nVar1943 := proc130(28);
- call {:si_unique_call 1995} nVar4954 := proc130(16);
- call {:si_unique_call 1996} nVar1944 := proc130(16);
- call {:si_unique_call 1997} nVar1945 := proc130(28);
- call {:si_unique_call 1998} nVar1946 := proc130(24);
- call {:si_unique_call 1999} nVar1947 := proc130(28);
- call {:si_unique_call 2000} nVar1948 := proc130(28);
- call {:si_unique_call 2001} nVar1949 := proc130(28);
- call {:si_unique_call 2002} nVar1950 := proc130(12);
- call {:si_unique_call 2003} nVar1951 := proc130(28);
- call {:si_unique_call 2004} nVar1952 := proc130(28);
- call {:si_unique_call 2005} nVar1953 := proc130(28);
- call {:si_unique_call 2006} nVar1954 := proc130(28);
- call {:si_unique_call 2007} nVar1955 := proc130(28);
- call {:si_unique_call 2008} nVar1956 := proc130(28);
- call {:si_unique_call 2009} nVar1957 := proc130(28);
- call {:si_unique_call 2010} nVar1958 := proc130(28);
- call {:si_unique_call 2011} nVar1959 := proc130(4);
- call {:si_unique_call 2012} nVar1960 := proc130(28);
- call {:si_unique_call 2013} nVar1961 := proc130(28);
- call {:si_unique_call 2014} nVar1962 := proc130(28);
- call {:si_unique_call 2015} nVar1963 := proc130(28);
- call {:si_unique_call 2016} nVar1964 := proc130(28);
- call {:si_unique_call 2017} nVar1965 := proc130(28);
- call {:si_unique_call 2018} nVar1966 := proc130(28);
- call {:si_unique_call 2019} nVar1967 := proc130(28);
- call {:si_unique_call 2020} nVar1968 := proc130(28);
- call {:si_unique_call 2021} nVar1969 := proc130(16);
- call {:si_unique_call 2022} nVar1970 := proc130(28);
- call {:si_unique_call 2023} nVar1971 := proc130(28);
- call {:si_unique_call 2024} nVar1972 := proc130(28);
- call {:si_unique_call 2025} nVar1973 := proc130(28);
- call {:si_unique_call 2026} nVar1974 := proc130(28);
- call {:si_unique_call 2027} nVar1975 := proc130(28);
- call {:si_unique_call 2028} nVar1976 := proc130(28);
- call {:si_unique_call 2029} nVar1977 := proc130(28);
- call {:si_unique_call 2030} nVar1978 := proc130(28);
- call {:si_unique_call 2031} nVar1979 := proc130(28);
- call {:si_unique_call 2032} nVar1980 := proc130(28);
- call {:si_unique_call 2033} nVar1981 := proc130(16);
- call {:si_unique_call 2034} nVar1982 := proc130(28);
- call {:si_unique_call 2035} nVar1983 := proc130(28);
- call {:si_unique_call 2036} nVar1984 := proc130(28);
- call {:si_unique_call 2037} nVar1985 := proc130(24);
- call {:si_unique_call 2038} nVar1986 := proc130(28);
- call {:si_unique_call 2039} nVar1987 := proc130(28);
- call {:si_unique_call 2040} nVar1988 := proc130(12);
- call {:si_unique_call 2041} nVar1989 := proc130(28);
- call {:si_unique_call 2042} nVar1990 := proc130(12);
- call {:si_unique_call 2043} nVar1991 := proc130(28);
- call {:si_unique_call 2044} nVar1992 := proc130(28);
- call {:si_unique_call 2045} nVar1993 := proc130(28);
- call {:si_unique_call 2046} nVar1994 := proc130(12);
- call {:si_unique_call 2047} nVar1995 := proc130(28);
- call {:si_unique_call 2048} nVar1996 := proc130(28);
- call {:si_unique_call 2049} nVar1997 := proc130(12);
- call {:si_unique_call 2050} nVar1998 := proc130(28);
- call {:si_unique_call 2051} nVar1999 := proc130(28);
- call {:si_unique_call 2052} nVar2000 := proc130(28);
- call {:si_unique_call 2053} nVar2001 := proc130(28);
- call {:si_unique_call 2054} nVar2002 := proc130(16);
- call {:si_unique_call 2055} nVar2003 := proc130(28);
- call {:si_unique_call 2056} nVar2004 := proc130(28);
- call {:si_unique_call 2057} nVar2005 := proc130(28);
- call {:si_unique_call 2058} nVar2006 := proc130(28);
- call {:si_unique_call 2059} nVar2007 := proc130(28);
- call {:si_unique_call 2060} nVar2008 := proc130(28);
- call {:si_unique_call 2061} nVar2009 := proc130(28);
- call {:si_unique_call 2062} nVar2010 := proc130(28);
- call {:si_unique_call 2063} nVar2011 := proc130(28);
- call {:si_unique_call 2064} nVar2012 := proc130(28);
- call {:si_unique_call 2065} nVar2013 := proc130(28);
- call {:si_unique_call 2066} nVar2014 := proc130(28);
- call {:si_unique_call 2067} nVar2015 := proc130(28);
- call {:si_unique_call 2068} nVar2016 := proc130(28);
- call {:si_unique_call 2069} nVar2017 := proc130(28);
- call {:si_unique_call 2070} nVar2018 := proc130(28);
- call {:si_unique_call 2071} nVar2019 := proc130(28);
- call {:si_unique_call 2072} nVar2020 := proc130(28);
- call {:si_unique_call 2073} nVar2021 := proc130(28);
- call {:si_unique_call 2074} nVar2022 := proc130(28);
- call {:si_unique_call 2075} nVar2023 := proc130(28);
- call {:si_unique_call 2076} nVar2024 := proc130(28);
- call {:si_unique_call 2077} nVar2025 := proc130(16);
- call {:si_unique_call 2078} nVar2026 := proc130(28);
- call {:si_unique_call 2079} nVar2027 := proc130(28);
- call {:si_unique_call 2080} nVar2028 := proc130(28);
- call {:si_unique_call 2081} nVar2029 := proc130(28);
- call {:si_unique_call 2082} nVar2030 := proc130(28);
- call {:si_unique_call 2083} nVar2031 := proc130(28);
- call {:si_unique_call 2084} nVar2032 := proc130(28);
- call {:si_unique_call 2085} nVar2033 := proc130(28);
- call {:si_unique_call 2086} nVar2034 := proc130(28);
- call {:si_unique_call 2087} nVar2035 := proc130(28);
- call {:si_unique_call 2088} nVar2036 := proc130(16);
- call {:si_unique_call 2089} nVar2037 := proc130(28);
- call {:si_unique_call 2090} nVar2038 := proc130(28);
- call {:si_unique_call 2091} nVar2039 := proc130(28);
- call {:si_unique_call 2092} nVar2040 := proc130(28);
- call {:si_unique_call 2093} nVar2041 := proc130(28);
- call {:si_unique_call 2094} nVar2042 := proc130(28);
- call {:si_unique_call 2095} nVar2043 := proc130(28);
- call {:si_unique_call 2096} nVar2044 := proc130(28);
- call {:si_unique_call 2097} nVar2045 := proc130(28);
- call {:si_unique_call 2098} nVar2046 := proc130(28);
- call {:si_unique_call 2099} nVar2047 := proc130(28);
- call {:si_unique_call 2100} nVar2048 := proc130(28);
- call {:si_unique_call 2101} nVar2049 := proc130(28);
- call {:si_unique_call 2102} nVar2050 := proc130(28);
- call {:si_unique_call 2103} nVar2051 := proc130(28);
- call {:si_unique_call 2104} nVar2052 := proc130(24);
- call {:si_unique_call 2105} nVar2053 := proc130(28);
- call {:si_unique_call 2106} nVar2054 := proc130(28);
- call {:si_unique_call 2107} nVar2055 := proc130(24);
- call {:si_unique_call 2108} nVar2056 := proc130(28);
- call {:si_unique_call 2109} nVar2057 := proc130(28);
- call {:si_unique_call 2110} nVar2058 := proc130(28);
- call {:si_unique_call 2111} nVar2059 := proc130(28);
- call {:si_unique_call 2112} nVar2060 := proc130(28);
- call {:si_unique_call 2113} nVar2061 := proc130(28);
- call {:si_unique_call 2114} nVar2062 := proc130(28);
- call {:si_unique_call 2115} nVar2063 := proc130(28);
- call {:si_unique_call 2116} nVar2064 := proc130(28);
- call {:si_unique_call 2117} nVar2065 := proc130(4);
- call {:si_unique_call 2118} nVar2066 := proc130(28);
- call {:si_unique_call 2119} nVar2067 := proc130(28);
- call {:si_unique_call 2120} nVar2068 := proc130(28);
- call {:si_unique_call 2121} nVar2069 := proc130(28);
- call {:si_unique_call 2122} nVar2070 := proc130(28);
- call {:si_unique_call 2123} nVar2071 := proc130(28);
- call {:si_unique_call 2124} nVar2072 := proc130(28);
- call {:si_unique_call 2125} nVar2073 := proc130(28);
- call {:si_unique_call 2126} nVar2074 := proc130(28);
- call {:si_unique_call 2127} nVar2075 := proc130(12);
- call {:si_unique_call 2128} nVar2076 := proc130(12);
- call {:si_unique_call 2129} nVar2077 := proc130(28);
- call {:si_unique_call 2130} nVar4955 := proc130(16);
- call {:si_unique_call 2131} nVar2078 := proc130(28);
- call {:si_unique_call 2132} nVar2079 := proc130(12);
- call {:si_unique_call 2133} nVar2080 := proc130(16);
- call {:si_unique_call 2134} nVar2081 := proc130(28);
- call {:si_unique_call 2135} nVar2082 := proc130(28);
- call {:si_unique_call 2136} nVar2083 := proc130(28);
- call {:si_unique_call 2137} nVar2084 := proc130(28);
- call {:si_unique_call 2138} nVar2085 := proc130(28);
- call {:si_unique_call 2139} nVar2086 := proc130(28);
- call {:si_unique_call 2140} nVar2087 := proc130(28);
- call {:si_unique_call 2141} nVar2088 := proc130(28);
- call {:si_unique_call 2142} nVar2089 := proc130(28);
- call {:si_unique_call 2143} nVar2090 := proc130(28);
- call {:si_unique_call 2144} nVar2091 := proc130(28);
- call {:si_unique_call 2145} nVar2092 := proc130(28);
- call {:si_unique_call 2146} nVar2093 := proc130(24);
- call {:si_unique_call 2147} nVar2094 := proc130(28);
- call {:si_unique_call 2148} nVar2095 := proc130(4);
- call {:si_unique_call 2149} nVar2096 := proc130(28);
- call {:si_unique_call 2150} nVar2097 := proc130(28);
- call {:si_unique_call 2151} nVar2098 := proc130(28);
- call {:si_unique_call 2152} nVar2099 := proc130(28);
- call {:si_unique_call 2153} nVar2100 := proc130(28);
- call {:si_unique_call 2154} nVar2101 := proc130(12);
- call {:si_unique_call 2155} nVar2102 := proc130(4);
- call {:si_unique_call 2156} nVar2104 := proc130(28);
- call {:si_unique_call 2157} nVar2105 := proc130(28);
- call {:si_unique_call 2158} nVar2106 := proc130(28);
- call {:si_unique_call 2159} nVar2107 := proc130(28);
- call {:si_unique_call 2160} nVar2108 := proc130(28);
- call {:si_unique_call 2161} nVar2109 := proc130(12);
- call {:si_unique_call 2162} nVar2110 := proc130(28);
- call {:si_unique_call 2163} nVar2111 := proc130(24);
- call {:si_unique_call 2164} nVar2112 := proc130(4);
- call {:si_unique_call 2165} nVar2113 := proc130(28);
- call {:si_unique_call 2166} nVar2114 := proc130(28);
- call {:si_unique_call 2167} nVar2115 := proc130(28);
- call {:si_unique_call 2168} nVar2116 := proc130(28);
- call {:si_unique_call 2169} nVar2117 := proc130(12);
- call {:si_unique_call 2170} nVar2118 := proc130(28);
- call {:si_unique_call 2171} nVar2119 := proc130(28);
- call {:si_unique_call 2172} nVar2120 := proc130(4);
- call {:si_unique_call 2173} nVar2121 := proc130(28);
- call {:si_unique_call 2174} nVar2122 := proc130(28);
- call {:si_unique_call 2175} nVar2123 := proc130(28);
- call {:si_unique_call 2176} nVar2124 := proc130(28);
- call {:si_unique_call 2177} nVar2125 := proc130(16);
- call {:si_unique_call 2178} nVar2126 := proc130(28);
- call {:si_unique_call 2179} nVar2127 := proc130(28);
- call {:si_unique_call 2180} nVar2128 := proc130(28);
- call {:si_unique_call 2181} nVar2129 := proc130(28);
- call {:si_unique_call 2182} nVar2130 := proc130(28);
- call {:si_unique_call 2183} nVar2131 := proc130(28);
- call {:si_unique_call 2184} nVar2132 := proc130(28);
- call {:si_unique_call 2185} nVar2133 := proc130(28);
- call {:si_unique_call 2186} nVar2134 := proc130(28);
- call {:si_unique_call 2187} nVar2135 := proc130(4);
- call {:si_unique_call 2188} nVar2136 := proc130(28);
- call {:si_unique_call 2189} nVar2137 := proc130(4);
- call {:si_unique_call 2190} nVar2138 := proc130(28);
- call {:si_unique_call 2191} nVar2139 := proc130(28);
- call {:si_unique_call 2192} nVar2140 := proc130(28);
- call {:si_unique_call 2193} nVar2141 := proc130(28);
- call {:si_unique_call 2194} nVar2142 := proc130(28);
- call {:si_unique_call 2195} nVar2143 := proc130(28);
- call {:si_unique_call 2196} nVar2144 := proc130(28);
- call {:si_unique_call 2197} nVar2145 := proc130(28);
- call {:si_unique_call 2198} nVar2146 := proc130(28);
- call {:si_unique_call 2199} nVar2147 := proc130(28);
- call {:si_unique_call 2200} nVar2148 := proc130(4);
- call {:si_unique_call 2201} nVar2149 := proc130(28);
- call {:si_unique_call 2202} nVar2150 := proc130(28);
- call {:si_unique_call 2203} nVar2151 := proc130(28);
- call {:si_unique_call 2204} nVar2152 := proc130(12);
- call {:si_unique_call 2205} nVar2153 := proc130(28);
- call {:si_unique_call 2206} nVar2154 := proc130(28);
- call {:si_unique_call 2207} nVar2155 := proc130(4);
- call {:si_unique_call 2208} nVar2156 := proc130(28);
- call {:si_unique_call 2209} nVar2157 := proc130(28);
- call {:si_unique_call 2210} nVar2158 := proc130(28);
- call {:si_unique_call 2211} nVar2159 := proc130(28);
- call {:si_unique_call 2212} nVar2160 := proc130(28);
- call {:si_unique_call 2213} nVar2161 := proc130(28);
- call {:si_unique_call 2214} nVar2162 := proc130(28);
- call {:si_unique_call 2215} nVar2163 := proc130(28);
- call {:si_unique_call 2216} nVar2164 := proc130(28);
- call {:si_unique_call 2217} nVar2165 := proc130(28);
- call {:si_unique_call 2218} nVar2166 := proc130(28);
- call {:si_unique_call 2219} nVar2167 := proc130(28);
- call {:si_unique_call 2220} nVar2168 := proc130(28);
- call {:si_unique_call 2221} nVar2169 := proc130(16);
- call {:si_unique_call 2222} nVar2170 := proc130(28);
- call {:si_unique_call 2223} nVar2171 := proc130(12);
- call {:si_unique_call 2224} nVar2172 := proc130(28);
- call {:si_unique_call 2225} nVar2173 := proc130(28);
- call {:si_unique_call 2226} nVar2174 := proc130(16);
- call {:si_unique_call 2227} nVar2175 := proc130(28);
- call {:si_unique_call 2228} nVar2176 := proc130(28);
- call {:si_unique_call 2229} nVar2177 := proc130(28);
- call {:si_unique_call 2230} nVar2178 := proc130(28);
- call {:si_unique_call 2231} nVar2180 := proc130(4);
- call {:si_unique_call 2232} nVar2181 := proc130(24);
- call {:si_unique_call 2233} nVar2182 := proc130(28);
- call {:si_unique_call 2234} nVar2183 := proc130(28);
- call {:si_unique_call 2235} nVar2184 := proc130(28);
- call {:si_unique_call 2236} nVar2185 := proc130(28);
- call {:si_unique_call 2237} nVar2186 := proc130(28);
- call {:si_unique_call 2238} nVar2187 := proc130(28);
- call {:si_unique_call 2239} nVar2188 := proc130(28);
- call {:si_unique_call 2240} nVar2189 := proc130(24);
- call {:si_unique_call 2241} nVar2190 := proc130(28);
- call {:si_unique_call 2242} nVar2191 := proc130(28);
- call {:si_unique_call 2243} nVar2192 := proc130(28);
- call {:si_unique_call 2244} nVar2193 := proc130(12);
- call {:si_unique_call 2245} nVar2194 := proc130(28);
- call {:si_unique_call 2246} nVar2195 := proc130(28);
- call {:si_unique_call 2247} nVar2196 := proc130(28);
- call {:si_unique_call 2248} nVar2197 := proc130(28);
- call {:si_unique_call 2249} nVar2198 := proc130(28);
- call {:si_unique_call 2250} nVar2199 := proc130(28);
- call {:si_unique_call 2251} nVar2200 := proc130(28);
- call {:si_unique_call 2252} nVar2201 := proc130(12);
- call {:si_unique_call 2253} nVar2202 := proc130(28);
- call {:si_unique_call 2254} nVar2203 := proc130(24);
- call {:si_unique_call 2255} nVar2204 := proc130(4);
- call {:si_unique_call 2256} nVar2205 := proc130(4);
- call {:si_unique_call 2257} nVar2206 := proc130(28);
- call {:si_unique_call 2258} nVar2207 := proc130(28);
- call {:si_unique_call 2259} nVar2208 := proc130(4);
- call {:si_unique_call 2260} nVar2209 := proc130(28);
- call {:si_unique_call 2261} nVar2210 := proc130(4);
- call {:si_unique_call 2262} nVar2211 := proc130(28);
- call {:si_unique_call 2263} nVar2212 := proc130(28);
- call {:si_unique_call 2264} nVar2213 := proc130(28);
- call {:si_unique_call 2265} nVar2214 := proc130(28);
- call {:si_unique_call 2266} nVar2215 := proc130(28);
- call {:si_unique_call 2267} nVar2216 := proc130(28);
- call {:si_unique_call 2268} nVar2217 := proc130(28);
- call {:si_unique_call 2269} nVar2218 := proc130(28);
- call {:si_unique_call 2270} nVar2219 := proc130(28);
- call {:si_unique_call 2271} nVar2220 := proc130(28);
- call {:si_unique_call 2272} nVar2221 := proc130(28);
- call {:si_unique_call 2273} nVar2222 := proc130(28);
- call {:si_unique_call 2274} nVar2223 := proc130(28);
- call {:si_unique_call 2275} nVar2224 := proc130(28);
- call {:si_unique_call 2276} nVar2225 := proc130(28);
- call {:si_unique_call 2277} nVar2226 := proc130(16);
- call {:si_unique_call 2278} nVar2227 := proc130(28);
- call {:si_unique_call 2279} nVar2228 := proc130(28);
- call {:si_unique_call 2280} nVar2229 := proc130(28);
- call {:si_unique_call 2281} nVar2230 := proc130(28);
- call {:si_unique_call 2282} nVar2231 := proc130(28);
- call {:si_unique_call 2283} nVar2232 := proc130(28);
- call {:si_unique_call 2284} nVar2233 := proc130(28);
- call {:si_unique_call 2285} nVar2234 := proc130(24);
- call {:si_unique_call 2286} nVar2235 := proc130(4);
- call {:si_unique_call 2287} nVar2236 := proc130(28);
- call {:si_unique_call 2288} nVar2237 := proc130(28);
- call {:si_unique_call 2289} nVar2238 := proc130(28);
- call {:si_unique_call 2290} nVar2239 := proc130(28);
- call {:si_unique_call 2291} nVar2240 := proc130(16);
- call {:si_unique_call 2292} nVar2241 := proc130(28);
- call {:si_unique_call 2293} nVar2242 := proc130(12);
- call {:si_unique_call 2294} nVar2243 := proc130(28);
- call {:si_unique_call 2295} nVar2244 := proc130(28);
- call {:si_unique_call 2296} nVar2245 := proc130(28);
- call {:si_unique_call 2297} nVar2246 := proc130(4);
- call {:si_unique_call 2298} nVar2247 := proc130(28);
- call {:si_unique_call 2299} nVar2248 := proc130(28);
- call {:si_unique_call 2300} nVar2249 := proc130(24);
- call {:si_unique_call 2301} nVar2250 := proc130(28);
- call {:si_unique_call 2302} nVar2251 := proc130(4);
- call {:si_unique_call 2303} nVar2252 := proc130(12);
- call {:si_unique_call 2304} nVar2253 := proc130(28);
- call {:si_unique_call 2305} nVar2254 := proc130(28);
- call {:si_unique_call 2306} nVar2255 := proc130(28);
- call {:si_unique_call 2307} nVar2256 := proc130(28);
- call {:si_unique_call 2308} nVar2257 := proc130(28);
- call {:si_unique_call 2309} nVar2258 := proc130(28);
- call {:si_unique_call 2310} nVar2259 := proc130(12);
- call {:si_unique_call 2311} nVar2260 := proc130(28);
- call {:si_unique_call 2312} nVar2261 := proc130(28);
- call {:si_unique_call 2313} nVar2262 := proc130(28);
- call {:si_unique_call 2314} nVar2263 := proc130(28);
- call {:si_unique_call 2315} nVar2264 := proc130(28);
- call {:si_unique_call 2316} nVar2265 := proc130(28);
- call {:si_unique_call 2317} nVar2266 := proc130(28);
- call {:si_unique_call 2318} nVar2267 := proc130(28);
- call {:si_unique_call 2319} nVar2268 := proc130(28);
- call {:si_unique_call 2320} nVar2269 := proc130(28);
- call {:si_unique_call 2321} nVar2270 := proc130(28);
- call {:si_unique_call 2322} nVar2271 := proc130(28);
- call {:si_unique_call 2323} nVar2272 := proc130(28);
- call {:si_unique_call 2324} nVar2273 := proc130(28);
- call {:si_unique_call 2325} nVar2274 := proc130(28);
- call {:si_unique_call 2326} nVar2275 := proc130(28);
- call {:si_unique_call 2327} nVar2276 := proc130(4);
- call {:si_unique_call 2328} nVar2277 := proc130(28);
- call {:si_unique_call 2329} nVar2278 := proc130(28);
- call {:si_unique_call 2330} nVar2279 := proc130(28);
- call {:si_unique_call 2331} nVar2280 := proc130(28);
- call {:si_unique_call 2332} nVar2281 := proc130(28);
- call {:si_unique_call 2333} nVar2282 := proc130(12);
- call {:si_unique_call 2334} nVar2283 := proc130(28);
- call {:si_unique_call 2335} nVar2284 := proc130(28);
- call {:si_unique_call 2336} nVar2285 := proc130(28);
- call {:si_unique_call 2337} nVar2286 := proc130(28);
- call {:si_unique_call 2338} nVar2287 := proc130(28);
- call {:si_unique_call 2339} nVar2288 := proc130(28);
- call {:si_unique_call 2340} nVar2289 := proc130(28);
- call {:si_unique_call 2341} nVar2290 := proc130(28);
- call {:si_unique_call 2342} nVar2291 := proc130(28);
- call {:si_unique_call 2343} nVar2292 := proc130(28);
- call {:si_unique_call 2344} nVar2293 := proc130(28);
- call {:si_unique_call 2345} nVar2294 := proc130(12);
- call {:si_unique_call 2346} nVar2295 := proc130(28);
- call {:si_unique_call 2347} nVar2296 := proc130(28);
- call {:si_unique_call 2348} nVar2297 := proc130(28);
- call {:si_unique_call 2349} nVar2298 := proc130(28);
- call {:si_unique_call 2350} nVar2299 := proc130(28);
- call {:si_unique_call 2351} nVar2300 := proc130(28);
- call {:si_unique_call 2352} nVar2301 := proc130(28);
- call {:si_unique_call 2353} nVar2302 := proc130(28);
- call {:si_unique_call 2354} nVar2303 := proc130(28);
- call {:si_unique_call 2355} nVar2304 := proc130(28);
- call {:si_unique_call 2356} nVar2305 := proc130(12);
- call {:si_unique_call 2357} nVar2306 := proc130(4);
- call {:si_unique_call 2358} nVar2307 := proc130(28);
- call {:si_unique_call 2359} nVar2308 := proc130(28);
- call {:si_unique_call 2360} nVar2309 := proc130(28);
- call {:si_unique_call 2361} nVar2310 := proc130(28);
- call {:si_unique_call 2362} nVar2311 := proc130(28);
- call {:si_unique_call 2363} nVar2312 := proc130(28);
- call {:si_unique_call 2364} nVar2313 := proc130(28);
- call {:si_unique_call 2365} nVar2314 := proc130(28);
- call {:si_unique_call 2366} nVar2315 := proc130(28);
- call {:si_unique_call 2367} nVar2316 := proc130(28);
- call {:si_unique_call 2368} nVar2317 := proc130(12);
- call {:si_unique_call 2369} nVar2318 := proc130(28);
- call {:si_unique_call 2370} nVar2319 := proc130(28);
- call {:si_unique_call 2371} nVar2320 := proc130(28);
- call {:si_unique_call 2372} nVar2321 := proc130(28);
- call {:si_unique_call 2373} nVar2322 := proc130(28);
- call {:si_unique_call 2374} nVar2323 := proc130(4);
- call {:si_unique_call 2375} nVar2324 := proc130(28);
- call {:si_unique_call 2376} nVar2325 := proc130(28);
- call {:si_unique_call 2377} nVar2326 := proc130(24);
- call {:si_unique_call 2378} nVar2327 := proc130(28);
- call {:si_unique_call 2379} nVar2328 := proc130(28);
- call {:si_unique_call 2380} nVar2329 := proc130(28);
- call {:si_unique_call 2381} nVar2330 := proc130(28);
- call {:si_unique_call 2382} nVar2331 := proc130(28);
- call {:si_unique_call 2383} nVar2332 := proc130(16);
- call {:si_unique_call 2384} nVar2333 := proc130(28);
- call {:si_unique_call 2385} nVar2334 := proc130(12);
- call {:si_unique_call 2386} nVar2335 := proc130(28);
- call {:si_unique_call 2387} nVar2336 := proc130(28);
- call {:si_unique_call 2388} nVar2337 := proc130(28);
- call {:si_unique_call 2389} nVar2338 := proc130(28);
- call {:si_unique_call 2390} nVar2339 := proc130(28);
- call {:si_unique_call 2391} nVar2340 := proc130(12);
- call {:si_unique_call 2392} nVar2341 := proc130(28);
- call {:si_unique_call 2393} nVar2342 := proc130(28);
- call {:si_unique_call 2394} nVar2343 := proc130(28);
- call {:si_unique_call 2395} nVar2344 := proc130(28);
- call {:si_unique_call 2396} nVar2345 := proc130(28);
- call {:si_unique_call 2397} nVar2346 := proc130(16);
- call {:si_unique_call 2398} nVar2347 := proc130(28);
- call {:si_unique_call 2399} nVar2348 := proc130(24);
- call {:si_unique_call 2400} nVar2349 := proc130(28);
- call {:si_unique_call 2401} nVar2350 := proc130(28);
- call {:si_unique_call 2402} nVar2351 := proc130(28);
- call {:si_unique_call 2403} nVar2352 := proc130(28);
- call {:si_unique_call 2404} nVar2353 := proc130(16);
- call {:si_unique_call 2405} nVar2354 := proc130(28);
- call {:si_unique_call 2406} nVar2355 := proc130(28);
- call {:si_unique_call 2407} nVar2356 := proc130(28);
- call {:si_unique_call 2408} nVar2357 := proc130(28);
- call {:si_unique_call 2409} nVar2358 := proc130(28);
- call {:si_unique_call 2410} nVar2359 := proc130(28);
- call {:si_unique_call 2411} nVar2360 := proc130(28);
- call {:si_unique_call 2412} nVar2361 := proc130(28);
- call {:si_unique_call 2413} nVar2362 := proc130(28);
- call {:si_unique_call 2414} nVar2363 := proc130(28);
- call {:si_unique_call 2415} nVar2364 := proc130(28);
- call {:si_unique_call 2416} nVar2365 := proc130(16);
- call {:si_unique_call 2417} nVar2366 := proc130(28);
- call {:si_unique_call 2418} nVar2367 := proc130(28);
- call {:si_unique_call 2419} nVar2368 := proc130(16);
- call {:si_unique_call 2420} nVar2369 := proc130(28);
- call {:si_unique_call 2421} nVar2370 := proc130(28);
- call {:si_unique_call 2422} nVar2371 := proc130(28);
- call {:si_unique_call 2423} nVar2372 := proc130(28);
- call {:si_unique_call 2424} nVar2373 := proc130(28);
- call {:si_unique_call 2425} nVar2374 := proc130(12);
- call {:si_unique_call 2426} nVar2375 := proc130(28);
- call {:si_unique_call 2427} nVar2376 := proc130(28);
- call {:si_unique_call 2428} nVar2377 := proc130(28);
- call {:si_unique_call 2429} nVar2378 := proc130(28);
- call {:si_unique_call 2430} nVar2379 := proc130(28);
- call {:si_unique_call 2431} nVar2380 := proc130(28);
- call {:si_unique_call 2432} nVar2381 := proc130(28);
- call {:si_unique_call 2433} nVar2382 := proc130(28);
- call {:si_unique_call 2434} nVar2383 := proc130(28);
- call {:si_unique_call 2435} nVar2384 := proc130(28);
- call {:si_unique_call 2436} nVar2385 := proc130(28);
- call {:si_unique_call 2437} nVar2386 := proc130(12);
- call {:si_unique_call 2438} nVar2387 := proc130(28);
- call {:si_unique_call 2439} nVar2388 := proc130(28);
- call {:si_unique_call 2440} nVar2389 := proc130(28);
- call {:si_unique_call 2441} nVar2390 := proc130(28);
- call {:si_unique_call 2442} nVar2391 := proc130(28);
- call {:si_unique_call 2443} nVar2392 := proc130(28);
- call {:si_unique_call 2444} nVar2393 := proc130(28);
- call {:si_unique_call 2445} nVar2394 := proc130(28);
- call {:si_unique_call 2446} nVar2395 := proc130(28);
- call {:si_unique_call 2447} nVar2396 := proc130(28);
- call {:si_unique_call 2448} nVar2397 := proc130(28);
- call {:si_unique_call 2449} nVar2398 := proc130(28);
- call {:si_unique_call 2450} nVar2399 := proc130(28);
- call {:si_unique_call 2451} nVar2400 := proc130(4);
- call {:si_unique_call 2452} nVar2401 := proc130(28);
- call {:si_unique_call 2453} nVar2402 := proc130(12);
- call {:si_unique_call 2454} nVar2403 := proc130(28);
- call {:si_unique_call 2455} nVar2404 := proc130(28);
- call {:si_unique_call 2456} nVar2405 := proc130(28);
- call {:si_unique_call 2457} nVar2406 := proc130(28);
- call {:si_unique_call 2458} nVar2407 := proc130(12);
- call {:si_unique_call 2459} nVar2408 := proc130(28);
- call {:si_unique_call 2460} nVar2409 := proc130(28);
- call {:si_unique_call 2461} nVar2410 := proc130(28);
- call {:si_unique_call 2462} nVar2411 := proc130(4);
- call {:si_unique_call 2463} nVar2412 := proc130(28);
- call {:si_unique_call 2464} nVar2413 := proc130(28);
- call {:si_unique_call 2465} nVar2414 := proc130(28);
- call {:si_unique_call 2466} nVar2415 := proc130(28);
- call {:si_unique_call 2467} nVar2416 := proc130(28);
- call {:si_unique_call 2468} nVar2417 := proc130(28);
- call {:si_unique_call 2469} nVar2418 := proc130(28);
- call {:si_unique_call 2470} nVar2419 := proc130(28);
- call {:si_unique_call 2471} nVar2420 := proc130(28);
- call {:si_unique_call 2472} nVar2421 := proc130(28);
- call {:si_unique_call 2473} nVar2422 := proc130(24);
- call {:si_unique_call 2474} nVar2423 := proc130(28);
- call {:si_unique_call 2475} nVar2424 := proc130(28);
- call {:si_unique_call 2476} nVar2425 := proc130(28);
- call {:si_unique_call 2477} nVar2426 := proc130(28);
- call {:si_unique_call 2478} nVar2427 := proc130(28);
- call {:si_unique_call 2479} nVar2428 := proc130(28);
- call {:si_unique_call 2480} nVar2429 := proc130(4);
- call {:si_unique_call 2481} nVar2430 := proc130(28);
- call {:si_unique_call 2482} nVar2431 := proc130(28);
- call {:si_unique_call 2483} nVar2432 := proc130(28);
- call {:si_unique_call 2484} nVar2433 := proc130(28);
- call {:si_unique_call 2485} nVar2434 := proc130(28);
- call {:si_unique_call 2486} nVar2435 := proc130(28);
- call {:si_unique_call 2487} nVar2436 := proc130(12);
- call {:si_unique_call 2488} nVar2437 := proc130(28);
- call {:si_unique_call 2489} nVar2438 := proc130(28);
- call {:si_unique_call 2490} nVar2439 := proc130(28);
- call {:si_unique_call 2491} nVar2440 := proc130(16);
- call {:si_unique_call 2492} nVar2441 := proc130(24);
- call {:si_unique_call 2493} nVar2442 := proc130(28);
- call {:si_unique_call 2494} nVar2443 := proc130(28);
- call {:si_unique_call 2495} nVar2444 := proc130(8);
- call {:si_unique_call 2496} nVar2445 := proc130(28);
- call {:si_unique_call 2497} nVar2446 := proc130(28);
- call {:si_unique_call 2498} nVar2447 := proc130(28);
- call {:si_unique_call 2499} nVar2448 := proc130(28);
- call {:si_unique_call 2500} nVar2449 := proc130(28);
- call {:si_unique_call 2501} nVar2450 := proc130(28);
- call {:si_unique_call 2502} nVar2451 := proc130(28);
- call {:si_unique_call 2503} nVar2452 := proc130(28);
- call {:si_unique_call 2504} nVar2453 := proc130(28);
- call {:si_unique_call 2505} nVar2454 := proc130(28);
- call {:si_unique_call 2506} nVar2455 := proc130(24);
- call {:si_unique_call 2507} nVar2456 := proc130(28);
- call {:si_unique_call 2508} nVar2457 := proc130(28);
- call {:si_unique_call 2509} nVar2458 := proc130(28);
- call {:si_unique_call 2510} nVar2459 := proc130(28);
- call {:si_unique_call 2511} nVar2460 := proc130(28);
- call {:si_unique_call 2512} nVar2461 := proc130(16);
- call {:si_unique_call 2513} nVar2462 := proc130(28);
- call {:si_unique_call 2514} nVar2463 := proc130(28);
- call {:si_unique_call 2515} nVar2464 := proc130(28);
- call {:si_unique_call 2516} nVar2465 := proc130(28);
- call {:si_unique_call 2517} nVar2466 := proc130(16);
- call {:si_unique_call 2518} nVar2467 := proc130(28);
- call {:si_unique_call 2519} nVar2468 := proc130(28);
- call {:si_unique_call 2520} nVar2469 := proc130(28);
- call {:si_unique_call 2521} nVar2470 := proc130(28);
- call {:si_unique_call 2522} nVar2471 := proc130(28);
- call {:si_unique_call 2523} nVar2472 := proc130(28);
- call {:si_unique_call 2524} nVar2473 := proc130(28);
- call {:si_unique_call 2525} nVar2474 := proc130(28);
- call {:si_unique_call 2526} nVar2475 := proc130(28);
- call {:si_unique_call 2527} nVar2476 := proc130(28);
- call {:si_unique_call 2528} nVar2477 := proc130(28);
- call {:si_unique_call 2529} nVar2478 := proc130(28);
- call {:si_unique_call 2530} nVar2479 := proc130(28);
- call {:si_unique_call 2531} nVar2480 := proc130(28);
- call {:si_unique_call 2532} nVar2481 := proc130(28);
- call {:si_unique_call 2533} nVar2482 := proc130(28);
- call {:si_unique_call 2534} nVar2483 := proc130(28);
- call {:si_unique_call 2535} nVar2484 := proc130(28);
- call {:si_unique_call 2536} nVar2485 := proc130(28);
- call {:si_unique_call 2537} nVar2486 := proc130(28);
- call {:si_unique_call 2538} nVar2487 := proc130(16);
- call {:si_unique_call 2539} nVar2488 := proc130(28);
- call {:si_unique_call 2540} nVar2489 := proc130(28);
- call {:si_unique_call 2541} nVar2490 := proc130(28);
- call {:si_unique_call 2542} nVar2491 := proc130(28);
- call {:si_unique_call 2543} nVar2492 := proc130(28);
- call {:si_unique_call 2544} nVar2493 := proc130(28);
- call {:si_unique_call 2545} nVar2494 := proc130(16);
- call {:si_unique_call 2546} nVar2495 := proc130(28);
- call {:si_unique_call 2547} nVar2496 := proc130(12);
- call {:si_unique_call 2548} nVar2497 := proc130(28);
- call {:si_unique_call 2549} nVar2498 := proc130(28);
- call {:si_unique_call 2550} nVar2499 := proc130(28);
- call {:si_unique_call 2551} nVar2500 := proc130(28);
- call {:si_unique_call 2552} nVar2501 := proc130(12);
- call {:si_unique_call 2553} nVar2502 := proc130(28);
- call {:si_unique_call 2554} nVar2503 := proc130(28);
- call {:si_unique_call 2555} nVar2504 := proc130(28);
- call {:si_unique_call 2556} nVar2505 := proc130(28);
- call {:si_unique_call 2557} nVar2506 := proc130(28);
- call {:si_unique_call 2558} nVar2507 := proc130(4);
- call {:si_unique_call 2559} nVar2508 := proc130(28);
- call {:si_unique_call 2560} nVar2509 := proc130(28);
- call {:si_unique_call 2561} nVar2510 := proc130(28);
- call {:si_unique_call 2562} nVar2511 := proc130(28);
- call {:si_unique_call 2563} nVar2512 := proc130(28);
- call {:si_unique_call 2564} nVar2513 := proc130(28);
- call {:si_unique_call 2565} nVar2514 := proc130(28);
- call {:si_unique_call 2566} nVar2515 := proc130(28);
- call {:si_unique_call 2567} nVar2516 := proc130(28);
- call {:si_unique_call 2568} nVar2517 := proc130(28);
- call {:si_unique_call 2569} nVar2518 := proc130(28);
- call {:si_unique_call 2570} nVar2519 := proc130(4);
- call {:si_unique_call 2571} nVar2520 := proc130(28);
- call {:si_unique_call 2572} nVar2521 := proc130(28);
- call {:si_unique_call 2573} nVar2522 := proc130(4);
- call {:si_unique_call 2574} nVar2523 := proc130(28);
- call {:si_unique_call 2575} nVar2524 := proc130(16);
- call {:si_unique_call 2576} nVar2525 := proc130(28);
- call {:si_unique_call 2577} nVar2526 := proc130(28);
- call {:si_unique_call 2578} nVar2527 := proc130(28);
- call {:si_unique_call 2579} nVar2528 := proc130(28);
- call {:si_unique_call 2580} nVar2529 := proc130(28);
- call {:si_unique_call 2581} nVar2530 := proc130(28);
- call {:si_unique_call 2582} nVar2531 := proc130(28);
- call {:si_unique_call 2583} nVar2532 := proc130(28);
- call {:si_unique_call 2584} nVar2533 := proc130(28);
- call {:si_unique_call 2585} nVar2534 := proc130(28);
- call {:si_unique_call 2586} nVar2535 := proc130(12);
- call {:si_unique_call 2587} nVar2536 := proc130(28);
- call {:si_unique_call 2588} nVar2537 := proc130(28);
- call {:si_unique_call 2589} nVar2538 := proc130(28);
- call {:si_unique_call 2590} nVar2539 := proc130(28);
- call {:si_unique_call 2591} nVar2540 := proc130(28);
- call {:si_unique_call 2592} nVar2541 := proc130(28);
- call {:si_unique_call 2593} nVar2542 := proc130(28);
- call {:si_unique_call 2594} nVar2543 := proc130(28);
- call {:si_unique_call 2595} nVar2544 := proc130(24);
- call {:si_unique_call 2596} nVar2545 := proc130(24);
- call {:si_unique_call 2597} nVar2546 := proc130(28);
- call {:si_unique_call 2598} nVar2547 := proc130(28);
- call {:si_unique_call 2599} nVar2548 := proc130(28);
- call {:si_unique_call 2600} nVar2549 := proc130(28);
- call {:si_unique_call 2601} nVar2550 := proc130(28);
- call {:si_unique_call 2602} nVar2551 := proc130(28);
- call {:si_unique_call 2603} nVar2552 := proc130(28);
- call {:si_unique_call 2604} nVar2553 := proc130(28);
- call {:si_unique_call 2605} nVar2554 := proc130(28);
- call {:si_unique_call 2606} nVar2555 := proc130(28);
- call {:si_unique_call 2607} nVar2556 := proc130(28);
- call {:si_unique_call 2608} nVar2557 := proc130(28);
- call {:si_unique_call 2609} nVar2558 := proc130(28);
- call {:si_unique_call 2610} nVar2559 := proc130(28);
- call {:si_unique_call 2611} nVar2560 := proc130(28);
- call {:si_unique_call 2612} nVar2561 := proc130(28);
- call {:si_unique_call 2613} nVar2562 := proc130(28);
- call {:si_unique_call 2614} nVar2563 := proc130(28);
- call {:si_unique_call 2615} nVar2564 := proc130(28);
- call {:si_unique_call 2616} nVar2565 := proc130(28);
- call {:si_unique_call 2617} nVar2566 := proc130(28);
- call {:si_unique_call 2618} nVar2567 := proc130(28);
- call {:si_unique_call 2619} nVar2568 := proc130(28);
- call {:si_unique_call 2620} nVar2569 := proc130(28);
- call {:si_unique_call 2621} nVar2570 := proc130(28);
- call {:si_unique_call 2622} nVar2571 := proc130(28);
- call {:si_unique_call 2623} nVar2572 := proc130(28);
- call {:si_unique_call 2624} nVar2573 := proc130(16);
- call {:si_unique_call 2625} nVar2574 := proc130(28);
- call {:si_unique_call 2626} nVar2575 := proc130(28);
- call {:si_unique_call 2627} nVar2576 := proc130(28);
- call {:si_unique_call 2628} nVar2577 := proc130(16);
- call {:si_unique_call 2629} nVar2578 := proc130(8);
- call {:si_unique_call 2630} nVar2579 := proc130(28);
- call {:si_unique_call 2631} nVar2580 := proc130(28);
- call {:si_unique_call 2632} nVar2581 := proc130(28);
- call {:si_unique_call 2633} nVar2582 := proc130(28);
- call {:si_unique_call 2634} nVar2583 := proc130(28);
- call {:si_unique_call 2635} nVar2584 := proc130(28);
- call {:si_unique_call 2636} nVar2585 := proc130(28);
- call {:si_unique_call 2637} nVar2586 := proc130(28);
- call {:si_unique_call 2638} nVar2587 := proc130(28);
- call {:si_unique_call 2639} nVar2588 := proc130(28);
- call {:si_unique_call 2640} nVar2589 := proc130(28);
- call {:si_unique_call 2641} nVar2590 := proc130(28);
- call {:si_unique_call 2642} nVar2591 := proc130(28);
- call {:si_unique_call 2643} nVar2592 := proc130(28);
- call {:si_unique_call 2644} nVar2593 := proc130(28);
- call {:si_unique_call 2645} nVar2594 := proc130(8);
- call {:si_unique_call 2646} nVar2595 := proc130(28);
- call {:si_unique_call 2647} nVar2596 := proc130(28);
- call {:si_unique_call 2648} nVar2597 := proc130(16);
- call {:si_unique_call 2649} nVar2598 := proc130(28);
- call {:si_unique_call 2650} nVar2599 := proc130(12);
- call {:si_unique_call 2651} nVar2600 := proc130(28);
- call {:si_unique_call 2652} nVar2601 := proc130(28);
- call {:si_unique_call 2653} nVar2602 := proc130(28);
- call {:si_unique_call 2654} nVar2603 := proc130(28);
- call {:si_unique_call 2655} nVar2604 := proc130(28);
- call {:si_unique_call 2656} nVar2605 := proc130(28);
- call {:si_unique_call 2657} nVar2606 := proc130(28);
- call {:si_unique_call 2658} nVar2607 := proc130(28);
- call {:si_unique_call 2659} nVar2608 := proc130(28);
- call {:si_unique_call 2660} nVar2609 := proc130(16);
- call {:si_unique_call 2661} nVar2610 := proc130(28);
- call {:si_unique_call 2662} nVar2611 := proc130(28);
- call {:si_unique_call 2663} nVar2612 := proc130(28);
- call {:si_unique_call 2664} nVar2613 := proc130(28);
- call {:si_unique_call 2665} nVar2614 := proc130(12);
- call {:si_unique_call 2666} nVar2615 := proc130(28);
- call {:si_unique_call 2667} nVar2616 := proc130(28);
- call {:si_unique_call 2668} nVar2617 := proc130(12);
- call {:si_unique_call 2669} nVar2618 := proc130(28);
- call {:si_unique_call 2670} nVar2619 := proc130(28);
- call {:si_unique_call 2671} nVar2620 := proc130(28);
- call {:si_unique_call 2672} nVar2622 := proc130(28);
- call {:si_unique_call 2673} nVar2623 := proc130(28);
- call {:si_unique_call 2674} nVar2624 := proc130(28);
- call {:si_unique_call 2675} nVar2625 := proc130(28);
- call {:si_unique_call 2676} nVar2626 := proc130(4);
- call {:si_unique_call 2677} nVar2627 := proc130(28);
- call {:si_unique_call 2678} nVar2628 := proc130(28);
- call {:si_unique_call 2679} nVar2629 := proc130(28);
- call {:si_unique_call 2680} nVar2630 := proc130(28);
- call {:si_unique_call 2681} nVar2631 := proc130(4);
- call {:si_unique_call 2682} nVar2633 := proc130(28);
- call {:si_unique_call 2683} nVar2634 := proc130(28);
- call {:si_unique_call 2684} nVar2635 := proc130(28);
- call {:si_unique_call 2685} nVar2636 := proc130(4);
- call {:si_unique_call 2686} nVar2637 := proc130(8);
- call {:si_unique_call 2687} nVar2638 := proc130(4);
- call {:si_unique_call 2688} nVar2639 := proc130(28);
- call {:si_unique_call 2689} nVar2640 := proc130(28);
- call {:si_unique_call 2690} nVar2641 := proc130(28);
- call {:si_unique_call 2691} nVar2642 := proc130(28);
- call {:si_unique_call 2692} nVar2643 := proc130(24);
- call {:si_unique_call 2693} nVar2644 := proc130(28);
- call {:si_unique_call 2694} nVar2645 := proc130(28);
- call {:si_unique_call 2695} nVar2646 := proc130(24);
- call {:si_unique_call 2696} nVar2647 := proc130(28);
- call {:si_unique_call 2697} nVar2648 := proc130(56);
- call {:si_unique_call 2698} nVar2649 := proc130(16);
- call {:si_unique_call 2699} nVar2650 := proc130(28);
- call {:si_unique_call 2700} nVar2651 := proc130(12);
- call {:si_unique_call 2701} nVar2652 := proc130(16);
- call {:si_unique_call 2702} nVar2653 := proc130(28);
- call {:si_unique_call 2703} nVar2654 := proc130(28);
- call {:si_unique_call 2704} nVar2655 := proc130(16);
- call {:si_unique_call 2705} nVar2656 := proc130(28);
- call {:si_unique_call 2706} nVar2657 := proc130(28);
- call {:si_unique_call 2707} nVar2658 := proc130(28);
- call {:si_unique_call 2708} nVar2659 := proc130(28);
- call {:si_unique_call 2709} nVar2660 := proc130(28);
- call {:si_unique_call 2710} nVar2661 := proc130(16);
- call {:si_unique_call 2711} nVar2662 := proc130(28);
- call {:si_unique_call 2712} nVar2663 := proc130(28);
- call {:si_unique_call 2713} nVar2664 := proc130(12);
- call {:si_unique_call 2714} nVar2665 := proc130(28);
- call {:si_unique_call 2715} nVar2666 := proc130(12);
- call {:si_unique_call 2716} nVar2667 := proc130(28);
- call {:si_unique_call 2717} nVar2668 := proc130(28);
- call {:si_unique_call 2718} nVar2669 := proc130(28);
- call {:si_unique_call 2719} nVar2670 := proc130(28);
- call {:si_unique_call 2720} nVar2671 := proc130(28);
- call {:si_unique_call 2721} nVar2672 := proc130(28);
- call {:si_unique_call 2722} nVar2673 := proc130(28);
- call {:si_unique_call 2723} nVar2674 := proc130(28);
- call {:si_unique_call 2724} nVar2675 := proc130(28);
- call {:si_unique_call 2725} nVar2676 := proc130(12);
- call {:si_unique_call 2726} nVar2677 := proc130(16);
- call {:si_unique_call 2727} nVar2678 := proc130(28);
- call {:si_unique_call 2728} nVar2679 := proc130(28);
- call {:si_unique_call 2729} nVar2680 := proc130(28);
- call {:si_unique_call 2730} nVar2681 := proc130(28);
- call {:si_unique_call 2731} nVar2682 := proc130(28);
- call {:si_unique_call 2732} nVar2683 := proc130(28);
- call {:si_unique_call 2733} nVar2684 := proc130(28);
- call {:si_unique_call 2734} nVar2685 := proc130(24);
- call {:si_unique_call 2735} nVar2686 := proc130(28);
- call {:si_unique_call 2736} nVar2687 := proc130(28);
- call {:si_unique_call 2737} nVar2688 := proc130(28);
- call {:si_unique_call 2738} nVar2689 := proc130(28);
- call {:si_unique_call 2739} nVar2690 := proc130(28);
- call {:si_unique_call 2740} nVar2691 := proc130(28);
- call {:si_unique_call 2741} nVar2692 := proc130(28);
- call {:si_unique_call 2742} nVar2693 := proc130(28);
- call {:si_unique_call 2743} nVar2694 := proc130(28);
- call {:si_unique_call 2744} nVar2695 := proc130(28);
- call {:si_unique_call 2745} nVar2696 := proc130(28);
- call {:si_unique_call 2746} nVar2697 := proc130(12);
- call {:si_unique_call 2747} nVar2698 := proc130(28);
- call {:si_unique_call 2748} nVar2699 := proc130(24);
- call {:si_unique_call 2749} nVar2700 := proc130(28);
- call {:si_unique_call 2750} nVar2701 := proc130(28);
- call {:si_unique_call 2751} nVar2702 := proc130(28);
- call {:si_unique_call 2752} nVar2703 := proc130(28);
- call {:si_unique_call 2753} nVar2704 := proc130(28);
- call {:si_unique_call 2754} nVar2705 := proc130(28);
- call {:si_unique_call 2755} nVar2706 := proc130(28);
- call {:si_unique_call 2756} nVar2707 := proc130(28);
- call {:si_unique_call 2757} nVar2708 := proc130(28);
- call {:si_unique_call 2758} nVar2709 := proc130(28);
- call {:si_unique_call 2759} nVar2710 := proc130(28);
- call {:si_unique_call 2760} nVar2711 := proc130(28);
- call {:si_unique_call 2761} nVar2712 := proc130(28);
- call {:si_unique_call 2762} nVar2713 := proc130(16);
- call {:si_unique_call 2763} nVar2714 := proc130(28);
- call {:si_unique_call 2764} nVar2715 := proc130(28);
- call {:si_unique_call 2765} nVar2716 := proc130(28);
- call {:si_unique_call 2766} nVar2717 := proc130(24);
- call {:si_unique_call 2767} nVar2718 := proc130(28);
- call {:si_unique_call 2768} nVar2719 := proc130(28);
- call {:si_unique_call 2769} nVar2720 := proc130(28);
- call {:si_unique_call 2770} nVar2721 := proc130(24);
- call {:si_unique_call 2771} nVar2722 := proc130(28);
- call {:si_unique_call 2772} nVar2723 := proc130(28);
- call {:si_unique_call 2773} nVar2724 := proc130(28);
- call {:si_unique_call 2774} nVar2725 := proc130(24);
- call {:si_unique_call 2775} nVar2726 := proc130(28);
- call {:si_unique_call 2776} nVar2727 := proc130(28);
- call {:si_unique_call 2777} nVar2728 := proc130(28);
- call {:si_unique_call 2778} nVar2729 := proc130(28);
- call {:si_unique_call 2779} nVar2730 := proc130(28);
- call {:si_unique_call 2780} nVar2731 := proc130(28);
- call {:si_unique_call 2781} nVar2732 := proc130(28);
- call {:si_unique_call 2782} nVar2733 := proc130(16);
- call {:si_unique_call 2783} nVar2734 := proc130(28);
- call {:si_unique_call 2784} nVar2735 := proc130(28);
- call {:si_unique_call 2785} nVar2736 := proc130(28);
- call {:si_unique_call 2786} nVar2737 := proc130(28);
- call {:si_unique_call 2787} nVar2738 := proc130(28);
- call {:si_unique_call 2788} nVar2739 := proc130(28);
- call {:si_unique_call 2789} nVar2740 := proc130(28);
- call {:si_unique_call 2790} nVar2741 := proc130(28);
- call {:si_unique_call 2791} nVar2742 := proc130(28);
- call {:si_unique_call 2792} nVar2743 := proc130(28);
- call {:si_unique_call 2793} nVar2744 := proc130(28);
- call {:si_unique_call 2794} nVar2745 := proc130(28);
- call {:si_unique_call 2795} nVar2746 := proc130(12);
- call {:si_unique_call 2796} nVar2747 := proc130(28);
- call {:si_unique_call 2797} nVar2748 := proc130(28);
- call {:si_unique_call 2798} nVar2749 := proc130(28);
- call {:si_unique_call 2799} nVar2750 := proc130(28);
- call {:si_unique_call 2800} nVar2751 := proc130(28);
- call {:si_unique_call 2801} nVar2752 := proc130(28);
- call {:si_unique_call 2802} nVar2753 := proc130(28);
- call {:si_unique_call 2803} nVar2755 := proc130(28);
- call {:si_unique_call 2804} nVar2756 := proc130(28);
- call {:si_unique_call 2805} nVar2757 := proc130(28);
- call {:si_unique_call 2806} nVar2758 := proc130(28);
- call {:si_unique_call 2807} nVar2759 := proc130(28);
- call {:si_unique_call 2808} nVar2760 := proc130(28);
- call {:si_unique_call 2809} nVar2761 := proc130(28);
- call {:si_unique_call 2810} nVar2762 := proc130(28);
- call {:si_unique_call 2811} nVar2763 := proc130(28);
- call {:si_unique_call 2812} nVar2764 := proc130(28);
- call {:si_unique_call 2813} nVar2765 := proc130(28);
- call {:si_unique_call 2814} nVar2766 := proc130(4);
- call {:si_unique_call 2815} nVar2767 := proc130(24);
- call {:si_unique_call 2816} nVar2768 := proc130(28);
- call {:si_unique_call 2817} nVar2769 := proc130(4);
- call {:si_unique_call 2818} nVar2770 := proc130(8);
- call {:si_unique_call 2819} nVar2771 := proc130(16);
- call {:si_unique_call 2820} nVar2772 := proc130(28);
- call {:si_unique_call 2821} nVar2773 := proc130(28);
- call {:si_unique_call 2822} nVar2774 := proc130(28);
- call {:si_unique_call 2823} nVar2775 := proc130(28);
- call {:si_unique_call 2824} nVar2776 := proc130(28);
- call {:si_unique_call 2825} nVar2777 := proc130(28);
- call {:si_unique_call 2826} nVar2778 := proc130(28);
- call {:si_unique_call 2827} nVar2779 := proc130(28);
- call {:si_unique_call 2828} nVar2780 := proc130(28);
- call {:si_unique_call 2829} nVar2781 := proc130(12);
- call {:si_unique_call 2830} nVar2782 := proc130(28);
- call {:si_unique_call 2831} nVar2783 := proc130(28);
- call {:si_unique_call 2832} nVar2784 := proc130(28);
- call {:si_unique_call 2833} nVar2785 := proc130(28);
- call {:si_unique_call 2834} nVar2786 := proc130(28);
- call {:si_unique_call 2835} nVar2787 := proc130(28);
- call {:si_unique_call 2836} nVar2788 := proc130(28);
- call {:si_unique_call 2837} nVar2789 := proc130(28);
- call {:si_unique_call 2838} nVar2790 := proc130(28);
- call {:si_unique_call 2839} nVar2791 := proc130(28);
- call {:si_unique_call 2840} nVar2792 := proc130(16);
- call {:si_unique_call 2841} nVar2793 := proc130(24);
- call {:si_unique_call 2842} nVar2794 := proc130(28);
- call {:si_unique_call 2843} nVar2795 := proc130(12);
- call {:si_unique_call 2844} nVar2796 := proc130(28);
- call {:si_unique_call 2845} nVar2797 := proc130(28);
- call {:si_unique_call 2846} nVar2798 := proc130(28);
- call {:si_unique_call 2847} nVar2799 := proc130(28);
- call {:si_unique_call 2848} nVar2800 := proc130(28);
- call {:si_unique_call 2849} nVar2801 := proc130(4);
- call {:si_unique_call 2850} nVar2802 := proc130(28);
- call {:si_unique_call 2851} nVar2803 := proc130(28);
- call {:si_unique_call 2852} nVar2804 := proc130(28);
- call {:si_unique_call 2853} nVar2805 := proc130(28);
- call {:si_unique_call 2854} nVar2806 := proc130(28);
- call {:si_unique_call 2855} nVar2807 := proc130(28);
- call {:si_unique_call 2856} nVar2808 := proc130(24);
- call {:si_unique_call 2857} nVar2809 := proc130(28);
- call {:si_unique_call 2858} nVar2810 := proc130(28);
- call {:si_unique_call 2859} nVar2811 := proc130(28);
- call {:si_unique_call 2860} nVar2812 := proc130(28);
- call {:si_unique_call 2861} nVar2813 := proc130(16);
- call {:si_unique_call 2862} nVar2814 := proc130(28);
- call {:si_unique_call 2863} nVar2815 := proc130(28);
- call {:si_unique_call 2864} nVar2816 := proc130(28);
- call {:si_unique_call 2865} nVar2817 := proc130(28);
- call {:si_unique_call 2866} nVar2818 := proc130(28);
- call {:si_unique_call 2867} nVar2819 := proc130(28);
- call {:si_unique_call 2868} nVar2820 := proc130(28);
- call {:si_unique_call 2869} nVar2821 := proc130(28);
- call {:si_unique_call 2870} nVar2822 := proc130(28);
- call {:si_unique_call 2871} nVar2823 := proc130(16);
- call {:si_unique_call 2872} nVar2824 := proc130(28);
- call {:si_unique_call 2873} nVar2825 := proc130(28);
- call {:si_unique_call 2874} nVar2826 := proc130(28);
- call {:si_unique_call 2875} nVar2827 := proc130(28);
- call {:si_unique_call 2876} nVar2828 := proc130(28);
- call {:si_unique_call 2877} nVar2829 := proc130(28);
- call {:si_unique_call 2878} nVar2830 := proc130(12);
- call {:si_unique_call 2879} nVar2831 := proc130(4);
- call {:si_unique_call 2880} nVar2832 := proc130(28);
- call {:si_unique_call 2881} nVar2833 := proc130(4);
- call {:si_unique_call 2882} nVar2834 := proc130(28);
- call {:si_unique_call 2883} nVar2835 := proc130(12);
- call {:si_unique_call 2884} nVar2836 := proc130(28);
- call {:si_unique_call 2885} nVar2837 := proc130(28);
- call {:si_unique_call 2886} nVar2838 := proc130(28);
- call {:si_unique_call 2887} nVar2839 := proc130(28);
- call {:si_unique_call 2888} nVar2840 := proc130(28);
- call {:si_unique_call 2889} nVar2841 := proc130(28);
- call {:si_unique_call 2890} nVar2842 := proc130(28);
- call {:si_unique_call 2891} nVar2843 := proc130(12);
- call {:si_unique_call 2892} nVar2844 := proc130(28);
- call {:si_unique_call 2893} nVar2845 := proc130(28);
- call {:si_unique_call 2894} nVar2846 := proc130(28);
- call {:si_unique_call 2895} nVar2847 := proc130(28);
- call {:si_unique_call 2896} nVar2848 := proc130(28);
- call {:si_unique_call 2897} nVar2849 := proc130(4);
- call {:si_unique_call 2898} nVar2850 := proc130(28);
- call {:si_unique_call 2899} nVar2851 := proc130(28);
- call {:si_unique_call 2900} nVar2852 := proc130(28);
- call {:si_unique_call 2901} nVar2853 := proc130(16);
- call {:si_unique_call 2902} nVar2854 := proc130(28);
- call {:si_unique_call 2903} nVar2855 := proc130(4);
- call {:si_unique_call 2904} nVar2856 := proc130(28);
- call {:si_unique_call 2905} nVar2857 := proc130(16);
- call {:si_unique_call 2906} nVar2858 := proc130(28);
- call {:si_unique_call 2907} nVar2859 := proc130(16);
- call {:si_unique_call 2908} nVar2860 := proc130(12);
- call {:si_unique_call 2909} nVar2861 := proc130(28);
- call {:si_unique_call 2910} nVar2862 := proc130(28);
- call {:si_unique_call 2911} nVar2863 := proc130(12);
- call {:si_unique_call 2912} nVar2864 := proc130(28);
- call {:si_unique_call 2913} nVar2865 := proc130(28);
- call {:si_unique_call 2914} nVar2866 := proc130(24);
- call {:si_unique_call 2915} nVar2867 := proc130(28);
- call {:si_unique_call 2916} nVar2868 := proc130(28);
- call {:si_unique_call 2917} nVar2869 := proc130(28);
- call {:si_unique_call 2918} nVar2870 := proc130(28);
- call {:si_unique_call 2919} nVar2871 := proc130(28);
- call {:si_unique_call 2920} nVar2872 := proc130(28);
- call {:si_unique_call 2921} nVar2873 := proc130(28);
- call {:si_unique_call 2922} nVar2874 := proc130(12);
- call {:si_unique_call 2923} nVar2875 := proc130(28);
- call {:si_unique_call 2924} nVar2876 := proc130(28);
- call {:si_unique_call 2925} nVar2877 := proc130(12);
- call {:si_unique_call 2926} nVar2878 := proc130(28);
- call {:si_unique_call 2927} nVar2879 := proc130(28);
- call {:si_unique_call 2928} nVar2880 := proc130(16);
- call {:si_unique_call 2929} nVar2881 := proc130(28);
- call {:si_unique_call 2930} nVar2882 := proc130(28);
- call {:si_unique_call 2931} nVar2883 := proc130(28);
- call {:si_unique_call 2932} nVar2884 := proc130(28);
- call {:si_unique_call 2933} nVar2885 := proc130(28);
- call {:si_unique_call 2934} nVar2886 := proc130(28);
- call {:si_unique_call 2935} nVar2887 := proc130(28);
- call {:si_unique_call 2936} nVar2888 := proc130(28);
- call {:si_unique_call 2937} nVar2889 := proc130(28);
- call {:si_unique_call 2938} nVar2890 := proc130(28);
- call {:si_unique_call 2939} nVar2891 := proc130(16);
- call {:si_unique_call 2940} nVar2892 := proc130(28);
- call {:si_unique_call 2941} nVar4956 := proc130(16);
- call {:si_unique_call 2942} nVar2893 := proc130(28);
- call {:si_unique_call 2943} nVar2894 := proc130(28);
- call {:si_unique_call 2944} nVar2895 := proc130(28);
- call {:si_unique_call 2945} nVar2896 := proc130(4);
- call {:si_unique_call 2946} nVar2897 := proc130(28);
- call {:si_unique_call 2947} nVar2898 := proc130(16);
- call {:si_unique_call 2948} nVar2899 := proc130(28);
- call {:si_unique_call 2949} nVar2900 := proc130(28);
- call {:si_unique_call 2950} nVar2901 := proc130(28);
- call {:si_unique_call 2951} nVar2902 := proc130(28);
- call {:si_unique_call 2952} nVar2903 := proc130(28);
- call {:si_unique_call 2953} nVar2904 := proc130(28);
- call {:si_unique_call 2954} nVar2905 := proc130(28);
- call {:si_unique_call 2955} nVar2906 := proc130(28);
- call {:si_unique_call 2956} nVar2907 := proc130(28);
- call {:si_unique_call 2957} nVar2908 := proc130(28);
- call {:si_unique_call 2958} nVar2909 := proc130(28);
- call {:si_unique_call 2959} nVar2910 := proc130(28);
- call {:si_unique_call 2960} nVar2911 := proc130(28);
- call {:si_unique_call 2961} nVar4957 := proc130(68);
- call {:si_unique_call 2962} nVar2912 := proc130(28);
- call {:si_unique_call 2963} nVar2913 := proc130(28);
- call {:si_unique_call 2964} nVar2914 := proc130(28);
- call {:si_unique_call 2965} nVar2915 := proc130(28);
- call {:si_unique_call 2966} nVar2916 := proc130(28);
- call {:si_unique_call 2967} nVar2917 := proc130(28);
- call {:si_unique_call 2968} nVar2918 := proc130(28);
- call {:si_unique_call 2969} nVar2919 := proc130(4);
- call {:si_unique_call 2970} nVar2920 := proc130(28);
- call {:si_unique_call 2971} nVar2921 := proc130(28);
- call {:si_unique_call 2972} nVar2922 := proc130(28);
- call {:si_unique_call 2973} nVar2923 := proc130(16);
- call {:si_unique_call 2974} nVar2924 := proc130(28);
- call {:si_unique_call 2975} nVar2925 := proc130(28);
- call {:si_unique_call 2976} nVar2926 := proc130(28);
- call {:si_unique_call 2977} nVar2927 := proc130(28);
- call {:si_unique_call 2978} nVar2928 := proc130(28);
- call {:si_unique_call 2979} nVar2929 := proc130(28);
- call {:si_unique_call 2980} nVar2930 := proc130(28);
- call {:si_unique_call 2981} nVar2931 := proc130(28);
- call {:si_unique_call 2982} nVar2932 := proc130(28);
- call {:si_unique_call 2983} nVar2933 := proc130(4);
- call {:si_unique_call 2984} nVar2934 := proc130(28);
- call {:si_unique_call 2985} nVar2935 := proc130(56);
- call {:si_unique_call 2986} nVar2936 := proc130(28);
- call {:si_unique_call 2987} nVar2937 := proc130(28);
- call {:si_unique_call 2988} nVar2938 := proc130(28);
- call {:si_unique_call 2989} nVar2939 := proc130(28);
- call {:si_unique_call 2990} nVar2940 := proc130(28);
- call {:si_unique_call 2991} nVar2941 := proc130(28);
- call {:si_unique_call 2992} nVar2942 := proc130(28);
- call {:si_unique_call 2993} nVar2943 := proc130(28);
- call {:si_unique_call 2994} nVar2944 := proc130(28);
- call {:si_unique_call 2995} nVar2945 := proc130(28);
- call {:si_unique_call 2996} nVar2946 := proc130(28);
- call {:si_unique_call 2997} nVar2947 := proc130(16);
- call {:si_unique_call 2998} nVar2948 := proc130(16);
- call {:si_unique_call 2999} nVar2949 := proc130(4);
- call {:si_unique_call 3000} nVar2950 := proc130(28);
- call {:si_unique_call 3001} nVar2951 := proc130(28);
- call {:si_unique_call 3002} nVar2952 := proc130(28);
- call {:si_unique_call 3003} nVar2953 := proc130(16);
- call {:si_unique_call 3004} nVar2954 := proc130(28);
- call {:si_unique_call 3005} nVar2955 := proc130(28);
- call {:si_unique_call 3006} nVar2956 := proc130(28);
- call {:si_unique_call 3007} nVar2957 := proc130(16);
- call {:si_unique_call 3008} nVar2958 := proc130(16);
- call {:si_unique_call 3009} nVar2959 := proc130(28);
- call {:si_unique_call 3010} nVar2960 := proc130(28);
- call {:si_unique_call 3011} nVar2961 := proc130(28);
- call {:si_unique_call 3012} nVar2962 := proc130(28);
- call {:si_unique_call 3013} nVar2963 := proc130(28);
- call {:si_unique_call 3014} nVar2964 := proc130(28);
- call {:si_unique_call 3015} nVar2965 := proc130(28);
- call {:si_unique_call 3016} nVar2966 := proc130(28);
- call {:si_unique_call 3017} nVar2967 := proc130(28);
- call {:si_unique_call 3018} nVar2968 := proc130(28);
- call {:si_unique_call 3019} nVar2969 := proc130(28);
- call {:si_unique_call 3020} nVar2970 := proc130(28);
- call {:si_unique_call 3021} nVar2971 := proc130(28);
- call {:si_unique_call 3022} nVar2972 := proc130(28);
- call {:si_unique_call 3023} nVar2973 := proc130(28);
- call {:si_unique_call 3024} nVar2974 := proc130(12);
- call {:si_unique_call 3025} nVar2975 := proc130(28);
- call {:si_unique_call 3026} nVar2976 := proc130(16);
- call {:si_unique_call 3027} nVar2977 := proc130(28);
- call {:si_unique_call 3028} nVar2978 := proc130(28);
- call {:si_unique_call 3029} nVar2979 := proc130(28);
- call {:si_unique_call 3030} nVar2980 := proc130(28);
- call {:si_unique_call 3031} nVar2981 := proc130(28);
- call {:si_unique_call 3032} nVar2982 := proc130(28);
- call {:si_unique_call 3033} nVar2983 := proc130(28);
- call {:si_unique_call 3034} nVar2984 := proc130(28);
- call {:si_unique_call 3035} nVar2985 := proc130(28);
- call {:si_unique_call 3036} nVar2986 := proc130(28);
- call {:si_unique_call 3037} nVar2987 := proc130(28);
- call {:si_unique_call 3038} nVar2988 := proc130(28);
- call {:si_unique_call 3039} nVar2989 := proc130(28);
- call {:si_unique_call 3040} nVar2990 := proc130(4);
- call {:si_unique_call 3041} nVar2991 := proc130(28);
- call {:si_unique_call 3042} nVar2992 := proc130(28);
- call {:si_unique_call 3043} nVar2993 := proc130(28);
- call {:si_unique_call 3044} nVar2994 := proc130(28);
- call {:si_unique_call 3045} nVar2995 := proc130(28);
- call {:si_unique_call 3046} nVar2996 := proc130(28);
- call {:si_unique_call 3047} nVar2997 := proc130(4);
- call {:si_unique_call 3048} nVar2998 := proc130(28);
- call {:si_unique_call 3049} nVar2999 := proc130(28);
- call {:si_unique_call 3050} nVar3000 := proc130(28);
- call {:si_unique_call 3051} nVar3001 := proc130(28);
- call {:si_unique_call 3052} nVar3002 := proc130(28);
- call {:si_unique_call 3053} nVar3003 := proc130(28);
- call {:si_unique_call 3054} nVar3004 := proc130(28);
- call {:si_unique_call 3055} nVar3005 := proc130(28);
- call {:si_unique_call 3056} nVar3006 := proc130(16);
- call {:si_unique_call 3057} nVar3007 := proc130(28);
- call {:si_unique_call 3058} nVar3008 := proc130(28);
- call {:si_unique_call 3059} nVar3009 := proc130(28);
- call {:si_unique_call 3060} nVar3010 := proc130(28);
- call {:si_unique_call 3061} nVar3011 := proc130(28);
- call {:si_unique_call 3062} nVar3012 := proc130(28);
- call {:si_unique_call 3063} nVar3013 := proc130(28);
- call {:si_unique_call 3064} nVar3014 := proc130(28);
- call {:si_unique_call 3065} nVar3015 := proc130(28);
- call {:si_unique_call 3066} nVar3016 := proc130(28);
- call {:si_unique_call 3067} nVar3017 := proc130(28);
- call {:si_unique_call 3068} nVar3018 := proc130(28);
- call {:si_unique_call 3069} nVar3019 := proc130(28);
- call {:si_unique_call 3070} nVar3020 := proc130(28);
- call {:si_unique_call 3071} nVar3021 := proc130(28);
- call {:si_unique_call 3072} nVar3022 := proc130(28);
- call {:si_unique_call 3073} nVar3023 := proc130(28);
- call {:si_unique_call 3074} nVar3024 := proc130(28);
- call {:si_unique_call 3075} nVar3025 := proc130(28);
- call {:si_unique_call 3076} nVar3026 := proc130(28);
- call {:si_unique_call 3077} nVar3027 := proc130(28);
- call {:si_unique_call 3078} nVar3028 := proc130(28);
- call {:si_unique_call 3079} nVar3029 := proc130(28);
- call {:si_unique_call 3080} nVar3030 := proc130(28);
- call {:si_unique_call 3081} nVar3031 := proc130(16);
- call {:si_unique_call 3082} nVar3032 := proc130(28);
- call {:si_unique_call 3083} nVar3033 := proc130(28);
- call {:si_unique_call 3084} nVar3034 := proc130(28);
- call {:si_unique_call 3085} nVar3035 := proc130(28);
- call {:si_unique_call 3086} nVar3036 := proc130(28);
- call {:si_unique_call 3087} nVar3037 := proc130(16);
- call {:si_unique_call 3088} nVar3038 := proc130(28);
- call {:si_unique_call 3089} nVar3039 := proc130(28);
- call {:si_unique_call 3090} nVar3040 := proc130(28);
- call {:si_unique_call 3091} nVar3041 := proc130(28);
- call {:si_unique_call 3092} nVar3042 := proc130(16);
- call {:si_unique_call 3093} nVar3043 := proc130(28);
- call {:si_unique_call 3094} nVar3044 := proc130(28);
- call {:si_unique_call 3095} nVar3045 := proc130(28);
- call {:si_unique_call 3096} nVar3046 := proc130(28);
- call {:si_unique_call 3097} nVar3047 := proc130(28);
- call {:si_unique_call 3098} nVar3049 := proc130(28);
- call {:si_unique_call 3099} nVar3050 := proc130(12);
- call {:si_unique_call 3100} nVar3051 := proc130(28);
- call {:si_unique_call 3101} nVar3052 := proc130(28);
- call {:si_unique_call 3102} nVar3053 := proc130(28);
- call {:si_unique_call 3103} nVar3054 := proc130(28);
- call {:si_unique_call 3104} nVar3055 := proc130(28);
- call {:si_unique_call 3105} nVar3056 := proc130(28);
- call {:si_unique_call 3106} nVar3057 := proc130(28);
- call {:si_unique_call 3107} nVar3058 := proc130(28);
- call {:si_unique_call 3108} nVar3059 := proc130(12);
- call {:si_unique_call 3109} nVar3060 := proc130(16);
- call {:si_unique_call 3110} nVar3061 := proc130(4);
- call {:si_unique_call 3111} nVar3063 := proc130(28);
- call {:si_unique_call 3112} nVar3064 := proc130(28);
- call {:si_unique_call 3113} nVar3065 := proc130(28);
- call {:si_unique_call 3114} nVar3066 := proc130(28);
- call {:si_unique_call 3115} nVar3067 := proc130(28);
- call {:si_unique_call 3116} nVar3068 := proc130(28);
- call {:si_unique_call 3117} nVar3069 := proc130(28);
- call {:si_unique_call 3118} nVar3070 := proc130(28);
- call {:si_unique_call 3119} nVar3071 := proc130(12);
- call {:si_unique_call 3120} nVar3072 := proc130(28);
- call {:si_unique_call 3121} nVar3073 := proc130(28);
- call {:si_unique_call 3122} nVar3074 := proc130(28);
- call {:si_unique_call 3123} nVar3075 := proc130(28);
- call {:si_unique_call 3124} nVar3076 := proc130(28);
- call {:si_unique_call 3125} nVar3077 := proc130(16);
- call {:si_unique_call 3126} nVar3078 := proc130(28);
- call {:si_unique_call 3127} nVar3079 := proc130(28);
- call {:si_unique_call 3128} nVar3080 := proc130(28);
- call {:si_unique_call 3129} nVar3081 := proc130(28);
- call {:si_unique_call 3130} nVar3082 := proc130(28);
- call {:si_unique_call 3131} nVar3083 := proc130(16);
- call {:si_unique_call 3132} nVar3084 := proc130(28);
- call {:si_unique_call 3133} nVar3085 := proc130(28);
- call {:si_unique_call 3134} nVar3086 := proc130(28);
- call {:si_unique_call 3135} nVar3087 := proc130(28);
- call {:si_unique_call 3136} nVar3088 := proc130(28);
- call {:si_unique_call 3137} nVar3089 := proc130(28);
- call {:si_unique_call 3138} nVar3090 := proc130(28);
- call {:si_unique_call 3139} nVar3091 := proc130(28);
- call {:si_unique_call 3140} nVar3092 := proc130(28);
- call {:si_unique_call 3141} nVar3093 := proc130(16);
- call {:si_unique_call 3142} nVar3094 := proc130(28);
- call {:si_unique_call 3143} nVar3095 := proc130(28);
- call {:si_unique_call 3144} nVar3096 := proc130(56);
- call {:si_unique_call 3145} nVar3097 := proc130(28);
- call {:si_unique_call 3146} nVar3098 := proc130(28);
- call {:si_unique_call 3147} nVar3099 := proc130(12);
- call {:si_unique_call 3148} nVar3100 := proc130(28);
- call {:si_unique_call 3149} nVar3101 := proc130(28);
- call {:si_unique_call 3150} nVar3102 := proc130(28);
- call {:si_unique_call 3151} nVar3103 := proc130(4);
- call {:si_unique_call 3152} nVar3104 := proc130(4);
- call {:si_unique_call 3153} nVar3105 := proc130(28);
- call {:si_unique_call 3154} nVar3106 := proc130(28);
- call {:si_unique_call 3155} nVar3107 := proc130(28);
- call {:si_unique_call 3156} nVar3108 := proc130(28);
- call {:si_unique_call 3157} nVar3109 := proc130(28);
- call {:si_unique_call 3158} nVar3110 := proc130(28);
- call {:si_unique_call 3159} nVar3111 := proc130(28);
- call {:si_unique_call 3160} nVar3112 := proc130(28);
- call {:si_unique_call 3161} nVar3113 := proc130(28);
- call {:si_unique_call 3162} nVar3114 := proc130(28);
- call {:si_unique_call 3163} nVar3115 := proc130(28);
- call {:si_unique_call 3164} nVar3116 := proc130(28);
- call {:si_unique_call 3165} nVar3117 := proc130(28);
- call {:si_unique_call 3166} nVar3118 := proc130(28);
- call {:si_unique_call 3167} nVar3119 := proc130(16);
- call {:si_unique_call 3168} nVar3120 := proc130(24);
- call {:si_unique_call 3169} nVar3121 := proc130(16);
- call {:si_unique_call 3170} nVar3122 := proc130(28);
- call {:si_unique_call 3171} nVar3123 := proc130(28);
- call {:si_unique_call 3172} nVar3124 := proc130(28);
- call {:si_unique_call 3173} nVar3125 := proc130(28);
- call {:si_unique_call 3174} nVar3126 := proc130(12);
- call {:si_unique_call 3175} nVar3127 := proc130(28);
- call {:si_unique_call 3176} nVar3128 := proc130(12);
- call {:si_unique_call 3177} nVar3129 := proc130(28);
- call {:si_unique_call 3178} nVar3130 := proc130(28);
- call {:si_unique_call 3179} nVar3131 := proc130(28);
- call {:si_unique_call 3180} nVar3132 := proc130(28);
- call {:si_unique_call 3181} nVar3133 := proc130(28);
- call {:si_unique_call 3182} nVar3134 := proc130(4);
- call {:si_unique_call 3183} nVar3135 := proc130(28);
- call {:si_unique_call 3184} nVar3136 := proc130(28);
- call {:si_unique_call 3185} nVar3137 := proc130(24);
- call {:si_unique_call 3186} nVar3138 := proc130(8);
- call {:si_unique_call 3187} nVar3140 := proc130(24);
- call {:si_unique_call 3188} nVar3141 := proc130(28);
- call {:si_unique_call 3189} nVar3142 := proc130(24);
- call {:si_unique_call 3190} nVar3143 := proc130(28);
- call {:si_unique_call 3191} nVar3144 := proc130(28);
- call {:si_unique_call 3192} nVar3145 := proc130(28);
- call {:si_unique_call 3193} nVar3146 := proc130(4);
- call {:si_unique_call 3194} nVar3147 := proc130(28);
- call {:si_unique_call 3195} nVar3148 := proc130(28);
- call {:si_unique_call 3196} nVar3149 := proc130(28);
- call {:si_unique_call 3197} nVar3150 := proc130(28);
- call {:si_unique_call 3198} nVar3151 := proc130(28);
- call {:si_unique_call 3199} nVar3152 := proc130(28);
- call {:si_unique_call 3200} nVar3153 := proc130(28);
- call {:si_unique_call 3201} nVar3154 := proc130(28);
- call {:si_unique_call 3202} nVar3155 := proc130(12);
- call {:si_unique_call 3203} nVar3156 := proc130(4);
- call {:si_unique_call 3204} nVar3157 := proc130(28);
- call {:si_unique_call 3205} nVar3158 := proc130(28);
- call {:si_unique_call 3206} nVar3159 := proc130(28);
- call {:si_unique_call 3207} nVar3160 := proc130(28);
- call {:si_unique_call 3208} nVar3161 := proc130(28);
- call {:si_unique_call 3209} nVar3162 := proc130(16);
- call {:si_unique_call 3210} nVar3163 := proc130(28);
- call {:si_unique_call 3211} nVar3164 := proc130(28);
- call {:si_unique_call 3212} nVar3165 := proc130(28);
- call {:si_unique_call 3213} nVar3166 := proc130(28);
- call {:si_unique_call 3214} nVar3167 := proc130(28);
- call {:si_unique_call 3215} nVar3168 := proc130(28);
- call {:si_unique_call 3216} nVar3169 := proc130(28);
- call {:si_unique_call 3217} nVar3170 := proc130(28);
- call {:si_unique_call 3218} nVar3171 := proc130(12);
- call {:si_unique_call 3219} nVar3172 := proc130(28);
- call {:si_unique_call 3220} nVar3173 := proc130(12);
- call {:si_unique_call 3221} nVar3174 := proc130(16);
- call {:si_unique_call 3222} nVar3175 := proc130(28);
- call {:si_unique_call 3223} nVar3176 := proc130(16);
- call {:si_unique_call 3224} nVar3177 := proc130(28);
- call {:si_unique_call 3225} nVar3178 := proc130(28);
- call {:si_unique_call 3226} nVar3179 := proc130(28);
- call {:si_unique_call 3227} nVar3180 := proc130(4);
- call {:si_unique_call 3228} nVar3181 := proc130(28);
- call {:si_unique_call 3229} nVar3182 := proc130(4);
- call {:si_unique_call 3230} nVar3183 := proc130(28);
- call {:si_unique_call 3231} nVar3184 := proc130(4);
- call {:si_unique_call 3232} nVar3185 := proc130(28);
- call {:si_unique_call 3233} nVar3186 := proc130(28);
- call {:si_unique_call 3234} nVar3187 := proc130(28);
- call {:si_unique_call 3235} nVar3188 := proc130(16);
- call {:si_unique_call 3236} nVar3189 := proc130(12);
- call {:si_unique_call 3237} nVar3190 := proc130(28);
- call {:si_unique_call 3238} nVar3191 := proc130(12);
- call {:si_unique_call 3239} nVar3192 := proc130(28);
- call {:si_unique_call 3240} nVar3193 := proc130(28);
- call {:si_unique_call 3241} nVar3194 := proc130(28);
- call {:si_unique_call 3242} nVar3195 := proc130(28);
- call {:si_unique_call 3243} nVar3196 := proc130(28);
- call {:si_unique_call 3244} nVar3197 := proc130(28);
- call {:si_unique_call 3245} nVar3198 := proc130(24);
- call {:si_unique_call 3246} nVar3199 := proc130(28);
- call {:si_unique_call 3247} nVar3200 := proc130(28);
- call {:si_unique_call 3248} nVar3201 := proc130(28);
- call {:si_unique_call 3249} nVar3202 := proc130(28);
- call {:si_unique_call 3250} nVar3203 := proc130(28);
- call {:si_unique_call 3251} nVar3204 := proc130(28);
- call {:si_unique_call 3252} nVar3205 := proc130(28);
- call {:si_unique_call 3253} nVar3206 := proc130(28);
- call {:si_unique_call 3254} nVar3207 := proc130(28);
- call {:si_unique_call 3255} nVar3208 := proc130(28);
- call {:si_unique_call 3256} nVar3209 := proc130(28);
- call {:si_unique_call 3257} nVar3210 := proc130(28);
- call {:si_unique_call 3258} nVar3211 := proc130(28);
- call {:si_unique_call 3259} nVar3212 := proc130(28);
- call {:si_unique_call 3260} nVar3213 := proc130(12);
- call {:si_unique_call 3261} nVar3214 := proc130(4);
- call {:si_unique_call 3262} nVar3215 := proc130(28);
- call {:si_unique_call 3263} nVar3216 := proc130(12);
- call {:si_unique_call 3264} nVar3217 := proc130(28);
- call {:si_unique_call 3265} nVar3218 := proc130(28);
- call {:si_unique_call 3266} nVar3219 := proc130(28);
- call {:si_unique_call 3267} nVar3220 := proc130(28);
- call {:si_unique_call 3268} nVar3221 := proc130(28);
- call {:si_unique_call 3269} nVar3222 := proc130(28);
- call {:si_unique_call 3270} nVar3223 := proc130(28);
- call {:si_unique_call 3271} nVar3224 := proc130(28);
- call {:si_unique_call 3272} nVar3225 := proc130(28);
- call {:si_unique_call 3273} nVar3226 := proc130(28);
- call {:si_unique_call 3274} nVar3227 := proc130(28);
- call {:si_unique_call 3275} nVar3228 := proc130(12);
- call {:si_unique_call 3276} nVar3229 := proc130(28);
- call {:si_unique_call 3277} nVar3230 := proc130(28);
- call {:si_unique_call 3278} nVar3231 := proc130(28);
- call {:si_unique_call 3279} nVar3232 := proc130(28);
- call {:si_unique_call 3280} nVar3233 := proc130(28);
- call {:si_unique_call 3281} nVar3234 := proc130(28);
- call {:si_unique_call 3282} nVar3235 := proc130(28);
- call {:si_unique_call 3283} nVar3236 := proc130(4);
- call {:si_unique_call 3284} nVar3237 := proc130(24);
- call {:si_unique_call 3285} nVar3238 := proc130(28);
- call {:si_unique_call 3286} nVar3239 := proc130(28);
- call {:si_unique_call 3287} nVar3240 := proc130(16);
- call {:si_unique_call 3288} nVar3241 := proc130(12);
- call {:si_unique_call 3289} nVar3242 := proc130(28);
- call {:si_unique_call 3290} nVar3243 := proc130(28);
- call {:si_unique_call 3291} nVar3244 := proc130(28);
- call {:si_unique_call 3292} nVar3245 := proc130(28);
- call {:si_unique_call 3293} nVar3246 := proc130(28);
- call {:si_unique_call 3294} nVar3247 := proc130(28);
- call {:si_unique_call 3295} nVar3248 := proc130(28);
- call {:si_unique_call 3296} nVar3249 := proc130(28);
- call {:si_unique_call 3297} nVar3250 := proc130(24);
- call {:si_unique_call 3298} nVar3251 := proc130(28);
- call {:si_unique_call 3299} nVar3252 := proc130(28);
- call {:si_unique_call 3300} nVar3253 := proc130(28);
- call {:si_unique_call 3301} nVar3254 := proc130(4);
- call {:si_unique_call 3302} nVar3255 := proc130(28);
- call {:si_unique_call 3303} nVar3256 := proc130(28);
- call {:si_unique_call 3304} nVar3257 := proc130(4);
- call {:si_unique_call 3305} nVar3258 := proc130(24);
- call {:si_unique_call 3306} nVar3259 := proc130(28);
- call {:si_unique_call 3307} nVar3260 := proc130(28);
- call {:si_unique_call 3308} nVar3261 := proc130(28);
- call {:si_unique_call 3309} nVar3262 := proc130(28);
- call {:si_unique_call 3310} nVar3263 := proc130(28);
- call {:si_unique_call 3311} nVar3264 := proc130(28);
- call {:si_unique_call 3312} nVar3265 := proc130(24);
- call {:si_unique_call 3313} nVar3266 := proc130(28);
- call {:si_unique_call 3314} nVar3267 := proc130(28);
- call {:si_unique_call 3315} nVar3268 := proc130(28);
- call {:si_unique_call 3316} nVar3269 := proc130(4);
- call {:si_unique_call 3317} nVar3270 := proc130(28);
- call {:si_unique_call 3318} nVar3271 := proc130(28);
- call {:si_unique_call 3319} nVar3272 := proc130(28);
- call {:si_unique_call 3320} nVar3273 := proc130(28);
- call {:si_unique_call 3321} nVar3274 := proc130(28);
- call {:si_unique_call 3322} nVar3275 := proc130(28);
- call {:si_unique_call 3323} nVar3276 := proc130(28);
- call {:si_unique_call 3324} nVar3277 := proc130(28);
- call {:si_unique_call 3325} nVar3278 := proc130(28);
- call {:si_unique_call 3326} nVar3279 := proc130(16);
- call {:si_unique_call 3327} nVar3280 := proc130(28);
- call {:si_unique_call 3328} nVar3281 := proc130(28);
- call {:si_unique_call 3329} nVar3282 := proc130(28);
- call {:si_unique_call 3330} nVar3283 := proc130(16);
- call {:si_unique_call 3331} nVar3284 := proc130(24);
- call {:si_unique_call 3332} nVar3285 := proc130(28);
- call {:si_unique_call 3333} nVar3286 := proc130(28);
- call {:si_unique_call 3334} nVar3287 := proc130(16);
- call {:si_unique_call 3335} nVar3288 := proc130(4);
- call {:si_unique_call 3336} nVar3289 := proc130(24);
- call {:si_unique_call 3337} nVar3290 := proc130(28);
- call {:si_unique_call 3338} nVar3291 := proc130(28);
- call {:si_unique_call 3339} nVar3292 := proc130(28);
- call {:si_unique_call 3340} nVar3293 := proc130(16);
- call {:si_unique_call 3341} nVar3294 := proc130(4);
- call {:si_unique_call 3342} nVar3295 := proc130(4);
- call {:si_unique_call 3343} nVar3296 := proc130(28);
- call {:si_unique_call 3344} nVar3297 := proc130(28);
- call {:si_unique_call 3345} nVar3298 := proc130(28);
- call {:si_unique_call 3346} nVar3299 := proc130(28);
- call {:si_unique_call 3347} nVar3300 := proc130(28);
- call {:si_unique_call 3348} nVar3301 := proc130(28);
- call {:si_unique_call 3349} nVar3302 := proc130(28);
- call {:si_unique_call 3350} nVar3303 := proc130(28);
- call {:si_unique_call 3351} nVar3304 := proc130(28);
- call {:si_unique_call 3352} nVar3305 := proc130(28);
- call {:si_unique_call 3353} nVar3306 := proc130(28);
- call {:si_unique_call 3354} nVar3307 := proc130(28);
- call {:si_unique_call 3355} nVar3308 := proc130(28);
- call {:si_unique_call 3356} nVar3309 := proc130(28);
- call {:si_unique_call 3357} nVar3310 := proc130(28);
- call {:si_unique_call 3358} nVar3311 := proc130(28);
- call {:si_unique_call 3359} nVar3312 := proc130(12);
- call {:si_unique_call 3360} nVar3313 := proc130(16);
- call {:si_unique_call 3361} nVar3314 := proc130(28);
- call {:si_unique_call 3362} nVar3315 := proc130(4);
- call {:si_unique_call 3363} nVar3316 := proc130(12);
- call {:si_unique_call 3364} nVar3317 := proc130(28);
- call {:si_unique_call 3365} nVar3318 := proc130(28);
- call {:si_unique_call 3366} nVar3319 := proc130(28);
- call {:si_unique_call 3367} nVar3320 := proc130(28);
- call {:si_unique_call 3368} nVar3321 := proc130(28);
- call {:si_unique_call 3369} nVar3322 := proc130(28);
- call {:si_unique_call 3370} nVar3323 := proc130(28);
- call {:si_unique_call 3371} nVar3324 := proc130(12);
- call {:si_unique_call 3372} nVar3325 := proc130(28);
- call {:si_unique_call 3373} nVar3326 := proc130(28);
- call {:si_unique_call 3374} nVar3327 := proc130(16);
- call {:si_unique_call 3375} nVar3328 := proc130(16);
- call {:si_unique_call 3376} nVar3329 := proc130(28);
- call {:si_unique_call 3377} nVar3330 := proc130(28);
- call {:si_unique_call 3378} nVar3331 := proc130(28);
- call {:si_unique_call 3379} nVar3332 := proc130(28);
- call {:si_unique_call 3380} nVar3333 := proc130(28);
- call {:si_unique_call 3381} nVar3334 := proc130(28);
- call {:si_unique_call 3382} nVar3335 := proc130(28);
- call {:si_unique_call 3383} nVar3336 := proc130(28);
- call {:si_unique_call 3384} nVar3337 := proc130(28);
- call {:si_unique_call 3385} nVar3338 := proc130(4);
- call {:si_unique_call 3386} nVar3339 := proc130(28);
- call {:si_unique_call 3387} nVar3340 := proc130(28);
- call {:si_unique_call 3388} nVar3341 := proc130(28);
- call {:si_unique_call 3389} nVar3342 := proc130(28);
- call {:si_unique_call 3390} nVar3343 := proc130(28);
- call {:si_unique_call 3391} nVar3344 := proc130(28);
- call {:si_unique_call 3392} nVar3345 := proc130(28);
- call {:si_unique_call 3393} nVar4958 := proc130(16);
- call {:si_unique_call 3394} nVar3346 := proc130(28);
- call {:si_unique_call 3395} nVar3347 := proc130(28);
- call {:si_unique_call 3396} nVar3348 := proc130(4);
- call {:si_unique_call 3397} nVar3349 := proc130(12);
- call {:si_unique_call 3398} nVar3350 := proc130(28);
- call {:si_unique_call 3399} nVar3351 := proc130(28);
- call {:si_unique_call 3400} nVar3352 := proc130(28);
- call {:si_unique_call 3401} nVar3353 := proc130(28);
- call {:si_unique_call 3402} nVar3354 := proc130(28);
- call {:si_unique_call 3403} nVar3355 := proc130(28);
- call {:si_unique_call 3404} nVar3356 := proc130(12);
- call {:si_unique_call 3405} nVar3357 := proc130(28);
- call {:si_unique_call 3406} nVar3358 := proc130(28);
- call {:si_unique_call 3407} nVar3359 := proc130(28);
- call {:si_unique_call 3408} nVar3360 := proc130(28);
- call {:si_unique_call 3409} nVar3361 := proc130(28);
- call {:si_unique_call 3410} nVar3362 := proc130(28);
- call {:si_unique_call 3411} nVar3363 := proc130(28);
- call {:si_unique_call 3412} nVar3364 := proc130(28);
- call {:si_unique_call 3413} nVar3365 := proc130(16);
- call {:si_unique_call 3414} nVar3366 := proc130(24);
- call {:si_unique_call 3415} nVar3367 := proc130(28);
- call {:si_unique_call 3416} nVar3368 := proc130(28);
- call {:si_unique_call 3417} nVar3369 := proc130(4);
- call {:si_unique_call 3418} nVar3370 := proc130(28);
- call {:si_unique_call 3419} nVar3371 := proc130(28);
- call {:si_unique_call 3420} nVar3372 := proc130(28);
- call {:si_unique_call 3421} nVar3373 := proc130(16);
- call {:si_unique_call 3422} nVar3374 := proc130(28);
- call {:si_unique_call 3423} nVar3375 := proc130(28);
- call {:si_unique_call 3424} nVar3376 := proc130(28);
- call {:si_unique_call 3425} nVar3377 := proc130(4);
- call {:si_unique_call 3426} nVar3378 := proc130(28);
- call {:si_unique_call 3427} nVar3379 := proc130(16);
- call {:si_unique_call 3428} nVar3380 := proc130(28);
- call {:si_unique_call 3429} nVar3381 := proc130(28);
- call {:si_unique_call 3430} nVar3382 := proc130(28);
- call {:si_unique_call 3431} nVar3383 := proc130(28);
- call {:si_unique_call 3432} nVar3384 := proc130(28);
- call {:si_unique_call 3433} nVar3385 := proc130(28);
- call {:si_unique_call 3434} nVar3386 := proc130(28);
- call {:si_unique_call 3435} nVar3387 := proc130(16);
- call {:si_unique_call 3436} nVar3388 := proc130(28);
- call {:si_unique_call 3437} nVar3389 := proc130(4);
- call {:si_unique_call 3438} nVar3390 := proc130(28);
- call {:si_unique_call 3439} nVar3391 := proc130(28);
- call {:si_unique_call 3440} nVar3392 := proc130(4);
- call {:si_unique_call 3441} nVar3393 := proc130(28);
- call {:si_unique_call 3442} nVar3394 := proc130(28);
- call {:si_unique_call 3443} nVar3395 := proc130(24);
- call {:si_unique_call 3444} nVar3396 := proc130(28);
- call {:si_unique_call 3445} nVar3397 := proc130(28);
- call {:si_unique_call 3446} nVar3398 := proc130(28);
- call {:si_unique_call 3447} nVar3399 := proc130(28);
- call {:si_unique_call 3448} nVar3400 := proc130(8);
- call {:si_unique_call 3449} nVar3401 := proc130(4);
- call {:si_unique_call 3450} nVar3402 := proc130(28);
- call {:si_unique_call 3451} nVar3403 := proc130(28);
- call {:si_unique_call 3452} nVar3404 := proc130(28);
- call {:si_unique_call 3453} nVar3405 := proc130(28);
- call {:si_unique_call 3454} nVar3406 := proc130(4);
- call {:si_unique_call 3455} nVar3407 := proc130(28);
- call {:si_unique_call 3456} nVar3408 := proc130(16);
- call {:si_unique_call 3457} nVar3409 := proc130(4);
- call {:si_unique_call 3458} nVar3410 := proc130(28);
- call {:si_unique_call 3459} nVar3411 := proc130(28);
- call {:si_unique_call 3460} nVar3412 := proc130(28);
- call {:si_unique_call 3461} nVar3413 := proc130(28);
- call {:si_unique_call 3462} nVar3414 := proc130(28);
- call {:si_unique_call 3463} nVar3415 := proc130(28);
- call {:si_unique_call 3464} nVar3416 := proc130(28);
- call {:si_unique_call 3465} nVar3417 := proc130(28);
- call {:si_unique_call 3466} nVar3418 := proc130(28);
- call {:si_unique_call 3467} nVar3419 := proc130(28);
- call {:si_unique_call 3468} nVar3420 := proc130(28);
- call {:si_unique_call 3469} nVar3421 := proc130(28);
- call {:si_unique_call 3470} nVar3422 := proc130(24);
- call {:si_unique_call 3471} nVar3423 := proc130(4);
- call {:si_unique_call 3472} nVar3424 := proc130(16);
- call {:si_unique_call 3473} nVar3425 := proc130(28);
- call {:si_unique_call 3474} nVar3426 := proc130(28);
- call {:si_unique_call 3475} nVar3427 := proc130(28);
- call {:si_unique_call 3476} nVar3428 := proc130(28);
- call {:si_unique_call 3477} nVar3429 := proc130(28);
- call {:si_unique_call 3478} nVar3430 := proc130(28);
- call {:si_unique_call 3479} nVar3431 := proc130(28);
- call {:si_unique_call 3480} nVar3432 := proc130(28);
- call {:si_unique_call 3481} nVar3433 := proc130(28);
- call {:si_unique_call 3482} nVar3434 := proc130(28);
- call {:si_unique_call 3483} nVar3435 := proc130(28);
- call {:si_unique_call 3484} nVar3436 := proc130(28);
- call {:si_unique_call 3485} nVar3437 := proc130(28);
- call {:si_unique_call 3486} nVar3438 := proc130(28);
- call {:si_unique_call 3487} nVar3439 := proc130(28);
- call {:si_unique_call 3488} nVar3440 := proc130(28);
- call {:si_unique_call 3489} nVar3441 := proc130(28);
- call {:si_unique_call 3490} nVar3442 := proc130(28);
- call {:si_unique_call 3491} nVar3443 := proc130(28);
- call {:si_unique_call 3492} nVar3444 := proc130(28);
- call {:si_unique_call 3493} nVar3445 := proc130(28);
- call {:si_unique_call 3494} nVar3446 := proc130(28);
- call {:si_unique_call 3495} nVar3447 := proc130(28);
- call {:si_unique_call 3496} nVar3448 := proc130(24);
- call {:si_unique_call 3497} nVar3449 := proc130(28);
- call {:si_unique_call 3498} nVar3450 := proc130(28);
- call {:si_unique_call 3499} nVar3451 := proc130(4);
- call {:si_unique_call 3500} nVar3452 := proc130(28);
- call {:si_unique_call 3501} nVar3453 := proc130(24);
- call {:si_unique_call 3502} nVar3454 := proc130(28);
- call {:si_unique_call 3503} nVar3455 := proc130(28);
- call {:si_unique_call 3504} nVar3456 := proc130(28);
- call {:si_unique_call 3505} nVar3457 := proc130(28);
- call {:si_unique_call 3506} nVar3458 := proc130(16);
- call {:si_unique_call 3507} nVar3459 := proc130(28);
- call {:si_unique_call 3508} nVar3460 := proc130(12);
- call {:si_unique_call 3509} nVar3461 := proc130(28);
- call {:si_unique_call 3510} nVar3462 := proc130(28);
- call {:si_unique_call 3511} nVar3463 := proc130(28);
- call {:si_unique_call 3512} nVar3464 := proc130(28);
- call {:si_unique_call 3513} nVar3465 := proc130(28);
- call {:si_unique_call 3514} nVar3466 := proc130(4);
- call {:si_unique_call 3515} nVar3467 := proc130(28);
- call {:si_unique_call 3516} nVar3468 := proc130(28);
- call {:si_unique_call 3517} nVar3469 := proc130(4);
- call {:si_unique_call 3518} nVar3470 := proc130(28);
- call {:si_unique_call 3519} nVar3471 := proc130(28);
- call {:si_unique_call 3520} nVar3472 := proc130(28);
- call {:si_unique_call 3521} nVar3473 := proc130(12);
- call {:si_unique_call 3522} nVar3474 := proc130(28);
- call {:si_unique_call 3523} nVar3475 := proc130(28);
- call {:si_unique_call 3524} nVar3476 := proc130(28);
- call {:si_unique_call 3525} nVar3477 := proc130(28);
- call {:si_unique_call 3526} nVar3478 := proc130(28);
- call {:si_unique_call 3527} nVar3479 := proc130(16);
- call {:si_unique_call 3528} nVar3480 := proc130(24);
- call {:si_unique_call 3529} nVar3481 := proc130(28);
- call {:si_unique_call 3530} nVar3482 := proc130(28);
- call {:si_unique_call 3531} nVar3483 := proc130(4);
- call {:si_unique_call 3532} nVar3484 := proc130(28);
- call {:si_unique_call 3533} nVar3485 := proc130(28);
- call {:si_unique_call 3534} nVar3486 := proc130(28);
- call {:si_unique_call 3535} nVar3487 := proc130(28);
- call {:si_unique_call 3536} nVar3488 := proc130(28);
- call {:si_unique_call 3537} nVar3489 := proc130(28);
- call {:si_unique_call 3538} nVar3490 := proc130(28);
- call {:si_unique_call 3539} nVar3491 := proc130(24);
- call {:si_unique_call 3540} nVar3492 := proc130(28);
- call {:si_unique_call 3541} nVar3493 := proc130(28);
- call {:si_unique_call 3542} nVar3494 := proc130(28);
- call {:si_unique_call 3543} nVar3495 := proc130(16);
- call {:si_unique_call 3544} nVar3496 := proc130(28);
- call {:si_unique_call 3545} nVar3497 := proc130(24);
- call {:si_unique_call 3546} nVar3498 := proc130(28);
- call {:si_unique_call 3547} nVar3499 := proc130(28);
- call {:si_unique_call 3548} nVar3500 := proc130(28);
- call {:si_unique_call 3549} nVar3501 := proc130(28);
- call {:si_unique_call 3550} nVar3502 := proc130(28);
- call {:si_unique_call 3551} nVar3503 := proc130(28);
- call {:si_unique_call 3552} nVar3504 := proc130(28);
- call {:si_unique_call 3553} nVar3505 := proc130(28);
- call {:si_unique_call 3554} nVar3506 := proc130(28);
- call {:si_unique_call 3555} nVar3507 := proc130(4);
- call {:si_unique_call 3556} nVar3508 := proc130(28);
- call {:si_unique_call 3557} nVar3509 := proc130(28);
- call {:si_unique_call 3558} nVar3510 := proc130(28);
- call {:si_unique_call 3559} nVar3511 := proc130(28);
- call {:si_unique_call 3560} nVar3512 := proc130(28);
- call {:si_unique_call 3561} nVar3513 := proc130(28);
- call {:si_unique_call 3562} nVar3514 := proc130(28);
- call {:si_unique_call 3563} nVar3515 := proc130(28);
- call {:si_unique_call 3564} nVar3516 := proc130(28);
- call {:si_unique_call 3565} nVar3517 := proc130(28);
- call {:si_unique_call 3566} nVar3518 := proc130(28);
- call {:si_unique_call 3567} nVar3519 := proc130(28);
- call {:si_unique_call 3568} nVar3520 := proc130(16);
- call {:si_unique_call 3569} nVar3521 := proc130(56);
- call {:si_unique_call 3570} nVar3522 := proc130(12);
- call {:si_unique_call 3571} nVar3523 := proc130(28);
- call {:si_unique_call 3572} nVar3524 := proc130(28);
- call {:si_unique_call 3573} nVar3525 := proc130(28);
- call {:si_unique_call 3574} nVar3526 := proc130(16);
- call {:si_unique_call 3575} nVar3527 := proc130(28);
- call {:si_unique_call 3576} nVar3528 := proc130(4);
- call {:si_unique_call 3577} nVar3529 := proc130(16);
- call {:si_unique_call 3578} nVar3530 := proc130(28);
- call {:si_unique_call 3579} nVar3531 := proc130(28);
- call {:si_unique_call 3580} nVar3532 := proc130(28);
- call {:si_unique_call 3581} nVar3533 := proc130(28);
- call {:si_unique_call 3582} nVar3534 := proc130(8);
- call {:si_unique_call 3583} nVar3535 := proc130(12);
- call {:si_unique_call 3584} nVar3536 := proc130(28);
- call {:si_unique_call 3585} nVar3537 := proc130(28);
- call {:si_unique_call 3586} nVar3538 := proc130(8);
- call {:si_unique_call 3587} nVar3539 := proc130(28);
- call {:si_unique_call 3588} nVar3540 := proc130(28);
- call {:si_unique_call 3589} nVar3541 := proc130(28);
- call {:si_unique_call 3590} nVar3542 := proc130(28);
- call {:si_unique_call 3591} nVar3543 := proc130(28);
- call {:si_unique_call 3592} nVar3544 := proc130(8);
- call {:si_unique_call 3593} nVar3545 := proc130(12);
- call {:si_unique_call 3594} nVar3546 := proc130(28);
- call {:si_unique_call 3595} nVar3547 := proc130(28);
- call {:si_unique_call 3596} nVar3548 := proc130(12);
- call {:si_unique_call 3597} nVar3549 := proc130(28);
- call {:si_unique_call 3598} nVar3550 := proc130(28);
- call {:si_unique_call 3599} nVar3551 := proc130(28);
- call {:si_unique_call 3600} nVar3552 := proc130(28);
- call {:si_unique_call 3601} nVar3553 := proc130(28);
- call {:si_unique_call 3602} nVar3554 := proc130(28);
- call {:si_unique_call 3603} nVar3555 := proc130(28);
- call {:si_unique_call 3604} nVar3556 := proc130(28);
- call {:si_unique_call 3605} nVar3557 := proc130(28);
- call {:si_unique_call 3606} nVar3558 := proc130(28);
- call {:si_unique_call 3607} nVar3559 := proc130(56);
- call {:si_unique_call 3608} nVar3560 := proc130(28);
- call {:si_unique_call 3609} nVar3561 := proc130(28);
- call {:si_unique_call 3610} nVar3562 := proc130(28);
- call {:si_unique_call 3611} nVar3563 := proc130(28);
- call {:si_unique_call 3612} nVar3564 := proc130(28);
- call {:si_unique_call 3613} nVar3565 := proc130(28);
- call {:si_unique_call 3614} nVar3566 := proc130(12);
- call {:si_unique_call 3615} nVar3567 := proc130(28);
- call {:si_unique_call 3616} nVar3568 := proc130(28);
- call {:si_unique_call 3617} nVar3569 := proc130(4);
- call {:si_unique_call 3618} nVar3570 := proc130(28);
- call {:si_unique_call 3619} nVar3571 := proc130(28);
- call {:si_unique_call 3620} nVar3572 := proc130(28);
- call {:si_unique_call 3621} nVar3573 := proc130(28);
- call {:si_unique_call 3622} nVar3574 := proc130(28);
- call {:si_unique_call 3623} nVar3575 := proc130(28);
- call {:si_unique_call 3624} nVar3576 := proc130(28);
- call {:si_unique_call 3625} nVar3577 := proc130(16);
- call {:si_unique_call 3626} nVar3578 := proc130(12);
- call {:si_unique_call 3627} nVar3579 := proc130(28);
- call {:si_unique_call 3628} nVar3580 := proc130(28);
- call {:si_unique_call 3629} nVar3581 := proc130(28);
- call {:si_unique_call 3630} nVar3582 := proc130(4);
- call {:si_unique_call 3631} nVar3583 := proc130(28);
- call {:si_unique_call 3632} nVar3584 := proc130(12);
- call {:si_unique_call 3633} nVar3585 := proc130(28);
- call {:si_unique_call 3634} nVar3586 := proc130(28);
- call {:si_unique_call 3635} nVar3587 := proc130(28);
- call {:si_unique_call 3636} nVar3588 := proc130(28);
- call {:si_unique_call 3637} nVar3589 := proc130(28);
- call {:si_unique_call 3638} nVar3590 := proc130(28);
- call {:si_unique_call 3639} nVar3591 := proc130(24);
- call {:si_unique_call 3640} nVar3592 := proc130(28);
- call {:si_unique_call 3641} nVar3593 := proc130(28);
- call {:si_unique_call 3642} nVar3594 := proc130(28);
- call {:si_unique_call 3643} nVar3595 := proc130(28);
- call {:si_unique_call 3644} nVar3596 := proc130(28);
- call {:si_unique_call 3645} nVar3597 := proc130(28);
- call {:si_unique_call 3646} nVar3598 := proc130(28);
- call {:si_unique_call 3647} nVar3599 := proc130(28);
- call {:si_unique_call 3648} nVar3600 := proc130(4);
- call {:si_unique_call 3649} nVar3601 := proc130(4);
- call {:si_unique_call 3650} nVar3602 := proc130(16);
- call {:si_unique_call 3651} nVar4959 := proc130(16);
- call {:si_unique_call 3652} nVar3603 := proc130(28);
- call {:si_unique_call 3653} nVar3604 := proc130(28);
- call {:si_unique_call 3654} nVar3605 := proc130(28);
- call {:si_unique_call 3655} nVar3606 := proc130(28);
- call {:si_unique_call 3656} nVar3607 := proc130(28);
- call {:si_unique_call 3657} nVar3608 := proc130(28);
- call {:si_unique_call 3658} nVar3609 := proc130(16);
- call {:si_unique_call 3659} nVar3610 := proc130(28);
- call {:si_unique_call 3660} nVar3611 := proc130(28);
- call {:si_unique_call 3661} nVar3612 := proc130(28);
- call {:si_unique_call 3662} nVar3613 := proc130(28);
- call {:si_unique_call 3663} nVar3614 := proc130(28);
- call {:si_unique_call 3664} nVar3615 := proc130(28);
- call {:si_unique_call 3665} nVar3616 := proc130(28);
- call {:si_unique_call 3666} nVar3617 := proc130(28);
- call {:si_unique_call 3667} nVar3618 := proc130(28);
- call {:si_unique_call 3668} nVar3619 := proc130(28);
- call {:si_unique_call 3669} nVar3620 := proc130(28);
- call {:si_unique_call 3670} nVar3621 := proc130(28);
- call {:si_unique_call 3671} nVar3622 := proc130(12);
- call {:si_unique_call 3672} nVar3623 := proc130(28);
- call {:si_unique_call 3673} nVar3624 := proc130(28);
- call {:si_unique_call 3674} nVar3625 := proc130(28);
- call {:si_unique_call 3675} nVar3626 := proc130(28);
- call {:si_unique_call 3676} nVar3627 := proc130(28);
- call {:si_unique_call 3677} nVar3628 := proc130(28);
- call {:si_unique_call 3678} nVar3629 := proc130(28);
- call {:si_unique_call 3679} nVar3630 := proc130(28);
- call {:si_unique_call 3680} nVar3631 := proc130(28);
- call {:si_unique_call 3681} nVar3632 := proc130(28);
- call {:si_unique_call 3682} nVar3633 := proc130(16);
- call {:si_unique_call 3683} nVar3634 := proc130(28);
- call {:si_unique_call 3684} nVar3635 := proc130(16);
- call {:si_unique_call 3685} nVar3636 := proc130(28);
- call {:si_unique_call 3686} nVar3637 := proc130(28);
- call {:si_unique_call 3687} nVar3638 := proc130(4);
- call {:si_unique_call 3688} nVar3639 := proc130(28);
- call {:si_unique_call 3689} nVar3640 := proc130(28);
- call {:si_unique_call 3690} nVar3641 := proc130(28);
- call {:si_unique_call 3691} nVar3642 := proc130(28);
- call {:si_unique_call 3692} nVar3643 := proc130(28);
- call {:si_unique_call 3693} nVar3644 := proc130(16);
- call {:si_unique_call 3694} nVar3645 := proc130(28);
- call {:si_unique_call 3695} nVar3646 := proc130(28);
- call {:si_unique_call 3696} nVar3647 := proc130(16);
- call {:si_unique_call 3697} nVar3648 := proc130(28);
- call {:si_unique_call 3698} nVar3649 := proc130(28);
- call {:si_unique_call 3699} nVar3650 := proc130(28);
- call {:si_unique_call 3700} nVar3651 := proc130(28);
- call {:si_unique_call 3701} nVar3652 := proc130(28);
- call {:si_unique_call 3702} nVar3653 := proc130(28);
- call {:si_unique_call 3703} nVar3654 := proc130(28);
- call {:si_unique_call 3704} nVar3655 := proc130(24);
- call {:si_unique_call 3705} nVar3656 := proc130(28);
- call {:si_unique_call 3706} nVar3657 := proc130(8);
- call {:si_unique_call 3707} nVar3658 := proc130(28);
- call {:si_unique_call 3708} nVar3659 := proc130(28);
- call {:si_unique_call 3709} nVar3660 := proc130(28);
- call {:si_unique_call 3710} nVar3661 := proc130(28);
- call {:si_unique_call 3711} nVar3662 := proc130(28);
- call {:si_unique_call 3712} nVar3663 := proc130(28);
- call {:si_unique_call 3713} nVar3664 := proc130(16);
- call {:si_unique_call 3714} nVar3665 := proc130(28);
- call {:si_unique_call 3715} nVar3666 := proc130(28);
- call {:si_unique_call 3716} nVar3667 := proc130(28);
- call {:si_unique_call 3717} nVar3668 := proc130(28);
- call {:si_unique_call 3718} nVar3669 := proc130(28);
- call {:si_unique_call 3719} nVar3670 := proc130(4);
- call {:si_unique_call 3720} nVar3671 := proc130(4);
- call {:si_unique_call 3721} nVar3672 := proc130(28);
- call {:si_unique_call 3722} nVar3673 := proc130(28);
- call {:si_unique_call 3723} nVar3674 := proc130(28);
- call {:si_unique_call 3724} nVar3675 := proc130(56);
- call {:si_unique_call 3725} nVar3676 := proc130(4);
- call {:si_unique_call 3726} nVar3677 := proc130(4);
- call {:si_unique_call 3727} nVar3678 := proc130(28);
- call {:si_unique_call 3728} nVar4960 := proc130(16);
- call {:si_unique_call 3729} nVar3679 := proc130(24);
- call {:si_unique_call 3730} nVar3680 := proc130(28);
- call {:si_unique_call 3731} nVar3681 := proc130(28);
- call {:si_unique_call 3732} nVar3682 := proc130(28);
- call {:si_unique_call 3733} nVar3683 := proc130(28);
- call {:si_unique_call 3734} nVar3684 := proc130(28);
- call {:si_unique_call 3735} nVar3685 := proc130(28);
- call {:si_unique_call 3736} nVar3686 := proc130(28);
- call {:si_unique_call 3737} nVar3687 := proc130(28);
- call {:si_unique_call 3738} nVar3688 := proc130(16);
- call {:si_unique_call 3739} nVar3689 := proc130(28);
- call {:si_unique_call 3740} nVar3690 := proc130(28);
- call {:si_unique_call 3741} nVar3691 := proc130(16);
- call {:si_unique_call 3742} nVar3692 := proc130(28);
- call {:si_unique_call 3743} nVar3693 := proc130(12);
- call {:si_unique_call 3744} nVar3694 := proc130(28);
- call {:si_unique_call 3745} nVar3695 := proc130(28);
- call {:si_unique_call 3746} nVar3696 := proc130(28);
- call {:si_unique_call 3747} nVar3697 := proc130(28);
- call {:si_unique_call 3748} nVar3698 := proc130(24);
- call {:si_unique_call 3749} nVar3699 := proc130(4);
- call {:si_unique_call 3750} nVar3700 := proc130(28);
- call {:si_unique_call 3751} nVar3701 := proc130(28);
- call {:si_unique_call 3752} nVar3702 := proc130(16);
- call {:si_unique_call 3753} nVar3703 := proc130(28);
- call {:si_unique_call 3754} nVar3704 := proc130(28);
- call {:si_unique_call 3755} nVar3705 := proc130(28);
- call {:si_unique_call 3756} nVar3706 := proc130(28);
- call {:si_unique_call 3757} nVar3707 := proc130(28);
- call {:si_unique_call 3758} nVar3708 := proc130(4);
- call {:si_unique_call 3759} nVar3709 := proc130(28);
- call {:si_unique_call 3760} nVar3710 := proc130(16);
- call {:si_unique_call 3761} nVar4939 := proc131(4);
- call {:si_unique_call 3762} nVar4939 := proc131(4);
- call {:si_unique_call 3763} nVar4939 := proc131(4);
- call {:si_unique_call 3764} nVar4939 := proc131(4);
- call {:si_unique_call 3765} nVar4939 := proc131(4);
- call {:si_unique_call 3766} nVar4939 := proc131(4);
- call {:si_unique_call 3767} nVar4939 := proc131(4);
- nVar347 := nVar4939;
- call {:si_unique_call 3768} nVar4939 := proc131(4);
- call {:si_unique_call 3769} nVar4939 := proc131(4);
- nVar399 := nVar4939;
- call {:si_unique_call 3770} nVar4939 := proc131(4);
- call {:si_unique_call 3771} nVar4939 := proc131(4);
- call {:si_unique_call 3772} nVar4939 := proc131(4);
- call {:si_unique_call 3773} nVar4939 := proc131(4);
- call {:si_unique_call 3774} nVar4939 := proc131(4);
- call {:si_unique_call 3775} nVar4939 := proc131(4);
- call {:si_unique_call 3776} nVar4939 := proc131(4);
- call {:si_unique_call 3777} nVar4939 := proc131(4);
- call {:si_unique_call 3778} nVar4939 := proc131(4);
- call {:si_unique_call 3779} nVar4939 := proc131(4);
- call {:si_unique_call 3780} nVar4939 := proc131(4);
- call {:si_unique_call 3781} nVar4939 := proc131(4);
- call {:si_unique_call 3782} nVar4939 := proc131(4);
- call {:si_unique_call 3783} nVar4939 := proc131(4);
- call {:si_unique_call 3784} nVar4939 := proc131(4);
- call {:si_unique_call 3785} nVar4939 := proc131(4);
- call {:si_unique_call 3786} nVar4939 := proc131(4);
- call {:si_unique_call 3787} nVar4939 := proc131(4);
- call {:si_unique_call 3788} nVar4939 := proc131(4);
- call {:si_unique_call 3789} nVar4939 := proc131(4);
- call {:si_unique_call 3790} nVar4939 := proc131(4);
- call {:si_unique_call 3791} nVar4939 := proc131(4);
- call {:si_unique_call 3792} nVar4939 := proc131(4);
- call {:si_unique_call 3793} nVar4939 := proc131(4);
- nVar1040 := nVar4939;
- call {:si_unique_call 3794} nVar4939 := proc131(4);
- call {:si_unique_call 3795} nVar4939 := proc131(4);
- call {:si_unique_call 3796} nVar4939 := proc131(4);
- call {:si_unique_call 3797} nVar4939 := proc131(4);
- nVar1175 := nVar4939;
- call {:si_unique_call 3798} nVar4939 := proc131(4);
- call {:si_unique_call 3799} nVar4939 := proc131(4);
- call {:si_unique_call 3800} nVar4939 := proc131(4);
- call {:si_unique_call 3801} nVar4939 := proc131(4);
- call {:si_unique_call 3802} nVar4939 := proc131(4);
- call {:si_unique_call 3803} nVar4939 := proc131(4);
- call {:si_unique_call 3804} nVar4939 := proc131(4);
- call {:si_unique_call 3805} nVar4939 := proc131(4);
- call {:si_unique_call 3806} nVar4939 := proc131(4);
- call {:si_unique_call 3807} nVar4939 := proc131(4);
- call {:si_unique_call 3808} nVar4939 := proc131(4);
- call {:si_unique_call 3809} nVar4939 := proc131(4);
- call {:si_unique_call 3810} nVar4939 := proc131(4);
- call {:si_unique_call 3811} nVar4939 := proc131(4);
- call {:si_unique_call 3812} nVar4939 := proc131(8);
- call {:si_unique_call 3813} nVar4939 := proc131(4);
- call {:si_unique_call 3814} nVar4939 := proc131(4);
- call {:si_unique_call 3815} nVar4939 := proc131(4);
- call {:si_unique_call 3816} nVar4939 := proc131(4);
- call {:si_unique_call 3817} nVar4939 := proc131(4);
- call {:si_unique_call 3818} nVar4939 := proc131(4);
- call {:si_unique_call 3819} nVar4939 := proc131(4);
- call {:si_unique_call 3820} nVar4939 := proc131(4);
- nVar2103 := nVar4939;
- call {:si_unique_call 3821} nVar4939 := proc131(4);
- call {:si_unique_call 3822} nVar4939 := proc131(4);
- call {:si_unique_call 3823} nVar4939 := proc131(8);
- nVar2179 := nVar4939;
- call {:si_unique_call 3824} nVar4939 := proc131(4);
- call {:si_unique_call 3825} nVar4939 := proc131(4);
- call {:si_unique_call 3826} nVar4939 := proc131(4);
- call {:si_unique_call 3827} nVar4939 := proc131(16);
- call {:si_unique_call 3828} nVar4939 := proc131(4);
- call {:si_unique_call 3829} nVar4939 := proc131(4);
- nVar2621 := nVar4939;
- call {:si_unique_call 3830} nVar4939 := proc131(4);
- nVar2632 := nVar4939;
- call {:si_unique_call 3831} nVar4939 := proc131(4);
- call {:si_unique_call 3832} nVar4939 := proc131(4);
- call {:si_unique_call 3833} nVar4939 := proc131(4);
- nVar2754 := nVar4939;
- call {:si_unique_call 3834} nVar4939 := proc131(4);
- call {:si_unique_call 3835} nVar4939 := proc131(4);
- call {:si_unique_call 3836} nVar4939 := proc131(4);
- call {:si_unique_call 3837} nVar4939 := proc131(4);
- call {:si_unique_call 3838} nVar4939 := proc131(4);
- call {:si_unique_call 3839} nVar4939 := proc131(4);
- call {:si_unique_call 3840} nVar4939 := proc131(4);
- call {:si_unique_call 3841} nVar4939 := proc131(4);
- call {:si_unique_call 3842} nVar4939 := proc131(4);
- call {:si_unique_call 3843} nVar4939 := proc131(4);
- call {:si_unique_call 3844} nVar4939 := proc131(4);
- call {:si_unique_call 3845} nVar4939 := proc131(4);
- call {:si_unique_call 3846} nVar4939 := proc131(4);
- nVar3048 := nVar4939;
- call {:si_unique_call 3847} nVar4939 := proc131(4);
- call {:si_unique_call 3848} nVar4939 := proc131(4);
- nVar3062 := nVar4939;
- call {:si_unique_call 3849} nVar4939 := proc131(4);
- call {:si_unique_call 3850} nVar4939 := proc131(8);
- nVar3139 := nVar4939;
- call {:si_unique_call 3851} nVar4939 := proc131(4);
- call {:si_unique_call 3852} nVar4939 := proc131(60);
- call {:si_unique_call 3853} nVar4939 := proc131(4);
- call {:si_unique_call 3854} nVar4939 := proc131(8);
- call {:si_unique_call 3855} nVar4939 := proc131(4);
- call {:si_unique_call 3856} nVar4939 := proc131(4);
- call {:si_unique_call 3857} nVar4939 := proc131(4);
- call {:si_unique_call 3858} nVar4939 := proc131(28);
- call {:si_unique_call 3859} nVar4939 := proc131(4);
- call {:si_unique_call 3860} nVar4939 := proc131(4);
- call {:si_unique_call 3861} nVar4939 := proc131(8);
- call {:si_unique_call 3862} nVar4939 := proc131(4);
- call {:si_unique_call 3863} nVar4939 := proc131(4);
- call {:si_unique_call 3864} nVar4938 := proc130(8);
- call {:si_unique_call 3879} nVar4961 := proc130(16);
- call {:si_unique_call 3880} nVar4962 := proc130(56);
- call {:si_unique_call 3881} nVar4963 := proc130(72);
- call {:si_unique_call 3882} nVar4964 := proc130(192);
- call {:si_unique_call 3883} nVar4965 := proc130(56);
- call {:si_unique_call 3884} nVar4966 := proc130(336);
- call {:si_unique_call 3885} nVar4967 := proc130(76);
- call {:si_unique_call 3886} nVar4968 := proc130(8);
- call {:si_unique_call 3887} nVar4969 := proc130(112);
- call {:si_unique_call 3888} nVar4970 := proc130(76);
- call {:si_unique_call 3889} nVar4971 := proc130(164);
- call {:si_unique_call 3890} nVar4972 := proc130(324);
- call {:si_unique_call 3891} nVar4973 := proc130(140);
- call {:si_unique_call 3892} nVar4974 := proc130(308);
- call {:si_unique_call 3893} nVar4975 := proc130(8);
- call {:si_unique_call 3894} nVar4976 := proc130(92);
- call {:si_unique_call 3895} nVar4977 := proc130(124);
- call {:si_unique_call 3896} nVar4978 := proc130(280);
- call {:si_unique_call 3897} nVar4979 := proc130(252);
- call {:si_unique_call 3898} nVar4980 := proc130(84);
- call {:si_unique_call 3899} nVar4981 := proc130(32);
- call {:si_unique_call 3900} nVar4982 := proc130(92);
- call {:si_unique_call 3901} nVar4983 := proc130(104);
- call {:si_unique_call 3902} nVar4984 := proc130(284);
- call {:si_unique_call 3903} nVar4985 := proc130(340);
- call {:si_unique_call 3904} nVar4986 := proc130(64);
- call {:si_unique_call 3905} nVar4987 := proc130(368);
- call {:si_unique_call 3906} nVar4988 := proc130(300);
- call {:si_unique_call 3907} nVar4989 := proc130(176);
- call {:si_unique_call 3908} nVar4990 := proc130(8);
- call {:si_unique_call 3909} nVar4991 := proc130(96);
- call {:si_unique_call 3910} nVar4992 := proc130(304);
- call {:si_unique_call 3911} nVar4993 := proc130(272);
- call {:si_unique_call 3912} nVar4994 := proc130(288);
- call {:si_unique_call 3913} nVar4995 := proc130(180);
- call {:si_unique_call 3914} nVar4996 := proc130(192);
- call {:si_unique_call 3915} nVar4997 := proc130(136);
- call {:si_unique_call 3916} nVar4998 := proc130(376);
- call {:si_unique_call 3917} nVar4999 := proc130(140);
- call {:si_unique_call 3918} nVar5000 := proc130(96);
- call {:si_unique_call 3919} nVar5001 := proc130(172);
- call {:si_unique_call 3920} nVar5002 := proc130(184);
- call {:si_unique_call 3921} nVar5003 := proc130(252);
- call {:si_unique_call 3922} nVar5004 := proc130(276);
- call {:si_unique_call 3923} nVar5005 := proc130(104);
- call {:si_unique_call 3924} nVar5006 := proc130(16);
- call {:si_unique_call 3925} nVar5007 := proc130(296);
- call {:si_unique_call 3926} nVar5008 := proc130(112);
- call {:si_unique_call 3927} nVar5009 := proc130(4);
- call {:si_unique_call 3928} nVar5010 := proc130(48);
- call {:si_unique_call 3929} nVar5011 := proc130(44);
- call {:si_unique_call 3930} nVar5012 := proc130(56);
- call {:si_unique_call 3931} nVar5013 := proc130(312);
- call {:si_unique_call 3932} nVar5014 := proc130(100);
- call {:si_unique_call 3933} nVar5015 := proc130(104);
- call {:si_unique_call 3934} nVar5016 := proc130(48);
- call {:si_unique_call 3935} nVar5017 := proc130(104);
- call {:si_unique_call 3936} nVar5018 := proc130(100);
- call {:si_unique_call 3937} nVar5019 := proc130(292);
- call {:si_unique_call 3938} nVar5020 := proc130(156);
- call {:si_unique_call 3939} nVar5021 := proc130(96);
- call {:si_unique_call 3940} nVar5022 := proc130(156);
- call {:si_unique_call 3941} nVar5023 := proc130(288);
- call {:si_unique_call 3942} nVar5024 := proc130(176);
- call {:si_unique_call 3943} nVar5025 := proc130(80);
- call {:si_unique_call 3944} nVar5026 := proc130(24);
- call {:si_unique_call 3945} nVar5027 := proc130(360);
- call {:si_unique_call 3946} nVar5028 := proc130(184);
- call {:si_unique_call 3947} nVar5029 := proc130(132);
- call {:si_unique_call 3948} nVar5030 := proc130(112);
- call {:si_unique_call 3949} nVar5031 := proc130(132);
- call {:si_unique_call 3950} nVar5032 := proc130(236);
- call {:si_unique_call 3951} nVar5033 := proc130(56);
- call {:si_unique_call 3952} nVar5034 := proc130(292);
- call {:si_unique_call 3953} nVar347 := proc130(48);
- call {:si_unique_call 3954} nVar5035 := proc130(312);
- call {:si_unique_call 3955} nVar5036 := proc130(88);
- call {:si_unique_call 3956} nVar5037 := proc130(184);
- call {:si_unique_call 3957} nVar5038 := proc130(196);
- call {:si_unique_call 3958} nVar5039 := proc130(24);
- call {:si_unique_call 3959} nVar5040 := proc130(268);
- call {:si_unique_call 3960} nVar5041 := proc130(168);
- call {:si_unique_call 3961} nVar5042 := proc130(36);
- call {:si_unique_call 3962} nVar5043 := proc130(184);
- call {:si_unique_call 3963} nVar399 := proc130(20);
- call {:si_unique_call 3964} nVar5044 := proc130(88);
- call {:si_unique_call 3965} nVar5045 := proc130(8);
- call {:si_unique_call 3966} nVar5046 := proc130(324);
- call {:si_unique_call 3967} nVar5047 := proc130(96);
- call {:si_unique_call 3968} nVar5048 := proc130(156);
- call {:si_unique_call 3969} nVar5049 := proc130(404);
- call {:si_unique_call 3970} nVar5050 := proc130(48);
- call {:si_unique_call 3971} nVar5051 := proc130(112);
- call {:si_unique_call 3972} nVar5052 := proc130(172);
- call {:si_unique_call 3973} nVar5053 := proc130(152);
- call {:si_unique_call 3974} nVar5054 := proc130(24);
- call {:si_unique_call 3975} nVar5055 := proc130(124);
- call {:si_unique_call 3976} nVar5056 := proc130(56);
- call {:si_unique_call 3977} nVar5057 := proc130(60);
- call {:si_unique_call 3978} nVar5058 := proc130(216);
- call {:si_unique_call 3979} nVar5059 := proc130(196);
- call {:si_unique_call 3980} nVar5060 := proc130(72);
- call {:si_unique_call 3981} nVar5061 := proc130(44);
- call {:si_unique_call 3982} nVar5062 := proc130(96);
- call {:si_unique_call 3983} nVar4939 := proc130(8);
- call {:si_unique_call 3984} nVar5063 := proc130(8);
- call {:si_unique_call 3985} nVar5064 := proc130(152);
- call {:si_unique_call 3986} nVar5065 := proc130(8);
- call {:si_unique_call 3987} nVar5066 := proc130(272);
- call {:si_unique_call 3988} nVar5067 := proc130(100);
- call {:si_unique_call 3989} nVar5068 := proc130(100);
- call {:si_unique_call 3990} nVar5069 := proc130(140);
- call {:si_unique_call 3991} nVar5070 := proc130(328);
- call {:si_unique_call 3992} nVar5071 := proc130(144);
- call {:si_unique_call 3993} nVar5072 := proc130(324);
- call {:si_unique_call 3994} nVar5073 := proc130(28);
- call {:si_unique_call 3995} nVar5074 := proc130(236);
- call {:si_unique_call 3996} nVar5075 := proc130(136);
- call {:si_unique_call 3997} nVar5076 := proc130(176);
- call {:si_unique_call 3998} nVar5077 := proc130(124);
- call {:si_unique_call 3999} nVar5078 := proc130(48);
- call {:si_unique_call 4000} nVar5079 := proc130(88);
- call {:si_unique_call 4001} nVar5080 := proc130(136);
- call {:si_unique_call 4002} nVar5081 := proc130(200);
- call {:si_unique_call 4003} nVar5082 := proc130(8);
- call {:si_unique_call 4004} nVar5083 := proc130(84);
- call {:si_unique_call 4005} nVar5084 := proc130(164);
- call {:si_unique_call 4006} nVar5085 := proc130(76);
- call {:si_unique_call 4007} nVar5086 := proc130(8);
- call {:si_unique_call 4008} nVar5087 := proc130(100);
- call {:si_unique_call 4009} nVar5088 := proc130(8);
- call {:si_unique_call 4010} nVar5089 := proc130(56);
- call {:si_unique_call 4011} nVar5090 := proc130(288);
- call {:si_unique_call 4012} nVar5091 := proc130(136);
- call {:si_unique_call 4013} nVar5092 := proc130(24);
- call {:si_unique_call 4014} nVar5093 := proc130(152);
- call {:si_unique_call 4015} nVar5094 := proc130(312);
- call {:si_unique_call 4016} nVar5095 := proc130(180);
- call {:si_unique_call 4017} nVar5096 := proc130(164);
- call {:si_unique_call 4018} nVar5097 := proc130(124);
- call {:si_unique_call 4019} nVar5098 := proc130(300);
- call {:si_unique_call 4020} nVar5099 := proc130(264);
- call {:si_unique_call 4021} nVar5100 := proc130(184);
- call {:si_unique_call 4022} nVar5101 := proc130(320);
- call {:si_unique_call 4023} nVar5102 := proc130(64);
- call {:si_unique_call 4024} nVar5103 := proc130(92);
- call {:si_unique_call 4025} nVar5104 := proc130(96);
- call {:si_unique_call 4026} nVar5105 := proc130(132);
- call {:si_unique_call 4027} nVar5106 := proc130(336);
- call {:si_unique_call 4028} nVar5107 := proc130(16);
- call {:si_unique_call 4029} nVar5108 := proc130(180);
- call {:si_unique_call 4030} nVar5109 := proc130(108);
- call {:si_unique_call 4031} nVar5110 := proc130(60);
- call {:si_unique_call 4032} nVar5111 := proc130(128);
- call {:si_unique_call 4033} nVar5112 := proc130(212);
- call {:si_unique_call 4034} nVar5113 := proc130(160);
- call {:si_unique_call 4035} nVar5114 := proc130(84);
- call {:si_unique_call 4036} nVar5115 := proc130(40);
- call {:si_unique_call 4037} nVar5116 := proc130(44);
- call {:si_unique_call 4038} nVar5117 := proc130(340);
- call {:si_unique_call 4039} nVar5118 := proc130(340);
- call {:si_unique_call 4040} nVar5119 := proc130(156);
- call {:si_unique_call 4041} nVar5120 := proc130(308);
- call {:si_unique_call 4042} nVar5121 := proc130(76);
- call {:si_unique_call 4043} nVar5122 := proc130(324);
- call {:si_unique_call 4044} nVar5123 := proc130(324);
- call {:si_unique_call 4045} nVar5124 := proc130(72);
- call {:si_unique_call 4046} nVar5125 := proc130(24);
- call {:si_unique_call 4047} nVar5126 := proc130(68);
- call {:si_unique_call 4048} nVar5127 := proc130(76);
- call {:si_unique_call 4049} nVar5128 := proc130(76);
- call {:si_unique_call 4050} nVar5129 := proc130(72);
- call {:si_unique_call 4051} nVar5130 := proc130(52);
- call {:si_unique_call 4052} nVar5131 := proc130(304);
- call {:si_unique_call 4053} nVar5132 := proc130(180);
- call {:si_unique_call 4054} nVar5133 := proc130(88);
- call {:si_unique_call 4055} nVar5134 := proc130(296);
- call {:si_unique_call 4056} nVar5135 := proc130(72);
- call {:si_unique_call 4057} nVar5136 := proc130(120);
- call {:si_unique_call 4058} nVar5137 := proc130(128);
- call {:si_unique_call 4059} nVar5138 := proc130(108);
- call {:si_unique_call 4060} nVar5139 := proc130(24);
- call {:si_unique_call 4061} nVar5140 := proc130(260);
- call {:si_unique_call 4062} nVar5141 := proc130(184);
- call {:si_unique_call 4063} nVar5142 := proc130(60);
- call {:si_unique_call 4064} nVar5143 := proc130(312);
- call {:si_unique_call 4065} nVar5144 := proc130(276);
- call {:si_unique_call 4066} nVar5145 := proc130(104);
- call {:si_unique_call 4067} nVar5146 := proc130(84);
- call {:si_unique_call 4068} nVar5147 := proc130(156);
- call {:si_unique_call 4069} nVar5148 := proc130(28);
- call {:si_unique_call 4070} nVar5149 := proc130(88);
- call {:si_unique_call 4071} nVar5150 := proc130(8);
- call {:si_unique_call 4072} nVar5151 := proc130(104);
- call {:si_unique_call 4073} nVar5152 := proc130(168);
- call {:si_unique_call 4074} nVar5153 := proc130(208);
- call {:si_unique_call 4075} nVar5154 := proc130(216);
- call {:si_unique_call 4076} nVar5155 := proc130(92);
- call {:si_unique_call 4077} nVar5156 := proc130(116);
- call {:si_unique_call 4078} nVar5157 := proc130(268);
- call {:si_unique_call 4079} nVar5158 := proc130(324);
- call {:si_unique_call 4080} nVar5159 := proc130(100);
- call {:si_unique_call 4081} nVar5160 := proc130(116);
- call {:si_unique_call 4082} nVar5161 := proc130(192);
- call {:si_unique_call 4083} nVar5162 := proc130(68);
- call {:si_unique_call 4084} nVar5163 := proc130(196);
- call {:si_unique_call 4085} nVar5164 := proc130(20);
- call {:si_unique_call 4086} nVar5165 := proc130(232);
- call {:si_unique_call 4087} nVar5166 := proc130(224);
- call {:si_unique_call 4088} nVar5167 := proc130(132);
- call {:si_unique_call 4089} nVar5168 := proc130(152);
- call {:si_unique_call 4090} nVar5169 := proc130(296);
- call {:si_unique_call 4091} nVar5170 := proc130(108);
- call {:si_unique_call 4092} nVar5171 := proc130(24);
- call {:si_unique_call 4093} nVar5172 := proc130(80);
- call {:si_unique_call 4094} nVar5173 := proc130(8);
- call {:si_unique_call 4095} nVar5174 := proc130(244);
- call {:si_unique_call 4096} nVar5175 := proc130(164);
- call {:si_unique_call 4097} nVar5176 := proc130(84);
- call {:si_unique_call 4098} nVar5177 := proc130(312);
- call {:si_unique_call 4099} nVar5178 := proc130(268);
- call {:si_unique_call 4100} nVar5179 := proc130(24);
- call {:si_unique_call 4101} nVar5180 := proc130(144);
- call {:si_unique_call 4102} nVar5181 := proc130(84);
- call {:si_unique_call 4103} nVar5182 := proc130(112);
- call {:si_unique_call 4104} nVar5183 := proc130(4);
- call {:si_unique_call 4105} nVar5184 := proc130(332);
- call {:si_unique_call 4106} nVar5185 := proc130(100);
- call {:si_unique_call 4107} nVar5186 := proc130(96);
- call {:si_unique_call 4108} nVar5187 := proc130(300);
- call {:si_unique_call 4109} nVar5188 := proc130(176);
- call {:si_unique_call 4110} nVar5189 := proc130(24);
- call {:si_unique_call 4111} nVar5190 := proc130(60);
- call {:si_unique_call 4112} nVar5191 := proc130(68);
- call {:si_unique_call 4113} nVar5192 := proc130(16);
- call {:si_unique_call 4114} nVar5193 := proc130(96);
- call {:si_unique_call 4115} nVar5194 := proc130(152);
- call {:si_unique_call 4116} nVar5195 := proc130(140);
- call {:si_unique_call 4117} nVar5196 := proc130(328);
- call {:si_unique_call 4118} nVar5197 := proc130(296);
- call {:si_unique_call 4119} nVar5198 := proc130(56);
- call {:si_unique_call 4120} nVar5199 := proc130(24);
- call {:si_unique_call 4121} nVar5200 := proc130(276);
- call {:si_unique_call 4122} nVar5201 := proc130(288);
- call {:si_unique_call 4123} nVar5202 := proc130(88);
- call {:si_unique_call 4124} nVar5203 := proc130(220);
- call {:si_unique_call 4125} nVar5204 := proc130(100);
- call {:si_unique_call 4126} nVar5205 := proc130(224);
- call {:si_unique_call 4127} nVar5206 := proc130(60);
- call {:si_unique_call 4128} nVar5207 := proc130(112);
- call {:si_unique_call 4129} nVar1040 := proc130(24);
- call {:si_unique_call 4130} nVar5208 := proc130(300);
- call {:si_unique_call 4131} nVar5209 := proc130(132);
- call {:si_unique_call 4132} nVar5210 := proc130(24);
- call {:si_unique_call 4133} nVar5211 := proc130(124);
- call {:si_unique_call 4134} nVar5212 := proc130(96);
- call {:si_unique_call 4135} nVar5213 := proc130(176);
- call {:si_unique_call 4136} nVar5214 := proc130(136);
- call {:si_unique_call 4137} nVar5215 := proc130(48);
- call {:si_unique_call 4138} nVar5216 := proc130(28);
- call {:si_unique_call 4139} nVar5217 := proc130(296);
- call {:si_unique_call 4140} nVar5218 := proc130(268);
- call {:si_unique_call 4141} nVar5219 := proc130(144);
- call {:si_unique_call 4142} nVar5220 := proc130(152);
- call {:si_unique_call 4143} nVar5221 := proc130(292);
- call {:si_unique_call 4144} nVar5222 := proc130(100);
- call {:si_unique_call 4145} nVar5223 := proc130(252);
- call {:si_unique_call 4146} nVar5224 := proc130(124);
- call {:si_unique_call 4147} nVar5225 := proc130(220);
- call {:si_unique_call 4148} nVar5226 := proc130(24);
- call {:si_unique_call 4149} nVar5227 := proc130(16);
- call {:si_unique_call 4150} nVar5228 := proc130(100);
- call {:si_unique_call 4151} nVar5229 := proc130(116);
- call {:si_unique_call 4152} nVar5230 := proc130(148);
- call {:si_unique_call 4153} nVar5231 := proc130(144);
- call {:si_unique_call 4154} nVar5232 := proc130(164);
- call {:si_unique_call 4155} nVar5233 := proc130(344);
- call {:si_unique_call 4156} nVar5234 := proc130(296);
- call {:si_unique_call 4157} nVar5235 := proc130(16);
- call {:si_unique_call 4158} nVar5236 := proc130(40);
- call {:si_unique_call 4159} nVar5237 := proc130(60);
- call {:si_unique_call 4160} nVar5238 := proc130(228);
- call {:si_unique_call 4161} nVar5239 := proc130(348);
- call {:si_unique_call 4162} nVar5240 := proc130(332);
- call {:si_unique_call 4163} nVar5241 := proc130(160);
- call {:si_unique_call 4164} nVar5242 := proc130(112);
- call {:si_unique_call 4165} nVar5243 := proc130(80);
- call {:si_unique_call 4166} nVar1175 := proc130(20);
- call {:si_unique_call 4167} nVar5244 := proc130(20);
- call {:si_unique_call 4168} nVar5245 := proc130(40);
- call {:si_unique_call 4169} nVar5246 := proc130(64);
- call {:si_unique_call 4170} nVar5247 := proc130(96);
- call {:si_unique_call 4171} nVar5248 := proc130(192);
- call {:si_unique_call 4172} nVar5249 := proc130(124);
- call {:si_unique_call 4173} nVar5250 := proc130(72);
- call {:si_unique_call 4174} nVar5251 := proc130(228);
- call {:si_unique_call 4175} nVar5252 := proc130(48);
- call {:si_unique_call 4176} nVar5253 := proc130(224);
- call {:si_unique_call 4177} nVar5254 := proc130(152);
- call {:si_unique_call 4178} nVar5255 := proc130(396);
- call {:si_unique_call 4179} nVar5256 := proc130(136);
- call {:si_unique_call 4180} nVar5257 := proc130(120);
- call {:si_unique_call 4181} nVar5258 := proc130(196);
- call {:si_unique_call 4182} nVar5259 := proc130(60);
- call {:si_unique_call 4183} nVar5260 := proc130(260);
- call {:si_unique_call 4184} nVar5261 := proc130(304);
- call {:si_unique_call 4185} nVar5262 := proc130(136);
- call {:si_unique_call 4186} nVar5263 := proc130(204);
- call {:si_unique_call 4187} nVar5264 := proc130(328);
- call {:si_unique_call 4188} nVar5265 := proc130(136);
- call {:si_unique_call 4189} nVar5266 := proc130(184);
- call {:si_unique_call 4190} nVar5267 := proc130(148);
- call {:si_unique_call 4191} nVar5268 := proc130(324);
- call {:si_unique_call 4192} nVar5269 := proc130(108);
- call {:si_unique_call 4193} nVar5270 := proc130(44);
- call {:si_unique_call 4194} nVar5271 := proc130(116);
- call {:si_unique_call 4195} nVar5272 := proc130(60);
- call {:si_unique_call 4196} nVar5273 := proc130(128);
- call {:si_unique_call 4197} nVar5274 := proc130(344);
- call {:si_unique_call 4198} nVar5275 := proc130(184);
- call {:si_unique_call 4199} nVar5276 := proc130(144);
- call {:si_unique_call 4200} nVar5277 := proc130(276);
- call {:si_unique_call 4201} nVar5278 := proc130(264);
- call {:si_unique_call 4202} nVar5279 := proc130(68);
- call {:si_unique_call 4203} nVar5280 := proc130(96);
- call {:si_unique_call 4204} nVar5281 := proc130(128);
- call {:si_unique_call 4205} nVar5282 := proc130(172);
- call {:si_unique_call 4206} nVar5283 := proc130(320);
- call {:si_unique_call 4207} nVar5284 := proc130(128);
- call {:si_unique_call 4208} nVar5285 := proc130(316);
- call {:si_unique_call 4209} nVar5286 := proc130(68);
- call {:si_unique_call 4210} nVar5287 := proc130(288);
- call {:si_unique_call 4211} nVar5288 := proc130(260);
- call {:si_unique_call 4212} nVar5289 := proc130(184);
- call {:si_unique_call 4213} nVar5290 := proc130(140);
- call {:si_unique_call 4214} nVar5291 := proc130(208);
- call {:si_unique_call 4215} nVar5292 := proc130(68);
- call {:si_unique_call 4216} nVar5293 := proc130(44);
- call {:si_unique_call 4217} nVar5294 := proc130(128);
- call {:si_unique_call 4218} nVar5295 := proc130(396);
- call {:si_unique_call 4219} nVar5296 := proc130(144);
- call {:si_unique_call 4220} nVar5297 := proc130(344);
- call {:si_unique_call 4221} nVar5298 := proc130(300);
- call {:si_unique_call 4222} nVar5299 := proc130(200);
- call {:si_unique_call 4223} nVar5300 := proc130(232);
- call {:si_unique_call 4224} nVar5301 := proc130(280);
- call {:si_unique_call 4225} nVar5302 := proc130(104);
- call {:si_unique_call 4226} nVar5303 := proc130(320);
- call {:si_unique_call 4227} nVar5304 := proc130(20);
- call {:si_unique_call 4228} nVar5305 := proc130(112);
- call {:si_unique_call 4229} nVar5306 := proc130(92);
- call {:si_unique_call 4230} nVar5307 := proc130(324);
- call {:si_unique_call 4231} nVar5308 := proc130(24);
- call {:si_unique_call 4232} nVar5309 := proc130(92);
- call {:si_unique_call 4233} nVar5310 := proc130(56);
- call {:si_unique_call 4234} nVar5311 := proc130(140);
- call {:si_unique_call 4235} nVar5312 := proc130(24);
- call {:si_unique_call 4236} nVar5313 := proc130(240);
- call {:si_unique_call 4237} nVar5314 := proc130(116);
- call {:si_unique_call 4238} nVar5315 := proc130(280);
- call {:si_unique_call 4239} nVar5316 := proc130(164);
- call {:si_unique_call 4240} nVar5317 := proc130(156);
- call {:si_unique_call 4241} nVar5318 := proc130(24);
- call {:si_unique_call 4242} nVar5319 := proc130(244);
- call {:si_unique_call 4243} nVar5320 := proc130(64);
- call {:si_unique_call 4244} nVar5321 := proc130(276);
- call {:si_unique_call 4245} nVar5322 := proc130(320);
- call {:si_unique_call 4246} nVar5323 := proc130(180);
- call {:si_unique_call 4247} nVar5324 := proc130(96);
- call {:si_unique_call 4248} nVar5325 := proc130(76);
- call {:si_unique_call 4249} nVar5326 := proc130(152);
- call {:si_unique_call 4250} nVar5327 := proc130(200);
- call {:si_unique_call 4251} nVar5328 := proc130(192);
- call {:si_unique_call 4252} nVar5329 := proc130(28);
- call {:si_unique_call 4253} nVar5330 := proc130(132);
- call {:si_unique_call 4254} nVar5331 := proc130(168);
- call {:si_unique_call 4255} nVar5332 := proc130(28);
- call {:si_unique_call 4256} nVar5333 := proc130(92);
- call {:si_unique_call 4257} nVar5334 := proc130(296);
- call {:si_unique_call 4258} nVar5335 := proc130(172);
- call {:si_unique_call 4259} nVar5336 := proc130(304);
- call {:si_unique_call 4260} nVar5337 := proc130(144);
- call {:si_unique_call 4261} nVar5338 := proc130(136);
- call {:si_unique_call 4262} nVar5339 := proc130(4);
- call {:si_unique_call 4263} nVar5340 := proc130(184);
- call {:si_unique_call 4264} nVar5341 := proc130(8);
- call {:si_unique_call 4265} nVar5342 := proc130(76);
- call {:si_unique_call 4266} nVar5343 := proc130(288);
- call {:si_unique_call 4267} nVar5344 := proc130(8);
- call {:si_unique_call 4268} nVar5345 := proc130(8);
- call {:si_unique_call 4269} nVar5346 := proc130(168);
- call {:si_unique_call 4270} nVar5347 := proc130(88);
- call {:si_unique_call 4271} nVar5348 := proc130(4);
- call {:si_unique_call 4272} nVar5349 := proc130(268);
- call {:si_unique_call 4273} nVar5350 := proc130(96);
- call {:si_unique_call 4274} nVar5351 := proc130(136);
- call {:si_unique_call 4275} nVar5352 := proc130(76);
- call {:si_unique_call 4276} nVar5353 := proc130(284);
- call {:si_unique_call 4277} nVar5354 := proc130(24);
- call {:si_unique_call 4278} nVar5355 := proc130(60);
- call {:si_unique_call 4279} nVar5356 := proc130(72);
- call {:si_unique_call 4280} nVar5357 := proc130(156);
- call {:si_unique_call 4281} nVar5358 := proc130(168);
- call {:si_unique_call 4282} nVar5359 := proc130(96);
- call {:si_unique_call 4283} nVar5360 := proc130(100);
- call {:si_unique_call 4284} nVar5361 := proc130(356);
- call {:si_unique_call 4285} nVar5362 := proc130(140);
- call {:si_unique_call 4286} nVar5363 := proc130(172);
- call {:si_unique_call 4287} nVar5364 := proc130(128);
- call {:si_unique_call 4288} nVar5365 := proc130(200);
- call {:si_unique_call 4289} nVar5366 := proc130(20);
- call {:si_unique_call 4290} nVar5367 := proc130(96);
- call {:si_unique_call 4291} nVar5368 := proc130(332);
- call {:si_unique_call 4292} nVar5369 := proc130(36);
- call {:si_unique_call 4293} nVar5370 := proc130(128);
- call {:si_unique_call 4294} nVar5371 := proc130(8);
- call {:si_unique_call 4295} nVar5372 := proc130(104);
- call {:si_unique_call 4296} nVar5373 := proc130(156);
- call {:si_unique_call 4297} nVar5374 := proc130(332);
- call {:si_unique_call 4298} nVar5375 := proc130(136);
- call {:si_unique_call 4299} nVar5376 := proc130(296);
- call {:si_unique_call 4300} nVar5377 := proc130(92);
- call {:si_unique_call 4301} nVar5378 := proc130(100);
- call {:si_unique_call 4302} nVar5379 := proc130(64);
- call {:si_unique_call 4303} nVar5380 := proc130(68);
- call {:si_unique_call 4304} nVar5381 := proc130(156);
- call {:si_unique_call 4305} nVar5382 := proc130(296);
- call {:si_unique_call 4306} nVar5383 := proc130(68);
- call {:si_unique_call 4307} nVar5384 := proc130(8);
- call {:si_unique_call 4308} nVar5385 := proc130(292);
- call {:si_unique_call 4309} nVar5386 := proc130(212);
- call {:si_unique_call 4310} nVar5387 := proc130(324);
- call {:si_unique_call 4311} nVar5388 := proc130(220);
- call {:si_unique_call 4312} nVar5389 := proc130(200);
- call {:si_unique_call 4313} nVar5390 := proc130(152);
- call {:si_unique_call 4314} nVar5391 := proc130(32);
- call {:si_unique_call 4315} nVar5392 := proc130(424);
- call {:si_unique_call 4316} nVar5393 := proc130(8);
- call {:si_unique_call 4317} nVar5394 := proc130(128);
- call {:si_unique_call 4318} nVar5395 := proc130(260);
- call {:si_unique_call 4319} nVar5396 := proc130(152);
- call {:si_unique_call 4320} nVar5397 := proc130(152);
- call {:si_unique_call 4321} nVar5398 := proc130(204);
- call {:si_unique_call 4322} nVar5399 := proc130(292);
- call {:si_unique_call 4323} nVar5400 := proc130(72);
- call {:si_unique_call 4324} nVar5401 := proc130(120);
- call {:si_unique_call 4325} nVar5402 := proc130(188);
- call {:si_unique_call 4326} nVar5403 := proc130(116);
- call {:si_unique_call 4327} nVar5404 := proc130(316);
- call {:si_unique_call 4328} nVar5405 := proc130(28);
- call {:si_unique_call 4329} nVar5406 := proc130(300);
- call {:si_unique_call 4330} nVar5407 := proc130(8);
- call {:si_unique_call 4331} nVar5408 := proc130(100);
- call {:si_unique_call 4332} nVar5409 := proc130(232);
- call {:si_unique_call 4333} nVar5410 := proc130(88);
- call {:si_unique_call 4334} nVar5411 := proc130(136);
- call {:si_unique_call 4335} nVar5412 := proc130(316);
- call {:si_unique_call 4336} nVar5413 := proc130(180);
- call {:si_unique_call 4337} nVar5414 := proc130(288);
- call {:si_unique_call 4338} nVar5415 := proc130(156);
- call {:si_unique_call 4339} nVar5416 := proc130(76);
- call {:si_unique_call 4340} nVar5417 := proc130(264);
- call {:si_unique_call 4341} nVar5418 := proc130(324);
- call {:si_unique_call 4342} nVar5419 := proc130(280);
- call {:si_unique_call 4343} nVar5420 := proc130(120);
- call {:si_unique_call 4344} nVar5421 := proc130(116);
- call {:si_unique_call 4345} nVar5422 := proc130(188);
- call {:si_unique_call 4346} nVar5423 := proc130(104);
- call {:si_unique_call 4347} nVar5424 := proc130(24);
- call {:si_unique_call 4348} nVar5425 := proc130(40);
- call {:si_unique_call 4349} nVar5426 := proc130(108);
- call {:si_unique_call 4350} nVar5427 := proc130(140);
- call {:si_unique_call 4351} nVar5428 := proc130(184);
- call {:si_unique_call 4352} nVar5429 := proc130(380);
- call {:si_unique_call 4353} nVar5430 := proc130(132);
- call {:si_unique_call 4354} nVar5431 := proc130(336);
- call {:si_unique_call 4355} nVar5432 := proc130(72);
- call {:si_unique_call 4356} nVar5433 := proc130(228);
- call {:si_unique_call 4357} nVar5434 := proc130(8);
- call {:si_unique_call 4358} nVar5435 := proc130(28);
- call {:si_unique_call 4359} nVar5436 := proc130(96);
- call {:si_unique_call 4360} nVar5437 := proc130(128);
- call {:si_unique_call 4361} nVar5438 := proc130(124);
- call {:si_unique_call 4362} nVar5439 := proc130(128);
- call {:si_unique_call 4363} nVar5440 := proc130(92);
- call {:si_unique_call 4364} nVar5441 := proc130(116);
- call {:si_unique_call 4365} nVar5442 := proc130(28);
- call {:si_unique_call 4366} nVar5443 := proc130(40);
- call {:si_unique_call 4367} nVar5444 := proc130(264);
- call {:si_unique_call 4368} nVar5445 := proc130(328);
- call {:si_unique_call 4369} nVar5446 := proc130(296);
- call {:si_unique_call 4370} nVar5447 := proc130(160);
- call {:si_unique_call 4371} nVar5448 := proc130(332);
- call {:si_unique_call 4372} nVar5449 := proc130(152);
- call {:si_unique_call 4373} nVar5450 := proc130(112);
- call {:si_unique_call 4374} nVar5451 := proc130(24);
- call {:si_unique_call 4375} nVar5452 := proc130(72);
- call {:si_unique_call 4376} nVar5453 := proc130(284);
- call {:si_unique_call 4377} nVar5454 := proc130(148);
- call {:si_unique_call 4378} nVar5455 := proc130(108);
- call {:si_unique_call 4379} nVar5456 := proc130(268);
- call {:si_unique_call 4380} nVar5457 := proc130(300);
- call {:si_unique_call 4381} nVar5458 := proc130(28);
- call {:si_unique_call 4382} nVar5459 := proc130(96);
- call {:si_unique_call 4383} nVar2103 := proc130(20);
- call {:si_unique_call 4384} nVar5460 := proc130(164);
- call {:si_unique_call 4385} nVar5461 := proc130(36);
- call {:si_unique_call 4386} nVar5462 := proc130(24);
- call {:si_unique_call 4387} nVar5463 := proc130(332);
- call {:si_unique_call 4388} nVar5464 := proc130(140);
- call {:si_unique_call 4389} nVar5465 := proc130(80);
- call {:si_unique_call 4390} nVar5466 := proc130(164);
- call {:si_unique_call 4391} nVar5467 := proc130(80);
- call {:si_unique_call 4392} nVar5468 := proc130(196);
- call {:si_unique_call 4393} nVar2179 := proc130(16);
- call {:si_unique_call 4394} nVar5469 := proc130(16);
- call {:si_unique_call 4395} nVar5470 := proc130(76);
- call {:si_unique_call 4396} nVar5471 := proc130(176);
- call {:si_unique_call 4397} nVar5472 := proc130(152);
- call {:si_unique_call 4398} nVar5473 := proc130(68);
- call {:si_unique_call 4399} nVar5474 := proc130(32);
- call {:si_unique_call 4400} nVar5475 := proc130(152);
- call {:si_unique_call 4401} nVar5476 := proc130(100);
- call {:si_unique_call 4402} nVar5477 := proc130(56);
- call {:si_unique_call 4403} nVar5478 := proc130(124);
- call {:si_unique_call 4404} nVar5479 := proc130(112);
- call {:si_unique_call 4405} nVar5480 := proc130(48);
- call {:si_unique_call 4406} nVar5481 := proc130(188);
- call {:si_unique_call 4407} nVar5482 := proc130(84);
- call {:si_unique_call 4408} nVar5483 := proc130(220);
- call {:si_unique_call 4409} nVar5484 := proc130(136);
- call {:si_unique_call 4410} nVar5485 := proc130(136);
- call {:si_unique_call 4411} nVar5486 := proc130(104);
- call {:si_unique_call 4412} nVar5487 := proc130(296);
- call {:si_unique_call 4413} nVar5488 := proc130(112);
- call {:si_unique_call 4414} nVar5489 := proc130(272);
- call {:si_unique_call 4415} nVar5490 := proc130(104);
- call {:si_unique_call 4416} nVar5491 := proc130(68);
- call {:si_unique_call 4417} nVar5492 := proc130(104);
- call {:si_unique_call 4418} nVar5493 := proc130(264);
- call {:si_unique_call 4419} nVar5494 := proc130(220);
- call {:si_unique_call 4420} nVar5495 := proc130(56);
- call {:si_unique_call 4421} nVar5496 := proc130(68);
- call {:si_unique_call 4422} nVar5497 := proc130(248);
- call {:si_unique_call 4423} nVar5498 := proc130(128);
- call {:si_unique_call 4424} nVar5499 := proc130(16);
- call {:si_unique_call 4425} nVar5500 := proc130(116);
- call {:si_unique_call 4426} nVar5501 := proc130(148);
- call {:si_unique_call 4427} nVar5502 := proc130(152);
- call {:si_unique_call 4428} nVar5503 := proc130(104);
- call {:si_unique_call 4429} nVar5504 := proc130(100);
- call {:si_unique_call 4430} nVar5505 := proc130(136);
- call {:si_unique_call 4431} nVar5506 := proc130(88);
- call {:si_unique_call 4432} nVar5507 := proc130(292);
- call {:si_unique_call 4433} nVar5508 := proc130(128);
- call {:si_unique_call 4434} nVar5509 := proc130(20);
- call {:si_unique_call 4435} nVar5510 := proc130(260);
- call {:si_unique_call 4436} nVar5511 := proc130(56);
- call {:si_unique_call 4437} nVar5512 := proc130(296);
- call {:si_unique_call 4438} nVar5513 := proc130(44);
- call {:si_unique_call 4439} nVar5514 := proc130(40);
- call {:si_unique_call 4440} nVar5515 := proc130(172);
- call {:si_unique_call 4441} nVar5516 := proc130(80);
- call {:si_unique_call 4442} nVar5517 := proc130(196);
- call {:si_unique_call 4443} nVar5518 := proc130(60);
- call {:si_unique_call 4444} nVar5519 := proc130(116);
- call {:si_unique_call 4445} nVar5520 := proc130(120);
- call {:si_unique_call 4446} nVar5521 := proc130(144);
- call {:si_unique_call 4447} nVar5522 := proc130(4);
- call {:si_unique_call 4448} nVar5523 := proc130(108);
- call {:si_unique_call 4449} nVar5524 := proc130(124);
- call {:si_unique_call 4450} nVar5525 := proc130(312);
- call {:si_unique_call 4451} nVar5526 := proc130(56);
- call {:si_unique_call 4452} nVar5527 := proc130(288);
- call {:si_unique_call 4453} nVar5528 := proc130(116);
- call {:si_unique_call 4454} nVar5529 := proc130(256);
- call {:si_unique_call 4455} nVar5530 := proc130(80);
- call {:si_unique_call 4456} nVar5531 := proc130(224);
- call {:si_unique_call 4457} nVar5532 := proc130(204);
- call {:si_unique_call 4458} nVar5533 := proc130(96);
- call {:si_unique_call 4459} nVar5534 := proc130(216);
- call {:si_unique_call 4460} nVar5535 := proc130(124);
- call {:si_unique_call 4461} nVar5536 := proc130(136);
- call {:si_unique_call 4462} nVar5537 := proc130(36);
- call {:si_unique_call 4463} nVar5538 := proc130(148);
- call {:si_unique_call 4464} nVar5539 := proc130(136);
- call {:si_unique_call 4465} nVar5540 := proc130(180);
- call {:si_unique_call 4466} nVar5541 := proc130(188);
- call {:si_unique_call 4467} nVar5542 := proc130(280);
- call {:si_unique_call 4468} nVar5543 := proc130(24);
- call {:si_unique_call 4469} nVar5544 := proc130(228);
- call {:si_unique_call 4470} nVar5545 := proc130(116);
- call {:si_unique_call 4471} nVar5546 := proc130(108);
- call {:si_unique_call 4472} nVar5547 := proc130(108);
- call {:si_unique_call 4473} nVar5548 := proc130(96);
- call {:si_unique_call 4474} nVar5549 := proc130(260);
- call {:si_unique_call 4475} nVar5550 := proc130(276);
- call {:si_unique_call 4476} nVar5551 := proc130(48);
- call {:si_unique_call 4477} nVar5552 := proc130(208);
- call {:si_unique_call 4478} nVar5553 := proc130(224);
- call {:si_unique_call 4479} nVar5554 := proc130(272);
- call {:si_unique_call 4480} nVar5555 := proc130(388);
- call {:si_unique_call 4481} nVar5556 := proc130(180);
- call {:si_unique_call 4482} nVar5557 := proc130(108);
- call {:si_unique_call 4483} nVar5558 := proc130(156);
- call {:si_unique_call 4484} nVar5559 := proc130(132);
- call {:si_unique_call 4485} nVar5560 := proc130(152);
- call {:si_unique_call 4486} nVar5561 := proc130(148);
- call {:si_unique_call 4487} nVar5562 := proc130(84);
- call {:si_unique_call 4488} nVar5563 := proc130(308);
- call {:si_unique_call 4489} nVar5564 := proc130(144);
- call {:si_unique_call 4490} nVar5565 := proc130(172);
- call {:si_unique_call 4491} nVar2621 := proc130(36);
- call {:si_unique_call 4492} nVar5566 := proc130(168);
- call {:si_unique_call 4493} nVar5567 := proc130(116);
- call {:si_unique_call 4494} nVar2632 := proc130(20);
- call {:si_unique_call 4495} nVar5568 := proc130(336);
- call {:si_unique_call 4496} nVar5569 := proc130(96);
- call {:si_unique_call 4497} nVar5570 := proc130(100);
- call {:si_unique_call 4498} nVar5571 := proc130(180);
- call {:si_unique_call 4499} nVar5572 := proc130(8);
- call {:si_unique_call 4500} nVar5573 := proc130(60);
- call {:si_unique_call 4501} nVar5574 := proc130(16);
- call {:si_unique_call 4502} nVar5575 := proc130(56);
- call {:si_unique_call 4503} nVar5576 := proc130(264);
- call {:si_unique_call 4504} nVar5577 := proc130(312);
- call {:si_unique_call 4505} nVar5578 := proc130(148);
- call {:si_unique_call 4506} nVar5579 := proc130(304);
- call {:si_unique_call 4507} nVar5580 := proc130(208);
- call {:si_unique_call 4508} nVar5581 := proc130(136);
- call {:si_unique_call 4509} nVar5582 := proc130(336);
- call {:si_unique_call 4510} nVar5583 := proc130(300);
- call {:si_unique_call 4511} nVar5584 := proc130(108);
- call {:si_unique_call 4512} nVar5585 := proc130(188);
- call {:si_unique_call 4513} nVar5586 := proc130(288);
- call {:si_unique_call 4514} nVar2754 := proc130(84);
- call {:si_unique_call 4515} nVar5587 := proc130(296);
- call {:si_unique_call 4516} nVar5588 := proc130(280);
- call {:si_unique_call 4517} nVar5589 := proc130(100);
- call {:si_unique_call 4518} nVar5590 := proc130(116);
- call {:si_unique_call 4519} nVar5591 := proc130(128);
- call {:si_unique_call 4520} nVar5592 := proc130(164);
- call {:si_unique_call 4521} nVar5593 := proc130(24);
- call {:si_unique_call 4522} nVar5594 := proc130(68);
- call {:si_unique_call 4523} nVar5595 := proc130(116);
- call {:si_unique_call 4524} nVar5596 := proc130(164);
- call {:si_unique_call 4525} nVar5597 := proc130(32);
- call {:si_unique_call 4526} nVar5598 := proc130(316);
- call {:si_unique_call 4527} nVar5599 := proc130(328);
- call {:si_unique_call 4528} nVar5600 := proc130(24);
- call {:si_unique_call 4529} nVar5601 := proc130(40);
- call {:si_unique_call 4530} nVar5602 := proc130(24);
- call {:si_unique_call 4531} nVar5603 := proc130(24);
- call {:si_unique_call 4532} nVar5604 := proc130(112);
- call {:si_unique_call 4533} nVar5605 := proc130(112);
- call {:si_unique_call 4534} nVar5606 := proc130(4);
- call {:si_unique_call 4535} nVar5607 := proc130(64);
- call {:si_unique_call 4536} nVar5608 := proc130(72);
- call {:si_unique_call 4537} nVar5609 := proc130(40);
- call {:si_unique_call 4538} nVar5610 := proc130(80);
- call {:si_unique_call 4539} nVar5611 := proc130(40);
- call {:si_unique_call 4540} nVar5612 := proc130(156);
- call {:si_unique_call 4541} nVar5613 := proc130(80);
- call {:si_unique_call 4542} nVar5614 := proc130(24);
- call {:si_unique_call 4543} nVar5615 := proc130(28);
- call {:si_unique_call 4544} nVar5616 := proc130(56);
- call {:si_unique_call 4545} nVar5617 := proc130(220);
- call {:si_unique_call 4546} nVar5618 := proc130(64);
- call {:si_unique_call 4547} nVar5619 := proc130(144);
- call {:si_unique_call 4548} nVar5620 := proc130(140);
- call {:si_unique_call 4549} nVar5621 := proc130(296);
- call {:si_unique_call 4550} nVar5622 := proc130(104);
- call {:si_unique_call 4551} nVar5623 := proc130(204);
- call {:si_unique_call 4552} nVar5624 := proc130(216);
- call {:si_unique_call 4553} nVar5625 := proc130(348);
- call {:si_unique_call 4554} nVar5626 := proc130(24);
- call {:si_unique_call 4555} nVar5627 := proc130(108);
- call {:si_unique_call 4556} nVar5628 := proc130(152);
- call {:si_unique_call 4557} nVar5629 := proc130(172);
- call {:si_unique_call 4558} nVar5630 := proc130(132);
- call {:si_unique_call 4559} nVar5631 := proc130(56);
- call {:si_unique_call 4560} nVar5632 := proc130(148);
- call {:si_unique_call 4561} nVar5633 := proc130(228);
- call {:si_unique_call 4562} nVar5634 := proc130(72);
- call {:si_unique_call 4563} nVar5635 := proc130(120);
- call {:si_unique_call 4564} nVar5636 := proc130(104);
- call {:si_unique_call 4565} nVar5637 := proc130(164);
- call {:si_unique_call 4566} nVar5638 := proc130(68);
- call {:si_unique_call 4567} nVar5639 := proc130(332);
- call {:si_unique_call 4568} nVar5640 := proc130(68);
- call {:si_unique_call 4569} nVar5641 := proc130(4100);
- call {:si_unique_call 4570} nVar5642 := proc130(4100);
- call {:si_unique_call 4571} nVar5643 := proc130(24);
- call {:si_unique_call 4572} nVar5644 := proc130(52);
- call {:si_unique_call 4573} nVar5645 := proc130(68);
- call {:si_unique_call 4574} nVar5646 := proc130(168);
- call {:si_unique_call 4575} nVar5647 := proc130(72);
- call {:si_unique_call 4576} nVar5648 := proc130(308);
- call {:si_unique_call 4577} nVar5649 := proc130(8);
- call {:si_unique_call 4578} nVar5650 := proc130(308);
- call {:si_unique_call 4579} nVar5651 := proc130(236);
- call {:si_unique_call 4580} nVar5652 := proc130(340);
- call {:si_unique_call 4581} nVar5653 := proc130(300);
- call {:si_unique_call 4582} nVar3048 := proc130(140);
- call {:si_unique_call 4583} nVar5654 := proc130(124);
- call {:si_unique_call 4584} nVar5655 := proc130(152);
- call {:si_unique_call 4585} nVar5656 := proc130(268);
- call {:si_unique_call 4586} nVar5657 := proc130(64);
- call {:si_unique_call 4587} nVar3062 := proc130(16);
- call {:si_unique_call 4588} nVar5658 := proc130(184);
- call {:si_unique_call 4589} nVar5659 := proc130(288);
- call {:si_unique_call 4590} nVar5660 := proc130(204);
- call {:si_unique_call 4591} nVar5661 := proc130(104);
- call {:si_unique_call 4592} nVar5662 := proc130(16);
- call {:si_unique_call 4593} nVar5663 := proc130(188);
- call {:si_unique_call 4594} nVar5664 := proc130(20);
- call {:si_unique_call 4595} nVar5665 := proc130(200);
- call {:si_unique_call 4596} nVar5666 := proc130(112);
- call {:si_unique_call 4597} nVar5667 := proc130(4);
- call {:si_unique_call 4598} nVar5668 := proc130(180);
- call {:si_unique_call 4599} nVar5669 := proc130(168);
- call {:si_unique_call 4600} nVar5670 := proc130(84);
- call {:si_unique_call 4601} nVar5671 := proc130(284);
- call {:si_unique_call 4602} nVar3139 := proc130(16);
- call {:si_unique_call 4603} nVar5672 := proc130(324);
- call {:si_unique_call 4604} nVar5673 := proc130(64);
- call {:si_unique_call 4605} nVar5674 := proc130(124);
- call {:si_unique_call 4606} nVar5675 := proc130(96);
- call {:si_unique_call 4607} nVar5676 := proc130(40);
- call {:si_unique_call 4608} nVar5677 := proc130(144);
- call {:si_unique_call 4609} nVar5678 := proc130(116);
- call {:si_unique_call 4610} nVar5679 := proc130(252);
- call {:si_unique_call 4611} nVar5680 := proc130(32);
- call {:si_unique_call 4612} nVar5681 := proc130(100);
- call {:si_unique_call 4613} nVar5682 := proc130(68);
- call {:si_unique_call 4614} nVar5683 := proc130(152);
- call {:si_unique_call 4615} nVar5684 := proc130(84);
- call {:si_unique_call 4616} nVar5685 := proc130(188);
- call {:si_unique_call 4617} nVar5686 := proc130(20);
- call {:si_unique_call 4618} nVar5687 := proc130(80);
- call {:si_unique_call 4619} nVar5688 := proc130(344);
- call {:si_unique_call 4620} nVar5689 := proc130(316);
- call {:si_unique_call 4621} nVar5690 := proc130(308);
- call {:si_unique_call 4622} nVar5691 := proc130(92);
- call {:si_unique_call 4623} nVar5692 := proc130(352);
- call {:si_unique_call 4624} nVar5693 := proc130(96);
- call {:si_unique_call 4625} nVar5694 := proc130(336);
- call {:si_unique_call 4626} nVar5695 := proc130(152);
- call {:si_unique_call 4627} nVar5696 := proc130(380);
- call {:si_unique_call 4628} nVar5697 := proc130(340);
- call {:si_unique_call 4629} nVar5698 := proc130(120);
- call {:si_unique_call 4630} nVar5699 := proc130(292);
- call {:si_unique_call 4631} nVar5700 := proc130(432);
- call {:si_unique_call 4632} nVar5701 := proc130(172);
- call {:si_unique_call 4633} nVar5702 := proc130(300);
- call {:si_unique_call 4634} nVar5703 := proc130(244);
- call {:si_unique_call 4635} nVar5704 := proc130(88);
- call {:si_unique_call 4636} nVar5705 := proc130(116);
- call {:si_unique_call 4637} nVar5706 := proc130(40);
- call {:si_unique_call 4638} nVar5707 := proc130(144);
- call {:si_unique_call 4639} nVar5708 := proc130(316);
- call {:si_unique_call 4640} nVar5709 := proc130(136);
- call {:si_unique_call 4641} nVar5710 := proc130(136);
- call {:si_unique_call 4642} nVar5711 := proc130(296);
- call {:si_unique_call 4643} nVar5712 := proc130(204);
- call {:si_unique_call 4644} nVar5713 := proc130(72);
- call {:si_unique_call 4645} nVar5714 := proc130(96);
- call {:si_unique_call 4646} nVar5715 := proc130(72);
- call {:si_unique_call 4647} nVar5716 := proc130(100);
- call {:si_unique_call 4648} nVar5717 := proc130(136);
- call {:si_unique_call 4649} nVar5718 := proc130(80);
- call {:si_unique_call 4650} nVar5719 := proc130(244);
- call {:si_unique_call 4651} nVar5720 := proc130(88);
- call {:si_unique_call 4652} nVar5721 := proc130(32);
- call {:si_unique_call 4653} nVar5722 := proc130(112);
- call {:si_unique_call 4654} nVar5723 := proc130(96);
- call {:si_unique_call 4655} nVar5724 := proc130(292);
- call {:si_unique_call 4656} nVar5725 := proc130(168);
- call {:si_unique_call 4657} nVar5726 := proc130(336);
- call {:si_unique_call 4658} nVar5727 := proc130(280);
- call {:si_unique_call 4659} nVar5728 := proc130(48);
- call {:si_unique_call 4660} nVar5729 := proc130(88);
- call {:si_unique_call 4661} nVar5730 := proc130(24);
- call {:si_unique_call 4662} nVar5731 := proc130(44);
- call {:si_unique_call 4663} nVar5732 := proc130(16);
- call {:si_unique_call 4664} nVar5733 := proc130(288);
- call {:si_unique_call 4665} nVar5734 := proc130(240);
- call {:si_unique_call 4666} nVar5735 := proc130(104);
- call {:si_unique_call 4667} nVar5736 := proc130(268);
- call {:si_unique_call 4668} nVar5737 := proc130(140);
- call {:si_unique_call 4669} nVar5738 := proc130(8);
- call {:si_unique_call 4670} nVar5739 := proc130(360);
- call {:si_unique_call 4671} nVar5740 := proc130(332);
- call {:si_unique_call 4672} nVar5741 := proc130(140);
- call {:si_unique_call 4673} nVar5742 := proc130(140);
- call {:si_unique_call 4674} nVar5743 := proc130(128);
- call {:si_unique_call 4675} nVar5744 := proc130(272);
- call {:si_unique_call 4676} nVar5745 := proc130(48);
- call {:si_unique_call 4677} nVar5746 := proc130(136);
- call {:si_unique_call 4678} nVar5747 := proc130(144);
- call {:si_unique_call 4679} nVar5748 := proc130(208);
- call {:si_unique_call 4680} nVar5749 := proc130(4);
- call {:si_unique_call 4681} nVar5750 := proc130(8192);
- call {:si_unique_call 4682} nVar5751 := proc130(156);
- call {:si_unique_call 4683} nVar5752 := proc130(324);
- call {:si_unique_call 4684} nVar5753 := proc130(304);
- call {:si_unique_call 4685} nVar5754 := proc130(56);
- call {:si_unique_call 4686} nVar5755 := proc130(92);
- call {:si_unique_call 4687} nVar5756 := proc130(188);
- call {:si_unique_call 4688} nVar5757 := proc130(244);
- call {:si_unique_call 4689} nVar5758 := proc130(80);
- call {:si_unique_call 4690} nVar5759 := proc130(240);
- call {:si_unique_call 4691} nVar5760 := proc130(80);
- call {:si_unique_call 4692} nVar5761 := proc130(52);
- call {:si_unique_call 4693} nVar5762 := proc130(148);
- call {:si_unique_call 4694} nVar5763 := proc130(304);
- call {:si_unique_call 4695} nVar5764 := proc130(144);
- call {:si_unique_call 4696} nVar5765 := proc130(164);
- call {:si_unique_call 4697} nVar5766 := proc130(68);
- call {:si_unique_call 4698} nVar5767 := proc130(172);
- call {:si_unique_call 4699} nVar5768 := proc130(148);
- call {:si_unique_call 4700} nVar5769 := proc130(196);
- call {:si_unique_call 4701} nVar5770 := proc130(304);
- call {:si_unique_call 4702} nVar5771 := proc130(16);
- call {:si_unique_call 4703} nVar5772 := proc130(120);
- call {:si_unique_call 4704} nVar5773 := proc130(32);
- call {:si_unique_call 4705} nVar5774 := proc130(4);
- call {:si_unique_call 4706} nVar5775 := proc130(140);
- call {:si_unique_call 4707} nVar5776 := proc130(320);
- call {:si_unique_call 4708} nVar5777 := proc130(16);
- call {:si_unique_call 4709} nVar5778 := proc130(272);
- call {:si_unique_call 4710} nVar5779 := proc130(312);
- call {:si_unique_call 4711} nVar5780 := proc130(176);
- call {:si_unique_call 4712} nVar5781 := proc130(164);
- call {:si_unique_call 4713} nVar5782 := proc130(132);
- call {:si_unique_call 4714} nVar5783 := proc130(300);
- call {:si_unique_call 4715} nVar5784 := proc130(8);
- call {:si_unique_call 4716} nVar5785 := proc130(292);
- call {:si_unique_call 4717} nVar5786 := proc130(128);
- call {:si_unique_call 4718} nVar5787 := proc130(124);
- call {:si_unique_call 4719} nVar5788 := proc130(60);
- call {:si_unique_call 4720} nVar5789 := proc130(352);
- call {:si_unique_call 4721} nVar5790 := proc130(228);
- call {:si_unique_call 4722} nVar5791 := proc130(72);
- call {:si_unique_call 4723} nVar5792 := proc130(140);
- call {:si_unique_call 4724} nVar5793 := proc130(352);
- call {:si_unique_call 4725} nVar5794 := proc130(144);
- call {:si_unique_call 4726} nVar5795 := proc130(388);
- call {:si_unique_call 3877} proc65();
- call {:si_unique_call 3878} proc66();
- call {:si_unique_call 3876} proc67();
- assume nVar4938 > 0;
- call {:si_unique_call 4728} nVar4937 := proc116();
- call {:si_unique_call 3867} proc123(nVar4938, nVar4937);
- call {:si_unique_call 3869} nVar4936 := proc124(nVar4938);
- call {:si_unique_call 3871} nVar4935 := proc125(nVar4936);
- goto anon7_Then__unique__2;
-
- anon7_Then__unique__2:
- assume nVar4935 == 0;
- call {:si_unique_call 3873} proc126();
- goto anon9_Else__unique__3;
-
- anon9_Else__unique__3:
- assume nVar3711 == 1;
- goto L31__unique__4;
-
- L31__unique__4:
- goto anon8_Else__unique__5;
-
- anon8_Else__unique__5:
- assume nVar3711 == 1;
- nVar4934 := false;
- goto L_BAF_0__unique__6;
-
- L_BAF_0__unique__6:
- assume !nVar4934;
- return;
-}
-
-
-
-implementation {:entrypoint} proc63() returns (nVar5796: int, nVar5797: bool)
-{
-
- start__unique__1:
- call nVar5796, nVar5797 := proc64();
- assume {:OldAssert} !nVar5797;
- return;
-}
-
-
-
-function func0(a: int, b: int) : int;
-
-function func1(a: int, b: int) : int;
-
-function func2(a: int) : int;
-
-function func3(a: int, b: int) : int;
-
-function func4(a: int, b: int) : int;
-
-function {:inline true} func5(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func6(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func7(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func8(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func9(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func10(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func11(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func12(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func13(x: int) : int
-{
- x + 24
-}
-
-function {:inline true} func14(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func15(x: int) : int
-{
- x + 16
-}
-
-function {:inline true} func16(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func17(x: int) : int
-{
- x + 20
-}
-
-function {:inline true} func18(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func19(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func20(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func21(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func22(x: int) : int
-{
- x + 20
-}
-
-function {:inline true} func23(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func24(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func25(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func26(x: int) : int
-{
- x + 24
-}
-
-function {:inline true} func27(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func28(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func29(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func30(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func31(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func32(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func33(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func34(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func35(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func36(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func37(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func38(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func39(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func40(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func41(x: int) : int
-{
- x + 24
-}
-
-function {:inline true} func42(x: int) : int
-{
- x + 16
-}
-
-function {:inline true} func43(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func44(x: int) : int
-{
- x + 20
-}
-
-function {:inline true} func45(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func46(x: int) : int
-{
- x + 12
-}
-
-function {:inline true} func47(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func48(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func49(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func50(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func51(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func52(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func53(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func54(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func55(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func56(x: int) : int
-{
- x + 0
-}
-
-function {:inline true} func57(x: int) : int
-{
- x + 20
-}
-
-function {:inline true} func58(x: int) : int
-{
- x + 4
-}
-
-function {:inline true} func59(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func60(x: int) : int
-{
- x + 8
-}
-
-function {:inline true} func61(x: int) : int
-{
- x + 8
-}
-
-function func62(a: int) : bool;
-
-axiom (forall x: int :: { func62(x) } x == 0 || x == 1 || x == 2 || x == 4 || x == 8 || x == 16 || x == 32 || x == 64 || x == 128 || x == 256 || x == 512 || x == 1024 || x == 2048 || x == 4096 || x == 8192 || x == 16384 || x == 32768 || x == 65536 || x == 131072 || x == 262144 || x == 524288 || x == 1048576 || x == 2097152 || x == 4194304 || x == 8388608 || x == 16777216 || x == 33554432 || x == 67108864 || x == 134217728 || x == 268435456 || x == 536870912 || x == 1073741824 || x == 2147483648 || x == -2147483648 ==> func62(x));
-
-axiom (forall f: int :: { func0(0, f) } func0(0, f) == 0);
-
-axiom (forall f: int :: { func0(f, f) } func0(f, f) == f);
-
-axiom (forall f: int :: { func1(0, f) } func1(0, f) == f);
-
-axiom (forall f: int :: { func1(f, 0) } func1(f, 0) == f);
-
-axiom (forall x: int, f: int :: { func0(x, f) } func62(x) && func62(f) && x != f ==> func0(x, f) == 0);
-
-axiom (forall a: int, b: int, c: int :: { func1(a, func1(b, c)) } func1(a, func1(b, c)) == func1(func1(a, b), c));
-
-axiom (forall a: int, b: int, c: int :: { func0(a, func1(b, c)) } func0(a, func1(b, c)) == func0(func1(b, c), a));
-
-axiom (forall x: int, f1: int, f2: int :: { func0(func1(x, f1), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func1(x, f1), f2) == func0(x, f2)) && (f1 == f2 ==> func0(func1(x, f1), f2) == f1));
-
-axiom (forall x: int, f1: int, f2: int :: { func0(func0(x, func2(f1)), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func0(x, func2(f1)), f2) == func0(x, f2)) && (f1 == f2 && func62(f1) && func62(f2) ==> func0(func0(x, func2(f1)), f2) == 0));
-
-axiom (forall x: int, f1: int, f2: int :: { func0(func1(f1, x), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func1(f1, x), f2) == func0(x, f2)) && (f1 == f2 ==> func0(func1(f1, x), f2) == f1));
-
-axiom (forall x: int, y: int, f2: int :: { func0(func0(x, y), f2) } func62(f2) ==> func0(func0(x, y), f2) == 0 || func0(func0(x, y), f2) == func0(x, f2));
-
-procedure proc130(nVar5798: int) returns (nVar5799: int);
- free requires nVar5798 >= 0;
- modifies nVar1;
- free ensures nVar5799 == old(nVar1);
- free ensures nVar1 >= old(nVar1) + nVar5798;
-
-
-
-procedure proc131(nVar5800: int) returns (nVar5801: int);
- free requires nVar5800 >= 0;
- modifies nVar1;
- free ensures nVar5801 == old(nVar1) || nVar5801 == 0;
- free ensures nVar1 >= old(nVar1) + nVar5800;
-
-
-
-procedure proc132() returns (nVar5802: int);
-
-
-
-var nVar1: int;
-
-var nVar2: int;
-
-var nVar3: int;
-
-var nVar4: int;
-
-var nVar5: int;
-
-var nVar6: int;
-
-var nVar7: int;
-
-var nVar8: int;
-
-var nVar9: int;
-
-var nVar10: int;
-
-var nVar11: int;
-
-var nVar12: int;
-
-var nVar13: int;
-
-var nVar14: int;
-
-var nVar15: int;
-
-var nVar16: int;
-
-var nVar17: int;
-
-var nVar18: int;
-
-var nVar19: int;
-
-var nVar20: int;
-
-var nVar21: int;
-
-var nVar22: int;
-
-var nVar23: int;
-
-var nVar24: int;
-
-var nVar25: int;
-
-var nVar26: int;
-
-var nVar27: int;
-
-var nVar28: int;
-
-var nVar29: int;
-
-var nVar30: int;
-
-var nVar31: int;
-
-var nVar32: int;
-
-var nVar33: int;
-
-var nVar34: int;
-
-var nVar35: int;
-
-var nVar36: int;
-
-var nVar37: int;
-
-var nVar38: int;
-
-var nVar39: int;
-
-var nVar40: int;
-
-var nVar41: int;
-
-var nVar42: int;
-
-var nVar43: int;
-
-var nVar44: int;
-
-var nVar45: int;
-
-var nVar46: int;
-
-var nVar47: int;
-
-var nVar48: int;
-
-var nVar49: int;
-
-var nVar50: int;
-
-var nVar51: int;
-
-var nVar52: int;
-
-var nVar53: int;
-
-var nVar54: int;
-
-var nVar55: int;
-
-var nVar56: int;
-
-var nVar57: int;
-
-var nVar58: int;
-
-var nVar59: int;
-
-var nVar60: int;
-
-var nVar61: int;
-
-var nVar62: int;
-
-var nVar63: int;
-
-var nVar64: int;
-
-var nVar65: int;
-
-var nVar66: int;
-
-var nVar67: int;
-
-var nVar68: int;
-
-var nVar69: int;
-
-var nVar70: int;
-
-var nVar71: int;
-
-var nVar72: int;
-
-var nVar73: int;
-
-var nVar74: int;
-
-var nVar75: int;
-
-var nVar76: int;
-
-var nVar77: int;
-
-var nVar78: int;
-
-var nVar79: int;
-
-var nVar80: int;
-
-var nVar81: int;
-
-var nVar82: int;
-
-var nVar83: int;
-
-var nVar84: int;
-
-var nVar85: int;
-
-var nVar86: int;
-
-var nVar87: int;
-
-var nVar88: int;
-
-var nVar89: int;
-
-var nVar90: int;
-
-var nVar91: int;
-
-var nVar92: int;
-
-var nVar93: int;
-
-var nVar94: int;
-
-var nVar95: int;
-
-var nVar96: int;
-
-var nVar97: int;
-
-var nVar98: int;
-
-var nVar99: int;
-
-var nVar100: int;
-
-var nVar101: int;
-
-var nVar102: int;
-
-var nVar103: int;
-
-var nVar104: int;
-
-var nVar105: int;
-
-var nVar106: int;
-
-var nVar107: int;
-
-var nVar108: int;
-
-var nVar109: int;
-
-var nVar110: int;
-
-var nVar111: int;
-
-var nVar112: int;
-
-var nVar113: int;
-
-var nVar114: int;
-
-var nVar115: int;
-
-var nVar116: int;
-
-var nVar117: int;
-
-var nVar118: int;
-
-var nVar119: int;
-
-var nVar120: int;
-
-var nVar121: int;
-
-var nVar122: int;
-
-var nVar123: int;
-
-var nVar124: int;
-
-var nVar125: int;
-
-var nVar126: int;
-
-var nVar127: int;
-
-var nVar128: int;
-
-var nVar129: int;
-
-var nVar130: int;
-
-var nVar131: int;
-
-var nVar132: int;
-
-var nVar133: int;
-
-var nVar134: int;
-
-var nVar135: int;
-
-var nVar136: int;
-
-var nVar137: int;
-
-var nVar138: int;
-
-var nVar139: int;
-
-var nVar140: int;
-
-var nVar141: int;
-
-var nVar142: int;
-
-var nVar143: int;
-
-var nVar144: int;
-
-var nVar145: int;
-
-var nVar146: int;
-
-var nVar147: int;
-
-var nVar148: int;
-
-var nVar149: int;
-
-var nVar150: int;
-
-var nVar151: int;
-
-var nVar152: int;
-
-var nVar153: int;
-
-var nVar154: int;
-
-var nVar155: int;
-
-var nVar156: int;
-
-var nVar157: int;
-
-var nVar158: int;
-
-var nVar159: int;
-
-var nVar160: int;
-
-var nVar161: int;
-
-var nVar162: int;
-
-var nVar163: int;
-
-var nVar164: int;
-
-var nVar165: int;
-
-var nVar166: int;
-
-var nVar167: int;
-
-var nVar168: int;
-
-var nVar169: int;
-
-var nVar170: int;
-
-var nVar171: int;
-
-var nVar172: int;
-
-var nVar173: int;
-
-var nVar174: int;
-
-var nVar175: int;
-
-var nVar176: int;
-
-var nVar177: int;
-
-var nVar178: int;
-
-var nVar179: int;
-
-var nVar180: int;
-
-var nVar181: int;
-
-var nVar182: int;
-
-var nVar183: int;
-
-var nVar184: int;
-
-var nVar185: int;
-
-var nVar186: int;
-
-var nVar187: int;
-
-var nVar188: int;
-
-var nVar189: int;
-
-var nVar190: int;
-
-var nVar191: int;
-
-var nVar192: int;
-
-var nVar193: int;
-
-var nVar194: int;
-
-var nVar195: int;
-
-var nVar196: int;
-
-var nVar197: int;
-
-var nVar198: int;
-
-var nVar199: int;
-
-var nVar200: int;
-
-var nVar201: int;
-
-var nVar202: int;
-
-var nVar203: int;
-
-var nVar204: int;
-
-var nVar205: int;
-
-var nVar206: int;
-
-var nVar207: int;
-
-var nVar208: int;
-
-var nVar209: int;
-
-var nVar210: int;
-
-var nVar211: int;
-
-var nVar212: int;
-
-var nVar213: int;
-
-var nVar214: int;
-
-var nVar215: int;
-
-var nVar216: int;
-
-var nVar217: int;
-
-var nVar218: int;
-
-var nVar219: int;
-
-var nVar220: int;
-
-var nVar221: int;
-
-var nVar222: int;
-
-var nVar223: int;
-
-var nVar224: int;
-
-var nVar225: int;
-
-var nVar226: int;
-
-var nVar227: int;
-
-var nVar228: int;
-
-var nVar229: int;
-
-var nVar230: int;
-
-var nVar231: int;
-
-var nVar232: int;
-
-var nVar233: int;
-
-var nVar234: int;
-
-var nVar235: int;
-
-var nVar236: int;
-
-var nVar237: int;
-
-var nVar238: int;
-
-var nVar239: int;
-
-var nVar240: int;
-
-var nVar241: int;
-
-var nVar242: int;
-
-var nVar243: int;
-
-var nVar244: int;
-
-var nVar245: int;
-
-var nVar246: int;
-
-var nVar247: int;
-
-var nVar248: int;
-
-var nVar249: int;
-
-var nVar250: int;
-
-var nVar251: int;
-
-var nVar252: int;
-
-var nVar253: int;
-
-var nVar254: int;
-
-var nVar255: int;
-
-var nVar256: int;
-
-var nVar257: int;
-
-var nVar258: int;
-
-var nVar259: int;
-
-var nVar260: int;
-
-var nVar261: int;
-
-var nVar262: int;
-
-var nVar263: int;
-
-var nVar264: int;
-
-var nVar265: int;
-
-var nVar266: int;
-
-var nVar267: int;
-
-var nVar268: int;
-
-var nVar269: int;
-
-var nVar270: int;
-
-var nVar271: int;
-
-var nVar272: int;
-
-var nVar273: int;
-
-var nVar274: int;
-
-var nVar275: int;
-
-var nVar276: int;
-
-var nVar277: int;
-
-var nVar278: int;
-
-var nVar279: int;
-
-var nVar280: int;
-
-var nVar281: int;
-
-var nVar282: int;
-
-var nVar283: int;
-
-var nVar284: int;
-
-var nVar285: int;
-
-var nVar286: int;
-
-var nVar287: int;
-
-var nVar288: int;
-
-var nVar289: int;
-
-var nVar290: int;
-
-var nVar291: int;
-
-var nVar292: int;
-
-var nVar293: int;
-
-var nVar294: int;
-
-var nVar295: int;
-
-var nVar296: int;
-
-var nVar297: int;
-
-var nVar298: int;
-
-var nVar299: int;
-
-var nVar300: int;
-
-var nVar301: int;
-
-var nVar302: int;
-
-var nVar303: int;
-
-var nVar304: int;
-
-var nVar305: int;
-
-var nVar306: int;
-
-var nVar307: int;
-
-var nVar308: int;
-
-var nVar309: int;
-
-var nVar310: int;
-
-var nVar311: int;
-
-var nVar312: int;
-
-var nVar313: int;
-
-var nVar314: int;
-
-var nVar315: int;
-
-var nVar316: int;
-
-var nVar317: int;
-
-var nVar318: int;
-
-var nVar319: int;
-
-var nVar320: int;
-
-var nVar321: int;
-
-var nVar322: int;
-
-var nVar323: int;
-
-var nVar324: int;
-
-var nVar325: int;
-
-var nVar326: int;
-
-var nVar327: int;
-
-var nVar328: int;
-
-var nVar329: int;
-
-var nVar330: int;
-
-var nVar331: int;
-
-var nVar332: int;
-
-var nVar333: int;
-
-var nVar334: int;
-
-var nVar335: int;
-
-var nVar336: int;
-
-var nVar337: int;
-
-var nVar338: int;
-
-var nVar339: int;
-
-var nVar340: int;
-
-var nVar341: int;
-
-var nVar342: int;
-
-var nVar343: int;
-
-var nVar344: int;
-
-var nVar345: int;
-
-var nVar346: int;
-
-var nVar347: int;
-
-var nVar348: int;
-
-var nVar349: int;
-
-var nVar350: int;
-
-var nVar351: int;
-
-var nVar352: int;
-
-var nVar353: int;
-
-var nVar354: int;
-
-var nVar355: int;
-
-var nVar356: int;
-
-var nVar357: int;
-
-var nVar358: int;
-
-var nVar359: int;
-
-var nVar360: int;
-
-var nVar361: int;
-
-var nVar362: int;
-
-var nVar363: int;
-
-var nVar364: int;
-
-var nVar365: int;
-
-var nVar366: int;
-
-var nVar367: int;
-
-var nVar368: int;
-
-var nVar369: int;
-
-var nVar370: int;
-
-var nVar371: int;
-
-var nVar372: int;
-
-var nVar373: int;
-
-var nVar374: int;
-
-var nVar375: int;
-
-var nVar376: int;
-
-var nVar377: int;
-
-var nVar378: int;
-
-var nVar379: int;
-
-var nVar380: int;
-
-var nVar381: int;
-
-var nVar382: int;
-
-var nVar383: int;
-
-var nVar384: int;
-
-var nVar385: int;
-
-var nVar386: int;
-
-var nVar387: int;
-
-var nVar388: int;
-
-var nVar389: int;
-
-var nVar390: int;
-
-var nVar391: int;
-
-var nVar392: int;
-
-var nVar393: int;
-
-var nVar394: int;
-
-var nVar395: int;
-
-var nVar396: int;
-
-var nVar397: int;
-
-var nVar398: int;
-
-var nVar399: int;
-
-var nVar400: int;
-
-var nVar401: int;
-
-var nVar402: int;
-
-var nVar403: int;
-
-var nVar404: int;
-
-var nVar405: int;
-
-var nVar406: int;
-
-var nVar407: int;
-
-var nVar408: int;
-
-var nVar409: int;
-
-var nVar410: int;
-
-var nVar411: int;
-
-var nVar412: int;
-
-var nVar413: int;
-
-var nVar414: int;
-
-var nVar415: int;
-
-var nVar416: int;
-
-var nVar417: int;
-
-var nVar418: int;
-
-var nVar419: int;
-
-var nVar420: int;
-
-var nVar421: int;
-
-var nVar422: int;
-
-var nVar423: int;
-
-var nVar424: int;
-
-var nVar425: int;
-
-var nVar426: int;
-
-var nVar427: int;
-
-var nVar428: int;
-
-var nVar429: int;
-
-var nVar430: int;
-
-var nVar431: int;
-
-var nVar432: int;
-
-var nVar433: int;
-
-var nVar434: int;
-
-var nVar435: int;
-
-var nVar436: int;
-
-var nVar437: int;
-
-var nVar438: int;
-
-var nVar439: int;
-
-var nVar440: int;
-
-var nVar441: int;
-
-var nVar442: int;
-
-var nVar443: int;
-
-var nVar444: int;
-
-var nVar445: int;
-
-var nVar446: int;
-
-var nVar447: int;
-
-var nVar448: int;
-
-var nVar449: int;
-
-var nVar450: int;
-
-var nVar451: int;
-
-var nVar452: int;
-
-var nVar453: int;
-
-var nVar454: int;
-
-var nVar455: int;
-
-var nVar456: int;
-
-var nVar457: int;
-
-var nVar458: int;
-
-var nVar459: int;
-
-var nVar460: int;
-
-var nVar461: int;
-
-var nVar462: int;
-
-var nVar463: int;
-
-var nVar464: int;
-
-var nVar465: int;
-
-var nVar466: int;
-
-var nVar467: int;
-
-var nVar468: int;
-
-var nVar469: int;
-
-var nVar470: int;
-
-var nVar471: int;
-
-var nVar472: int;
-
-var nVar473: int;
-
-var nVar474: int;
-
-var nVar475: int;
-
-var nVar476: int;
-
-var nVar477: int;
-
-var nVar478: int;
-
-var nVar479: int;
-
-var nVar480: int;
-
-var nVar481: int;
-
-var nVar482: int;
-
-var nVar483: int;
-
-var nVar484: int;
-
-var nVar485: int;
-
-var nVar486: int;
-
-var nVar487: int;
-
-var nVar488: int;
-
-var nVar489: int;
-
-var nVar490: int;
-
-var nVar491: int;
-
-var nVar492: int;
-
-var nVar493: int;
-
-var nVar494: int;
-
-var nVar495: int;
-
-var nVar496: int;
-
-var nVar497: int;
-
-var nVar498: int;
-
-var nVar499: int;
-
-var nVar500: int;
-
-var nVar501: int;
-
-var nVar502: int;
-
-var nVar503: int;
-
-var nVar504: int;
-
-var nVar505: int;
-
-var nVar506: int;
-
-var nVar507: int;
-
-var nVar508: int;
-
-var nVar509: int;
-
-var nVar510: int;
-
-var nVar511: int;
-
-var nVar512: int;
-
-var nVar513: int;
-
-var nVar514: int;
-
-var nVar515: int;
-
-var nVar516: int;
-
-var nVar517: int;
-
-var nVar518: int;
-
-var nVar519: int;
-
-var nVar520: int;
-
-var nVar521: int;
-
-var nVar522: int;
-
-var nVar523: int;
-
-var nVar524: int;
-
-var nVar525: int;
-
-var nVar526: int;
-
-var nVar527: int;
-
-var nVar528: int;
-
-var nVar529: int;
-
-var nVar530: int;
-
-var nVar531: int;
-
-var nVar532: int;
-
-var nVar533: int;
-
-var nVar534: int;
-
-var nVar535: int;
-
-var nVar536: int;
-
-var nVar537: int;
-
-var nVar538: int;
-
-var nVar539: int;
-
-var nVar540: int;
-
-var nVar541: int;
-
-var nVar542: int;
-
-var nVar543: int;
-
-var nVar544: int;
-
-var nVar545: int;
-
-var nVar546: int;
-
-var nVar547: int;
-
-var nVar548: int;
-
-var nVar549: int;
-
-var nVar550: int;
-
-var nVar551: int;
-
-var nVar552: int;
-
-var nVar553: int;
-
-var nVar554: int;
-
-var nVar555: int;
-
-var nVar556: int;
-
-var nVar557: int;
-
-var nVar558: int;
-
-var nVar559: int;
-
-var nVar560: int;
-
-var nVar561: int;
-
-var nVar562: int;
-
-var nVar563: int;
-
-var nVar564: int;
-
-var nVar565: int;
-
-var nVar566: int;
-
-var nVar567: int;
-
-var nVar568: int;
-
-var nVar569: int;
-
-var nVar570: int;
-
-var nVar571: int;
-
-var nVar572: int;
-
-var nVar573: int;
-
-var nVar574: int;
-
-var nVar575: int;
-
-var nVar576: int;
-
-var nVar577: int;
-
-var nVar578: int;
-
-var nVar579: int;
-
-var nVar580: int;
-
-var nVar581: int;
-
-var nVar582: int;
-
-var nVar583: int;
-
-var nVar584: int;
-
-var nVar585: int;
-
-var nVar586: int;
-
-var nVar587: int;
-
-var nVar588: int;
-
-var nVar589: int;
-
-var nVar590: int;
-
-var nVar591: int;
-
-var nVar592: int;
-
-var nVar593: int;
-
-var nVar594: int;
-
-var nVar595: int;
-
-var nVar596: int;
-
-var nVar597: int;
-
-var nVar598: int;
-
-var nVar599: int;
-
-var nVar600: int;
-
-var nVar601: int;
-
-var nVar602: int;
-
-var nVar603: int;
-
-var nVar604: int;
-
-var nVar605: int;
-
-var nVar606: int;
-
-var nVar607: int;
-
-var nVar608: int;
-
-var nVar609: int;
-
-var nVar610: int;
-
-var nVar611: int;
-
-var nVar612: int;
-
-var nVar613: int;
-
-var nVar614: int;
-
-var nVar615: int;
-
-var nVar616: int;
-
-var nVar617: int;
-
-var nVar618: int;
-
-var nVar619: int;
-
-var nVar620: int;
-
-var nVar621: int;
-
-var nVar622: int;
-
-var nVar623: int;
-
-var nVar624: int;
-
-var nVar625: int;
-
-var nVar626: int;
-
-var nVar627: int;
-
-var nVar628: int;
-
-var nVar629: int;
-
-var nVar630: int;
-
-var nVar631: int;
-
-var nVar632: int;
-
-var nVar633: int;
-
-var nVar634: int;
-
-var nVar635: int;
-
-var nVar636: int;
-
-var nVar637: int;
-
-var nVar638: int;
-
-var nVar639: int;
-
-var nVar640: int;
-
-var nVar641: int;
-
-var nVar642: int;
-
-var nVar643: int;
-
-var nVar644: int;
-
-var nVar645: int;
-
-var nVar646: int;
-
-var nVar647: int;
-
-var nVar648: int;
-
-var nVar649: int;
-
-var nVar650: int;
-
-var nVar651: int;
-
-var nVar652: int;
-
-var nVar653: int;
-
-var nVar654: int;
-
-var nVar655: int;
-
-var nVar656: int;
-
-var nVar657: int;
-
-var nVar658: int;
-
-var nVar659: int;
-
-var nVar660: int;
-
-var nVar661: int;
-
-var nVar662: int;
-
-var nVar663: int;
-
-var nVar664: int;
-
-var nVar665: int;
-
-var nVar666: int;
-
-var nVar667: int;
-
-var nVar668: int;
-
-var nVar669: int;
-
-var nVar670: int;
-
-var nVar671: int;
-
-var nVar672: int;
-
-var nVar673: int;
-
-var nVar674: int;
-
-var nVar675: int;
-
-var nVar676: int;
-
-var nVar677: int;
-
-var nVar678: int;
-
-var nVar679: int;
-
-var nVar680: int;
-
-var nVar681: int;
-
-var nVar682: int;
-
-var nVar683: int;
-
-var nVar684: int;
-
-var nVar685: int;
-
-var nVar686: int;
-
-var nVar687: int;
-
-var nVar688: int;
-
-var nVar689: int;
-
-var nVar690: int;
-
-var nVar691: int;
-
-var nVar692: int;
-
-var nVar693: int;
-
-var nVar694: int;
-
-var nVar695: int;
-
-var nVar696: int;
-
-var nVar697: int;
-
-var nVar698: int;
-
-var nVar699: int;
-
-var nVar700: int;
-
-var nVar701: int;
-
-var nVar702: int;
-
-var nVar703: int;
-
-var nVar704: int;
-
-var nVar705: int;
-
-var nVar706: int;
-
-var nVar707: int;
-
-var nVar708: int;
-
-var nVar709: int;
-
-var nVar710: int;
-
-var nVar711: int;
-
-var nVar712: int;
-
-var nVar713: int;
-
-var nVar714: int;
-
-var nVar715: int;
-
-var nVar716: int;
-
-var nVar717: int;
-
-var nVar718: int;
-
-var nVar719: int;
-
-var nVar720: int;
-
-var nVar721: int;
-
-var nVar722: int;
-
-var nVar723: int;
-
-var nVar724: int;
-
-var nVar725: int;
-
-var nVar726: int;
-
-var nVar727: int;
-
-var nVar728: int;
-
-var nVar729: int;
-
-var nVar730: int;
-
-var nVar731: int;
-
-var nVar732: int;
-
-var nVar733: int;
-
-var nVar734: int;
-
-var nVar735: int;
-
-var nVar736: int;
-
-var nVar737: int;
-
-var nVar738: int;
-
-var nVar739: int;
-
-var nVar740: int;
-
-var nVar741: int;
-
-var nVar742: int;
-
-var nVar743: int;
-
-var nVar744: int;
-
-var nVar745: int;
-
-var nVar746: int;
-
-var nVar747: int;
-
-var nVar748: int;
-
-var nVar749: int;
-
-var nVar750: int;
-
-var nVar751: int;
-
-var nVar752: int;
-
-var nVar753: int;
-
-var nVar754: int;
-
-var nVar755: int;
-
-var nVar756: int;
-
-var nVar757: int;
-
-var nVar758: int;
-
-var nVar759: int;
-
-var nVar760: int;
-
-var nVar761: int;
-
-var nVar762: int;
-
-var nVar763: int;
-
-var nVar764: int;
-
-var nVar765: int;
-
-var nVar766: int;
-
-var nVar767: int;
-
-var nVar768: int;
-
-var nVar769: int;
-
-var nVar770: int;
-
-var nVar771: int;
-
-var nVar772: int;
-
-var nVar773: int;
-
-var nVar774: int;
-
-var nVar775: int;
-
-var nVar776: int;
-
-var nVar777: int;
-
-var nVar778: int;
-
-var nVar779: int;
-
-var nVar780: int;
-
-var nVar781: int;
-
-var nVar782: int;
-
-var nVar783: int;
-
-var nVar784: int;
-
-var nVar785: int;
-
-var nVar786: int;
-
-var nVar787: int;
-
-var nVar788: int;
-
-var nVar789: int;
-
-var nVar790: int;
-
-var nVar791: int;
-
-var nVar792: int;
-
-var nVar793: int;
-
-var nVar794: int;
-
-var nVar795: int;
-
-var nVar796: int;
-
-var nVar797: int;
-
-var nVar798: int;
-
-var nVar799: int;
-
-var nVar800: int;
-
-var nVar801: int;
-
-var nVar802: int;
-
-var nVar803: int;
-
-var nVar804: int;
-
-var nVar805: int;
-
-var nVar806: int;
-
-var nVar807: int;
-
-var nVar808: int;
-
-var nVar809: int;
-
-var nVar810: int;
-
-var nVar811: int;
-
-var nVar812: int;
-
-var nVar813: int;
-
-var nVar814: int;
-
-var nVar815: int;
-
-var nVar816: int;
-
-var nVar817: int;
-
-var nVar818: int;
-
-var nVar819: int;
-
-var nVar820: int;
-
-var nVar821: int;
-
-var nVar822: int;
-
-var nVar823: int;
-
-var nVar824: int;
-
-var nVar825: int;
-
-var nVar826: int;
-
-var nVar827: int;
-
-var nVar828: int;
-
-var nVar829: int;
-
-var nVar830: int;
-
-var nVar831: int;
-
-var nVar832: int;
-
-var nVar833: int;
-
-var nVar834: int;
-
-var nVar835: int;
-
-var nVar836: int;
-
-var nVar837: int;
-
-var nVar838: int;
-
-var nVar839: int;
-
-var nVar840: int;
-
-var nVar841: int;
-
-var nVar842: int;
-
-var nVar843: int;
-
-var nVar844: int;
-
-var nVar845: int;
-
-var nVar846: int;
-
-var nVar847: int;
-
-var nVar848: int;
-
-var nVar849: int;
-
-var nVar850: int;
-
-var nVar851: int;
-
-var nVar852: int;
-
-var nVar853: int;
-
-var nVar854: int;
-
-var nVar855: int;
-
-var nVar856: int;
-
-var nVar857: int;
-
-var nVar858: int;
-
-var nVar859: int;
-
-var nVar860: int;
-
-var nVar861: int;
-
-var nVar862: int;
-
-var nVar863: int;
-
-var nVar864: int;
-
-var nVar865: int;
-
-var nVar866: int;
-
-var nVar867: int;
-
-var nVar868: int;
-
-var nVar869: int;
-
-var nVar870: int;
-
-var nVar871: int;
-
-var nVar872: int;
-
-var nVar873: int;
-
-var nVar874: int;
-
-var nVar875: int;
-
-var nVar876: int;
-
-var nVar877: int;
-
-var nVar878: int;
-
-var nVar879: int;
-
-var nVar880: int;
-
-var nVar881: int;
-
-var nVar882: int;
-
-var nVar883: int;
-
-var nVar884: int;
-
-var nVar885: int;
-
-var nVar886: int;
-
-var nVar887: int;
-
-var nVar888: int;
-
-var nVar889: int;
-
-var nVar890: int;
-
-var nVar891: int;
-
-var nVar892: int;
-
-var nVar893: int;
-
-var nVar894: int;
-
-var nVar895: int;
-
-var nVar896: int;
-
-var nVar897: int;
-
-var nVar898: int;
-
-var nVar899: int;
-
-var nVar900: int;
-
-var nVar901: int;
-
-var nVar902: int;
-
-var nVar903: int;
-
-var nVar904: int;
-
-var nVar905: int;
-
-var nVar906: int;
-
-var nVar907: int;
-
-var nVar908: int;
-
-var nVar909: int;
-
-var nVar910: int;
-
-var nVar911: int;
-
-var nVar912: int;
-
-var nVar913: int;
-
-var nVar914: int;
-
-var nVar915: int;
-
-var nVar916: int;
-
-var nVar917: int;
-
-var nVar918: int;
-
-var nVar919: int;
-
-var nVar920: int;
-
-var nVar921: int;
-
-var nVar922: int;
-
-var nVar923: int;
-
-var nVar924: int;
-
-var nVar925: int;
-
-var nVar926: int;
-
-var nVar927: int;
-
-var nVar928: int;
-
-var nVar929: int;
-
-var nVar930: int;
-
-var nVar931: int;
-
-var nVar932: int;
-
-var nVar933: int;
-
-var nVar934: int;
-
-var nVar935: int;
-
-var nVar936: int;
-
-var nVar937: int;
-
-var nVar938: int;
-
-var nVar939: int;
-
-var nVar940: int;
-
-var nVar941: int;
-
-var nVar942: int;
-
-var nVar943: int;
-
-var nVar944: int;
-
-var nVar945: int;
-
-var nVar946: int;
-
-var nVar947: int;
-
-var nVar948: int;
-
-var nVar949: int;
-
-var nVar950: int;
-
-var nVar951: int;
-
-var nVar952: int;
-
-var nVar953: int;
-
-var nVar954: int;
-
-var nVar955: int;
-
-var nVar956: int;
-
-var nVar957: int;
-
-var nVar958: int;
-
-var nVar959: int;
-
-var nVar960: int;
-
-var nVar961: int;
-
-var nVar962: int;
-
-var nVar963: int;
-
-var nVar964: int;
-
-var nVar965: int;
-
-var nVar966: int;
-
-var nVar967: int;
-
-var nVar968: int;
-
-var nVar969: int;
-
-var nVar970: int;
-
-var nVar971: int;
-
-var nVar972: int;
-
-var nVar973: int;
-
-var nVar974: int;
-
-var nVar975: int;
-
-var nVar976: int;
-
-var nVar977: int;
-
-var nVar978: int;
-
-var nVar979: int;
-
-var nVar980: int;
-
-var nVar981: int;
-
-var nVar982: int;
-
-var nVar983: int;
-
-var nVar984: int;
-
-var nVar985: int;
-
-var nVar986: int;
-
-var nVar987: int;
-
-var nVar988: int;
-
-var nVar989: int;
-
-var nVar990: int;
-
-var nVar991: int;
-
-var nVar992: int;
-
-var nVar993: int;
-
-var nVar994: int;
-
-var nVar995: int;
-
-var nVar996: int;
-
-var nVar997: int;
-
-var nVar998: int;
-
-var nVar999: int;
-
-var nVar1000: int;
-
-var nVar1001: int;
-
-var nVar1002: int;
-
-var nVar1003: int;
-
-var nVar1004: int;
-
-var nVar1005: int;
-
-var nVar1006: int;
-
-var nVar1007: int;
-
-var nVar1008: int;
-
-var nVar1009: int;
-
-var nVar1010: int;
-
-var nVar1011: int;
-
-var nVar1012: int;
-
-var nVar1013: int;
-
-var nVar1014: int;
-
-var nVar1015: int;
-
-var nVar1016: int;
-
-var nVar1017: int;
-
-var nVar1018: int;
-
-var nVar1019: int;
-
-var nVar1020: int;
-
-var nVar1021: int;
-
-var nVar1022: int;
-
-var nVar1023: int;
-
-var nVar1024: int;
-
-var nVar1025: int;
-
-var nVar1026: int;
-
-var nVar1027: int;
-
-var nVar1028: int;
-
-var nVar1029: int;
-
-var nVar1030: int;
-
-var nVar1031: int;
-
-var nVar1032: int;
-
-var nVar1033: int;
-
-var nVar1034: int;
-
-var nVar1035: int;
-
-var nVar1036: int;
-
-var nVar1037: int;
-
-var nVar1038: int;
-
-var nVar1039: int;
-
-var nVar1040: int;
-
-var nVar1041: int;
-
-var nVar1042: int;
-
-var nVar1043: int;
-
-var nVar1044: int;
-
-var nVar1045: int;
-
-var nVar1046: int;
-
-var nVar1047: int;
-
-var nVar1048: int;
-
-var nVar1049: int;
-
-var nVar1050: int;
-
-var nVar1051: int;
-
-var nVar1052: int;
-
-var nVar1053: int;
-
-var nVar1054: int;
-
-var nVar1055: int;
-
-var nVar1056: int;
-
-var nVar1057: int;
-
-var nVar1058: int;
-
-var nVar1059: int;
-
-var nVar1060: int;
-
-var nVar1061: int;
-
-var nVar1062: int;
-
-var nVar1063: int;
-
-var nVar1064: int;
-
-var nVar1065: int;
-
-var nVar1066: int;
-
-var nVar1067: int;
-
-var nVar1068: int;
-
-var nVar1069: int;
-
-var nVar1070: int;
-
-var nVar1071: int;
-
-var nVar1072: int;
-
-var nVar1073: int;
-
-var nVar1074: int;
-
-var nVar1075: int;
-
-var nVar1076: int;
-
-var nVar1077: int;
-
-var nVar1078: int;
-
-var nVar1079: int;
-
-var nVar1080: int;
-
-var nVar1081: int;
-
-var nVar1082: int;
-
-var nVar1083: int;
-
-var nVar1084: int;
-
-var nVar1085: int;
-
-var nVar1086: int;
-
-var nVar1087: int;
-
-var nVar1088: int;
-
-var nVar1089: int;
-
-var nVar1090: int;
-
-var nVar1091: int;
-
-var nVar1092: int;
-
-var nVar1093: int;
-
-var nVar1094: int;
-
-var nVar1095: int;
-
-var nVar1096: int;
-
-var nVar1097: int;
-
-var nVar1098: int;
-
-var nVar1099: int;
-
-var nVar1100: int;
-
-var nVar1101: int;
-
-var nVar1102: int;
-
-var nVar1103: int;
-
-var nVar1104: int;
-
-var nVar1105: int;
-
-var nVar1106: int;
-
-var nVar1107: int;
-
-var nVar1108: int;
-
-var nVar1109: int;
-
-var nVar1110: int;
-
-var nVar1111: int;
-
-var nVar1112: int;
-
-var nVar1113: int;
-
-var nVar1114: int;
-
-var nVar1115: int;
-
-var nVar1116: int;
-
-var nVar1117: int;
-
-var nVar1118: int;
-
-var nVar1119: int;
-
-var nVar1120: int;
-
-var nVar1121: int;
-
-var nVar1122: int;
-
-var nVar1123: int;
-
-var nVar1124: int;
-
-var nVar1125: int;
-
-var nVar1126: int;
-
-var nVar1127: int;
-
-var nVar1128: int;
-
-var nVar1129: int;
-
-var nVar1130: int;
-
-var nVar1131: int;
-
-var nVar1132: int;
-
-var nVar1133: int;
-
-var nVar1134: int;
-
-var nVar1135: int;
-
-var nVar1136: int;
-
-var nVar1137: int;
-
-var nVar1138: int;
-
-var nVar1139: int;
-
-var nVar1140: int;
-
-var nVar1141: int;
-
-var nVar1142: int;
-
-var nVar1143: int;
-
-var nVar1144: int;
-
-var nVar1145: int;
-
-var nVar1146: int;
-
-var nVar1147: int;
-
-var nVar1148: int;
-
-var nVar1149: int;
-
-var nVar1150: int;
-
-var nVar1151: int;
-
-var nVar1152: int;
-
-var nVar1153: int;
-
-var nVar1154: int;
-
-var nVar1155: int;
-
-var nVar1156: int;
-
-var nVar1157: int;
-
-var nVar1158: int;
-
-var nVar1159: int;
-
-var nVar1160: int;
-
-var nVar1161: int;
-
-var nVar1162: int;
-
-var nVar1163: int;
-
-var nVar1164: int;
-
-var nVar1165: int;
-
-var nVar1166: int;
-
-var nVar1167: int;
-
-var nVar1168: int;
-
-var nVar1169: int;
-
-var nVar1170: int;
-
-var nVar1171: int;
-
-var nVar1172: int;
-
-var nVar1173: int;
-
-var nVar1174: int;
-
-var nVar1175: int;
-
-var nVar1176: int;
-
-var nVar1177: int;
-
-var nVar1178: int;
-
-var nVar1179: int;
-
-var nVar1180: int;
-
-var nVar1181: int;
-
-var nVar1182: int;
-
-var nVar1183: int;
-
-var nVar1184: int;
-
-var nVar1185: int;
-
-var nVar1186: int;
-
-var nVar1187: int;
-
-var nVar1188: int;
-
-var nVar1189: int;
-
-var nVar1190: int;
-
-var nVar1191: int;
-
-var nVar1192: int;
-
-var nVar1193: int;
-
-var nVar1194: int;
-
-var nVar1195: int;
-
-var nVar1196: int;
-
-var nVar1197: int;
-
-var nVar1198: int;
-
-var nVar1199: int;
-
-var nVar1200: int;
-
-var nVar1201: int;
-
-var nVar1202: int;
-
-var nVar1203: int;
-
-var nVar1204: int;
-
-var nVar1205: int;
-
-var nVar1206: int;
-
-var nVar1207: int;
-
-var nVar1208: int;
-
-var nVar1209: int;
-
-var nVar1210: int;
-
-var nVar1211: int;
-
-var nVar1212: int;
-
-var nVar1213: int;
-
-var nVar1214: int;
-
-var nVar1215: int;
-
-var nVar1216: int;
-
-var nVar1217: int;
-
-var nVar1218: int;
-
-var nVar1219: int;
-
-var nVar1220: int;
-
-var nVar1221: int;
-
-var nVar1222: int;
-
-var nVar1223: int;
-
-var nVar1224: int;
-
-var nVar1225: int;
-
-var nVar1226: int;
-
-var nVar1227: int;
-
-var nVar1228: int;
-
-var nVar1229: int;
-
-var nVar1230: int;
-
-var nVar1231: int;
-
-var nVar1232: int;
-
-var nVar1233: int;
-
-var nVar1234: int;
-
-var nVar1235: int;
-
-var nVar1236: int;
-
-var nVar1237: int;
-
-var nVar1238: int;
-
-var nVar1239: int;
-
-var nVar1240: int;
-
-var nVar1241: int;
-
-var nVar1242: int;
-
-var nVar1243: int;
-
-var nVar1244: int;
-
-var nVar1245: int;
-
-var nVar1246: int;
-
-var nVar1247: int;
-
-var nVar1248: int;
-
-var nVar1249: int;
-
-var nVar1250: int;
-
-var nVar1251: int;
-
-var nVar1252: int;
-
-var nVar1253: int;
-
-var nVar1254: int;
-
-var nVar1255: int;
-
-var nVar1256: int;
-
-var nVar1257: int;
-
-var nVar1258: int;
-
-var nVar1259: int;
-
-var nVar1260: int;
-
-var nVar1261: int;
-
-var nVar1262: int;
-
-var nVar1263: int;
-
-var nVar1264: int;
-
-var nVar1265: int;
-
-var nVar1266: int;
-
-var nVar1267: int;
-
-var nVar1268: int;
-
-var nVar1269: int;
-
-var nVar1270: int;
-
-var nVar1271: int;
-
-var nVar1272: int;
-
-var nVar1273: int;
-
-var nVar1274: int;
-
-var nVar1275: int;
-
-var nVar1276: int;
-
-var nVar1277: int;
-
-var nVar1278: int;
-
-var nVar1279: int;
-
-var nVar1280: int;
-
-var nVar1281: int;
-
-var nVar1282: int;
-
-var nVar1283: int;
-
-var nVar1284: int;
-
-var nVar1285: int;
-
-var nVar1286: int;
-
-var nVar1287: int;
-
-var nVar1288: int;
-
-var nVar1289: int;
-
-var nVar1290: int;
-
-var nVar1291: int;
-
-var nVar1292: int;
-
-var nVar1293: int;
-
-var nVar1294: int;
-
-var nVar1295: int;
-
-var nVar1296: int;
-
-var nVar1297: int;
-
-var nVar1298: int;
-
-var nVar1299: int;
-
-var nVar1300: int;
-
-var nVar1301: int;
-
-var nVar1302: int;
-
-var nVar1303: int;
-
-var nVar1304: int;
-
-var nVar1305: int;
-
-var nVar1306: int;
-
-var nVar1307: int;
-
-var nVar1308: int;
-
-var nVar1309: int;
-
-var nVar1310: int;
-
-var nVar1311: int;
-
-var nVar1312: int;
-
-var nVar1313: int;
-
-var nVar1314: int;
-
-var nVar1315: int;
-
-var nVar1316: int;
-
-var nVar1317: int;
-
-var nVar1318: int;
-
-var nVar1319: int;
-
-var nVar1320: int;
-
-var nVar1321: int;
-
-var nVar1322: int;
-
-var nVar1323: int;
-
-var nVar1324: int;
-
-var nVar1325: int;
-
-var nVar1326: int;
-
-var nVar1327: int;
-
-var nVar1328: int;
-
-var nVar1329: int;
-
-var nVar1330: int;
-
-var nVar1331: int;
-
-var nVar1332: int;
-
-var nVar1333: int;
-
-var nVar1334: int;
-
-var nVar1335: int;
-
-var nVar1336: int;
-
-var nVar1337: int;
-
-var nVar1338: int;
-
-var nVar1339: int;
-
-var nVar1340: int;
-
-var nVar1341: int;
-
-var nVar1342: int;
-
-var nVar1343: int;
-
-var nVar1344: int;
-
-var nVar1345: int;
-
-var nVar1346: int;
-
-var nVar1347: int;
-
-var nVar1348: int;
-
-var nVar1349: int;
-
-var nVar1350: int;
-
-var nVar1351: int;
-
-var nVar1352: int;
-
-var nVar1353: int;
-
-var nVar1354: int;
-
-var nVar1355: int;
-
-var nVar1356: int;
-
-var nVar1357: int;
-
-var nVar1358: int;
-
-var nVar1359: int;
-
-var nVar1360: int;
-
-var nVar1361: int;
-
-var nVar1362: int;
-
-var nVar1363: int;
-
-var nVar1364: int;
-
-var nVar1365: int;
-
-var nVar1366: int;
-
-var nVar1367: int;
-
-var nVar1368: int;
-
-var nVar1369: int;
-
-var nVar1370: int;
-
-var nVar1371: int;
-
-var nVar1372: int;
-
-var nVar1373: int;
-
-var nVar1374: int;
-
-var nVar1375: int;
-
-var nVar1376: int;
-
-var nVar1377: int;
-
-var nVar1378: int;
-
-var nVar1379: int;
-
-var nVar1380: int;
-
-var nVar1381: int;
-
-var nVar1382: int;
-
-var nVar1383: int;
-
-var nVar1384: int;
-
-var nVar1385: int;
-
-var nVar1386: int;
-
-var nVar1387: int;
-
-var nVar1388: int;
-
-var nVar1389: int;
-
-var nVar1390: int;
-
-var nVar1391: int;
-
-var nVar1392: int;
-
-var nVar1393: int;
-
-var nVar1394: int;
-
-var nVar1395: int;
-
-var nVar1396: int;
-
-var nVar1397: int;
-
-var nVar1398: int;
-
-var nVar1399: int;
-
-var nVar1400: int;
-
-var nVar1401: int;
-
-var nVar1402: int;
-
-var nVar1403: int;
-
-var nVar1404: int;
-
-var nVar1405: int;
-
-var nVar1406: int;
-
-var nVar1407: int;
-
-var nVar1408: int;
-
-var nVar1409: int;
-
-var nVar1410: int;
-
-var nVar1411: int;
-
-var nVar1412: int;
-
-var nVar1413: int;
-
-var nVar1414: int;
-
-var nVar1415: int;
-
-var nVar1416: int;
-
-var nVar1417: int;
-
-var nVar1418: int;
-
-var nVar1419: int;
-
-var nVar1420: int;
-
-var nVar1421: int;
-
-var nVar1422: int;
-
-var nVar1423: int;
-
-var nVar1424: int;
-
-var nVar1425: int;
-
-var nVar1426: int;
-
-var nVar1427: int;
-
-var nVar1428: int;
-
-var nVar1429: int;
-
-var nVar1430: int;
-
-var nVar1431: int;
-
-var nVar1432: int;
-
-var nVar1433: int;
-
-var nVar1434: int;
-
-var nVar1435: int;
-
-var nVar1436: int;
-
-var nVar1437: int;
-
-var nVar1438: int;
-
-var nVar1439: int;
-
-var nVar1440: int;
-
-var nVar1441: int;
-
-var nVar1442: int;
-
-var nVar1443: int;
-
-var nVar1444: int;
-
-var nVar1445: int;
-
-var nVar1446: int;
-
-var nVar1447: int;
-
-var nVar1448: int;
-
-var nVar1449: int;
-
-var nVar1450: int;
-
-var nVar1451: int;
-
-var nVar1452: int;
-
-var nVar1453: int;
-
-var nVar1454: int;
-
-var nVar1455: int;
-
-var nVar1456: int;
-
-var nVar1457: int;
-
-var nVar1458: int;
-
-var nVar1459: int;
-
-var nVar1460: int;
-
-var nVar1461: int;
-
-var nVar1462: int;
-
-var nVar1463: int;
-
-var nVar1464: int;
-
-var nVar1465: int;
-
-var nVar1466: int;
-
-var nVar1467: int;
-
-var nVar1468: int;
-
-var nVar1469: int;
-
-var nVar1470: int;
-
-var nVar1471: int;
-
-var nVar1472: int;
-
-var nVar1473: int;
-
-var nVar1474: int;
-
-var nVar1475: int;
-
-var nVar1476: int;
-
-var nVar1477: int;
-
-var nVar1478: int;
-
-var nVar1479: int;
-
-var nVar1480: int;
-
-var nVar1481: int;
-
-var nVar1482: int;
-
-var nVar1483: int;
-
-var nVar1484: int;
-
-var nVar1485: int;
-
-var nVar1486: int;
-
-var nVar1487: int;
-
-var nVar1488: int;
-
-var nVar1489: int;
-
-var nVar1490: int;
-
-var nVar1491: int;
-
-var nVar1492: int;
-
-var nVar1493: int;
-
-var nVar1494: int;
-
-var nVar1495: int;
-
-var nVar1496: int;
-
-var nVar1497: int;
-
-var nVar1498: int;
-
-var nVar1499: int;
-
-var nVar1500: int;
-
-var nVar1501: int;
-
-var nVar1502: int;
-
-var nVar1503: int;
-
-var nVar1504: int;
-
-var nVar1505: int;
-
-var nVar1506: int;
-
-var nVar1507: int;
-
-var nVar1508: int;
-
-var nVar1509: int;
-
-var nVar1510: int;
-
-var nVar1511: int;
-
-var nVar1512: int;
-
-var nVar1513: int;
-
-var nVar1514: int;
-
-var nVar1515: int;
-
-var nVar1516: int;
-
-var nVar1517: int;
-
-var nVar1518: int;
-
-var nVar1519: int;
-
-var nVar1520: int;
-
-var nVar1521: int;
-
-var nVar1522: int;
-
-var nVar1523: int;
-
-var nVar1524: int;
-
-var nVar1525: int;
-
-var nVar1526: int;
-
-var nVar1527: int;
-
-var nVar1528: int;
-
-var nVar1529: int;
-
-var nVar1530: int;
-
-var nVar1531: int;
-
-var nVar1532: int;
-
-var nVar1533: int;
-
-var nVar1534: int;
-
-var nVar1535: int;
-
-var nVar1536: int;
-
-var nVar1537: int;
-
-var nVar1538: int;
-
-var nVar1539: int;
-
-var nVar1540: int;
-
-var nVar1541: int;
-
-var nVar1542: int;
-
-var nVar1543: int;
-
-var nVar1544: int;
-
-var nVar1545: int;
-
-var nVar1546: int;
-
-var nVar1547: int;
-
-var nVar1548: int;
-
-var nVar1549: int;
-
-var nVar1550: int;
-
-var nVar1551: int;
-
-var nVar1552: int;
-
-var nVar1553: int;
-
-var nVar1554: int;
-
-var nVar1555: int;
-
-var nVar1556: int;
-
-var nVar1557: int;
-
-var nVar1558: int;
-
-var nVar1559: int;
-
-var nVar1560: int;
-
-var nVar1561: int;
-
-var nVar1562: int;
-
-var nVar1563: int;
-
-var nVar1564: int;
-
-var nVar1565: int;
-
-var nVar1566: int;
-
-var nVar1567: int;
-
-var nVar1568: int;
-
-var nVar1569: int;
-
-var nVar1570: int;
-
-var nVar1571: int;
-
-var nVar1572: int;
-
-var nVar1573: int;
-
-var nVar1574: int;
-
-var nVar1575: int;
-
-var nVar1576: int;
-
-var nVar1577: int;
-
-var nVar1578: int;
-
-var nVar1579: int;
-
-var nVar1580: int;
-
-var nVar1581: int;
-
-var nVar1582: int;
-
-var nVar1583: int;
-
-var nVar1584: int;
-
-var nVar1585: int;
-
-var nVar1586: int;
-
-var nVar1587: int;
-
-var nVar1588: int;
-
-var nVar1589: int;
-
-var nVar1590: int;
-
-var nVar1591: int;
-
-var nVar1592: int;
-
-var nVar1593: int;
-
-var nVar1594: int;
-
-var nVar1595: int;
-
-var nVar1596: int;
-
-var nVar1597: int;
-
-var nVar1598: int;
-
-var nVar1599: int;
-
-var nVar1600: int;
-
-var nVar1601: int;
-
-var nVar1602: int;
-
-var nVar1603: int;
-
-var nVar1604: int;
-
-var nVar1605: int;
-
-var nVar1606: int;
-
-var nVar1607: int;
-
-var nVar1608: int;
-
-var nVar1609: int;
-
-var nVar1610: int;
-
-var nVar1611: int;
-
-var nVar1612: int;
-
-var nVar1613: int;
-
-var nVar1614: int;
-
-var nVar1615: int;
-
-var nVar1616: int;
-
-var nVar1617: int;
-
-var nVar1618: int;
-
-var nVar1619: int;
-
-var nVar1620: int;
-
-var nVar1621: int;
-
-var nVar1622: int;
-
-var nVar1623: int;
-
-var nVar1624: int;
-
-var nVar1625: int;
-
-var nVar1626: int;
-
-var nVar1627: int;
-
-var nVar1628: int;
-
-var nVar1629: int;
-
-var nVar1630: int;
-
-var nVar1631: int;
-
-var nVar1632: int;
-
-var nVar1633: int;
-
-var nVar1634: int;
-
-var nVar1635: int;
-
-var nVar1636: int;
-
-var nVar1637: int;
-
-var nVar1638: int;
-
-var nVar1639: int;
-
-var nVar1640: int;
-
-var nVar1641: int;
-
-var nVar1642: int;
-
-var nVar1643: int;
-
-var nVar1644: int;
-
-var nVar1645: int;
-
-var nVar1646: int;
-
-var nVar1647: int;
-
-var nVar1648: int;
-
-var nVar1649: int;
-
-var nVar1650: int;
-
-var nVar1651: int;
-
-var nVar1652: int;
-
-var nVar1653: int;
-
-var nVar1654: int;
-
-var nVar1655: int;
-
-var nVar1656: int;
-
-var nVar1657: int;
-
-var nVar1658: int;
-
-var nVar1659: int;
-
-var nVar1660: int;
-
-var nVar1661: int;
-
-var nVar1662: int;
-
-var nVar1663: int;
-
-var nVar1664: int;
-
-var nVar1665: int;
-
-var nVar1666: int;
-
-var nVar1667: int;
-
-var nVar1668: int;
-
-var nVar1669: int;
-
-var nVar1670: int;
-
-var nVar1671: int;
-
-var nVar1672: int;
-
-var nVar1673: int;
-
-var nVar1674: int;
-
-var nVar1675: int;
-
-var nVar1676: int;
-
-var nVar1677: int;
-
-var nVar1678: int;
-
-var nVar1679: int;
-
-var nVar1680: int;
-
-var nVar1681: int;
-
-var nVar1682: int;
-
-var nVar1683: int;
-
-var nVar1684: int;
-
-var nVar1685: int;
-
-var nVar1686: int;
-
-var nVar1687: int;
-
-var nVar1688: int;
-
-var nVar1689: int;
-
-var nVar1690: int;
-
-var nVar1691: int;
-
-var nVar1692: int;
-
-var nVar1693: int;
-
-var nVar1694: int;
-
-var nVar1695: int;
-
-var nVar1696: int;
-
-var nVar1697: int;
-
-var nVar1698: int;
-
-var nVar1699: int;
-
-var nVar1700: int;
-
-var nVar1701: int;
-
-var nVar1702: int;
-
-var nVar1703: int;
-
-var nVar1704: int;
-
-var nVar1705: int;
-
-var nVar1706: int;
-
-var nVar1707: int;
-
-var nVar1708: int;
-
-var nVar1709: int;
-
-var nVar1710: int;
-
-var nVar1711: int;
-
-var nVar1712: int;
-
-var nVar1713: int;
-
-var nVar1714: int;
-
-var nVar1715: int;
-
-var nVar1716: int;
-
-var nVar1717: int;
-
-var nVar1718: int;
-
-var nVar1719: int;
-
-var nVar1720: int;
-
-var nVar1721: int;
-
-var nVar1722: int;
-
-var nVar1723: int;
-
-var nVar1724: int;
-
-var nVar1725: int;
-
-var nVar1726: int;
-
-var nVar1727: int;
-
-var nVar1728: int;
-
-var nVar1729: int;
-
-var nVar1730: int;
-
-var nVar1731: int;
-
-var nVar1732: int;
-
-var nVar1733: int;
-
-var nVar1734: int;
-
-var nVar1735: int;
-
-var nVar1736: int;
-
-var nVar1737: int;
-
-var nVar1738: int;
-
-var nVar1739: int;
-
-var nVar1740: int;
-
-var nVar1741: int;
-
-var nVar1742: int;
-
-var nVar1743: int;
-
-var nVar1744: int;
-
-var nVar1745: int;
-
-var nVar1746: int;
-
-var nVar1747: int;
-
-var nVar1748: int;
-
-var nVar1749: int;
-
-var nVar1750: int;
-
-var nVar1751: int;
-
-var nVar1752: int;
-
-var nVar1753: int;
-
-var nVar1754: int;
-
-var nVar1755: int;
-
-var nVar1756: int;
-
-var nVar1757: int;
-
-var nVar1758: int;
-
-var nVar1759: int;
-
-var nVar1760: int;
-
-var nVar1761: int;
-
-var nVar1762: int;
-
-var nVar1763: int;
-
-var nVar1764: int;
-
-var nVar1765: int;
-
-var nVar1766: int;
-
-var nVar1767: int;
-
-var nVar1768: int;
-
-var nVar1769: int;
-
-var nVar1770: int;
-
-var nVar1771: int;
-
-var nVar1772: int;
-
-var nVar1773: int;
-
-var nVar1774: int;
-
-var nVar1775: int;
-
-var nVar1776: int;
-
-var nVar1777: int;
-
-var nVar1778: int;
-
-var nVar1779: int;
-
-var nVar1780: int;
-
-var nVar1781: int;
-
-var nVar1782: int;
-
-var nVar1783: int;
-
-var nVar1784: int;
-
-var nVar1785: int;
-
-var nVar1786: int;
-
-var nVar1787: int;
-
-var nVar1788: int;
-
-var nVar1789: int;
-
-var nVar1790: int;
-
-var nVar1791: int;
-
-var nVar1792: int;
-
-var nVar1793: int;
-
-var nVar1794: int;
-
-var nVar1795: int;
-
-var nVar1796: int;
-
-var nVar1797: int;
-
-var nVar1798: int;
-
-var nVar1799: int;
-
-var nVar1800: int;
-
-var nVar1801: int;
-
-var nVar1802: int;
-
-var nVar1803: int;
-
-var nVar1804: int;
-
-var nVar1805: int;
-
-var nVar1806: int;
-
-var nVar1807: int;
-
-var nVar1808: int;
-
-var nVar1809: int;
-
-var nVar1810: int;
-
-var nVar1811: int;
-
-var nVar1812: int;
-
-var nVar1813: int;
-
-var nVar1814: int;
-
-var nVar1815: int;
-
-var nVar1816: int;
-
-var nVar1817: int;
-
-var nVar1818: int;
-
-var nVar1819: int;
-
-var nVar1820: int;
-
-var nVar1821: int;
-
-var nVar1822: int;
-
-var nVar1823: int;
-
-var nVar1824: int;
-
-var nVar1825: int;
-
-var nVar1826: int;
-
-var nVar1827: int;
-
-var nVar1828: int;
-
-var nVar1829: int;
-
-var nVar1830: int;
-
-var nVar1831: int;
-
-var nVar1832: int;
-
-var nVar1833: int;
-
-var nVar1834: int;
-
-var nVar1835: int;
-
-var nVar1836: int;
-
-var nVar1837: int;
-
-var nVar1838: int;
-
-var nVar1839: int;
-
-var nVar1840: int;
-
-var nVar1841: int;
-
-var nVar1842: int;
-
-var nVar1843: int;
-
-var nVar1844: int;
-
-var nVar1845: int;
-
-var nVar1846: int;
-
-var nVar1847: int;
-
-var nVar1848: int;
-
-var nVar1849: int;
-
-var nVar1850: int;
-
-var nVar1851: int;
-
-var nVar1852: int;
-
-var nVar1853: int;
-
-var nVar1854: int;
-
-var nVar1855: int;
-
-var nVar1856: int;
-
-var nVar1857: int;
-
-var nVar1858: int;
-
-var nVar1859: int;
-
-var nVar1860: int;
-
-var nVar1861: int;
-
-var nVar1862: int;
-
-var nVar1863: int;
-
-var nVar1864: int;
-
-var nVar1865: int;
-
-var nVar1866: int;
-
-var nVar1867: int;
-
-var nVar1868: int;
-
-var nVar1869: int;
-
-var nVar1870: int;
-
-var nVar1871: int;
-
-var nVar1872: int;
-
-var nVar1873: int;
-
-var nVar1874: int;
-
-var nVar1875: int;
-
-var nVar1876: int;
-
-var nVar1877: int;
-
-var nVar1878: int;
-
-var nVar1879: int;
-
-var nVar1880: int;
-
-var nVar1881: int;
-
-var nVar1882: int;
-
-var nVar1883: int;
-
-var nVar1884: int;
-
-var nVar1885: int;
-
-var nVar1886: int;
-
-var nVar1887: int;
-
-var nVar1888: int;
-
-var nVar1889: int;
-
-var nVar1890: int;
-
-var nVar1891: int;
-
-var nVar1892: int;
-
-var nVar1893: int;
-
-var nVar1894: int;
-
-var nVar1895: int;
-
-var nVar1896: int;
-
-var nVar1897: int;
-
-var nVar1898: int;
-
-var nVar1899: int;
-
-var nVar1900: int;
-
-var nVar1901: int;
-
-var nVar1902: int;
-
-var nVar1903: int;
-
-var nVar1904: int;
-
-var nVar1905: int;
-
-var nVar1906: int;
-
-var nVar1907: int;
-
-var nVar1908: int;
-
-var nVar1909: int;
-
-var nVar1910: int;
-
-var nVar1911: int;
-
-var nVar1912: int;
-
-var nVar1913: int;
-
-var nVar1914: int;
-
-var nVar1915: int;
-
-var nVar1916: int;
-
-var nVar1917: int;
-
-var nVar1918: int;
-
-var nVar1919: int;
-
-var nVar1920: int;
-
-var nVar1921: int;
-
-var nVar1922: int;
-
-var nVar1923: int;
-
-var nVar1924: int;
-
-var nVar1925: int;
-
-var nVar1926: int;
-
-var nVar1927: int;
-
-var nVar1928: int;
-
-var nVar1929: int;
-
-var nVar1930: int;
-
-var nVar1931: int;
-
-var nVar1932: int;
-
-var nVar1933: int;
-
-var nVar1934: int;
-
-var nVar1935: int;
-
-var nVar1936: int;
-
-var nVar1937: int;
-
-var nVar1938: int;
-
-var nVar1939: int;
-
-var nVar1940: int;
-
-var nVar1941: int;
-
-var nVar1942: int;
-
-var nVar1943: int;
-
-var nVar1944: int;
-
-var nVar1945: int;
-
-var nVar1946: int;
-
-var nVar1947: int;
-
-var nVar1948: int;
-
-var nVar1949: int;
-
-var nVar1950: int;
-
-var nVar1951: int;
-
-var nVar1952: int;
-
-var nVar1953: int;
-
-var nVar1954: int;
-
-var nVar1955: int;
-
-var nVar1956: int;
-
-var nVar1957: int;
-
-var nVar1958: int;
-
-var nVar1959: int;
-
-var nVar1960: int;
-
-var nVar1961: int;
-
-var nVar1962: int;
-
-var nVar1963: int;
-
-var nVar1964: int;
-
-var nVar1965: int;
-
-var nVar1966: int;
-
-var nVar1967: int;
-
-var nVar1968: int;
-
-var nVar1969: int;
-
-var nVar1970: int;
-
-var nVar1971: int;
-
-var nVar1972: int;
-
-var nVar1973: int;
-
-var nVar1974: int;
-
-var nVar1975: int;
-
-var nVar1976: int;
-
-var nVar1977: int;
-
-var nVar1978: int;
-
-var nVar1979: int;
-
-var nVar1980: int;
-
-var nVar1981: int;
-
-var nVar1982: int;
-
-var nVar1983: int;
-
-var nVar1984: int;
-
-var nVar1985: int;
-
-var nVar1986: int;
-
-var nVar1987: int;
-
-var nVar1988: int;
-
-var nVar1989: int;
-
-var nVar1990: int;
-
-var nVar1991: int;
-
-var nVar1992: int;
-
-var nVar1993: int;
-
-var nVar1994: int;
-
-var nVar1995: int;
-
-var nVar1996: int;
-
-var nVar1997: int;
-
-var nVar1998: int;
-
-var nVar1999: int;
-
-var nVar2000: int;
-
-var nVar2001: int;
-
-var nVar2002: int;
-
-var nVar2003: int;
-
-var nVar2004: int;
-
-var nVar2005: int;
-
-var nVar2006: int;
-
-var nVar2007: int;
-
-var nVar2008: int;
-
-var nVar2009: int;
-
-var nVar2010: int;
-
-var nVar2011: int;
-
-var nVar2012: int;
-
-var nVar2013: int;
-
-var nVar2014: int;
-
-var nVar2015: int;
-
-var nVar2016: int;
-
-var nVar2017: int;
-
-var nVar2018: int;
-
-var nVar2019: int;
-
-var nVar2020: int;
-
-var nVar2021: int;
-
-var nVar2022: int;
-
-var nVar2023: int;
-
-var nVar2024: int;
-
-var nVar2025: int;
-
-var nVar2026: int;
-
-var nVar2027: int;
-
-var nVar2028: int;
-
-var nVar2029: int;
-
-var nVar2030: int;
-
-var nVar2031: int;
-
-var nVar2032: int;
-
-var nVar2033: int;
-
-var nVar2034: int;
-
-var nVar2035: int;
-
-var nVar2036: int;
-
-var nVar2037: int;
-
-var nVar2038: int;
-
-var nVar2039: int;
-
-var nVar2040: int;
-
-var nVar2041: int;
-
-var nVar2042: int;
-
-var nVar2043: int;
-
-var nVar2044: int;
-
-var nVar2045: int;
-
-var nVar2046: int;
-
-var nVar2047: int;
-
-var nVar2048: int;
-
-var nVar2049: int;
-
-var nVar2050: int;
-
-var nVar2051: int;
-
-var nVar2052: int;
-
-var nVar2053: int;
-
-var nVar2054: int;
-
-var nVar2055: int;
-
-var nVar2056: int;
-
-var nVar2057: int;
-
-var nVar2058: int;
-
-var nVar2059: int;
-
-var nVar2060: int;
-
-var nVar2061: int;
-
-var nVar2062: int;
-
-var nVar2063: int;
-
-var nVar2064: int;
-
-var nVar2065: int;
-
-var nVar2066: int;
-
-var nVar2067: int;
-
-var nVar2068: int;
-
-var nVar2069: int;
-
-var nVar2070: int;
-
-var nVar2071: int;
-
-var nVar2072: int;
-
-var nVar2073: int;
-
-var nVar2074: int;
-
-var nVar2075: int;
-
-var nVar2076: int;
-
-var nVar2077: int;
-
-var nVar2078: int;
-
-var nVar2079: int;
-
-var nVar2080: int;
-
-var nVar2081: int;
-
-var nVar2082: int;
-
-var nVar2083: int;
-
-var nVar2084: int;
-
-var nVar2085: int;
-
-var nVar2086: int;
-
-var nVar2087: int;
-
-var nVar2088: int;
-
-var nVar2089: int;
-
-var nVar2090: int;
-
-var nVar2091: int;
-
-var nVar2092: int;
-
-var nVar2093: int;
-
-var nVar2094: int;
-
-var nVar2095: int;
-
-var nVar2096: int;
-
-var nVar2097: int;
-
-var nVar2098: int;
-
-var nVar2099: int;
-
-var nVar2100: int;
-
-var nVar2101: int;
-
-var nVar2102: int;
-
-var nVar2103: int;
-
-var nVar2104: int;
-
-var nVar2105: int;
-
-var nVar2106: int;
-
-var nVar2107: int;
-
-var nVar2108: int;
-
-var nVar2109: int;
-
-var nVar2110: int;
-
-var nVar2111: int;
-
-var nVar2112: int;
-
-var nVar2113: int;
-
-var nVar2114: int;
-
-var nVar2115: int;
-
-var nVar2116: int;
-
-var nVar2117: int;
-
-var nVar2118: int;
-
-var nVar2119: int;
-
-var nVar2120: int;
-
-var nVar2121: int;
-
-var nVar2122: int;
-
-var nVar2123: int;
-
-var nVar2124: int;
-
-var nVar2125: int;
-
-var nVar2126: int;
-
-var nVar2127: int;
-
-var nVar2128: int;
-
-var nVar2129: int;
-
-var nVar2130: int;
-
-var nVar2131: int;
-
-var nVar2132: int;
-
-var nVar2133: int;
-
-var nVar2134: int;
-
-var nVar2135: int;
-
-var nVar2136: int;
-
-var nVar2137: int;
-
-var nVar2138: int;
-
-var nVar2139: int;
-
-var nVar2140: int;
-
-var nVar2141: int;
-
-var nVar2142: int;
-
-var nVar2143: int;
-
-var nVar2144: int;
-
-var nVar2145: int;
-
-var nVar2146: int;
-
-var nVar2147: int;
-
-var nVar2148: int;
-
-var nVar2149: int;
-
-var nVar2150: int;
-
-var nVar2151: int;
-
-var nVar2152: int;
-
-var nVar2153: int;
-
-var nVar2154: int;
-
-var nVar2155: int;
-
-var nVar2156: int;
-
-var nVar2157: int;
-
-var nVar2158: int;
-
-var nVar2159: int;
-
-var nVar2160: int;
-
-var nVar2161: int;
-
-var nVar2162: int;
-
-var nVar2163: int;
-
-var nVar2164: int;
-
-var nVar2165: int;
-
-var nVar2166: int;
-
-var nVar2167: int;
-
-var nVar2168: int;
-
-var nVar2169: int;
-
-var nVar2170: int;
-
-var nVar2171: int;
-
-var nVar2172: int;
-
-var nVar2173: int;
-
-var nVar2174: int;
-
-var nVar2175: int;
-
-var nVar2176: int;
-
-var nVar2177: int;
-
-var nVar2178: int;
-
-var nVar2179: int;
-
-var nVar2180: int;
-
-var nVar2181: int;
-
-var nVar2182: int;
-
-var nVar2183: int;
-
-var nVar2184: int;
-
-var nVar2185: int;
-
-var nVar2186: int;
-
-var nVar2187: int;
-
-var nVar2188: int;
-
-var nVar2189: int;
-
-var nVar2190: int;
-
-var nVar2191: int;
-
-var nVar2192: int;
-
-var nVar2193: int;
-
-var nVar2194: int;
-
-var nVar2195: int;
-
-var nVar2196: int;
-
-var nVar2197: int;
-
-var nVar2198: int;
-
-var nVar2199: int;
-
-var nVar2200: int;
-
-var nVar2201: int;
-
-var nVar2202: int;
-
-var nVar2203: int;
-
-var nVar2204: int;
-
-var nVar2205: int;
-
-var nVar2206: int;
-
-var nVar2207: int;
-
-var nVar2208: int;
-
-var nVar2209: int;
-
-var nVar2210: int;
-
-var nVar2211: int;
-
-var nVar2212: int;
-
-var nVar2213: int;
-
-var nVar2214: int;
-
-var nVar2215: int;
-
-var nVar2216: int;
-
-var nVar2217: int;
-
-var nVar2218: int;
-
-var nVar2219: int;
-
-var nVar2220: int;
-
-var nVar2221: int;
-
-var nVar2222: int;
-
-var nVar2223: int;
-
-var nVar2224: int;
-
-var nVar2225: int;
-
-var nVar2226: int;
-
-var nVar2227: int;
-
-var nVar2228: int;
-
-var nVar2229: int;
-
-var nVar2230: int;
-
-var nVar2231: int;
-
-var nVar2232: int;
-
-var nVar2233: int;
-
-var nVar2234: int;
-
-var nVar2235: int;
-
-var nVar2236: int;
-
-var nVar2237: int;
-
-var nVar2238: int;
-
-var nVar2239: int;
-
-var nVar2240: int;
-
-var nVar2241: int;
-
-var nVar2242: int;
-
-var nVar2243: int;
-
-var nVar2244: int;
-
-var nVar2245: int;
-
-var nVar2246: int;
-
-var nVar2247: int;
-
-var nVar2248: int;
-
-var nVar2249: int;
-
-var nVar2250: int;
-
-var nVar2251: int;
-
-var nVar2252: int;
-
-var nVar2253: int;
-
-var nVar2254: int;
-
-var nVar2255: int;
-
-var nVar2256: int;
-
-var nVar2257: int;
-
-var nVar2258: int;
-
-var nVar2259: int;
-
-var nVar2260: int;
-
-var nVar2261: int;
-
-var nVar2262: int;
-
-var nVar2263: int;
-
-var nVar2264: int;
-
-var nVar2265: int;
-
-var nVar2266: int;
-
-var nVar2267: int;
-
-var nVar2268: int;
-
-var nVar2269: int;
-
-var nVar2270: int;
-
-var nVar2271: int;
-
-var nVar2272: int;
-
-var nVar2273: int;
-
-var nVar2274: int;
-
-var nVar2275: int;
-
-var nVar2276: int;
-
-var nVar2277: int;
-
-var nVar2278: int;
-
-var nVar2279: int;
-
-var nVar2280: int;
-
-var nVar2281: int;
-
-var nVar2282: int;
-
-var nVar2283: int;
-
-var nVar2284: int;
-
-var nVar2285: int;
-
-var nVar2286: int;
-
-var nVar2287: int;
-
-var nVar2288: int;
-
-var nVar2289: int;
-
-var nVar2290: int;
-
-var nVar2291: int;
-
-var nVar2292: int;
-
-var nVar2293: int;
-
-var nVar2294: int;
-
-var nVar2295: int;
-
-var nVar2296: int;
-
-var nVar2297: int;
-
-var nVar2298: int;
-
-var nVar2299: int;
-
-var nVar2300: int;
-
-var nVar2301: int;
-
-var nVar2302: int;
-
-var nVar2303: int;
-
-var nVar2304: int;
-
-var nVar2305: int;
-
-var nVar2306: int;
-
-var nVar2307: int;
-
-var nVar2308: int;
-
-var nVar2309: int;
-
-var nVar2310: int;
-
-var nVar2311: int;
-
-var nVar2312: int;
-
-var nVar2313: int;
-
-var nVar2314: int;
-
-var nVar2315: int;
-
-var nVar2316: int;
-
-var nVar2317: int;
-
-var nVar2318: int;
-
-var nVar2319: int;
-
-var nVar2320: int;
-
-var nVar2321: int;
-
-var nVar2322: int;
-
-var nVar2323: int;
-
-var nVar2324: int;
-
-var nVar2325: int;
-
-var nVar2326: int;
-
-var nVar2327: int;
-
-var nVar2328: int;
-
-var nVar2329: int;
-
-var nVar2330: int;
-
-var nVar2331: int;
-
-var nVar2332: int;
-
-var nVar2333: int;
-
-var nVar2334: int;
-
-var nVar2335: int;
-
-var nVar2336: int;
-
-var nVar2337: int;
-
-var nVar2338: int;
-
-var nVar2339: int;
-
-var nVar2340: int;
-
-var nVar2341: int;
-
-var nVar2342: int;
-
-var nVar2343: int;
-
-var nVar2344: int;
-
-var nVar2345: int;
-
-var nVar2346: int;
-
-var nVar2347: int;
-
-var nVar2348: int;
-
-var nVar2349: int;
-
-var nVar2350: int;
-
-var nVar2351: int;
-
-var nVar2352: int;
-
-var nVar2353: int;
-
-var nVar2354: int;
-
-var nVar2355: int;
-
-var nVar2356: int;
-
-var nVar2357: int;
-
-var nVar2358: int;
-
-var nVar2359: int;
-
-var nVar2360: int;
-
-var nVar2361: int;
-
-var nVar2362: int;
-
-var nVar2363: int;
-
-var nVar2364: int;
-
-var nVar2365: int;
-
-var nVar2366: int;
-
-var nVar2367: int;
-
-var nVar2368: int;
-
-var nVar2369: int;
-
-var nVar2370: int;
-
-var nVar2371: int;
-
-var nVar2372: int;
-
-var nVar2373: int;
-
-var nVar2374: int;
-
-var nVar2375: int;
-
-var nVar2376: int;
-
-var nVar2377: int;
-
-var nVar2378: int;
-
-var nVar2379: int;
-
-var nVar2380: int;
-
-var nVar2381: int;
-
-var nVar2382: int;
-
-var nVar2383: int;
-
-var nVar2384: int;
-
-var nVar2385: int;
-
-var nVar2386: int;
-
-var nVar2387: int;
-
-var nVar2388: int;
-
-var nVar2389: int;
-
-var nVar2390: int;
-
-var nVar2391: int;
-
-var nVar2392: int;
-
-var nVar2393: int;
-
-var nVar2394: int;
-
-var nVar2395: int;
-
-var nVar2396: int;
-
-var nVar2397: int;
-
-var nVar2398: int;
-
-var nVar2399: int;
-
-var nVar2400: int;
-
-var nVar2401: int;
-
-var nVar2402: int;
-
-var nVar2403: int;
-
-var nVar2404: int;
-
-var nVar2405: int;
-
-var nVar2406: int;
-
-var nVar2407: int;
-
-var nVar2408: int;
-
-var nVar2409: int;
-
-var nVar2410: int;
-
-var nVar2411: int;
-
-var nVar2412: int;
-
-var nVar2413: int;
-
-var nVar2414: int;
-
-var nVar2415: int;
-
-var nVar2416: int;
-
-var nVar2417: int;
-
-var nVar2418: int;
-
-var nVar2419: int;
-
-var nVar2420: int;
-
-var nVar2421: int;
-
-var nVar2422: int;
-
-var nVar2423: int;
-
-var nVar2424: int;
-
-var nVar2425: int;
-
-var nVar2426: int;
-
-var nVar2427: int;
-
-var nVar2428: int;
-
-var nVar2429: int;
-
-var nVar2430: int;
-
-var nVar2431: int;
-
-var nVar2432: int;
-
-var nVar2433: int;
-
-var nVar2434: int;
-
-var nVar2435: int;
-
-var nVar2436: int;
-
-var nVar2437: int;
-
-var nVar2438: int;
-
-var nVar2439: int;
-
-var nVar2440: int;
-
-var nVar2441: int;
-
-var nVar2442: int;
-
-var nVar2443: int;
-
-var nVar2444: int;
-
-var nVar2445: int;
-
-var nVar2446: int;
-
-var nVar2447: int;
-
-var nVar2448: int;
-
-var nVar2449: int;
-
-var nVar2450: int;
-
-var nVar2451: int;
-
-var nVar2452: int;
-
-var nVar2453: int;
-
-var nVar2454: int;
-
-var nVar2455: int;
-
-var nVar2456: int;
-
-var nVar2457: int;
-
-var nVar2458: int;
-
-var nVar2459: int;
-
-var nVar2460: int;
-
-var nVar2461: int;
-
-var nVar2462: int;
-
-var nVar2463: int;
-
-var nVar2464: int;
-
-var nVar2465: int;
-
-var nVar2466: int;
-
-var nVar2467: int;
-
-var nVar2468: int;
-
-var nVar2469: int;
-
-var nVar2470: int;
-
-var nVar2471: int;
-
-var nVar2472: int;
-
-var nVar2473: int;
-
-var nVar2474: int;
-
-var nVar2475: int;
-
-var nVar2476: int;
-
-var nVar2477: int;
-
-var nVar2478: int;
-
-var nVar2479: int;
-
-var nVar2480: int;
-
-var nVar2481: int;
-
-var nVar2482: int;
-
-var nVar2483: int;
-
-var nVar2484: int;
-
-var nVar2485: int;
-
-var nVar2486: int;
-
-var nVar2487: int;
-
-var nVar2488: int;
-
-var nVar2489: int;
-
-var nVar2490: int;
-
-var nVar2491: int;
-
-var nVar2492: int;
-
-var nVar2493: int;
-
-var nVar2494: int;
-
-var nVar2495: int;
-
-var nVar2496: int;
-
-var nVar2497: int;
-
-var nVar2498: int;
-
-var nVar2499: int;
-
-var nVar2500: int;
-
-var nVar2501: int;
-
-var nVar2502: int;
-
-var nVar2503: int;
-
-var nVar2504: int;
-
-var nVar2505: int;
-
-var nVar2506: int;
-
-var nVar2507: int;
-
-var nVar2508: int;
-
-var nVar2509: int;
-
-var nVar2510: int;
-
-var nVar2511: int;
-
-var nVar2512: int;
-
-var nVar2513: int;
-
-var nVar2514: int;
-
-var nVar2515: int;
-
-var nVar2516: int;
-
-var nVar2517: int;
-
-var nVar2518: int;
-
-var nVar2519: int;
-
-var nVar2520: int;
-
-var nVar2521: int;
-
-var nVar2522: int;
-
-var nVar2523: int;
-
-var nVar2524: int;
-
-var nVar2525: int;
-
-var nVar2526: int;
-
-var nVar2527: int;
-
-var nVar2528: int;
-
-var nVar2529: int;
-
-var nVar2530: int;
-
-var nVar2531: int;
-
-var nVar2532: int;
-
-var nVar2533: int;
-
-var nVar2534: int;
-
-var nVar2535: int;
-
-var nVar2536: int;
-
-var nVar2537: int;
-
-var nVar2538: int;
-
-var nVar2539: int;
-
-var nVar2540: int;
-
-var nVar2541: int;
-
-var nVar2542: int;
-
-var nVar2543: int;
-
-var nVar2544: int;
-
-var nVar2545: int;
-
-var nVar2546: int;
-
-var nVar2547: int;
-
-var nVar2548: int;
-
-var nVar2549: int;
-
-var nVar2550: int;
-
-var nVar2551: int;
-
-var nVar2552: int;
-
-var nVar2553: int;
-
-var nVar2554: int;
-
-var nVar2555: int;
-
-var nVar2556: int;
-
-var nVar2557: int;
-
-var nVar2558: int;
-
-var nVar2559: int;
-
-var nVar2560: int;
-
-var nVar2561: int;
-
-var nVar2562: int;
-
-var nVar2563: int;
-
-var nVar2564: int;
-
-var nVar2565: int;
-
-var nVar2566: int;
-
-var nVar2567: int;
-
-var nVar2568: int;
-
-var nVar2569: int;
-
-var nVar2570: int;
-
-var nVar2571: int;
-
-var nVar2572: int;
-
-var nVar2573: int;
-
-var nVar2574: int;
-
-var nVar2575: int;
-
-var nVar2576: int;
-
-var nVar2577: int;
-
-var nVar2578: int;
-
-var nVar2579: int;
-
-var nVar2580: int;
-
-var nVar2581: int;
-
-var nVar2582: int;
-
-var nVar2583: int;
-
-var nVar2584: int;
-
-var nVar2585: int;
-
-var nVar2586: int;
-
-var nVar2587: int;
-
-var nVar2588: int;
-
-var nVar2589: int;
-
-var nVar2590: int;
-
-var nVar2591: int;
-
-var nVar2592: int;
-
-var nVar2593: int;
-
-var nVar2594: int;
-
-var nVar2595: int;
-
-var nVar2596: int;
-
-var nVar2597: int;
-
-var nVar2598: int;
-
-var nVar2599: int;
-
-var nVar2600: int;
-
-var nVar2601: int;
-
-var nVar2602: int;
-
-var nVar2603: int;
-
-var nVar2604: int;
-
-var nVar2605: int;
-
-var nVar2606: int;
-
-var nVar2607: int;
-
-var nVar2608: int;
-
-var nVar2609: int;
-
-var nVar2610: int;
-
-var nVar2611: int;
-
-var nVar2612: int;
-
-var nVar2613: int;
-
-var nVar2614: int;
-
-var nVar2615: int;
-
-var nVar2616: int;
-
-var nVar2617: int;
-
-var nVar2618: int;
-
-var nVar2619: int;
-
-var nVar2620: int;
-
-var nVar2621: int;
-
-var nVar2622: int;
-
-var nVar2623: int;
-
-var nVar2624: int;
-
-var nVar2625: int;
-
-var nVar2626: int;
-
-var nVar2627: int;
-
-var nVar2628: int;
-
-var nVar2629: int;
-
-var nVar2630: int;
-
-var nVar2631: int;
-
-var nVar2632: int;
-
-var nVar2633: int;
-
-var nVar2634: int;
-
-var nVar2635: int;
-
-var nVar2636: int;
-
-var nVar2637: int;
-
-var nVar2638: int;
-
-var nVar2639: int;
-
-var nVar2640: int;
-
-var nVar2641: int;
-
-var nVar2642: int;
-
-var nVar2643: int;
-
-var nVar2644: int;
-
-var nVar2645: int;
-
-var nVar2646: int;
-
-var nVar2647: int;
-
-var nVar2648: int;
-
-var nVar2649: int;
-
-var nVar2650: int;
-
-var nVar2651: int;
-
-var nVar2652: int;
-
-var nVar2653: int;
-
-var nVar2654: int;
-
-var nVar2655: int;
-
-var nVar2656: int;
-
-var nVar2657: int;
-
-var nVar2658: int;
-
-var nVar2659: int;
-
-var nVar2660: int;
-
-var nVar2661: int;
-
-var nVar2662: int;
-
-var nVar2663: int;
-
-var nVar2664: int;
-
-var nVar2665: int;
-
-var nVar2666: int;
-
-var nVar2667: int;
-
-var nVar2668: int;
-
-var nVar2669: int;
-
-var nVar2670: int;
-
-var nVar2671: int;
-
-var nVar2672: int;
-
-var nVar2673: int;
-
-var nVar2674: int;
-
-var nVar2675: int;
-
-var nVar2676: int;
-
-var nVar2677: int;
-
-var nVar2678: int;
-
-var nVar2679: int;
-
-var nVar2680: int;
-
-var nVar2681: int;
-
-var nVar2682: int;
-
-var nVar2683: int;
-
-var nVar2684: int;
-
-var nVar2685: int;
-
-var nVar2686: int;
-
-var nVar2687: int;
-
-var nVar2688: int;
-
-var nVar2689: int;
-
-var nVar2690: int;
-
-var nVar2691: int;
-
-var nVar2692: int;
-
-var nVar2693: int;
-
-var nVar2694: int;
-
-var nVar2695: int;
-
-var nVar2696: int;
-
-var nVar2697: int;
-
-var nVar2698: int;
-
-var nVar2699: int;
-
-var nVar2700: int;
-
-var nVar2701: int;
-
-var nVar2702: int;
-
-var nVar2703: int;
-
-var nVar2704: int;
-
-var nVar2705: int;
-
-var nVar2706: int;
-
-var nVar2707: int;
-
-var nVar2708: int;
-
-var nVar2709: int;
-
-var nVar2710: int;
-
-var nVar2711: int;
-
-var nVar2712: int;
-
-var nVar2713: int;
-
-var nVar2714: int;
-
-var nVar2715: int;
-
-var nVar2716: int;
-
-var nVar2717: int;
-
-var nVar2718: int;
-
-var nVar2719: int;
-
-var nVar2720: int;
-
-var nVar2721: int;
-
-var nVar2722: int;
-
-var nVar2723: int;
-
-var nVar2724: int;
-
-var nVar2725: int;
-
-var nVar2726: int;
-
-var nVar2727: int;
-
-var nVar2728: int;
-
-var nVar2729: int;
-
-var nVar2730: int;
-
-var nVar2731: int;
-
-var nVar2732: int;
-
-var nVar2733: int;
-
-var nVar2734: int;
-
-var nVar2735: int;
-
-var nVar2736: int;
-
-var nVar2737: int;
-
-var nVar2738: int;
-
-var nVar2739: int;
-
-var nVar2740: int;
-
-var nVar2741: int;
-
-var nVar2742: int;
-
-var nVar2743: int;
-
-var nVar2744: int;
-
-var nVar2745: int;
-
-var nVar2746: int;
-
-var nVar2747: int;
-
-var nVar2748: int;
-
-var nVar2749: int;
-
-var nVar2750: int;
-
-var nVar2751: int;
-
-var nVar2752: int;
-
-var nVar2753: int;
-
-var nVar2754: int;
-
-var nVar2755: int;
-
-var nVar2756: int;
-
-var nVar2757: int;
-
-var nVar2758: int;
-
-var nVar2759: int;
-
-var nVar2760: int;
-
-var nVar2761: int;
-
-var nVar2762: int;
-
-var nVar2763: int;
-
-var nVar2764: int;
-
-var nVar2765: int;
-
-var nVar2766: int;
-
-var nVar2767: int;
-
-var nVar2768: int;
-
-var nVar2769: int;
-
-var nVar2770: int;
-
-var nVar2771: int;
-
-var nVar2772: int;
-
-var nVar2773: int;
-
-var nVar2774: int;
-
-var nVar2775: int;
-
-var nVar2776: int;
-
-var nVar2777: int;
-
-var nVar2778: int;
-
-var nVar2779: int;
-
-var nVar2780: int;
-
-var nVar2781: int;
-
-var nVar2782: int;
-
-var nVar2783: int;
-
-var nVar2784: int;
-
-var nVar2785: int;
-
-var nVar2786: int;
-
-var nVar2787: int;
-
-var nVar2788: int;
-
-var nVar2789: int;
-
-var nVar2790: int;
-
-var nVar2791: int;
-
-var nVar2792: int;
-
-var nVar2793: int;
-
-var nVar2794: int;
-
-var nVar2795: int;
-
-var nVar2796: int;
-
-var nVar2797: int;
-
-var nVar2798: int;
-
-var nVar2799: int;
-
-var nVar2800: int;
-
-var nVar2801: int;
-
-var nVar2802: int;
-
-var nVar2803: int;
-
-var nVar2804: int;
-
-var nVar2805: int;
-
-var nVar2806: int;
-
-var nVar2807: int;
-
-var nVar2808: int;
-
-var nVar2809: int;
-
-var nVar2810: int;
-
-var nVar2811: int;
-
-var nVar2812: int;
-
-var nVar2813: int;
-
-var nVar2814: int;
-
-var nVar2815: int;
-
-var nVar2816: int;
-
-var nVar2817: int;
-
-var nVar2818: int;
-
-var nVar2819: int;
-
-var nVar2820: int;
-
-var nVar2821: int;
-
-var nVar2822: int;
-
-var nVar2823: int;
-
-var nVar2824: int;
-
-var nVar2825: int;
-
-var nVar2826: int;
-
-var nVar2827: int;
-
-var nVar2828: int;
-
-var nVar2829: int;
-
-var nVar2830: int;
-
-var nVar2831: int;
-
-var nVar2832: int;
-
-var nVar2833: int;
-
-var nVar2834: int;
-
-var nVar2835: int;
-
-var nVar2836: int;
-
-var nVar2837: int;
-
-var nVar2838: int;
-
-var nVar2839: int;
-
-var nVar2840: int;
-
-var nVar2841: int;
-
-var nVar2842: int;
-
-var nVar2843: int;
-
-var nVar2844: int;
-
-var nVar2845: int;
-
-var nVar2846: int;
-
-var nVar2847: int;
-
-var nVar2848: int;
-
-var nVar2849: int;
-
-var nVar2850: int;
-
-var nVar2851: int;
-
-var nVar2852: int;
-
-var nVar2853: int;
-
-var nVar2854: int;
-
-var nVar2855: int;
-
-var nVar2856: int;
-
-var nVar2857: int;
-
-var nVar2858: int;
-
-var nVar2859: int;
-
-var nVar2860: int;
-
-var nVar2861: int;
-
-var nVar2862: int;
-
-var nVar2863: int;
-
-var nVar2864: int;
-
-var nVar2865: int;
-
-var nVar2866: int;
-
-var nVar2867: int;
-
-var nVar2868: int;
-
-var nVar2869: int;
-
-var nVar2870: int;
-
-var nVar2871: int;
-
-var nVar2872: int;
-
-var nVar2873: int;
-
-var nVar2874: int;
-
-var nVar2875: int;
-
-var nVar2876: int;
-
-var nVar2877: int;
-
-var nVar2878: int;
-
-var nVar2879: int;
-
-var nVar2880: int;
-
-var nVar2881: int;
-
-var nVar2882: int;
-
-var nVar2883: int;
-
-var nVar2884: int;
-
-var nVar2885: int;
-
-var nVar2886: int;
-
-var nVar2887: int;
-
-var nVar2888: int;
-
-var nVar2889: int;
-
-var nVar2890: int;
-
-var nVar2891: int;
-
-var nVar2892: int;
-
-var nVar2893: int;
-
-var nVar2894: int;
-
-var nVar2895: int;
-
-var nVar2896: int;
-
-var nVar2897: int;
-
-var nVar2898: int;
-
-var nVar2899: int;
-
-var nVar2900: int;
-
-var nVar2901: int;
-
-var nVar2902: int;
-
-var nVar2903: int;
-
-var nVar2904: int;
-
-var nVar2905: int;
-
-var nVar2906: int;
-
-var nVar2907: int;
-
-var nVar2908: int;
-
-var nVar2909: int;
-
-var nVar2910: int;
-
-var nVar2911: int;
-
-var nVar2912: int;
-
-var nVar2913: int;
-
-var nVar2914: int;
-
-var nVar2915: int;
-
-var nVar2916: int;
-
-var nVar2917: int;
-
-var nVar2918: int;
-
-var nVar2919: int;
-
-var nVar2920: int;
-
-var nVar2921: int;
-
-var nVar2922: int;
-
-var nVar2923: int;
-
-var nVar2924: int;
-
-var nVar2925: int;
-
-var nVar2926: int;
-
-var nVar2927: int;
-
-var nVar2928: int;
-
-var nVar2929: int;
-
-var nVar2930: int;
-
-var nVar2931: int;
-
-var nVar2932: int;
-
-var nVar2933: int;
-
-var nVar2934: int;
-
-var nVar2935: int;
-
-var nVar2936: int;
-
-var nVar2937: int;
-
-var nVar2938: int;
-
-var nVar2939: int;
-
-var nVar2940: int;
-
-var nVar2941: int;
-
-var nVar2942: int;
-
-var nVar2943: int;
-
-var nVar2944: int;
-
-var nVar2945: int;
-
-var nVar2946: int;
-
-var nVar2947: int;
-
-var nVar2948: int;
-
-var nVar2949: int;
-
-var nVar2950: int;
-
-var nVar2951: int;
-
-var nVar2952: int;
-
-var nVar2953: int;
-
-var nVar2954: int;
-
-var nVar2955: int;
-
-var nVar2956: int;
-
-var nVar2957: int;
-
-var nVar2958: int;
-
-var nVar2959: int;
-
-var nVar2960: int;
-
-var nVar2961: int;
-
-var nVar2962: int;
-
-var nVar2963: int;
-
-var nVar2964: int;
-
-var nVar2965: int;
-
-var nVar2966: int;
-
-var nVar2967: int;
-
-var nVar2968: int;
-
-var nVar2969: int;
-
-var nVar2970: int;
-
-var nVar2971: int;
-
-var nVar2972: int;
-
-var nVar2973: int;
-
-var nVar2974: int;
-
-var nVar2975: int;
-
-var nVar2976: int;
-
-var nVar2977: int;
-
-var nVar2978: int;
-
-var nVar2979: int;
-
-var nVar2980: int;
-
-var nVar2981: int;
-
-var nVar2982: int;
-
-var nVar2983: int;
-
-var nVar2984: int;
-
-var nVar2985: int;
-
-var nVar2986: int;
-
-var nVar2987: int;
-
-var nVar2988: int;
-
-var nVar2989: int;
-
-var nVar2990: int;
-
-var nVar2991: int;
-
-var nVar2992: int;
-
-var nVar2993: int;
-
-var nVar2994: int;
-
-var nVar2995: int;
-
-var nVar2996: int;
-
-var nVar2997: int;
-
-var nVar2998: int;
-
-var nVar2999: int;
-
-var nVar3000: int;
-
-var nVar3001: int;
-
-var nVar3002: int;
-
-var nVar3003: int;
-
-var nVar3004: int;
-
-var nVar3005: int;
-
-var nVar3006: int;
-
-var nVar3007: int;
-
-var nVar3008: int;
-
-var nVar3009: int;
-
-var nVar3010: int;
-
-var nVar3011: int;
-
-var nVar3012: int;
-
-var nVar3013: int;
-
-var nVar3014: int;
-
-var nVar3015: int;
-
-var nVar3016: int;
-
-var nVar3017: int;
-
-var nVar3018: int;
-
-var nVar3019: int;
-
-var nVar3020: int;
-
-var nVar3021: int;
-
-var nVar3022: int;
-
-var nVar3023: int;
-
-var nVar3024: int;
-
-var nVar3025: int;
-
-var nVar3026: int;
-
-var nVar3027: int;
-
-var nVar3028: int;
-
-var nVar3029: int;
-
-var nVar3030: int;
-
-var nVar3031: int;
-
-var nVar3032: int;
-
-var nVar3033: int;
-
-var nVar3034: int;
-
-var nVar3035: int;
-
-var nVar3036: int;
-
-var nVar3037: int;
-
-var nVar3038: int;
-
-var nVar3039: int;
-
-var nVar3040: int;
-
-var nVar3041: int;
-
-var nVar3042: int;
-
-var nVar3043: int;
-
-var nVar3044: int;
-
-var nVar3045: int;
-
-var nVar3046: int;
-
-var nVar3047: int;
-
-var nVar3048: int;
-
-var nVar3049: int;
-
-var nVar3050: int;
-
-var nVar3051: int;
-
-var nVar3052: int;
-
-var nVar3053: int;
-
-var nVar3054: int;
-
-var nVar3055: int;
-
-var nVar3056: int;
-
-var nVar3057: int;
-
-var nVar3058: int;
-
-var nVar3059: int;
-
-var nVar3060: int;
-
-var nVar3061: int;
-
-var nVar3062: int;
-
-var nVar3063: int;
-
-var nVar3064: int;
-
-var nVar3065: int;
-
-var nVar3066: int;
-
-var nVar3067: int;
-
-var nVar3068: int;
-
-var nVar3069: int;
-
-var nVar3070: int;
-
-var nVar3071: int;
-
-var nVar3072: int;
-
-var nVar3073: int;
-
-var nVar3074: int;
-
-var nVar3075: int;
-
-var nVar3076: int;
-
-var nVar3077: int;
-
-var nVar3078: int;
-
-var nVar3079: int;
-
-var nVar3080: int;
-
-var nVar3081: int;
-
-var nVar3082: int;
-
-var nVar3083: int;
-
-var nVar3084: int;
-
-var nVar3085: int;
-
-var nVar3086: int;
-
-var nVar3087: int;
-
-var nVar3088: int;
-
-var nVar3089: int;
-
-var nVar3090: int;
-
-var nVar3091: int;
-
-var nVar3092: int;
-
-var nVar3093: int;
-
-var nVar3094: int;
-
-var nVar3095: int;
-
-var nVar3096: int;
-
-var nVar3097: int;
-
-var nVar3098: int;
-
-var nVar3099: int;
-
-var nVar3100: int;
-
-var nVar3101: int;
-
-var nVar3102: int;
-
-var nVar3103: int;
-
-var nVar3104: int;
-
-var nVar3105: int;
-
-var nVar3106: int;
-
-var nVar3107: int;
-
-var nVar3108: int;
-
-var nVar3109: int;
-
-var nVar3110: int;
-
-var nVar3111: int;
-
-var nVar3112: int;
-
-var nVar3113: int;
-
-var nVar3114: int;
-
-var nVar3115: int;
-
-var nVar3116: int;
-
-var nVar3117: int;
-
-var nVar3118: int;
-
-var nVar3119: int;
-
-var nVar3120: int;
-
-var nVar3121: int;
-
-var nVar3122: int;
-
-var nVar3123: int;
-
-var nVar3124: int;
-
-var nVar3125: int;
-
-var nVar3126: int;
-
-var nVar3127: int;
-
-var nVar3128: int;
-
-var nVar3129: int;
-
-var nVar3130: int;
-
-var nVar3131: int;
-
-var nVar3132: int;
-
-var nVar3133: int;
-
-var nVar3134: int;
-
-var nVar3135: int;
-
-var nVar3136: int;
-
-var nVar3137: int;
-
-var nVar3138: int;
-
-var nVar3139: int;
-
-var nVar3140: int;
-
-var nVar3141: int;
-
-var nVar3142: int;
-
-var nVar3143: int;
-
-var nVar3144: int;
-
-var nVar3145: int;
-
-var nVar3146: int;
-
-var nVar3147: int;
-
-var nVar3148: int;
-
-var nVar3149: int;
-
-var nVar3150: int;
-
-var nVar3151: int;
-
-var nVar3152: int;
-
-var nVar3153: int;
-
-var nVar3154: int;
-
-var nVar3155: int;
-
-var nVar3156: int;
-
-var nVar3157: int;
-
-var nVar3158: int;
-
-var nVar3159: int;
-
-var nVar3160: int;
-
-var nVar3161: int;
-
-var nVar3162: int;
-
-var nVar3163: int;
-
-var nVar3164: int;
-
-var nVar3165: int;
-
-var nVar3166: int;
-
-var nVar3167: int;
-
-var nVar3168: int;
-
-var nVar3169: int;
-
-var nVar3170: int;
-
-var nVar3171: int;
-
-var nVar3172: int;
-
-var nVar3173: int;
-
-var nVar3174: int;
-
-var nVar3175: int;
-
-var nVar3176: int;
-
-var nVar3177: int;
-
-var nVar3178: int;
-
-var nVar3179: int;
-
-var nVar3180: int;
-
-var nVar3181: int;
-
-var nVar3182: int;
-
-var nVar3183: int;
-
-var nVar3184: int;
-
-var nVar3185: int;
-
-var nVar3186: int;
-
-var nVar3187: int;
-
-var nVar3188: int;
-
-var nVar3189: int;
-
-var nVar3190: int;
-
-var nVar3191: int;
-
-var nVar3192: int;
-
-var nVar3193: int;
-
-var nVar3194: int;
-
-var nVar3195: int;
-
-var nVar3196: int;
-
-var nVar3197: int;
-
-var nVar3198: int;
-
-var nVar3199: int;
-
-var nVar3200: int;
-
-var nVar3201: int;
-
-var nVar3202: int;
-
-var nVar3203: int;
-
-var nVar3204: int;
-
-var nVar3205: int;
-
-var nVar3206: int;
-
-var nVar3207: int;
-
-var nVar3208: int;
-
-var nVar3209: int;
-
-var nVar3210: int;
-
-var nVar3211: int;
-
-var nVar3212: int;
-
-var nVar3213: int;
-
-var nVar3214: int;
-
-var nVar3215: int;
-
-var nVar3216: int;
-
-var nVar3217: int;
-
-var nVar3218: int;
-
-var nVar3219: int;
-
-var nVar3220: int;
-
-var nVar3221: int;
-
-var nVar3222: int;
-
-var nVar3223: int;
-
-var nVar3224: int;
-
-var nVar3225: int;
-
-var nVar3226: int;
-
-var nVar3227: int;
-
-var nVar3228: int;
-
-var nVar3229: int;
-
-var nVar3230: int;
-
-var nVar3231: int;
-
-var nVar3232: int;
-
-var nVar3233: int;
-
-var nVar3234: int;
-
-var nVar3235: int;
-
-var nVar3236: int;
-
-var nVar3237: int;
-
-var nVar3238: int;
-
-var nVar3239: int;
-
-var nVar3240: int;
-
-var nVar3241: int;
-
-var nVar3242: int;
-
-var nVar3243: int;
-
-var nVar3244: int;
-
-var nVar3245: int;
-
-var nVar3246: int;
-
-var nVar3247: int;
-
-var nVar3248: int;
-
-var nVar3249: int;
-
-var nVar3250: int;
-
-var nVar3251: int;
-
-var nVar3252: int;
-
-var nVar3253: int;
-
-var nVar3254: int;
-
-var nVar3255: int;
-
-var nVar3256: int;
-
-var nVar3257: int;
-
-var nVar3258: int;
-
-var nVar3259: int;
-
-var nVar3260: int;
-
-var nVar3261: int;
-
-var nVar3262: int;
-
-var nVar3263: int;
-
-var nVar3264: int;
-
-var nVar3265: int;
-
-var nVar3266: int;
-
-var nVar3267: int;
-
-var nVar3268: int;
-
-var nVar3269: int;
-
-var nVar3270: int;
-
-var nVar3271: int;
-
-var nVar3272: int;
-
-var nVar3273: int;
-
-var nVar3274: int;
-
-var nVar3275: int;
-
-var nVar3276: int;
-
-var nVar3277: int;
-
-var nVar3278: int;
-
-var nVar3279: int;
-
-var nVar3280: int;
-
-var nVar3281: int;
-
-var nVar3282: int;
-
-var nVar3283: int;
-
-var nVar3284: int;
-
-var nVar3285: int;
-
-var nVar3286: int;
-
-var nVar3287: int;
-
-var nVar3288: int;
-
-var nVar3289: int;
-
-var nVar3290: int;
-
-var nVar3291: int;
-
-var nVar3292: int;
-
-var nVar3293: int;
-
-var nVar3294: int;
-
-var nVar3295: int;
-
-var nVar3296: int;
-
-var nVar3297: int;
-
-var nVar3298: int;
-
-var nVar3299: int;
-
-var nVar3300: int;
-
-var nVar3301: int;
-
-var nVar3302: int;
-
-var nVar3303: int;
-
-var nVar3304: int;
-
-var nVar3305: int;
-
-var nVar3306: int;
-
-var nVar3307: int;
-
-var nVar3308: int;
-
-var nVar3309: int;
-
-var nVar3310: int;
-
-var nVar3311: int;
-
-var nVar3312: int;
-
-var nVar3313: int;
-
-var nVar3314: int;
-
-var nVar3315: int;
-
-var nVar3316: int;
-
-var nVar3317: int;
-
-var nVar3318: int;
-
-var nVar3319: int;
-
-var nVar3320: int;
-
-var nVar3321: int;
-
-var nVar3322: int;
-
-var nVar3323: int;
-
-var nVar3324: int;
-
-var nVar3325: int;
-
-var nVar3326: int;
-
-var nVar3327: int;
-
-var nVar3328: int;
-
-var nVar3329: int;
-
-var nVar3330: int;
-
-var nVar3331: int;
-
-var nVar3332: int;
-
-var nVar3333: int;
-
-var nVar3334: int;
-
-var nVar3335: int;
-
-var nVar3336: int;
-
-var nVar3337: int;
-
-var nVar3338: int;
-
-var nVar3339: int;
-
-var nVar3340: int;
-
-var nVar3341: int;
-
-var nVar3342: int;
-
-var nVar3343: int;
-
-var nVar3344: int;
-
-var nVar3345: int;
-
-var nVar3346: int;
-
-var nVar3347: int;
-
-var nVar3348: int;
-
-var nVar3349: int;
-
-var nVar3350: int;
-
-var nVar3351: int;
-
-var nVar3352: int;
-
-var nVar3353: int;
-
-var nVar3354: int;
-
-var nVar3355: int;
-
-var nVar3356: int;
-
-var nVar3357: int;
-
-var nVar3358: int;
-
-var nVar3359: int;
-
-var nVar3360: int;
-
-var nVar3361: int;
-
-var nVar3362: int;
-
-var nVar3363: int;
-
-var nVar3364: int;
-
-var nVar3365: int;
-
-var nVar3366: int;
-
-var nVar3367: int;
-
-var nVar3368: int;
-
-var nVar3369: int;
-
-var nVar3370: int;
-
-var nVar3371: int;
-
-var nVar3372: int;
-
-var nVar3373: int;
-
-var nVar3374: int;
-
-var nVar3375: int;
-
-var nVar3376: int;
-
-var nVar3377: int;
-
-var nVar3378: int;
-
-var nVar3379: int;
-
-var nVar3380: int;
-
-var nVar3381: int;
-
-var nVar3382: int;
-
-var nVar3383: int;
-
-var nVar3384: int;
-
-var nVar3385: int;
-
-var nVar3386: int;
-
-var nVar3387: int;
-
-var nVar3388: int;
-
-var nVar3389: int;
-
-var nVar3390: int;
-
-var nVar3391: int;
-
-var nVar3392: int;
-
-var nVar3393: int;
-
-var nVar3394: int;
-
-var nVar3395: int;
-
-var nVar3396: int;
-
-var nVar3397: int;
-
-var nVar3398: int;
-
-var nVar3399: int;
-
-var nVar3400: int;
-
-var nVar3401: int;
-
-var nVar3402: int;
-
-var nVar3403: int;
-
-var nVar3404: int;
-
-var nVar3405: int;
-
-var nVar3406: int;
-
-var nVar3407: int;
-
-var nVar3408: int;
-
-var nVar3409: int;
-
-var nVar3410: int;
-
-var nVar3411: int;
-
-var nVar3412: int;
-
-var nVar3413: int;
-
-var nVar3414: int;
-
-var nVar3415: int;
-
-var nVar3416: int;
-
-var nVar3417: int;
-
-var nVar3418: int;
-
-var nVar3419: int;
-
-var nVar3420: int;
-
-var nVar3421: int;
-
-var nVar3422: int;
-
-var nVar3423: int;
-
-var nVar3424: int;
-
-var nVar3425: int;
-
-var nVar3426: int;
-
-var nVar3427: int;
-
-var nVar3428: int;
-
-var nVar3429: int;
-
-var nVar3430: int;
-
-var nVar3431: int;
-
-var nVar3432: int;
-
-var nVar3433: int;
-
-var nVar3434: int;
-
-var nVar3435: int;
-
-var nVar3436: int;
-
-var nVar3437: int;
-
-var nVar3438: int;
-
-var nVar3439: int;
-
-var nVar3440: int;
-
-var nVar3441: int;
-
-var nVar3442: int;
-
-var nVar3443: int;
-
-var nVar3444: int;
-
-var nVar3445: int;
-
-var nVar3446: int;
-
-var nVar3447: int;
-
-var nVar3448: int;
-
-var nVar3449: int;
-
-var nVar3450: int;
-
-var nVar3451: int;
-
-var nVar3452: int;
-
-var nVar3453: int;
-
-var nVar3454: int;
-
-var nVar3455: int;
-
-var nVar3456: int;
-
-var nVar3457: int;
-
-var nVar3458: int;
-
-var nVar3459: int;
-
-var nVar3460: int;
-
-var nVar3461: int;
-
-var nVar3462: int;
-
-var nVar3463: int;
-
-var nVar3464: int;
-
-var nVar3465: int;
-
-var nVar3466: int;
-
-var nVar3467: int;
-
-var nVar3468: int;
-
-var nVar3469: int;
-
-var nVar3470: int;
-
-var nVar3471: int;
-
-var nVar3472: int;
-
-var nVar3473: int;
-
-var nVar3474: int;
-
-var nVar3475: int;
-
-var nVar3476: int;
-
-var nVar3477: int;
-
-var nVar3478: int;
-
-var nVar3479: int;
-
-var nVar3480: int;
-
-var nVar3481: int;
-
-var nVar3482: int;
-
-var nVar3483: int;
-
-var nVar3484: int;
-
-var nVar3485: int;
-
-var nVar3486: int;
-
-var nVar3487: int;
-
-var nVar3488: int;
-
-var nVar3489: int;
-
-var nVar3490: int;
-
-var nVar3491: int;
-
-var nVar3492: int;
-
-var nVar3493: int;
-
-var nVar3494: int;
-
-var nVar3495: int;
-
-var nVar3496: int;
-
-var nVar3497: int;
-
-var nVar3498: int;
-
-var nVar3499: int;
-
-var nVar3500: int;
-
-var nVar3501: int;
-
-var nVar3502: int;
-
-var nVar3503: int;
-
-var nVar3504: int;
-
-var nVar3505: int;
-
-var nVar3506: int;
-
-var nVar3507: int;
-
-var nVar3508: int;
-
-var nVar3509: int;
-
-var nVar3510: int;
-
-var nVar3511: int;
-
-var nVar3512: int;
-
-var nVar3513: int;
-
-var nVar3514: int;
-
-var nVar3515: int;
-
-var nVar3516: int;
-
-var nVar3517: int;
-
-var nVar3518: int;
-
-var nVar3519: int;
-
-var nVar3520: int;
-
-var nVar3521: int;
-
-var nVar3522: int;
-
-var nVar3523: int;
-
-var nVar3524: int;
-
-var nVar3525: int;
-
-var nVar3526: int;
-
-var nVar3527: int;
-
-var nVar3528: int;
-
-var nVar3529: int;
-
-var nVar3530: int;
-
-var nVar3531: int;
-
-var nVar3532: int;
-
-var nVar3533: int;
-
-var nVar3534: int;
-
-var nVar3535: int;
-
-var nVar3536: int;
-
-var nVar3537: int;
-
-var nVar3538: int;
-
-var nVar3539: int;
-
-var nVar3540: int;
-
-var nVar3541: int;
-
-var nVar3542: int;
-
-var nVar3543: int;
-
-var nVar3544: int;
-
-var nVar3545: int;
-
-var nVar3546: int;
-
-var nVar3547: int;
-
-var nVar3548: int;
-
-var nVar3549: int;
-
-var nVar3550: int;
-
-var nVar3551: int;
-
-var nVar3552: int;
-
-var nVar3553: int;
-
-var nVar3554: int;
-
-var nVar3555: int;
-
-var nVar3556: int;
-
-var nVar3557: int;
-
-var nVar3558: int;
-
-var nVar3559: int;
-
-var nVar3560: int;
-
-var nVar3561: int;
-
-var nVar3562: int;
-
-var nVar3563: int;
-
-var nVar3564: int;
-
-var nVar3565: int;
-
-var nVar3566: int;
-
-var nVar3567: int;
-
-var nVar3568: int;
-
-var nVar3569: int;
-
-var nVar3570: int;
-
-var nVar3571: int;
-
-var nVar3572: int;
-
-var nVar3573: int;
-
-var nVar3574: int;
-
-var nVar3575: int;
-
-var nVar3576: int;
-
-var nVar3577: int;
-
-var nVar3578: int;
-
-var nVar3579: int;
-
-var nVar3580: int;
-
-var nVar3581: int;
-
-var nVar3582: int;
-
-var nVar3583: int;
-
-var nVar3584: int;
-
-var nVar3585: int;
-
-var nVar3586: int;
-
-var nVar3587: int;
-
-var nVar3588: int;
-
-var nVar3589: int;
-
-var nVar3590: int;
-
-var nVar3591: int;
-
-var nVar3592: int;
-
-var nVar3593: int;
-
-var nVar3594: int;
-
-var nVar3595: int;
-
-var nVar3596: int;
-
-var nVar3597: int;
-
-var nVar3598: int;
-
-var nVar3599: int;
-
-var nVar3600: int;
-
-var nVar3601: int;
-
-var nVar3602: int;
-
-var nVar3603: int;
-
-var nVar3604: int;
-
-var nVar3605: int;
-
-var nVar3606: int;
-
-var nVar3607: int;
-
-var nVar3608: int;
-
-var nVar3609: int;
-
-var nVar3610: int;
-
-var nVar3611: int;
-
-var nVar3612: int;
-
-var nVar3613: int;
-
-var nVar3614: int;
-
-var nVar3615: int;
-
-var nVar3616: int;
-
-var nVar3617: int;
-
-var nVar3618: int;
-
-var nVar3619: int;
-
-var nVar3620: int;
-
-var nVar3621: int;
-
-var nVar3622: int;
-
-var nVar3623: int;
-
-var nVar3624: int;
-
-var nVar3625: int;
-
-var nVar3626: int;
-
-var nVar3627: int;
-
-var nVar3628: int;
-
-var nVar3629: int;
-
-var nVar3630: int;
-
-var nVar3631: int;
-
-var nVar3632: int;
-
-var nVar3633: int;
-
-var nVar3634: int;
-
-var nVar3635: int;
-
-var nVar3636: int;
-
-var nVar3637: int;
-
-var nVar3638: int;
-
-var nVar3639: int;
-
-var nVar3640: int;
-
-var nVar3641: int;
-
-var nVar3642: int;
-
-var nVar3643: int;
-
-var nVar3644: int;
-
-var nVar3645: int;
-
-var nVar3646: int;
-
-var nVar3647: int;
-
-var nVar3648: int;
-
-var nVar3649: int;
-
-var nVar3650: int;
-
-var nVar3651: int;
-
-var nVar3652: int;
-
-var nVar3653: int;
-
-var nVar3654: int;
-
-var nVar3655: int;
-
-var nVar3656: int;
-
-var nVar3657: int;
-
-var nVar3658: int;
-
-var nVar3659: int;
-
-var nVar3660: int;
-
-var nVar3661: int;
-
-var nVar3662: int;
-
-var nVar3663: int;
-
-var nVar3664: int;
-
-var nVar3665: int;
-
-var nVar3666: int;
-
-var nVar3667: int;
-
-var nVar3668: int;
-
-var nVar3669: int;
-
-var nVar3670: int;
-
-var nVar3671: int;
-
-var nVar3672: int;
-
-var nVar3673: int;
-
-var nVar3674: int;
-
-var nVar3675: int;
-
-var nVar3676: int;
-
-var nVar3677: int;
-
-var nVar3678: int;
-
-var nVar3679: int;
-
-var nVar3680: int;
-
-var nVar3681: int;
-
-var nVar3682: int;
-
-var nVar3683: int;
-
-var nVar3684: int;
-
-var nVar3685: int;
-
-var nVar3686: int;
-
-var nVar3687: int;
-
-var nVar3688: int;
-
-var nVar3689: int;
-
-var nVar3690: int;
-
-var nVar3691: int;
-
-var nVar3692: int;
-
-var nVar3693: int;
-
-var nVar3694: int;
-
-var nVar3695: int;
-
-var nVar3696: int;
-
-var nVar3697: int;
-
-var nVar3698: int;
-
-var nVar3699: int;
-
-var nVar3700: int;
-
-var nVar3701: int;
-
-var nVar3702: int;
-
-var nVar3703: int;
-
-var nVar3704: int;
-
-var nVar3705: int;
-
-var nVar3706: int;
-
-var nVar3707: int;
-
-var nVar3708: int;
-
-var nVar3709: int;
-
-var nVar3710: int;
-
-var nVar3711: int;
-
-var nVar3712: [int]int;
-
-var nVar3713: [int]int;
-
-var nVar3714: [int]int;
-
-var nVar3715: [int]int;
-
-var nVar3716: [int]int;
-
-var nVar3717: [int]int;
-
-var nVar3718: [int]int;
-
-var nVar3719: [int]int;
-
-var nVar3720: [int]int;
-
-var nVar3721: [int]int;
-
-var nVar3722: [int]int;
-
-const unique nVar3723: int;
-
-const unique nVar3724: int;
-
-const unique nVar3725: int;
-
-const unique nVar3726: int;
-
-const unique nVar3727: int;
-
-const unique nVar3728: int;
-
-const unique nVar3729: int;
-
-const unique nVar3730: int;
-
-const unique nVar3731: int;
-
-const unique nVar3732: int;
-
-const unique nVar3733: int;
-
-const unique nVar3734: int;
-
-const unique nVar3735: int;
-
-const unique nVar3736: int;
-
-const unique nVar3737: int;
-
-const unique nVar3738: int;
-
-const unique nVar3739: int;
-
-const unique nVar3740: int;
-
-const unique nVar3741: int;
-
-const unique nVar3742: int;
-
-const unique nVar3743: int;
-
-const unique nVar3744: int;
-
-const unique nVar3745: int;
-
-const unique nVar3746: int;
-
-const unique nVar3747: int;
-
-const unique nVar3748: int;
-
-const unique nVar3749: int;
-
-const unique nVar3750: int;
-
-const unique nVar3751: int;
-
-const unique nVar3752: int;
-
-const unique nVar3753: int;
-
-const unique nVar3754: int;
-
-const unique nVar3755: int;
-
-const unique nVar3756: int;
-
-const unique nVar3757: int;
-
-const unique nVar3758: int;
-
-const unique nVar3759: int;
-
-const unique nVar3760: int;
-
-const unique nVar3761: int;
-
-const unique nVar3762: int;
-
-const unique nVar3763: int;
-
-const unique nVar3764: int;
-
-const unique nVar3765: int;
-
-const unique nVar3766: int;
-
-const unique nVar3767: int;
-
-const unique nVar3768: int;
-
-const unique nVar3769: int;
-
-const unique nVar3770: int;
-
-const unique nVar3771: int;
-
-const unique nVar3772: int;
-
-const unique nVar3773: int;
-
-const unique nVar3774: int;
-
-const unique nVar3775: int;
-
-const unique nVar3776: int;
-
-const unique nVar3777: int;
-
-const unique nVar3778: int;
-
-const unique nVar3779: int;
-
-const unique nVar3780: int;
-
-const unique nVar3781: int;
-
-const unique nVar3782: int;
-
-const unique nVar3783: int;
-
-const unique nVar3784: int;
-
-const unique nVar3785: int;
-
-const unique nVar3786: int;
-
-const unique nVar3787: int;
-
-const unique nVar3788: int;
-
-const unique nVar3789: int;
-
-const unique nVar3790: int;
-
-const unique nVar3791: int;
-
-const unique nVar3792: int;
-
-const unique nVar3793: int;
-
-const unique nVar3794: int;
-
-const unique nVar3795: int;
-
-const unique nVar3796: int;
-
-const unique nVar3797: int;
-
-const unique nVar3798: int;
-
-const unique nVar3799: int;
-
-const unique nVar3800: int;
-
-const unique nVar3801: int;
-
-const unique nVar3802: int;
-
-const unique nVar3803: int;
-
-const unique nVar3804: int;
-
-const unique nVar3805: int;
-
-const unique nVar3806: int;
-
-const unique nVar3807: int;
-
-const unique nVar3808: int;
-
-const unique nVar3809: int;
-
-const unique nVar3810: int;
-
-const unique nVar3811: int;
-
-const unique nVar3812: int;
-
-const unique nVar3813: int;
-
-const unique nVar3814: int;
-
-const unique nVar3815: int;
-
-const unique nVar3816: int;
-
-const unique nVar3817: int;
-
-const unique nVar3818: int;
-
-const unique nVar3819: int;
-
-const unique nVar3820: int;
-
-const unique nVar3821: int;
-
-const unique nVar3822: int;
-
-const unique nVar3823: int;
-
-const unique nVar3824: int;
-
-const unique nVar3825: int;
-
-const unique nVar3826: int;
-
-const unique nVar3827: int;
-
-const unique nVar3828: int;
-
-const unique nVar3829: int;
-
-const unique nVar3830: int;
-
-const unique nVar3831: int;
-
-const unique nVar3832: int;
-
-const unique nVar3833: int;
-
-const unique nVar3834: int;
-
-const unique nVar3835: int;
-
-const unique nVar3836: int;
-
-const unique nVar3837: int;
-
-const unique nVar3838: int;
-
-const unique nVar3839: int;
-
-const unique nVar3840: int;
-
-const unique nVar3841: int;
-
-const unique nVar3842: int;
-
-const unique nVar3843: int;
-
-const unique nVar3844: int;
-
-const unique nVar3845: int;
-
-const unique nVar3846: int;
-
-const unique nVar3847: int;
-
-const unique nVar3848: int;
-
-const unique nVar3849: int;
-
-const unique nVar3850: int;
-
-const unique nVar3851: int;
-
-const unique nVar3852: int;
-
-const unique nVar3853: int;
-
-const unique nVar3854: int;
-
-const unique nVar3855: int;
-
-const unique nVar3856: int;
-
-const unique nVar3857: int;
-
-const unique nVar3858: int;
-
-const unique nVar3859: int;
-
-const unique nVar3860: int;
-
-const unique nVar3861: int;
-
-const unique nVar3862: int;
-
-const unique nVar3863: int;
-
-const unique nVar3864: int;
-
-const unique nVar3865: int;
-
-const unique nVar3866: int;
-
-const unique nVar3867: int;
-
-const unique nVar3868: int;
-
-const unique nVar3869: int;
-
-const unique nVar3870: int;
-
-const unique nVar3871: int;
-
-const unique nVar3872: int;
-
-const unique nVar3873: int;
-
-const unique nVar3874: int;
-
-const unique nVar3875: int;
-
-const unique nVar3876: int;
-
-const unique nVar3877: int;
-
-const unique nVar3878: int;
-
-const unique nVar3879: int;
-
-const unique nVar3880: int;
-
-const unique nVar3881: int;
-
-const unique nVar3882: int;
-
-const unique nVar3883: int;
-
-const unique nVar3884: int;
-
-const unique nVar3885: int;
-
-const unique nVar3886: int;
-
-const unique nVar3887: int;
-
-const unique nVar3888: int;
-
-const unique nVar3889: int;
-
-const unique nVar3890: int;
-
-const unique nVar3891: int;
-
-const unique nVar3892: int;
-
-const unique nVar3893: int;
-
-const unique nVar3894: int;
-
-const unique nVar3895: int;
-
-const unique nVar3896: int;
-
-const unique nVar3897: int;
-
-const unique nVar3898: int;
-
-const unique nVar3899: int;
-
-const unique nVar3900: int;
-
-const unique nVar3901: int;
-
-const unique nVar3902: int;
-
-const unique nVar3903: int;
-
-const unique nVar3904: int;
-
-const unique nVar3905: int;
-
-const unique nVar3906: int;
-
-const unique nVar3907: int;
-
-const unique nVar3908: int;
-
-const unique nVar3909: int;
-
-const unique nVar3910: int;
-
-const unique nVar3911: int;
-
-const unique nVar3912: int;
-
-const unique nVar3913: int;
-
-const unique nVar3914: int;
-
-const unique nVar3915: int;
-
-const unique nVar3916: int;
-
-const unique nVar3917: int;
-
-const unique nVar3918: int;
-
-const unique nVar3919: int;
-
-const unique nVar3920: int;
-
-const unique nVar3921: int;
-
-const unique nVar3922: int;
-
-const unique nVar3923: int;
-
-const unique nVar3924: int;
-
-const unique nVar3925: int;
-
-const unique nVar3926: int;
-
-const unique nVar3927: int;
-
-const unique nVar3928: int;
-
-const unique nVar3929: int;
-
-const unique nVar3930: int;
-
-const unique nVar3931: int;
-
-const unique nVar3932: int;
-
-const unique nVar3933: int;
-
-const unique nVar3934: int;
-
-const unique nVar3935: int;
-
-const unique nVar3936: int;
-
-const unique nVar3937: int;
-
-const unique nVar3938: int;
-
-const unique nVar3939: int;
-
-const unique nVar3940: int;
-
-const unique nVar3941: int;
-
-const unique nVar3942: int;
-
-const unique nVar3943: int;
-
-const unique nVar3944: int;
-
-const unique nVar3945: int;
-
-const unique nVar3946: int;
-
-const unique nVar3947: int;
-
-const unique nVar3948: int;
-
-const unique nVar3949: int;
-
-const unique nVar3950: int;
-
-const unique nVar3951: int;
-
-const unique nVar3952: int;
-
-const unique nVar3953: int;
-
-const unique nVar3954: int;
-
-const unique nVar3955: int;
-
-const unique nVar3956: int;
-
-const unique nVar3957: int;
-
-const unique nVar3958: int;
-
-const unique nVar3959: int;
-
-const unique nVar3960: int;
-
-const unique nVar3961: int;
-
-const unique nVar3962: int;
-
-const unique nVar3963: int;
-
-const unique nVar3964: int;
-
-const unique nVar3965: int;
-
-const unique nVar3966: int;
-
-const unique nVar3967: int;
-
-const unique nVar3968: int;
-
-const unique nVar3969: int;
-
-const unique nVar3970: int;
-
-const unique nVar3971: int;
-
-const unique nVar3972: int;
-
-const unique nVar3973: int;
-
-const unique nVar3974: int;
-
-const unique nVar3975: int;
-
-const unique nVar3976: int;
-
-const unique nVar3977: int;
-
-const unique nVar3978: int;
-
-const unique nVar3979: int;
-
-const unique nVar3980: int;
-
-const unique nVar3981: int;
-
-const unique nVar3982: int;
-
-const unique nVar3983: int;
-
-const unique nVar3984: int;
-
-const unique nVar3985: int;
-
-const unique nVar3986: int;
-
-const unique nVar3987: int;
-
-const unique nVar3988: int;
-
-const unique nVar3989: int;
-
-const unique nVar3990: int;
-
-const unique nVar3991: int;
-
-const unique nVar3992: int;
-
-const unique nVar3993: int;
-
-const unique nVar3994: int;
-
-const unique nVar3995: int;
-
-const unique nVar3996: int;
-
-const unique nVar3997: int;
-
-const unique nVar3998: int;
-
-const unique nVar3999: int;
-
-const unique nVar4000: int;
-
-const unique nVar4001: int;
-
-const unique nVar4002: int;
-
-const unique nVar4003: int;
-
-const unique nVar4004: int;
-
-const unique nVar4005: int;
-
-const unique nVar4006: int;
-
-const unique nVar4007: int;
-
-const unique nVar4008: int;
-
-const unique nVar4009: int;
-
-const unique nVar4010: int;
-
-const unique nVar4011: int;
-
-const unique nVar4012: int;
-
-const unique nVar4013: int;
-
-const unique nVar4014: int;
-
-const unique nVar4015: int;
-
-const unique nVar4016: int;
-
-const unique nVar4017: int;
-
-const unique nVar4018: int;
-
-const unique nVar4019: int;
-
-const unique nVar4020: int;
-
-const unique nVar4021: int;
-
-const unique nVar4022: int;
-
-const unique nVar4023: int;
-
-const unique nVar4024: int;
-
-const unique nVar4025: int;
-
-const unique nVar4026: int;
-
-const unique nVar4027: int;
-
-const unique nVar4028: int;
-
-const unique nVar4029: int;
-
-const unique nVar4030: int;
-
-const unique nVar4031: int;
-
-const unique nVar4032: int;
-
-const unique nVar4033: int;
-
-const unique nVar4034: int;
-
-const unique nVar4035: int;
-
-const unique nVar4036: int;
-
-const unique nVar4037: int;
-
-const unique nVar4038: int;
-
-const unique nVar4039: int;
-
-const unique nVar4040: int;
-
-const unique nVar4041: int;
-
-const unique nVar4042: int;
-
-const unique nVar4043: int;
-
-const unique nVar4044: int;
-
-const unique nVar4045: int;
-
-const unique nVar4046: int;
-
-const unique nVar4047: int;
-
-const unique nVar4048: int;
-
-const unique nVar4049: int;
-
-const unique nVar4050: int;
-
-const unique nVar4051: int;
-
-const unique nVar4052: int;
-
-const unique nVar4053: int;
-
-const unique nVar4054: int;
-
-const unique nVar4055: int;
-
-const unique nVar4056: int;
-
-const unique nVar4057: int;
-
-const unique nVar4058: int;
-
-const unique nVar4059: int;
-
-const unique nVar4060: int;
-
-const unique nVar4061: int;
-
-const unique nVar4062: int;
-
-const unique nVar4063: int;
-
-const unique nVar4064: int;
-
-const unique nVar4065: int;
-
-const unique nVar4066: int;
-
-const unique nVar4067: int;
-
-const unique nVar4068: int;
-
-const unique nVar4069: int;
-
-const unique nVar4070: int;
-
-const unique nVar4071: int;
-
-const unique nVar4072: int;
-
-const unique nVar4073: int;
-
-const unique nVar4074: int;
-
-const unique nVar4075: int;
-
-const unique nVar4076: int;
-
-const unique nVar4077: int;
-
-const unique nVar4078: int;
-
-const unique nVar4079: int;
-
-const unique nVar4080: int;
-
-const unique nVar4081: int;
-
-const unique nVar4082: int;
-
-const unique nVar4083: int;
-
-const unique nVar4084: int;
-
-const unique nVar4085: int;
-
-const unique nVar4086: int;
-
-const unique nVar4087: int;
-
-const unique nVar4088: int;
-
-const unique nVar4089: int;
-
-const unique nVar4090: int;
-
-const unique nVar4091: int;
-
-const unique nVar4092: int;
-
-const unique nVar4093: int;
-
-const unique nVar4094: int;
-
-const unique nVar4095: int;
-
-const unique nVar4096: int;
-
-const unique nVar4097: int;
-
-const unique nVar4098: int;
-
-const unique nVar4099: int;
-
-const unique nVar4100: int;
-
-const unique nVar4101: int;
-
-const unique nVar4102: int;
-
-const unique nVar4103: int;
-
-const unique nVar4104: int;
-
-const unique nVar4105: int;
-
-const unique nVar4106: int;
-
-const unique nVar4107: int;
-
-const unique nVar4108: int;
-
-const unique nVar4109: int;
-
-const unique nVar4110: int;
-
-const unique nVar4111: int;
-
-const unique nVar4112: int;
-
-const unique nVar4113: int;
-
-const unique nVar4114: int;
-
-const unique nVar4115: int;
-
-const unique nVar4116: int;
-
-const unique nVar4117: int;
-
-const unique nVar4118: int;
-
-const unique nVar4119: int;
-
-const unique nVar4120: int;
-
-const unique nVar4121: int;
-
-const unique nVar4122: int;
-
-const unique nVar4123: int;
-
-const unique nVar4124: int;
-
-const unique nVar4125: int;
-
-const unique nVar4126: int;
-
-const unique nVar4127: int;
-
-const unique nVar4128: int;
-
-const unique nVar4129: int;
-
-const unique nVar4130: int;
-
-const unique nVar4131: int;
-
-const unique nVar4132: int;
-
-const unique nVar4133: int;
-
-const unique nVar4134: int;
-
-const unique nVar4135: int;
-
-const unique nVar4136: int;
-
-const unique nVar4137: int;
-
-const unique nVar4138: int;
-
-const unique nVar4139: int;
-
-const unique nVar4140: int;
-
-const unique nVar4141: int;
-
-const unique nVar4142: int;
-
-const unique nVar4143: int;
-
-const unique nVar4144: int;
-
-const unique nVar4145: int;
-
-const unique nVar4146: int;
-
-const unique nVar4147: int;
-
-const unique nVar4148: int;
-
-const unique nVar4149: int;
-
-const unique nVar4150: int;
-
-const unique nVar4151: int;
-
-const unique nVar4152: int;
-
-const unique nVar4153: int;
-
-const unique nVar4154: int;
-
-const unique nVar4155: int;
-
-const unique nVar4156: int;
-
-const unique nVar4157: int;
-
-const unique nVar4158: int;
-
-const unique nVar4159: int;
-
-const unique nVar4160: int;
-
-const unique nVar4161: int;
-
-const unique nVar4162: int;
-
-const unique nVar4163: int;
-
-const unique nVar4164: int;
-
-const unique nVar4165: int;
-
-const unique nVar4166: int;
-
-const unique nVar4167: int;
-
-const unique nVar4168: int;
-
-const unique nVar4169: int;
-
-const unique nVar4170: int;
-
-const unique nVar4171: int;
-
-const unique nVar4172: int;
-
-const unique nVar4173: int;
-
-const unique nVar4174: int;
-
-const unique nVar4175: int;
-
-const unique nVar4176: int;
-
-const unique nVar4177: int;
-
-const unique nVar4178: int;
-
-const unique nVar4179: int;
-
-const unique nVar4180: int;
-
-const unique nVar4181: int;
-
-const unique nVar4182: int;
-
-const unique nVar4183: int;
-
-const unique nVar4184: int;
-
-const unique nVar4185: int;
-
-const unique nVar4186: int;
-
-const unique nVar4187: int;
-
-const unique nVar4188: int;
-
-const unique nVar4189: int;
-
-const unique nVar4190: int;
-
-const unique nVar4191: int;
-
-const unique nVar4192: int;
-
-const unique nVar4193: int;
-
-const unique nVar4194: int;
-
-const unique nVar4195: int;
-
-const unique nVar4196: int;
-
-const unique nVar4197: int;
-
-const unique nVar4198: int;
-
-const unique nVar4199: int;
-
-const unique nVar4200: int;
-
-const unique nVar4201: int;
-
-const unique nVar4202: int;
-
-const unique nVar4203: int;
-
-const unique nVar4204: int;
-
-const unique nVar4205: int;
-
-const unique nVar4206: int;
-
-const unique nVar4207: int;
-
-const unique nVar4208: int;
-
-const unique nVar4209: int;
-
-const unique nVar4210: int;
-
-const unique nVar4211: int;
-
-const unique nVar4212: int;
-
-const unique nVar4213: int;
-
-const unique nVar4214: int;
-
-const unique nVar4215: int;
-
-const unique nVar4216: int;
-
-const unique nVar4217: int;
-
-const unique nVar4218: int;
-
-const unique nVar4219: int;
-
-const unique nVar4220: int;
-
-const unique nVar4221: int;
-
-const unique nVar4222: int;
-
-const unique nVar4223: int;
-
-const unique nVar4224: int;
-
-const unique nVar4225: int;
-
-const unique nVar4226: int;
-
-const unique nVar4227: int;
-
-const unique nVar4228: int;
-
-const unique nVar4229: int;
-
-const unique nVar4230: int;
-
-const unique nVar4231: int;
-
-const unique nVar4232: int;
-
-const unique nVar4233: int;
-
-const unique nVar4234: int;
-
-const unique nVar4235: int;
-
-const unique nVar4236: int;
-
-const unique nVar4237: int;
-
-const unique nVar4238: int;
-
-const unique nVar4239: int;
-
-const unique nVar4240: int;
-
-const unique nVar4241: int;
-
-const unique nVar4242: int;
-
-const unique nVar4243: int;
-
-const unique nVar4244: int;
-
-const unique nVar4245: int;
-
-const unique nVar4246: int;
-
-const unique nVar4247: int;
-
-const unique nVar4248: int;
-
-const unique nVar4249: int;
-
-const unique nVar4250: int;
-
-const unique nVar4251: int;
-
-const unique nVar4252: int;
-
-const unique nVar4253: int;
-
-const unique nVar4254: int;
-
-const unique nVar4255: int;
-
-const unique nVar4256: int;
-
-const unique nVar4257: int;
-
-const unique nVar4258: int;
-
-const unique nVar4259: int;
-
-const unique nVar4260: int;
-
-const unique nVar4261: int;
-
-const unique nVar4262: int;
-
-const unique nVar4263: int;
-
-const unique nVar4264: int;
-
-const unique nVar4265: int;
-
-const unique nVar4266: int;
-
-const unique nVar4267: int;
-
-const unique nVar4268: int;
-
-const unique nVar4269: int;
-
-const unique nVar4270: int;
-
-const unique nVar4271: int;
-
-const unique nVar4272: int;
-
-const unique nVar4273: int;
-
-const unique nVar4274: int;
-
-const unique nVar4275: int;
-
-const unique nVar4276: int;
-
-const unique nVar4277: int;
-
-const unique nVar4278: int;
-
-const unique nVar4279: int;
-
-const unique nVar4280: int;
-
-const unique nVar4281: int;
-
-const unique nVar4282: int;
-
-const unique nVar4283: int;
-
-const unique nVar4284: int;
-
-const unique nVar4285: int;
-
-const unique nVar4286: int;
-
-const unique nVar4287: int;
-
-const unique nVar4288: int;
-
-const unique nVar4289: int;
-
-const unique nVar4290: int;
-
-const unique nVar4291: int;
-
-const unique nVar4292: int;
-
-const unique nVar4293: int;
-
-const unique nVar4294: int;
-
-const unique nVar4295: int;
-
-const unique nVar4296: int;
-
-const unique nVar4297: int;
-
-const unique nVar4298: int;
-
-const unique nVar4299: int;
-
-const unique nVar4300: int;
-
-const unique nVar4301: int;
-
-const unique nVar4302: int;
-
-const unique nVar4303: int;
-
-const unique nVar4304: int;
-
-const unique nVar4305: int;
-
-const unique nVar4306: int;
-
-const unique nVar4307: int;
-
-const unique nVar4308: int;
-
-const unique nVar4309: int;
-
-const unique nVar4310: int;
-
-const unique nVar4311: int;
-
-const unique nVar4312: int;
-
-const unique nVar4313: int;
-
-const unique nVar4314: int;
-
-const unique nVar4315: int;
-
-const unique nVar4316: int;
-
-const unique nVar4317: int;
-
-const unique nVar4318: int;
-
-const unique nVar4319: int;
-
-const unique nVar4320: int;
-
-const unique nVar4321: int;
-
-const unique nVar4322: int;
-
-const unique nVar4323: int;
-
-const unique nVar4324: int;
-
-const unique nVar4325: int;
-
-const unique nVar4326: int;
-
-const unique nVar4327: int;
-
-const unique nVar4328: int;
-
-const unique nVar4329: int;
-
-const unique nVar4330: int;
-
-const unique nVar4331: int;
-
-const unique nVar4332: int;
-
-const unique nVar4333: int;
-
-const unique nVar4334: int;
-
-const unique nVar4335: int;
-
-const unique nVar4336: int;
-
-const unique nVar4337: int;
-
-const unique nVar4338: int;
-
-const unique nVar4339: int;
-
-const unique nVar4340: int;
-
-const unique nVar4341: int;
-
-const unique nVar4342: int;
-
-const unique nVar4343: int;
-
-const unique nVar4344: int;
-
-const unique nVar4345: int;
-
-const unique nVar4346: int;
-
-const unique nVar4347: int;
-
-const unique nVar4348: int;
-
-const unique nVar4349: int;
-
-const unique nVar4350: int;
-
-const unique nVar4351: int;
-
-const unique nVar4352: int;
-
-const unique nVar4353: int;
-
-const unique nVar4354: int;
-
-const unique nVar4355: int;
-
-const unique nVar4356: int;
-
-const unique nVar4357: int;
-
-const unique nVar4358: int;
-
-const unique nVar4359: int;
-
-const unique nVar4360: int;
-
-const unique nVar4361: int;
-
-const unique nVar4362: int;
-
-const unique nVar4363: int;
-
-const unique nVar4364: int;
-
-const unique nVar4365: int;
-
-const unique nVar4366: int;
-
-const unique nVar4367: int;
-
-const unique nVar4368: int;
-
-const unique nVar4369: int;
-
-const unique nVar4370: int;
-
-const unique nVar4371: int;
-
-const unique nVar4372: int;
-
-const unique nVar4373: int;
-
-const unique nVar4374: int;
-
-const unique nVar4375: int;
-
-const unique nVar4376: int;
-
-const unique nVar4377: int;
-
-const unique nVar4378: int;
-
-const unique nVar4379: int;
-
-const unique nVar4380: int;
-
-const unique nVar4381: int;
-
-const unique nVar4382: int;
-
-const unique nVar4383: int;
-
-const unique nVar4384: int;
-
-const unique nVar4385: int;
-
-const unique nVar4386: int;
-
-const unique nVar4387: int;
-
-const unique nVar4388: int;
-
-const unique nVar4389: int;
-
-const unique nVar4390: int;
-
-const unique nVar4391: int;
-
-const unique nVar4392: int;
-
-const unique nVar4393: int;
-
-const unique nVar4394: int;
-
-const unique nVar4395: int;
-
-const unique nVar4396: int;
-
-const unique nVar4397: int;
-
-const unique nVar4398: int;
-
-const unique nVar4399: int;
-
-const unique nVar4400: int;
-
-const unique nVar4401: int;
-
-const unique nVar4402: int;
-
-const unique nVar4403: int;
-
-const unique nVar4404: int;
-
-const unique nVar4405: int;
-
-const unique nVar4406: int;
-
-const unique nVar4407: int;
-
-const unique nVar4408: int;
-
-const unique nVar4409: int;
-
-const unique nVar4410: int;
-
-const unique nVar4411: int;
-
-const unique nVar4412: int;
-
-const unique nVar4413: int;
-
-const unique nVar4414: int;
-
-const unique nVar4415: int;
-
-const unique nVar4416: int;
-
-const unique nVar4417: int;
-
-const unique nVar4418: int;
-
-const unique nVar4419: int;
-
-const unique nVar4420: int;
-
-const unique nVar4421: int;
-
-const unique nVar4422: int;
-
-const unique nVar4423: int;
-
-const unique nVar4424: int;
-
-const unique nVar4425: int;
-
-const unique nVar4426: int;
-
-const unique nVar4427: int;
-
-const unique nVar4428: int;
-
-const unique nVar4429: int;
-
-const unique nVar4430: int;
-
-const unique nVar4431: int;
-
-const unique nVar4432: int;
-
-const unique nVar4433: int;
-
-const unique nVar4434: int;
-
-const unique nVar4435: int;
-
-const unique nVar4436: int;
-
-const unique nVar4437: int;
-
-const unique nVar4438: int;
-
-const unique nVar4439: int;
-
-const unique nVar4440: int;
-
-const unique nVar4441: int;
-
-const unique nVar4442: int;
-
-const unique nVar4443: int;
-
-const unique nVar4444: int;
-
-const unique nVar4445: int;
-
-const unique nVar4446: int;
-
-const unique nVar4447: int;
-
-const unique nVar4448: int;
-
-const unique nVar4449: int;
-
-const unique nVar4450: int;
-
-const unique nVar4451: int;
-
-const unique nVar4452: int;
-
-const unique nVar4453: int;
-
-const unique nVar4454: int;
-
-const unique nVar4455: int;
-
-const unique nVar4456: int;
-
-const unique nVar4457: int;
-
-const unique nVar4458: int;
-
-const unique nVar4459: int;
-
-const unique nVar4460: int;
-
-const unique nVar4461: int;
-
-const unique nVar4462: int;
-
-const unique nVar4463: int;
-
-const unique nVar4464: int;
-
-const unique nVar4465: int;
-
-const unique nVar4466: int;
-
-const unique nVar4467: int;
-
-const unique nVar4468: int;
-
-const unique nVar4469: int;
-
-const unique nVar4470: int;
-
-const unique nVar4471: int;
-
-const unique nVar4472: int;
-
-const unique nVar4473: int;
-
-const unique nVar4474: int;
-
-const unique nVar4475: int;
-
-const unique nVar4476: int;
-
-const unique nVar4477: int;
-
-const unique nVar4478: int;
-
-const unique nVar4479: int;
-
-const unique nVar4480: int;
-
-const unique nVar4481: int;
-
-const unique nVar4482: int;
-
-const unique nVar4483: int;
-
-const unique nVar4484: int;
-
-const unique nVar4485: int;
-
-const unique nVar4486: int;
-
-const unique nVar4487: int;
-
-const unique nVar4488: int;
-
-const unique nVar4489: int;
-
-const unique nVar4490: int;
-
-const unique nVar4491: int;
-
-const unique nVar4492: int;
-
-const unique nVar4493: int;
-
-const unique nVar4494: int;
-
-const unique nVar4495: int;
-
-const unique nVar4496: int;
-
-const unique nVar4497: int;
-
-const unique nVar4498: int;
-
-const unique nVar4499: int;
-
-const unique nVar4500: int;
-
-const unique nVar4501: int;
-
-const unique nVar4502: int;
-
-const unique nVar4503: int;
-
-const unique nVar4504: int;
-
-const unique nVar4505: int;
-
-const unique nVar4506: int;
-
-const unique nVar4507: int;
-
-const unique nVar4508: int;
-
-const unique nVar4509: int;
-
-const unique nVar4510: int;
-
-const unique nVar4511: int;
-
-const unique nVar4512: int;
-
-const unique nVar4513: int;
-
-const unique nVar4514: int;
-
-const unique nVar4515: int;
-
-const unique nVar4516: int;
-
-const unique nVar4517: int;
-
-const unique nVar4518: int;
-
-const unique nVar4519: int;
-
-const unique nVar4520: int;
-
-const unique nVar4521: int;
-
-const unique nVar4522: int;
-
-const unique nVar4523: int;
-
-const unique nVar4524: int;
-
-const unique nVar4525: int;
-
-const unique nVar4526: int;
-
-const unique nVar4527: int;
-
-const unique nVar4528: int;
-
-const unique nVar4529: int;
-
-const unique nVar4530: int;
-
-const unique nVar4531: int;
-
-const unique nVar4532: int;
-
-const unique nVar4533: int;
-
-const unique nVar4534: int;
-
-const unique nVar4535: int;
-
-const unique nVar4536: int;
-
-const unique nVar4537: int;
-
-const unique nVar4538: int;
-
-const unique nVar4539: int;
-
-const unique nVar4540: int;
-
-const unique nVar4541: int;
-
-const unique nVar4542: int;
-
-const unique nVar4543: int;
-
-const unique nVar4544: int;
-
-const unique nVar4545: int;
-
-const unique nVar4546: int;
-
-const unique nVar4547: int;
-
-const unique nVar4548: int;
-
-const unique nVar4549: int;
-
-const unique nVar4550: int;
-
-const unique nVar4551: int;
-
-const unique nVar4552: int;
-
-const unique nVar4553: int;
-
-const unique nVar4554: int;
-
-const unique nVar4555: int;
-
-const unique nVar4556: int;
-
-const unique nVar4557: int;
-
-const unique nVar4558: int;
-
-const unique nVar4559: int;
-
-const unique nVar4560: int;
-
-const unique nVar4561: int;
-
-const unique nVar4562: int;
-
-const unique nVar4563: int;
-
-const unique nVar4564: int;
-
-const unique nVar4565: int;
-
-const unique nVar4566: int;
-
-const unique nVar4567: int;
-
-const unique nVar4568: int;
-
-const unique nVar4569: int;
-
-const unique nVar4570: int;
-
-const unique nVar4571: int;
-
-const unique nVar4572: int;
-
-const unique nVar4573: int;
-
-const unique nVar4574: int;
-
-const unique nVar4575: int;
-
-const unique nVar4576: int;
-
-const unique nVar4577: int;
-
-const unique nVar4578: int;
-
-const unique nVar4579: int;
-
-const unique nVar4580: int;
-
-const unique nVar4581: int;
-
-const unique nVar4582: int;
-
-const unique nVar4583: int;
-
-const unique nVar4584: int;
-
-const unique nVar4585: int;
-
-const unique nVar4586: int;
-
-const unique nVar4587: int;
-
-const unique nVar4588: int;
-
-const unique nVar4589: int;
-
-const unique nVar4590: int;
-
-const unique nVar4591: int;
-
-const unique nVar4592: int;
-
-const unique nVar4593: int;
-
-const unique nVar4594: int;
-
-const unique nVar4595: int;
-
-const unique nVar4596: int;
-
-const unique nVar4597: int;
-
-const unique nVar4598: int;
-
-const unique nVar4599: int;
-
-const unique nVar4600: int;
-
-const unique nVar4601: int;
-
-const unique nVar4602: int;
-
-const unique nVar4603: int;
-
-const unique nVar4604: int;
-
-const unique nVar4605: int;
-
-const unique nVar4606: int;
-
-const unique nVar4607: int;
-
-const unique nVar4608: int;
-
-const unique nVar4609: int;
-
-const unique nVar4610: int;
-
-const unique nVar4611: int;
-
-const unique nVar4612: int;
-
-const unique nVar4613: int;
-
-const unique nVar4614: int;
-
-const unique nVar4615: int;
-
-const unique nVar4616: int;
-
-const unique nVar4617: int;
-
-const unique nVar4618: int;
-
-const unique nVar4619: int;
-
-const unique nVar4620: int;
-
-const unique nVar4621: int;
-
-const unique nVar4622: int;
-
-const unique nVar4623: int;
-
-const unique nVar4624: int;
-
-const unique nVar4625: int;
-
-const unique nVar4626: int;
-
-const unique nVar4627: int;
-
-const unique nVar4628: int;
-
-const unique nVar4629: int;
-
-const unique nVar4630: int;
-
-const unique nVar4631: int;
-
-const unique nVar4632: int;
-
-const unique nVar4633: int;
-
-const unique nVar4634: int;
-
-const unique nVar4635: int;
-
-const unique nVar4636: int;
-
-const unique nVar4637: int;
-
-const unique nVar4638: int;
-
-const unique nVar4639: int;
-
-const unique nVar4640: int;
-
-const unique nVar4641: int;
-
-const unique nVar4642: int;
-
-const unique nVar4643: int;
-
-const unique nVar4644: int;
-
-const unique nVar4645: int;
-
-const unique nVar4646: int;
-
-const unique nVar4647: int;
-
-const unique nVar4648: int;
-
-const unique nVar4649: int;
-
-const unique nVar4650: int;
-
-const unique nVar4651: int;
-
-const unique nVar4652: int;
-
-const unique nVar4653: int;
-
-const unique nVar4654: int;
-
-const unique nVar4655: int;
-
-const unique nVar4656: int;
-
-const unique nVar4657: int;
+// RUN: %boogie -stratifiedInline:1 -vc:i "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure proc63() returns (nVar5796: int, nVar5797: bool);
+ modifies nVar2, nVar1, nVar3, nVar4, nVar5, nVar6, nVar7, nVar8, nVar9, nVar10, nVar11, nVar12, nVar13, nVar14, nVar15, nVar16, nVar17, nVar18, nVar19, nVar20, nVar21, nVar22, nVar23, nVar24, nVar25, nVar26, nVar27, nVar28, nVar29, nVar30, nVar31, nVar32, nVar33, nVar34, nVar35, nVar36, nVar37, nVar38, nVar39, nVar40, nVar41, nVar42, nVar43, nVar44, nVar45, nVar46, nVar47, nVar48, nVar49, nVar50, nVar51, nVar52, nVar53, nVar54, nVar55, nVar56, nVar57, nVar58, nVar59, nVar60, nVar61, nVar62, nVar63, nVar64, nVar65, nVar66, nVar67, nVar68, nVar69, nVar70, nVar71, nVar72, nVar73, nVar74, nVar75, nVar76, nVar77, nVar78, nVar79, nVar80, nVar81, nVar82, nVar83, nVar84, nVar85, nVar86, nVar87, nVar88, nVar89, nVar90, nVar91, nVar92, nVar93, nVar94, nVar95, nVar96, nVar97, nVar98, nVar99, nVar100, nVar101, nVar102, nVar103, nVar104, nVar105, nVar106, nVar107, nVar108, nVar109, nVar110, nVar111, nVar112, nVar113, nVar114, nVar115, nVar116, nVar117, nVar118, nVar119, nVar120, nVar121, nVar122, nVar123, nVar124, nVar125, nVar126, nVar127, nVar128, nVar129, nVar130, nVar131, nVar132, nVar133, nVar134, nVar135, nVar136, nVar137, nVar138, nVar139, nVar140, nVar141, nVar142, nVar143, nVar144, nVar145, nVar146, nVar147, nVar148, nVar149, nVar150, nVar151, nVar152, nVar153, nVar154, nVar155, nVar156, nVar157, nVar158, nVar159, nVar160, nVar161, nVar162, nVar163, nVar164, nVar165, nVar166, nVar167, nVar168, nVar169, nVar170, nVar171, nVar172, nVar173, nVar174, nVar175, nVar176, nVar177, nVar178, nVar179, nVar180, nVar181, nVar182, nVar183, nVar184, nVar185, nVar186, nVar187, nVar188, nVar189, nVar190, nVar191, nVar192, nVar193, nVar194, nVar195, nVar196, nVar197, nVar198, nVar199, nVar200, nVar201, nVar202, nVar203, nVar204, nVar205, nVar206, nVar207, nVar208, nVar209, nVar210, nVar211, nVar212, nVar213, nVar214, nVar215, nVar216, nVar217, nVar218, nVar219, nVar220, nVar221, nVar222, nVar223, nVar224, nVar225, nVar226, nVar227, nVar228, nVar229, nVar230, nVar231, nVar232, nVar233, nVar234, nVar235, nVar236, nVar237, nVar238, nVar239, nVar240, nVar241, nVar242, nVar243, nVar244, nVar245, nVar246, nVar247, nVar248, nVar249, nVar250, nVar251, nVar252, nVar253, nVar254, nVar255, nVar256, nVar257, nVar258, nVar259, nVar260, nVar261, nVar262, nVar263, nVar264, nVar265, nVar266, nVar267, nVar268, nVar269, nVar270, nVar271, nVar272, nVar273, nVar274, nVar275, nVar276, nVar277, nVar278, nVar279, nVar281, nVar282, nVar283, nVar284, nVar285, nVar286, nVar287, nVar288, nVar289, nVar290, nVar291, nVar292, nVar293, nVar294, nVar295, nVar296, nVar297, nVar298, nVar299, nVar300, nVar301, nVar302, nVar303, nVar304, nVar305, nVar306, nVar307, nVar308, nVar309, nVar310, nVar311, nVar312, nVar313, nVar314, nVar315, nVar316, nVar317, nVar318, nVar319, nVar320, nVar321, nVar322, nVar323, nVar324, nVar325, nVar326, nVar327, nVar328, nVar329, nVar330, nVar331, nVar332, nVar333, nVar334, nVar335, nVar336, nVar337, nVar338, nVar339, nVar340, nVar341, nVar342, nVar343, nVar344, nVar345, nVar346, nVar348, nVar349, nVar350, nVar351, nVar352, nVar353, nVar354, nVar355, nVar356, nVar357, nVar358, nVar359, nVar360, nVar361, nVar362, nVar363, nVar364, nVar365, nVar366, nVar367, nVar368, nVar369, nVar370, nVar371, nVar372, nVar373, nVar374, nVar375, nVar376, nVar377, nVar378, nVar379, nVar380, nVar381, nVar382, nVar383, nVar384, nVar385, nVar386, nVar387, nVar388, nVar389, nVar390, nVar391, nVar392, nVar393, nVar394, nVar395, nVar396, nVar397, nVar398, nVar400, nVar401, nVar402, nVar403, nVar404, nVar405, nVar406, nVar407, nVar408, nVar409, nVar410, nVar411, nVar412, nVar413, nVar414, nVar415, nVar416, nVar417, nVar418, nVar419, nVar420, nVar421, nVar422, nVar423, nVar424, nVar425, nVar426, nVar427, nVar428, nVar429, nVar430, nVar431, nVar432, nVar433, nVar434, nVar435, nVar436, nVar437, nVar438, nVar439, nVar440, nVar441, nVar442, nVar443, nVar444, nVar445, nVar446, nVar447, nVar448, nVar449, nVar450, nVar451, nVar452, nVar453, nVar454, nVar455, nVar456, nVar457, nVar458, nVar459, nVar460, nVar461, nVar462, nVar463, nVar464, nVar465, nVar466, nVar467, nVar468, nVar469, nVar470, nVar471, nVar472, nVar473, nVar474, nVar475, nVar476, nVar477, nVar478, nVar479, nVar480, nVar481, nVar482, nVar483, nVar484, nVar485, nVar486, nVar487, nVar488, nVar489, nVar490, nVar491, nVar492, nVar493, nVar494, nVar495, nVar496, nVar497, nVar498, nVar499, nVar500, nVar501, nVar502, nVar503, nVar504, nVar505, nVar506, nVar507, nVar508, nVar509, nVar510, nVar511, nVar512, nVar513, nVar514, nVar515, nVar516, nVar517, nVar518, nVar519, nVar520, nVar521, nVar522, nVar523, nVar524, nVar525, nVar526, nVar527, nVar528, nVar529, nVar530, nVar531, nVar532, nVar533, nVar534, nVar535, nVar536, nVar537, nVar538, nVar539, nVar540, nVar541, nVar542, nVar543, nVar544, nVar545, nVar546, nVar547, nVar548, nVar549, nVar550, nVar551, nVar552, nVar553, nVar554, nVar555, nVar556, nVar557, nVar558, nVar559, nVar560, nVar561, nVar562, nVar563, nVar564, nVar565, nVar566, nVar567, nVar568, nVar569, nVar570, nVar571, nVar572, nVar573, nVar574, nVar575, nVar576, nVar577, nVar578, nVar579, nVar580, nVar581, nVar582, nVar583, nVar584, nVar585, nVar586, nVar587, nVar588, nVar589, nVar590, nVar591, nVar592, nVar593, nVar594, nVar595, nVar596, nVar597, nVar598, nVar599, nVar600, nVar601, nVar602, nVar603, nVar604, nVar605, nVar606, nVar607, nVar608, nVar609, nVar610, nVar611, nVar612, nVar613, nVar614, nVar615, nVar616, nVar617, nVar618, nVar619, nVar620, nVar621, nVar622, nVar623, nVar624, nVar625, nVar626, nVar627, nVar628, nVar629, nVar630, nVar631, nVar632, nVar633, nVar634, nVar635, nVar636, nVar637, nVar638, nVar639, nVar640, nVar641, nVar642, nVar643, nVar644, nVar645, nVar646, nVar647, nVar648, nVar649, nVar650, nVar651, nVar652, nVar653, nVar654, nVar655, nVar656, nVar657, nVar658, nVar659, nVar660, nVar661, nVar662, nVar663, nVar664, nVar665, nVar666, nVar667, nVar668, nVar669, nVar670, nVar671, nVar672, nVar673, nVar674, nVar675, nVar676, nVar677, nVar678, nVar679, nVar680, nVar681, nVar682, nVar683, nVar684, nVar685, nVar686, nVar687, nVar688, nVar689, nVar690, nVar691, nVar692, nVar693, nVar694, nVar695, nVar696, nVar697, nVar698, nVar699, nVar700, nVar701, nVar702, nVar703, nVar704, nVar705, nVar706, nVar707, nVar708, nVar709, nVar710, nVar711, nVar712, nVar713, nVar714, nVar715, nVar716, nVar717, nVar718, nVar719, nVar720, nVar721, nVar722, nVar723, nVar724, nVar725, nVar726, nVar727, nVar728, nVar729, nVar730, nVar731, nVar732, nVar733, nVar734, nVar735, nVar736, nVar737, nVar738, nVar739, nVar740, nVar741, nVar742, nVar743, nVar744, nVar745, nVar746, nVar747, nVar748, nVar749, nVar750, nVar751, nVar752, nVar753, nVar754, nVar755, nVar756, nVar757, nVar758, nVar759, nVar760, nVar761, nVar762, nVar763, nVar764, nVar765, nVar766, nVar767, nVar768, nVar769, nVar770, nVar771, nVar772, nVar773, nVar774, nVar775, nVar776, nVar777, nVar778, nVar779, nVar780, nVar781, nVar782, nVar783, nVar784, nVar785, nVar786, nVar787, nVar788, nVar789, nVar790, nVar791, nVar792, nVar793, nVar794, nVar795, nVar796, nVar797, nVar798, nVar799, nVar800, nVar801, nVar802, nVar803, nVar804, nVar805, nVar806, nVar807, nVar808, nVar809, nVar810, nVar811, nVar812, nVar813, nVar814, nVar815, nVar816, nVar817, nVar818, nVar819, nVar820, nVar821, nVar822, nVar823, nVar824, nVar825, nVar826, nVar827, nVar828, nVar829, nVar830, nVar831, nVar832, nVar833, nVar834, nVar835, nVar836, nVar837, nVar838, nVar839, nVar840, nVar841, nVar842, nVar843, nVar844, nVar845, nVar846, nVar847, nVar848, nVar849, nVar850, nVar851, nVar852, nVar853, nVar854, nVar855, nVar856, nVar857, nVar858, nVar859, nVar860, nVar861, nVar862, nVar863, nVar864, nVar865, nVar866, nVar867, nVar868, nVar869, nVar870, nVar871, nVar872, nVar873, nVar874, nVar875, nVar876, nVar877, nVar878, nVar879, nVar880, nVar881, nVar882, nVar883, nVar884, nVar885, nVar886, nVar887, nVar888, nVar889, nVar890, nVar891, nVar892, nVar893, nVar894, nVar895, nVar896, nVar897, nVar898, nVar899, nVar900, nVar901, nVar902, nVar903, nVar904, nVar905, nVar906, nVar907, nVar908, nVar909, nVar910, nVar911, nVar912, nVar913, nVar914, nVar915, nVar916, nVar917, nVar918, nVar919, nVar920, nVar921, nVar922, nVar923, nVar924, nVar925, nVar926, nVar927, nVar928, nVar929, nVar930, nVar931, nVar932, nVar933, nVar934, nVar935, nVar936, nVar937, nVar938, nVar939, nVar940, nVar941, nVar942, nVar943, nVar944, nVar945, nVar946, nVar947, nVar948, nVar949, nVar950, nVar951, nVar952, nVar953, nVar954, nVar955, nVar956, nVar957, nVar958, nVar959, nVar960, nVar961, nVar962, nVar963, nVar964, nVar965, nVar966, nVar967, nVar968, nVar969, nVar970, nVar971, nVar972, nVar973, nVar974, nVar975, nVar976, nVar977, nVar978, nVar979, nVar980, nVar981, nVar982, nVar983, nVar984, nVar985, nVar986, nVar987, nVar988, nVar989, nVar990, nVar991, nVar992, nVar993, nVar994, nVar995, nVar996, nVar997, nVar998, nVar999, nVar1000, nVar1001, nVar1002, nVar1003, nVar1004, nVar1005, nVar1006, nVar1007, nVar1008, nVar1009, nVar1010, nVar1011, nVar1012, nVar1013, nVar1014, nVar1015, nVar1016, nVar1017, nVar1018, nVar1019, nVar1020, nVar1021, nVar1022, nVar1023, nVar1024, nVar1025, nVar1026, nVar1027, nVar1028, nVar1029, nVar1030, nVar1031, nVar1032, nVar1033, nVar1034, nVar1035, nVar1036, nVar1037, nVar1038, nVar1039, nVar1041, nVar1042, nVar1043, nVar1044, nVar1045, nVar1046, nVar1047, nVar1048, nVar1049, nVar1050, nVar1051, nVar1052, nVar1053, nVar1054, nVar1055, nVar1056, nVar1057, nVar1058, nVar1059, nVar1060, nVar1061, nVar1062, nVar1063, nVar1064, nVar1065, nVar1066, nVar1067, nVar1068, nVar1069, nVar1070, nVar1071, nVar1072, nVar1073, nVar1074, nVar1075, nVar1076, nVar1077, nVar1078, nVar1079, nVar1080, nVar1081, nVar1082, nVar1083, nVar1084, nVar1085, nVar1086, nVar1087, nVar1088, nVar1089, nVar1090, nVar1091, nVar1092, nVar1093, nVar1094, nVar1095, nVar1096, nVar1097, nVar1098, nVar1099, nVar1100, nVar1101, nVar1102, nVar1103, nVar1104, nVar1105, nVar1106, nVar1107, nVar1108, nVar1109, nVar1110, nVar1111, nVar1112, nVar1113, nVar1114, nVar1115, nVar1116, nVar1117, nVar1118, nVar1119, nVar1120, nVar1121, nVar1122, nVar1123, nVar1124, nVar1125, nVar1126, nVar1127, nVar1128, nVar1129, nVar1130, nVar1131, nVar1132, nVar1133, nVar1134, nVar1135, nVar1136, nVar1137, nVar1138, nVar1139, nVar1140, nVar1141, nVar1142, nVar1143, nVar1144, nVar1145, nVar1146, nVar1147, nVar1148, nVar1149, nVar1150, nVar1151, nVar1152, nVar1153, nVar1154, nVar1155, nVar1156, nVar1157, nVar1158, nVar1159, nVar1160, nVar1161, nVar1162, nVar1163, nVar1164, nVar1165, nVar1166, nVar1167, nVar1168, nVar1169, nVar1170, nVar1171, nVar1172, nVar1173, nVar1174, nVar1176, nVar1177, nVar1178, nVar1179, nVar1180, nVar1181, nVar1182, nVar1183, nVar1184, nVar1185, nVar1186, nVar1187, nVar1188, nVar1189, nVar1190, nVar1191, nVar1192, nVar1193, nVar1194, nVar1195, nVar1196, nVar1197, nVar1198, nVar1199, nVar1200, nVar1201, nVar1202, nVar1203, nVar1204, nVar1205, nVar1206, nVar1207, nVar1208, nVar1209, nVar1210, nVar1211, nVar1212, nVar1213, nVar1214, nVar1215, nVar1216, nVar1217, nVar1218, nVar1219, nVar1220, nVar1221, nVar1222, nVar1223, nVar1224, nVar1225, nVar1226, nVar1227, nVar1228, nVar1229, nVar1230, nVar1231, nVar1232, nVar1233, nVar1234, nVar1235, nVar1236, nVar1237, nVar1238, nVar1239, nVar1240, nVar1241, nVar1242, nVar1243, nVar1244, nVar1245, nVar1246, nVar1247, nVar1248, nVar1249, nVar1250, nVar1251, nVar1252, nVar1253, nVar1255, nVar1256, nVar1257, nVar1258, nVar1259, nVar1260, nVar1261, nVar1262, nVar1263, nVar1264, nVar1265, nVar1266, nVar1267, nVar1268, nVar1269, nVar1270, nVar1271, nVar1272, nVar1273, nVar1274, nVar1275, nVar1276, nVar1277, nVar1278, nVar1279, nVar1280, nVar1281, nVar1282, nVar1283, nVar1284, nVar1285, nVar1286, nVar1287, nVar1288, nVar1289, nVar1290, nVar1291, nVar1292, nVar1293, nVar1294, nVar1295, nVar1296, nVar1297, nVar1298, nVar1299, nVar1300, nVar1301, nVar1302, nVar1303, nVar1304, nVar1305, nVar1306, nVar1307, nVar1308, nVar1309, nVar1310, nVar1311, nVar1312, nVar1313, nVar1314, nVar1315, nVar1316, nVar1317, nVar1318, nVar1319, nVar1320, nVar1321, nVar1322, nVar1323, nVar1324, nVar1325, nVar1326, nVar1327, nVar1328, nVar1329, nVar1330, nVar1331, nVar1332, nVar1333, nVar1334, nVar1335, nVar1336, nVar1337, nVar1338, nVar1339, nVar1340, nVar1341, nVar1342, nVar1343, nVar1344, nVar1345, nVar1346, nVar1347, nVar1348, nVar1349, nVar1350, nVar1351, nVar1352, nVar1353, nVar1354, nVar1355, nVar1356, nVar1357, nVar1358, nVar1359, nVar1360, nVar1361, nVar1362, nVar1363, nVar1364, nVar1365, nVar1366, nVar1367, nVar1368, nVar1369, nVar1370, nVar1371, nVar1372, nVar1373, nVar1374, nVar1375, nVar1376, nVar1377, nVar1378, nVar1379, nVar1380, nVar1381, nVar1382, nVar1383, nVar1384, nVar1385, nVar1386, nVar1387, nVar1388, nVar1389, nVar1390, nVar1391, nVar1392, nVar1393, nVar1394, nVar1395, nVar1396, nVar1397, nVar1398, nVar1399, nVar1400, nVar1401, nVar1402, nVar1403, nVar1404, nVar1405, nVar1406, nVar1407, nVar1408, nVar1409, nVar1410, nVar1411, nVar1412, nVar1413, nVar1414, nVar1415, nVar1416, nVar1417, nVar1418, nVar1419, nVar1420, nVar1421, nVar1422, nVar1423, nVar1424, nVar1425, nVar1426, nVar1427, nVar1428, nVar1429, nVar1430, nVar1431, nVar1432, nVar1433, nVar1434, nVar1435, nVar1436, nVar1437, nVar1438, nVar1439, nVar1440, nVar1441, nVar1442, nVar1443, nVar1444, nVar1445, nVar1446, nVar1447, nVar1448, nVar1449, nVar1450, nVar1451, nVar1452, nVar1453, nVar1454, nVar1455, nVar1456, nVar1457, nVar1458, nVar1459, nVar1460, nVar1461, nVar1462, nVar1463, nVar1464, nVar1465, nVar1466, nVar1467, nVar1468, nVar1469, nVar1470, nVar1471, nVar1472, nVar1473, nVar1474, nVar1475, nVar1476, nVar1477, nVar1478, nVar1479, nVar1480, nVar1481, nVar1482, nVar1483, nVar1484, nVar1485, nVar1486, nVar1487, nVar1488, nVar1489, nVar1490, nVar1491, nVar1492, nVar1493, nVar1494, nVar1495, nVar1496, nVar1497, nVar1498, nVar1499, nVar1500, nVar1501, nVar1502, nVar1503, nVar1504, nVar1505, nVar1506, nVar1507, nVar1508, nVar1509, nVar1510, nVar1511, nVar1512, nVar1513, nVar1514, nVar1515, nVar1516, nVar1517, nVar1518, nVar1519, nVar1520, nVar1521, nVar1522, nVar1523, nVar1524, nVar1525, nVar1526, nVar1527, nVar1528, nVar1529, nVar1530, nVar1531, nVar1532, nVar1533, nVar1534, nVar1535, nVar1536, nVar1537, nVar1538, nVar1539, nVar1540, nVar1541, nVar1542, nVar1543, nVar1544, nVar1545, nVar1546, nVar1547, nVar1548, nVar1549, nVar1550, nVar1551, nVar1552, nVar1553, nVar1554, nVar1555, nVar1556, nVar1557, nVar1558, nVar1559, nVar1560, nVar1561, nVar1562, nVar1563, nVar1564, nVar1565, nVar1566, nVar1567, nVar1568, nVar1569, nVar1570, nVar1571, nVar1572, nVar1573, nVar1574, nVar1575, nVar1576, nVar1577, nVar1578, nVar1579, nVar1580, nVar1581, nVar1582, nVar1583, nVar1584, nVar1585, nVar1586, nVar1587, nVar1588, nVar1589, nVar1590, nVar1591, nVar1592, nVar1593, nVar1594, nVar1595, nVar1596, nVar1597, nVar1598, nVar1599, nVar1600, nVar1601, nVar1602, nVar1603, nVar1604, nVar1605, nVar1606, nVar1607, nVar1608, nVar1609, nVar1610, nVar1611, nVar1612, nVar1613, nVar1614, nVar1615, nVar1616, nVar1617, nVar1618, nVar1619, nVar1620, nVar1621, nVar1622, nVar1623, nVar1624, nVar1625, nVar1626, nVar1627, nVar1628, nVar1629, nVar1630, nVar1631, nVar1632, nVar1633, nVar1634, nVar1635, nVar1636, nVar1637, nVar1638, nVar1639, nVar1640, nVar1641, nVar1642, nVar1643, nVar1644, nVar1645, nVar1646, nVar1647, nVar1648, nVar1649, nVar1650, nVar1651, nVar1652, nVar1653, nVar1654, nVar1655, nVar1656, nVar1657, nVar1658, nVar1659, nVar1660, nVar1661, nVar1662, nVar1663, nVar1664, nVar1665, nVar1666, nVar1667, nVar1668, nVar1669, nVar1670, nVar1671, nVar1672, nVar1673, nVar1674, nVar1675, nVar1676, nVar1677, nVar1678, nVar1679, nVar1680, nVar1681, nVar1682, nVar1683, nVar1684, nVar1685, nVar1686, nVar1687, nVar1688, nVar1689, nVar1690, nVar1691, nVar1692, nVar1693, nVar1694, nVar1695, nVar1696, nVar1697, nVar1698, nVar1699, nVar1700, nVar1701, nVar1702, nVar1703, nVar1704, nVar1705, nVar1706, nVar1707, nVar1708, nVar1709, nVar1710, nVar1711, nVar1712, nVar1713, nVar1714, nVar1715, nVar1716, nVar1717, nVar1718, nVar1719, nVar1720, nVar1721, nVar1722, nVar1723, nVar1724, nVar1725, nVar1726, nVar1727, nVar1728, nVar1729, nVar1730, nVar1731, nVar1732, nVar1733, nVar1734, nVar1735, nVar1736, nVar1737, nVar1738, nVar1739, nVar1740, nVar1741, nVar1742, nVar1743, nVar1744, nVar1745, nVar1746, nVar1747, nVar1748, nVar1749, nVar1750, nVar1751, nVar1752, nVar1753, nVar1754, nVar1755, nVar1756, nVar1757, nVar1758, nVar1759, nVar1760, nVar1761, nVar1762, nVar1763, nVar1764, nVar1765, nVar1766, nVar1767, nVar1768, nVar1769, nVar1770, nVar1771, nVar1772, nVar1773, nVar1774, nVar1775, nVar1776, nVar1777, nVar1778, nVar1779, nVar1780, nVar1781, nVar1782, nVar1783, nVar1784, nVar1785, nVar1786, nVar1787, nVar1788, nVar1789, nVar1790, nVar1791, nVar1792, nVar1793, nVar1794, nVar1795, nVar1796, nVar1797, nVar1798, nVar1799, nVar1800, nVar1801, nVar1802, nVar1803, nVar1804, nVar1805, nVar1806, nVar1807, nVar1808, nVar1809, nVar1810, nVar1811, nVar1812, nVar1813, nVar1814, nVar1815, nVar1816, nVar1817, nVar1818, nVar1819, nVar1820, nVar1821, nVar1822, nVar1823, nVar1824, nVar1825, nVar1826, nVar1827, nVar1828, nVar1829, nVar1830, nVar1831, nVar1832, nVar1833, nVar1834, nVar1835, nVar1836, nVar1837, nVar1838, nVar1839, nVar1840, nVar1841, nVar1842, nVar1843, nVar1844, nVar1845, nVar1846, nVar1847, nVar1848, nVar1849, nVar1850, nVar1851, nVar1852, nVar1853, nVar1854, nVar1855, nVar1856, nVar1857, nVar1858, nVar1859, nVar1860, nVar1861, nVar1862, nVar1863, nVar1864, nVar1865, nVar1866, nVar1867, nVar1868, nVar1869, nVar1870, nVar1871, nVar1872, nVar1873, nVar1874, nVar1875, nVar1876, nVar1877, nVar1878, nVar1879, nVar1880, nVar1881, nVar1882, nVar1883, nVar1884, nVar1885, nVar1886, nVar1887, nVar1888, nVar1889, nVar1890, nVar1891, nVar1892, nVar1893, nVar1894, nVar1895, nVar1896, nVar1897, nVar1898, nVar1899, nVar1900, nVar1901, nVar1902, nVar1903, nVar1904, nVar1905, nVar1906, nVar1907, nVar1908, nVar1909, nVar1910, nVar1911, nVar1912, nVar1913, nVar1914, nVar1915, nVar1916, nVar1917, nVar1918, nVar1919, nVar1920, nVar1921, nVar1922, nVar1923, nVar1924, nVar1925, nVar1926, nVar1928, nVar1929, nVar1930, nVar1931, nVar1932, nVar1933, nVar1934, nVar1935, nVar1936, nVar1937, nVar1938, nVar1939, nVar1940, nVar1941, nVar1942, nVar1943, nVar1944, nVar1945, nVar1946, nVar1947, nVar1948, nVar1949, nVar1950, nVar1951, nVar1952, nVar1953, nVar1954, nVar1955, nVar1956, nVar1957, nVar1958, nVar1959, nVar1960, nVar1961, nVar1962, nVar1963, nVar1964, nVar1965, nVar1966, nVar1967, nVar1968, nVar1969, nVar1970, nVar1971, nVar1972, nVar1973, nVar1974, nVar1975, nVar1976, nVar1977, nVar1978, nVar1979, nVar1980, nVar1981, nVar1982, nVar1983, nVar1984, nVar1985, nVar1986, nVar1987, nVar1988, nVar1989, nVar1990, nVar1991, nVar1992, nVar1993, nVar1994, nVar1995, nVar1996, nVar1997, nVar1998, nVar1999, nVar2000, nVar2001, nVar2002, nVar2003, nVar2004, nVar2005, nVar2006, nVar2007, nVar2008, nVar2009, nVar2010, nVar2011, nVar2012, nVar2013, nVar2014, nVar2015, nVar2016, nVar2017, nVar2018, nVar2019, nVar2020, nVar2021, nVar2022, nVar2023, nVar2024, nVar2025, nVar2026, nVar2027, nVar2028, nVar2029, nVar2030, nVar2031, nVar2032, nVar2033, nVar2034, nVar2035, nVar2036, nVar2037, nVar2038, nVar2039, nVar2040, nVar2041, nVar2042, nVar2043, nVar2044, nVar2045, nVar2046, nVar2047, nVar2048, nVar2049, nVar2050, nVar2051, nVar2052, nVar2053, nVar2054, nVar2055, nVar2056, nVar2057, nVar2058, nVar2059, nVar2060, nVar2061, nVar2062, nVar2063, nVar2064, nVar2065, nVar2066, nVar2067, nVar2068, nVar2069, nVar2070, nVar2071, nVar2072, nVar2073, nVar2074, nVar2075, nVar2076, nVar2077, nVar2078, nVar2079, nVar2080, nVar2081, nVar2082, nVar2083, nVar2084, nVar2085, nVar2086, nVar2087, nVar2088, nVar2089, nVar2090, nVar2091, nVar2092, nVar2093, nVar2094, nVar2095, nVar2096, nVar2097, nVar2098, nVar2099, nVar2100, nVar2101, nVar2102, nVar2104, nVar2105, nVar2106, nVar2107, nVar2108, nVar2109, nVar2110, nVar2111, nVar2112, nVar2113, nVar2114, nVar2115, nVar2116, nVar2117, nVar2118, nVar2119, nVar2120, nVar2121, nVar2122, nVar2123, nVar2124, nVar2125, nVar2126, nVar2127, nVar2128, nVar2129, nVar2130, nVar2131, nVar2132, nVar2133, nVar2134, nVar2135, nVar2136, nVar2137, nVar2138, nVar2139, nVar2140, nVar2141, nVar2142, nVar2143, nVar2144, nVar2145, nVar2146, nVar2147, nVar2148, nVar2149, nVar2150, nVar2151, nVar2152, nVar2153, nVar2154, nVar2155, nVar2156, nVar2157, nVar2158, nVar2159, nVar2160, nVar2161, nVar2162, nVar2163, nVar2164, nVar2165, nVar2166, nVar2167, nVar2168, nVar2169, nVar2170, nVar2171, nVar2172, nVar2173, nVar2174, nVar2175, nVar2176, nVar2177, nVar2178, nVar2180, nVar2181, nVar2182, nVar2183, nVar2184, nVar2185, nVar2186, nVar2187, nVar2188, nVar2189, nVar2190, nVar2191, nVar2192, nVar2193, nVar2194, nVar2195, nVar2196, nVar2197, nVar2198, nVar2199, nVar2200, nVar2201, nVar2202, nVar2203, nVar2204, nVar2205, nVar2206, nVar2207, nVar2208, nVar2209, nVar2210, nVar2211, nVar2212, nVar2213, nVar2214, nVar2215, nVar2216, nVar2217, nVar2218, nVar2219, nVar2220, nVar2221, nVar2222, nVar2223, nVar2224, nVar2225, nVar2226, nVar2227, nVar2228, nVar2229, nVar2230, nVar2231, nVar2232, nVar2233, nVar2234, nVar2235, nVar2236, nVar2237, nVar2238, nVar2239, nVar2240, nVar2241, nVar2242, nVar2243, nVar2244, nVar2245, nVar2246, nVar2247, nVar2248, nVar2249, nVar2250, nVar2251, nVar2252, nVar2253, nVar2254, nVar2255, nVar2256, nVar2257, nVar2258, nVar2259, nVar2260, nVar2261, nVar2262, nVar2263, nVar2264, nVar2265, nVar2266, nVar2267, nVar2268, nVar2269, nVar2270, nVar2271, nVar2272, nVar2273, nVar2274, nVar2275, nVar2276, nVar2277, nVar2278, nVar2279, nVar2280, nVar2281, nVar2282, nVar2283, nVar2284, nVar2285, nVar2286, nVar2287, nVar2288, nVar2289, nVar2290, nVar2291, nVar2292, nVar2293, nVar2294, nVar2295, nVar2296, nVar2297, nVar2298, nVar2299, nVar2300, nVar2301, nVar2302, nVar2303, nVar2304, nVar2305, nVar2306, nVar2307, nVar2308, nVar2309, nVar2310, nVar2311, nVar2312, nVar2313, nVar2314, nVar2315, nVar2316, nVar2317, nVar2318, nVar2319, nVar2320, nVar2321, nVar2322, nVar2323, nVar2324, nVar2325, nVar2326, nVar2327, nVar2328, nVar2329, nVar2330, nVar2331, nVar2332, nVar2333, nVar2334, nVar2335, nVar2336, nVar2337, nVar2338, nVar2339, nVar2340, nVar2341, nVar2342, nVar2343, nVar2344, nVar2345, nVar2346, nVar2347, nVar2348, nVar2349, nVar2350, nVar2351, nVar2352, nVar2353, nVar2354, nVar2355, nVar2356, nVar2357, nVar2358, nVar2359, nVar2360, nVar2361, nVar2362, nVar2363, nVar2364, nVar2365, nVar2366, nVar2367, nVar2368, nVar2369, nVar2370, nVar2371, nVar2372, nVar2373, nVar2374, nVar2375, nVar2376, nVar2377, nVar2378, nVar2379, nVar2380, nVar2381, nVar2382, nVar2383, nVar2384, nVar2385, nVar2386, nVar2387, nVar2388, nVar2389, nVar2390, nVar2391, nVar2392, nVar2393, nVar2394, nVar2395, nVar2396, nVar2397, nVar2398, nVar2399, nVar2400, nVar2401, nVar2402, nVar2403, nVar2404, nVar2405, nVar2406, nVar2407, nVar2408, nVar2409, nVar2410, nVar2411, nVar2412, nVar2413, nVar2414, nVar2415, nVar2416, nVar2417, nVar2418, nVar2419, nVar2420, nVar2421, nVar2422, nVar2423, nVar2424, nVar2425, nVar2426, nVar2427, nVar2428, nVar2429, nVar2430, nVar2431, nVar2432, nVar2433, nVar2434, nVar2435, nVar2436, nVar2437, nVar2438, nVar2439, nVar2440, nVar2441, nVar2442, nVar2443, nVar2444, nVar2445, nVar2446, nVar2447, nVar2448, nVar2449, nVar2450, nVar2451, nVar2452, nVar2453, nVar2454, nVar2455, nVar2456, nVar2457, nVar2458, nVar2459, nVar2460, nVar2461, nVar2462, nVar2463, nVar2464, nVar2465, nVar2466, nVar2467, nVar2468, nVar2469, nVar2470, nVar2471, nVar2472, nVar2473, nVar2474, nVar2475, nVar2476, nVar2477, nVar2478, nVar2479, nVar2480, nVar2481, nVar2482, nVar2483, nVar2484, nVar2485, nVar2486, nVar2487, nVar2488, nVar2489, nVar2490, nVar2491, nVar2492, nVar2493, nVar2494, nVar2495, nVar2496, nVar2497, nVar2498, nVar2499, nVar2500, nVar2501, nVar2502, nVar2503, nVar2504, nVar2505, nVar2506, nVar2507, nVar2508, nVar2509, nVar2510, nVar2511, nVar2512, nVar2513, nVar2514, nVar2515, nVar2516, nVar2517, nVar2518, nVar2519, nVar2520, nVar2521, nVar2522, nVar2523, nVar2524, nVar2525, nVar2526, nVar2527, nVar2528, nVar2529, nVar2530, nVar2531, nVar2532, nVar2533, nVar2534, nVar2535, nVar2536, nVar2537, nVar2538, nVar2539, nVar2540, nVar2541, nVar2542, nVar2543, nVar2544, nVar2545, nVar2546, nVar2547, nVar2548, nVar2549, nVar2550, nVar2551, nVar2552, nVar2553, nVar2554, nVar2555, nVar2556, nVar2557, nVar2558, nVar2559, nVar2560, nVar2561, nVar2562, nVar2563, nVar2564, nVar2565, nVar2566, nVar2567, nVar2568, nVar2569, nVar2570, nVar2571, nVar2572, nVar2573, nVar2574, nVar2575, nVar2576, nVar2577, nVar2578, nVar2579, nVar2580, nVar2581, nVar2582, nVar2583, nVar2584, nVar2585, nVar2586, nVar2587, nVar2588, nVar2589, nVar2590, nVar2591, nVar2592, nVar2593, nVar2594, nVar2595, nVar2596, nVar2597, nVar2598, nVar2599, nVar2600, nVar2601, nVar2602, nVar2603, nVar2604, nVar2605, nVar2606, nVar2607, nVar2608, nVar2609, nVar2610, nVar2611, nVar2612, nVar2613, nVar2614, nVar2615, nVar2616, nVar2617, nVar2618, nVar2619, nVar2620, nVar2622, nVar2623, nVar2624, nVar2625, nVar2626, nVar2627, nVar2628, nVar2629, nVar2630, nVar2631, nVar2633, nVar2634, nVar2635, nVar2636, nVar2637, nVar2638, nVar2639, nVar2640, nVar2641, nVar2642, nVar2643, nVar2644, nVar2645, nVar2646, nVar2647, nVar2648, nVar2649, nVar2650, nVar2651, nVar2652, nVar2653, nVar2654, nVar2655, nVar2656, nVar2657, nVar2658, nVar2659, nVar2660, nVar2661, nVar2662, nVar2663, nVar2664, nVar2665, nVar2666, nVar2667, nVar2668, nVar2669, nVar2670, nVar2671, nVar2672, nVar2673, nVar2674, nVar2675, nVar2676, nVar2677, nVar2678, nVar2679, nVar2680, nVar2681, nVar2682, nVar2683, nVar2684, nVar2685, nVar2686, nVar2687, nVar2688, nVar2689, nVar2690, nVar2691, nVar2692, nVar2693, nVar2694, nVar2695, nVar2696, nVar2697, nVar2698, nVar2699, nVar2700, nVar2701, nVar2702, nVar2703, nVar2704, nVar2705, nVar2706, nVar2707, nVar2708, nVar2709, nVar2710, nVar2711, nVar2712, nVar2713, nVar2714, nVar2715, nVar2716, nVar2717, nVar2718, nVar2719, nVar2720, nVar2721, nVar2722, nVar2723, nVar2724, nVar2725, nVar2726, nVar2727, nVar2728, nVar2729, nVar2730, nVar2731, nVar2732, nVar2733, nVar2734, nVar2735, nVar2736, nVar2737, nVar2738, nVar2739, nVar2740, nVar2741, nVar2742, nVar2743, nVar2744, nVar2745, nVar2746, nVar2747, nVar2748, nVar2749, nVar2750, nVar2751, nVar2752, nVar2753, nVar2755, nVar2756, nVar2757, nVar2758, nVar2759, nVar2760, nVar2761, nVar2762, nVar2763, nVar2764, nVar2765, nVar2766, nVar2767, nVar2768, nVar2769, nVar2770, nVar2771, nVar2772, nVar2773, nVar2774, nVar2775, nVar2776, nVar2777, nVar2778, nVar2779, nVar2780, nVar2781, nVar2782, nVar2783, nVar2784, nVar2785, nVar2786, nVar2787, nVar2788, nVar2789, nVar2790, nVar2791, nVar2792, nVar2793, nVar2794, nVar2795, nVar2796, nVar2797, nVar2798, nVar2799, nVar2800, nVar2801, nVar2802, nVar2803, nVar2804, nVar2805, nVar2806, nVar2807, nVar2808, nVar2809, nVar2810, nVar2811, nVar2812, nVar2813, nVar2814, nVar2815, nVar2816, nVar2817, nVar2818, nVar2819, nVar2820, nVar2821, nVar2822, nVar2823, nVar2824, nVar2825, nVar2826, nVar2827, nVar2828, nVar2829, nVar2830, nVar2831, nVar2832, nVar2833, nVar2834, nVar2835, nVar2836, nVar2837, nVar2838, nVar2839, nVar2840, nVar2841, nVar2842, nVar2843, nVar2844, nVar2845, nVar2846, nVar2847, nVar2848, nVar2849, nVar2850, nVar2851, nVar2852, nVar2853, nVar2854, nVar2855, nVar2856, nVar2857, nVar2858, nVar2859, nVar2860, nVar2861, nVar2862, nVar2863, nVar2864, nVar2865, nVar2866, nVar2867, nVar2868, nVar2869, nVar2870, nVar2871, nVar2872, nVar2873, nVar2874, nVar2875, nVar2876, nVar2877, nVar2878, nVar2879, nVar2880, nVar2881, nVar2882, nVar2883, nVar2884, nVar2885, nVar2886, nVar2887, nVar2888, nVar2889, nVar2890, nVar2891, nVar2892, nVar2893, nVar2894, nVar2895, nVar2896, nVar2897, nVar2898, nVar2899, nVar2900, nVar2901, nVar2902, nVar2903, nVar2904, nVar2905, nVar2906, nVar2907, nVar2908, nVar2909, nVar2910, nVar2911, nVar2912, nVar2913, nVar2914, nVar2915, nVar2916, nVar2917, nVar2918, nVar2919, nVar2920, nVar2921, nVar2922, nVar2923, nVar2924, nVar2925, nVar2926, nVar2927, nVar2928, nVar2929, nVar2930, nVar2931, nVar2932, nVar2933, nVar2934, nVar2935, nVar2936, nVar2937, nVar2938, nVar2939, nVar2940, nVar2941, nVar2942, nVar2943, nVar2944, nVar2945, nVar2946, nVar2947, nVar2948, nVar2949, nVar2950, nVar2951, nVar2952, nVar2953, nVar2954, nVar2955, nVar2956, nVar2957, nVar2958, nVar2959, nVar2960, nVar2961, nVar2962, nVar2963, nVar2964, nVar2965, nVar2966, nVar2967, nVar2968, nVar2969, nVar2970, nVar2971, nVar2972, nVar2973, nVar2974, nVar2975, nVar2976, nVar2977, nVar2978, nVar2979, nVar2980, nVar2981, nVar2982, nVar2983, nVar2984, nVar2985, nVar2986, nVar2987, nVar2988, nVar2989, nVar2990, nVar2991, nVar2992, nVar2993, nVar2994, nVar2995, nVar2996, nVar2997, nVar2998, nVar2999, nVar3000, nVar3001, nVar3002, nVar3003, nVar3004, nVar3005, nVar3006, nVar3007, nVar3008, nVar3009, nVar3010, nVar3011, nVar3012, nVar3013, nVar3014, nVar3015, nVar3016, nVar3017, nVar3018, nVar3019, nVar3020, nVar3021, nVar3022, nVar3023, nVar3024, nVar3025, nVar3026, nVar3027, nVar3028, nVar3029, nVar3030, nVar3031, nVar3032, nVar3033, nVar3034, nVar3035, nVar3036, nVar3037, nVar3038, nVar3039, nVar3040, nVar3041, nVar3042, nVar3043, nVar3044, nVar3045, nVar3046, nVar3047, nVar3049, nVar3050, nVar3051, nVar3052, nVar3053, nVar3054, nVar3055, nVar3056, nVar3057, nVar3058, nVar3059, nVar3060, nVar3061, nVar3063, nVar3064, nVar3065, nVar3066, nVar3067, nVar3068, nVar3069, nVar3070, nVar3071, nVar3072, nVar3073, nVar3074, nVar3075, nVar3076, nVar3077, nVar3078, nVar3079, nVar3080, nVar3081, nVar3082, nVar3083, nVar3084, nVar3085, nVar3086, nVar3087, nVar3088, nVar3089, nVar3090, nVar3091, nVar3092, nVar3093, nVar3094, nVar3095, nVar3096, nVar3097, nVar3098, nVar3099, nVar3100, nVar3101, nVar3102, nVar3103, nVar3104, nVar3105, nVar3106, nVar3107, nVar3108, nVar3109, nVar3110, nVar3111, nVar3112, nVar3113, nVar3114, nVar3115, nVar3116, nVar3117, nVar3118, nVar3119, nVar3120, nVar3121, nVar3122, nVar3123, nVar3124, nVar3125, nVar3126, nVar3127, nVar3128, nVar3129, nVar3130, nVar3131, nVar3132, nVar3133, nVar3134, nVar3135, nVar3136, nVar3137, nVar3138, nVar3140, nVar3141, nVar3142, nVar3143, nVar3144, nVar3145, nVar3146, nVar3147, nVar3148, nVar3149, nVar3150, nVar3151, nVar3152, nVar3153, nVar3154, nVar3155, nVar3156, nVar3157, nVar3158, nVar3159, nVar3160, nVar3161, nVar3162, nVar3163, nVar3164, nVar3165, nVar3166, nVar3167, nVar3168, nVar3169, nVar3170, nVar3171, nVar3172, nVar3173, nVar3174, nVar3175, nVar3176, nVar3177, nVar3178, nVar3179, nVar3180, nVar3181, nVar3182, nVar3183, nVar3184, nVar3185, nVar3186, nVar3187, nVar3188, nVar3189, nVar3190, nVar3191, nVar3192, nVar3193, nVar3194, nVar3195, nVar3196, nVar3197, nVar3198, nVar3199, nVar3200, nVar3201, nVar3202, nVar3203, nVar3204, nVar3205, nVar3206, nVar3207, nVar3208, nVar3209, nVar3210, nVar3211, nVar3212, nVar3213, nVar3214, nVar3215, nVar3216, nVar3217, nVar3218, nVar3219, nVar3220, nVar3221, nVar3222, nVar3223, nVar3224, nVar3225, nVar3226, nVar3227, nVar3228, nVar3229, nVar3230, nVar3231, nVar3232, nVar3233, nVar3234, nVar3235, nVar3236, nVar3237, nVar3238, nVar3239, nVar3240, nVar3241, nVar3242, nVar3243, nVar3244, nVar3245, nVar3246, nVar3247, nVar3248, nVar3249, nVar3250, nVar3251, nVar3252, nVar3253, nVar3254, nVar3255, nVar3256, nVar3257, nVar3258, nVar3259, nVar3260, nVar3261, nVar3262, nVar3263, nVar3264, nVar3265, nVar3266, nVar3267, nVar3268, nVar3269, nVar3270, nVar3271, nVar3272, nVar3273, nVar3274, nVar3275, nVar3276, nVar3277, nVar3278, nVar3279, nVar3280, nVar3281, nVar3282, nVar3283, nVar3284, nVar3285, nVar3286, nVar3287, nVar3288, nVar3289, nVar3290, nVar3291, nVar3292, nVar3293, nVar3294, nVar3295, nVar3296, nVar3297, nVar3298, nVar3299, nVar3300, nVar3301, nVar3302, nVar3303, nVar3304, nVar3305, nVar3306, nVar3307, nVar3308, nVar3309, nVar3310, nVar3311, nVar3312, nVar3313, nVar3314, nVar3315, nVar3316, nVar3317, nVar3318, nVar3319, nVar3320, nVar3321, nVar3322, nVar3323, nVar3324, nVar3325, nVar3326, nVar3327, nVar3328, nVar3329, nVar3330, nVar3331, nVar3332, nVar3333, nVar3334, nVar3335, nVar3336, nVar3337, nVar3338, nVar3339, nVar3340, nVar3341, nVar3342, nVar3343, nVar3344, nVar3345, nVar3346, nVar3347, nVar3348, nVar3349, nVar3350, nVar3351, nVar3352, nVar3353, nVar3354, nVar3355, nVar3356, nVar3357, nVar3358, nVar3359, nVar3360, nVar3361, nVar3362, nVar3363, nVar3364, nVar3365, nVar3366, nVar3367, nVar3368, nVar3369, nVar3370, nVar3371, nVar3372, nVar3373, nVar3374, nVar3375, nVar3376, nVar3377, nVar3378, nVar3379, nVar3380, nVar3381, nVar3382, nVar3383, nVar3384, nVar3385, nVar3386, nVar3387, nVar3388, nVar3389, nVar3390, nVar3391, nVar3392, nVar3393, nVar3394, nVar3395, nVar3396, nVar3397, nVar3398, nVar3399, nVar3400, nVar3401, nVar3402, nVar3403, nVar3404, nVar3405, nVar3406, nVar3407, nVar3408, nVar3409, nVar3410, nVar3411, nVar3412, nVar3413, nVar3414, nVar3415, nVar3416, nVar3417, nVar3418, nVar3419, nVar3420, nVar3421, nVar3422, nVar3423, nVar3424, nVar3425, nVar3426, nVar3427, nVar3428, nVar3429, nVar3430, nVar3431, nVar3432, nVar3433, nVar3434, nVar3435, nVar3436, nVar3437, nVar3438, nVar3439, nVar3440, nVar3441, nVar3442, nVar3443, nVar3444, nVar3445, nVar3446, nVar3447, nVar3448, nVar3449, nVar3450, nVar3451, nVar3452, nVar3453, nVar3454, nVar3455, nVar3456, nVar3457, nVar3458, nVar3459, nVar3460, nVar3461, nVar3462, nVar3463, nVar3464, nVar3465, nVar3466, nVar3467, nVar3468, nVar3469, nVar3470, nVar3471, nVar3472, nVar3473, nVar3474, nVar3475, nVar3476, nVar3477, nVar3478, nVar3479, nVar3480, nVar3481, nVar3482, nVar3483, nVar3484, nVar3485, nVar3486, nVar3487, nVar3488, nVar3489, nVar3490, nVar3491, nVar3492, nVar3493, nVar3494, nVar3495, nVar3496, nVar3497, nVar3498, nVar3499, nVar3500, nVar3501, nVar3502, nVar3503, nVar3504, nVar3505, nVar3506, nVar3507, nVar3508, nVar3509, nVar3510, nVar3511, nVar3512, nVar3513, nVar3514, nVar3515, nVar3516, nVar3517, nVar3518, nVar3519, nVar3520, nVar3521, nVar3522, nVar3523, nVar3524, nVar3525, nVar3526, nVar3527, nVar3528, nVar3529, nVar3530, nVar3531, nVar3532, nVar3533, nVar3534, nVar3535, nVar3536, nVar3537, nVar3538, nVar3539, nVar3540, nVar3541, nVar3542, nVar3543, nVar3544, nVar3545, nVar3546, nVar3547, nVar3548, nVar3549, nVar3550, nVar3551, nVar3552, nVar3553, nVar3554, nVar3555, nVar3556, nVar3557, nVar3558, nVar3559, nVar3560, nVar3561, nVar3562, nVar3563, nVar3564, nVar3565, nVar3566, nVar3567, nVar3568, nVar3569, nVar3570, nVar3571, nVar3572, nVar3573, nVar3574, nVar3575, nVar3576, nVar3577, nVar3578, nVar3579, nVar3580, nVar3581, nVar3582, nVar3583, nVar3584, nVar3585, nVar3586, nVar3587, nVar3588, nVar3589, nVar3590, nVar3591, nVar3592, nVar3593, nVar3594, nVar3595, nVar3596, nVar3597, nVar3598, nVar3599, nVar3600, nVar3601, nVar3602, nVar3603, nVar3604, nVar3605, nVar3606, nVar3607, nVar3608, nVar3609, nVar3610, nVar3611, nVar3612, nVar3613, nVar3614, nVar3615, nVar3616, nVar3617, nVar3618, nVar3619, nVar3620, nVar3621, nVar3622, nVar3623, nVar3624, nVar3625, nVar3626, nVar3627, nVar3628, nVar3629, nVar3630, nVar3631, nVar3632, nVar3633, nVar3634, nVar3635, nVar3636, nVar3637, nVar3638, nVar3639, nVar3640, nVar3641, nVar3642, nVar3643, nVar3644, nVar3645, nVar3646, nVar3647, nVar3648, nVar3649, nVar3650, nVar3651, nVar3652, nVar3653, nVar3654, nVar3655, nVar3656, nVar3657, nVar3658, nVar3659, nVar3660, nVar3661, nVar3662, nVar3663, nVar3664, nVar3665, nVar3666, nVar3667, nVar3668, nVar3669, nVar3670, nVar3671, nVar3672, nVar3673, nVar3674, nVar3675, nVar3676, nVar3677, nVar3678, nVar3679, nVar3680, nVar3681, nVar3682, nVar3683, nVar3684, nVar3685, nVar3686, nVar3687, nVar3688, nVar3689, nVar3690, nVar3691, nVar3692, nVar3693, nVar3694, nVar3695, nVar3696, nVar3697, nVar3698, nVar3699, nVar3700, nVar3701, nVar3702, nVar3703, nVar3704, nVar3705, nVar3706, nVar3707, nVar3708, nVar3709, nVar3710, nVar347, nVar399, nVar1040, nVar1175, nVar2103, nVar2179, nVar2621, nVar2632, nVar2754, nVar3048, nVar3062, nVar3139, nVar3711, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280, nVar3718, nVar3719, nVar3720;
+
+
+
+procedure proc64() returns (nVar4933: int, nVar4934: bool);
+ modifies nVar2, nVar1, nVar3, nVar4, nVar5, nVar6, nVar7, nVar8, nVar9, nVar10, nVar11, nVar12, nVar13, nVar14, nVar15, nVar16, nVar17, nVar18, nVar19, nVar20, nVar21, nVar22, nVar23, nVar24, nVar25, nVar26, nVar27, nVar28, nVar29, nVar30, nVar31, nVar32, nVar33, nVar34, nVar35, nVar36, nVar37, nVar38, nVar39, nVar40, nVar41, nVar42, nVar43, nVar44, nVar45, nVar46, nVar47, nVar48, nVar49, nVar50, nVar51, nVar52, nVar53, nVar54, nVar55, nVar56, nVar57, nVar58, nVar59, nVar60, nVar61, nVar62, nVar63, nVar64, nVar65, nVar66, nVar67, nVar68, nVar69, nVar70, nVar71, nVar72, nVar73, nVar74, nVar75, nVar76, nVar77, nVar78, nVar79, nVar80, nVar81, nVar82, nVar83, nVar84, nVar85, nVar86, nVar87, nVar88, nVar89, nVar90, nVar91, nVar92, nVar93, nVar94, nVar95, nVar96, nVar97, nVar98, nVar99, nVar100, nVar101, nVar102, nVar103, nVar104, nVar105, nVar106, nVar107, nVar108, nVar109, nVar110, nVar111, nVar112, nVar113, nVar114, nVar115, nVar116, nVar117, nVar118, nVar119, nVar120, nVar121, nVar122, nVar123, nVar124, nVar125, nVar126, nVar127, nVar128, nVar129, nVar130, nVar131, nVar132, nVar133, nVar134, nVar135, nVar136, nVar137, nVar138, nVar139, nVar140, nVar141, nVar142, nVar143, nVar144, nVar145, nVar146, nVar147, nVar148, nVar149, nVar150, nVar151, nVar152, nVar153, nVar154, nVar155, nVar156, nVar157, nVar158, nVar159, nVar160, nVar161, nVar162, nVar163, nVar164, nVar165, nVar166, nVar167, nVar168, nVar169, nVar170, nVar171, nVar172, nVar173, nVar174, nVar175, nVar176, nVar177, nVar178, nVar179, nVar180, nVar181, nVar182, nVar183, nVar184, nVar185, nVar186, nVar187, nVar188, nVar189, nVar190, nVar191, nVar192, nVar193, nVar194, nVar195, nVar196, nVar197, nVar198, nVar199, nVar200, nVar201, nVar202, nVar203, nVar204, nVar205, nVar206, nVar207, nVar208, nVar209, nVar210, nVar211, nVar212, nVar213, nVar214, nVar215, nVar216, nVar217, nVar218, nVar219, nVar220, nVar221, nVar222, nVar223, nVar224, nVar225, nVar226, nVar227, nVar228, nVar229, nVar230, nVar231, nVar232, nVar233, nVar234, nVar235, nVar236, nVar237, nVar238, nVar239, nVar240, nVar241, nVar242, nVar243, nVar244, nVar245, nVar246, nVar247, nVar248, nVar249, nVar250, nVar251, nVar252, nVar253, nVar254, nVar255, nVar256, nVar257, nVar258, nVar259, nVar260, nVar261, nVar262, nVar263, nVar264, nVar265, nVar266, nVar267, nVar268, nVar269, nVar270, nVar271, nVar272, nVar273, nVar274, nVar275, nVar276, nVar277, nVar278, nVar279, nVar281, nVar282, nVar283, nVar284, nVar285, nVar286, nVar287, nVar288, nVar289, nVar290, nVar291, nVar292, nVar293, nVar294, nVar295, nVar296, nVar297, nVar298, nVar299, nVar300, nVar301, nVar302, nVar303, nVar304, nVar305, nVar306, nVar307, nVar308, nVar309, nVar310, nVar311, nVar312, nVar313, nVar314, nVar315, nVar316, nVar317, nVar318, nVar319, nVar320, nVar321, nVar322, nVar323, nVar324, nVar325, nVar326, nVar327, nVar328, nVar329, nVar330, nVar331, nVar332, nVar333, nVar334, nVar335, nVar336, nVar337, nVar338, nVar339, nVar340, nVar341, nVar342, nVar343, nVar344, nVar345, nVar346, nVar348, nVar349, nVar350, nVar351, nVar352, nVar353, nVar354, nVar355, nVar356, nVar357, nVar358, nVar359, nVar360, nVar361, nVar362, nVar363, nVar364, nVar365, nVar366, nVar367, nVar368, nVar369, nVar370, nVar371, nVar372, nVar373, nVar374, nVar375, nVar376, nVar377, nVar378, nVar379, nVar380, nVar381, nVar382, nVar383, nVar384, nVar385, nVar386, nVar387, nVar388, nVar389, nVar390, nVar391, nVar392, nVar393, nVar394, nVar395, nVar396, nVar397, nVar398, nVar400, nVar401, nVar402, nVar403, nVar404, nVar405, nVar406, nVar407, nVar408, nVar409, nVar410, nVar411, nVar412, nVar413, nVar414, nVar415, nVar416, nVar417, nVar418, nVar419, nVar420, nVar421, nVar422, nVar423, nVar424, nVar425, nVar426, nVar427, nVar428, nVar429, nVar430, nVar431, nVar432, nVar433, nVar434, nVar435, nVar436, nVar437, nVar438, nVar439, nVar440, nVar441, nVar442, nVar443, nVar444, nVar445, nVar446, nVar447, nVar448, nVar449, nVar450, nVar451, nVar452, nVar453, nVar454, nVar455, nVar456, nVar457, nVar458, nVar459, nVar460, nVar461, nVar462, nVar463, nVar464, nVar465, nVar466, nVar467, nVar468, nVar469, nVar470, nVar471, nVar472, nVar473, nVar474, nVar475, nVar476, nVar477, nVar478, nVar479, nVar480, nVar481, nVar482, nVar483, nVar484, nVar485, nVar486, nVar487, nVar488, nVar489, nVar490, nVar491, nVar492, nVar493, nVar494, nVar495, nVar496, nVar497, nVar498, nVar499, nVar500, nVar501, nVar502, nVar503, nVar504, nVar505, nVar506, nVar507, nVar508, nVar509, nVar510, nVar511, nVar512, nVar513, nVar514, nVar515, nVar516, nVar517, nVar518, nVar519, nVar520, nVar521, nVar522, nVar523, nVar524, nVar525, nVar526, nVar527, nVar528, nVar529, nVar530, nVar531, nVar532, nVar533, nVar534, nVar535, nVar536, nVar537, nVar538, nVar539, nVar540, nVar541, nVar542, nVar543, nVar544, nVar545, nVar546, nVar547, nVar548, nVar549, nVar550, nVar551, nVar552, nVar553, nVar554, nVar555, nVar556, nVar557, nVar558, nVar559, nVar560, nVar561, nVar562, nVar563, nVar564, nVar565, nVar566, nVar567, nVar568, nVar569, nVar570, nVar571, nVar572, nVar573, nVar574, nVar575, nVar576, nVar577, nVar578, nVar579, nVar580, nVar581, nVar582, nVar583, nVar584, nVar585, nVar586, nVar587, nVar588, nVar589, nVar590, nVar591, nVar592, nVar593, nVar594, nVar595, nVar596, nVar597, nVar598, nVar599, nVar600, nVar601, nVar602, nVar603, nVar604, nVar605, nVar606, nVar607, nVar608, nVar609, nVar610, nVar611, nVar612, nVar613, nVar614, nVar615, nVar616, nVar617, nVar618, nVar619, nVar620, nVar621, nVar622, nVar623, nVar624, nVar625, nVar626, nVar627, nVar628, nVar629, nVar630, nVar631, nVar632, nVar633, nVar634, nVar635, nVar636, nVar637, nVar638, nVar639, nVar640, nVar641, nVar642, nVar643, nVar644, nVar645, nVar646, nVar647, nVar648, nVar649, nVar650, nVar651, nVar652, nVar653, nVar654, nVar655, nVar656, nVar657, nVar658, nVar659, nVar660, nVar661, nVar662, nVar663, nVar664, nVar665, nVar666, nVar667, nVar668, nVar669, nVar670, nVar671, nVar672, nVar673, nVar674, nVar675, nVar676, nVar677, nVar678, nVar679, nVar680, nVar681, nVar682, nVar683, nVar684, nVar685, nVar686, nVar687, nVar688, nVar689, nVar690, nVar691, nVar692, nVar693, nVar694, nVar695, nVar696, nVar697, nVar698, nVar699, nVar700, nVar701, nVar702, nVar703, nVar704, nVar705, nVar706, nVar707, nVar708, nVar709, nVar710, nVar711, nVar712, nVar713, nVar714, nVar715, nVar716, nVar717, nVar718, nVar719, nVar720, nVar721, nVar722, nVar723, nVar724, nVar725, nVar726, nVar727, nVar728, nVar729, nVar730, nVar731, nVar732, nVar733, nVar734, nVar735, nVar736, nVar737, nVar738, nVar739, nVar740, nVar741, nVar742, nVar743, nVar744, nVar745, nVar746, nVar747, nVar748, nVar749, nVar750, nVar751, nVar752, nVar753, nVar754, nVar755, nVar756, nVar757, nVar758, nVar759, nVar760, nVar761, nVar762, nVar763, nVar764, nVar765, nVar766, nVar767, nVar768, nVar769, nVar770, nVar771, nVar772, nVar773, nVar774, nVar775, nVar776, nVar777, nVar778, nVar779, nVar780, nVar781, nVar782, nVar783, nVar784, nVar785, nVar786, nVar787, nVar788, nVar789, nVar790, nVar791, nVar792, nVar793, nVar794, nVar795, nVar796, nVar797, nVar798, nVar799, nVar800, nVar801, nVar802, nVar803, nVar804, nVar805, nVar806, nVar807, nVar808, nVar809, nVar810, nVar811, nVar812, nVar813, nVar814, nVar815, nVar816, nVar817, nVar818, nVar819, nVar820, nVar821, nVar822, nVar823, nVar824, nVar825, nVar826, nVar827, nVar828, nVar829, nVar830, nVar831, nVar832, nVar833, nVar834, nVar835, nVar836, nVar837, nVar838, nVar839, nVar840, nVar841, nVar842, nVar843, nVar844, nVar845, nVar846, nVar847, nVar848, nVar849, nVar850, nVar851, nVar852, nVar853, nVar854, nVar855, nVar856, nVar857, nVar858, nVar859, nVar860, nVar861, nVar862, nVar863, nVar864, nVar865, nVar866, nVar867, nVar868, nVar869, nVar870, nVar871, nVar872, nVar873, nVar874, nVar875, nVar876, nVar877, nVar878, nVar879, nVar880, nVar881, nVar882, nVar883, nVar884, nVar885, nVar886, nVar887, nVar888, nVar889, nVar890, nVar891, nVar892, nVar893, nVar894, nVar895, nVar896, nVar897, nVar898, nVar899, nVar900, nVar901, nVar902, nVar903, nVar904, nVar905, nVar906, nVar907, nVar908, nVar909, nVar910, nVar911, nVar912, nVar913, nVar914, nVar915, nVar916, nVar917, nVar918, nVar919, nVar920, nVar921, nVar922, nVar923, nVar924, nVar925, nVar926, nVar927, nVar928, nVar929, nVar930, nVar931, nVar932, nVar933, nVar934, nVar935, nVar936, nVar937, nVar938, nVar939, nVar940, nVar941, nVar942, nVar943, nVar944, nVar945, nVar946, nVar947, nVar948, nVar949, nVar950, nVar951, nVar952, nVar953, nVar954, nVar955, nVar956, nVar957, nVar958, nVar959, nVar960, nVar961, nVar962, nVar963, nVar964, nVar965, nVar966, nVar967, nVar968, nVar969, nVar970, nVar971, nVar972, nVar973, nVar974, nVar975, nVar976, nVar977, nVar978, nVar979, nVar980, nVar981, nVar982, nVar983, nVar984, nVar985, nVar986, nVar987, nVar988, nVar989, nVar990, nVar991, nVar992, nVar993, nVar994, nVar995, nVar996, nVar997, nVar998, nVar999, nVar1000, nVar1001, nVar1002, nVar1003, nVar1004, nVar1005, nVar1006, nVar1007, nVar1008, nVar1009, nVar1010, nVar1011, nVar1012, nVar1013, nVar1014, nVar1015, nVar1016, nVar1017, nVar1018, nVar1019, nVar1020, nVar1021, nVar1022, nVar1023, nVar1024, nVar1025, nVar1026, nVar1027, nVar1028, nVar1029, nVar1030, nVar1031, nVar1032, nVar1033, nVar1034, nVar1035, nVar1036, nVar1037, nVar1038, nVar1039, nVar1041, nVar1042, nVar1043, nVar1044, nVar1045, nVar1046, nVar1047, nVar1048, nVar1049, nVar1050, nVar1051, nVar1052, nVar1053, nVar1054, nVar1055, nVar1056, nVar1057, nVar1058, nVar1059, nVar1060, nVar1061, nVar1062, nVar1063, nVar1064, nVar1065, nVar1066, nVar1067, nVar1068, nVar1069, nVar1070, nVar1071, nVar1072, nVar1073, nVar1074, nVar1075, nVar1076, nVar1077, nVar1078, nVar1079, nVar1080, nVar1081, nVar1082, nVar1083, nVar1084, nVar1085, nVar1086, nVar1087, nVar1088, nVar1089, nVar1090, nVar1091, nVar1092, nVar1093, nVar1094, nVar1095, nVar1096, nVar1097, nVar1098, nVar1099, nVar1100, nVar1101, nVar1102, nVar1103, nVar1104, nVar1105, nVar1106, nVar1107, nVar1108, nVar1109, nVar1110, nVar1111, nVar1112, nVar1113, nVar1114, nVar1115, nVar1116, nVar1117, nVar1118, nVar1119, nVar1120, nVar1121, nVar1122, nVar1123, nVar1124, nVar1125, nVar1126, nVar1127, nVar1128, nVar1129, nVar1130, nVar1131, nVar1132, nVar1133, nVar1134, nVar1135, nVar1136, nVar1137, nVar1138, nVar1139, nVar1140, nVar1141, nVar1142, nVar1143, nVar1144, nVar1145, nVar1146, nVar1147, nVar1148, nVar1149, nVar1150, nVar1151, nVar1152, nVar1153, nVar1154, nVar1155, nVar1156, nVar1157, nVar1158, nVar1159, nVar1160, nVar1161, nVar1162, nVar1163, nVar1164, nVar1165, nVar1166, nVar1167, nVar1168, nVar1169, nVar1170, nVar1171, nVar1172, nVar1173, nVar1174, nVar1176, nVar1177, nVar1178, nVar1179, nVar1180, nVar1181, nVar1182, nVar1183, nVar1184, nVar1185, nVar1186, nVar1187, nVar1188, nVar1189, nVar1190, nVar1191, nVar1192, nVar1193, nVar1194, nVar1195, nVar1196, nVar1197, nVar1198, nVar1199, nVar1200, nVar1201, nVar1202, nVar1203, nVar1204, nVar1205, nVar1206, nVar1207, nVar1208, nVar1209, nVar1210, nVar1211, nVar1212, nVar1213, nVar1214, nVar1215, nVar1216, nVar1217, nVar1218, nVar1219, nVar1220, nVar1221, nVar1222, nVar1223, nVar1224, nVar1225, nVar1226, nVar1227, nVar1228, nVar1229, nVar1230, nVar1231, nVar1232, nVar1233, nVar1234, nVar1235, nVar1236, nVar1237, nVar1238, nVar1239, nVar1240, nVar1241, nVar1242, nVar1243, nVar1244, nVar1245, nVar1246, nVar1247, nVar1248, nVar1249, nVar1250, nVar1251, nVar1252, nVar1253, nVar1255, nVar1256, nVar1257, nVar1258, nVar1259, nVar1260, nVar1261, nVar1262, nVar1263, nVar1264, nVar1265, nVar1266, nVar1267, nVar1268, nVar1269, nVar1270, nVar1271, nVar1272, nVar1273, nVar1274, nVar1275, nVar1276, nVar1277, nVar1278, nVar1279, nVar1280, nVar1281, nVar1282, nVar1283, nVar1284, nVar1285, nVar1286, nVar1287, nVar1288, nVar1289, nVar1290, nVar1291, nVar1292, nVar1293, nVar1294, nVar1295, nVar1296, nVar1297, nVar1298, nVar1299, nVar1300, nVar1301, nVar1302, nVar1303, nVar1304, nVar1305, nVar1306, nVar1307, nVar1308, nVar1309, nVar1310, nVar1311, nVar1312, nVar1313, nVar1314, nVar1315, nVar1316, nVar1317, nVar1318, nVar1319, nVar1320, nVar1321, nVar1322, nVar1323, nVar1324, nVar1325, nVar1326, nVar1327, nVar1328, nVar1329, nVar1330, nVar1331, nVar1332, nVar1333, nVar1334, nVar1335, nVar1336, nVar1337, nVar1338, nVar1339, nVar1340, nVar1341, nVar1342, nVar1343, nVar1344, nVar1345, nVar1346, nVar1347, nVar1348, nVar1349, nVar1350, nVar1351, nVar1352, nVar1353, nVar1354, nVar1355, nVar1356, nVar1357, nVar1358, nVar1359, nVar1360, nVar1361, nVar1362, nVar1363, nVar1364, nVar1365, nVar1366, nVar1367, nVar1368, nVar1369, nVar1370, nVar1371, nVar1372, nVar1373, nVar1374, nVar1375, nVar1376, nVar1377, nVar1378, nVar1379, nVar1380, nVar1381, nVar1382, nVar1383, nVar1384, nVar1385, nVar1386, nVar1387, nVar1388, nVar1389, nVar1390, nVar1391, nVar1392, nVar1393, nVar1394, nVar1395, nVar1396, nVar1397, nVar1398, nVar1399, nVar1400, nVar1401, nVar1402, nVar1403, nVar1404, nVar1405, nVar1406, nVar1407, nVar1408, nVar1409, nVar1410, nVar1411, nVar1412, nVar1413, nVar1414, nVar1415, nVar1416, nVar1417, nVar1418, nVar1419, nVar1420, nVar1421, nVar1422, nVar1423, nVar1424, nVar1425, nVar1426, nVar1427, nVar1428, nVar1429, nVar1430, nVar1431, nVar1432, nVar1433, nVar1434, nVar1435, nVar1436, nVar1437, nVar1438, nVar1439, nVar1440, nVar1441, nVar1442, nVar1443, nVar1444, nVar1445, nVar1446, nVar1447, nVar1448, nVar1449, nVar1450, nVar1451, nVar1452, nVar1453, nVar1454, nVar1455, nVar1456, nVar1457, nVar1458, nVar1459, nVar1460, nVar1461, nVar1462, nVar1463, nVar1464, nVar1465, nVar1466, nVar1467, nVar1468, nVar1469, nVar1470, nVar1471, nVar1472, nVar1473, nVar1474, nVar1475, nVar1476, nVar1477, nVar1478, nVar1479, nVar1480, nVar1481, nVar1482, nVar1483, nVar1484, nVar1485, nVar1486, nVar1487, nVar1488, nVar1489, nVar1490, nVar1491, nVar1492, nVar1493, nVar1494, nVar1495, nVar1496, nVar1497, nVar1498, nVar1499, nVar1500, nVar1501, nVar1502, nVar1503, nVar1504, nVar1505, nVar1506, nVar1507, nVar1508, nVar1509, nVar1510, nVar1511, nVar1512, nVar1513, nVar1514, nVar1515, nVar1516, nVar1517, nVar1518, nVar1519, nVar1520, nVar1521, nVar1522, nVar1523, nVar1524, nVar1525, nVar1526, nVar1527, nVar1528, nVar1529, nVar1530, nVar1531, nVar1532, nVar1533, nVar1534, nVar1535, nVar1536, nVar1537, nVar1538, nVar1539, nVar1540, nVar1541, nVar1542, nVar1543, nVar1544, nVar1545, nVar1546, nVar1547, nVar1548, nVar1549, nVar1550, nVar1551, nVar1552, nVar1553, nVar1554, nVar1555, nVar1556, nVar1557, nVar1558, nVar1559, nVar1560, nVar1561, nVar1562, nVar1563, nVar1564, nVar1565, nVar1566, nVar1567, nVar1568, nVar1569, nVar1570, nVar1571, nVar1572, nVar1573, nVar1574, nVar1575, nVar1576, nVar1577, nVar1578, nVar1579, nVar1580, nVar1581, nVar1582, nVar1583, nVar1584, nVar1585, nVar1586, nVar1587, nVar1588, nVar1589, nVar1590, nVar1591, nVar1592, nVar1593, nVar1594, nVar1595, nVar1596, nVar1597, nVar1598, nVar1599, nVar1600, nVar1601, nVar1602, nVar1603, nVar1604, nVar1605, nVar1606, nVar1607, nVar1608, nVar1609, nVar1610, nVar1611, nVar1612, nVar1613, nVar1614, nVar1615, nVar1616, nVar1617, nVar1618, nVar1619, nVar1620, nVar1621, nVar1622, nVar1623, nVar1624, nVar1625, nVar1626, nVar1627, nVar1628, nVar1629, nVar1630, nVar1631, nVar1632, nVar1633, nVar1634, nVar1635, nVar1636, nVar1637, nVar1638, nVar1639, nVar1640, nVar1641, nVar1642, nVar1643, nVar1644, nVar1645, nVar1646, nVar1647, nVar1648, nVar1649, nVar1650, nVar1651, nVar1652, nVar1653, nVar1654, nVar1655, nVar1656, nVar1657, nVar1658, nVar1659, nVar1660, nVar1661, nVar1662, nVar1663, nVar1664, nVar1665, nVar1666, nVar1667, nVar1668, nVar1669, nVar1670, nVar1671, nVar1672, nVar1673, nVar1674, nVar1675, nVar1676, nVar1677, nVar1678, nVar1679, nVar1680, nVar1681, nVar1682, nVar1683, nVar1684, nVar1685, nVar1686, nVar1687, nVar1688, nVar1689, nVar1690, nVar1691, nVar1692, nVar1693, nVar1694, nVar1695, nVar1696, nVar1697, nVar1698, nVar1699, nVar1700, nVar1701, nVar1702, nVar1703, nVar1704, nVar1705, nVar1706, nVar1707, nVar1708, nVar1709, nVar1710, nVar1711, nVar1712, nVar1713, nVar1714, nVar1715, nVar1716, nVar1717, nVar1718, nVar1719, nVar1720, nVar1721, nVar1722, nVar1723, nVar1724, nVar1725, nVar1726, nVar1727, nVar1728, nVar1729, nVar1730, nVar1731, nVar1732, nVar1733, nVar1734, nVar1735, nVar1736, nVar1737, nVar1738, nVar1739, nVar1740, nVar1741, nVar1742, nVar1743, nVar1744, nVar1745, nVar1746, nVar1747, nVar1748, nVar1749, nVar1750, nVar1751, nVar1752, nVar1753, nVar1754, nVar1755, nVar1756, nVar1757, nVar1758, nVar1759, nVar1760, nVar1761, nVar1762, nVar1763, nVar1764, nVar1765, nVar1766, nVar1767, nVar1768, nVar1769, nVar1770, nVar1771, nVar1772, nVar1773, nVar1774, nVar1775, nVar1776, nVar1777, nVar1778, nVar1779, nVar1780, nVar1781, nVar1782, nVar1783, nVar1784, nVar1785, nVar1786, nVar1787, nVar1788, nVar1789, nVar1790, nVar1791, nVar1792, nVar1793, nVar1794, nVar1795, nVar1796, nVar1797, nVar1798, nVar1799, nVar1800, nVar1801, nVar1802, nVar1803, nVar1804, nVar1805, nVar1806, nVar1807, nVar1808, nVar1809, nVar1810, nVar1811, nVar1812, nVar1813, nVar1814, nVar1815, nVar1816, nVar1817, nVar1818, nVar1819, nVar1820, nVar1821, nVar1822, nVar1823, nVar1824, nVar1825, nVar1826, nVar1827, nVar1828, nVar1829, nVar1830, nVar1831, nVar1832, nVar1833, nVar1834, nVar1835, nVar1836, nVar1837, nVar1838, nVar1839, nVar1840, nVar1841, nVar1842, nVar1843, nVar1844, nVar1845, nVar1846, nVar1847, nVar1848, nVar1849, nVar1850, nVar1851, nVar1852, nVar1853, nVar1854, nVar1855, nVar1856, nVar1857, nVar1858, nVar1859, nVar1860, nVar1861, nVar1862, nVar1863, nVar1864, nVar1865, nVar1866, nVar1867, nVar1868, nVar1869, nVar1870, nVar1871, nVar1872, nVar1873, nVar1874, nVar1875, nVar1876, nVar1877, nVar1878, nVar1879, nVar1880, nVar1881, nVar1882, nVar1883, nVar1884, nVar1885, nVar1886, nVar1887, nVar1888, nVar1889, nVar1890, nVar1891, nVar1892, nVar1893, nVar1894, nVar1895, nVar1896, nVar1897, nVar1898, nVar1899, nVar1900, nVar1901, nVar1902, nVar1903, nVar1904, nVar1905, nVar1906, nVar1907, nVar1908, nVar1909, nVar1910, nVar1911, nVar1912, nVar1913, nVar1914, nVar1915, nVar1916, nVar1917, nVar1918, nVar1919, nVar1920, nVar1921, nVar1922, nVar1923, nVar1924, nVar1925, nVar1926, nVar1928, nVar1929, nVar1930, nVar1931, nVar1932, nVar1933, nVar1934, nVar1935, nVar1936, nVar1937, nVar1938, nVar1939, nVar1940, nVar1941, nVar1942, nVar1943, nVar1944, nVar1945, nVar1946, nVar1947, nVar1948, nVar1949, nVar1950, nVar1951, nVar1952, nVar1953, nVar1954, nVar1955, nVar1956, nVar1957, nVar1958, nVar1959, nVar1960, nVar1961, nVar1962, nVar1963, nVar1964, nVar1965, nVar1966, nVar1967, nVar1968, nVar1969, nVar1970, nVar1971, nVar1972, nVar1973, nVar1974, nVar1975, nVar1976, nVar1977, nVar1978, nVar1979, nVar1980, nVar1981, nVar1982, nVar1983, nVar1984, nVar1985, nVar1986, nVar1987, nVar1988, nVar1989, nVar1990, nVar1991, nVar1992, nVar1993, nVar1994, nVar1995, nVar1996, nVar1997, nVar1998, nVar1999, nVar2000, nVar2001, nVar2002, nVar2003, nVar2004, nVar2005, nVar2006, nVar2007, nVar2008, nVar2009, nVar2010, nVar2011, nVar2012, nVar2013, nVar2014, nVar2015, nVar2016, nVar2017, nVar2018, nVar2019, nVar2020, nVar2021, nVar2022, nVar2023, nVar2024, nVar2025, nVar2026, nVar2027, nVar2028, nVar2029, nVar2030, nVar2031, nVar2032, nVar2033, nVar2034, nVar2035, nVar2036, nVar2037, nVar2038, nVar2039, nVar2040, nVar2041, nVar2042, nVar2043, nVar2044, nVar2045, nVar2046, nVar2047, nVar2048, nVar2049, nVar2050, nVar2051, nVar2052, nVar2053, nVar2054, nVar2055, nVar2056, nVar2057, nVar2058, nVar2059, nVar2060, nVar2061, nVar2062, nVar2063, nVar2064, nVar2065, nVar2066, nVar2067, nVar2068, nVar2069, nVar2070, nVar2071, nVar2072, nVar2073, nVar2074, nVar2075, nVar2076, nVar2077, nVar2078, nVar2079, nVar2080, nVar2081, nVar2082, nVar2083, nVar2084, nVar2085, nVar2086, nVar2087, nVar2088, nVar2089, nVar2090, nVar2091, nVar2092, nVar2093, nVar2094, nVar2095, nVar2096, nVar2097, nVar2098, nVar2099, nVar2100, nVar2101, nVar2102, nVar2104, nVar2105, nVar2106, nVar2107, nVar2108, nVar2109, nVar2110, nVar2111, nVar2112, nVar2113, nVar2114, nVar2115, nVar2116, nVar2117, nVar2118, nVar2119, nVar2120, nVar2121, nVar2122, nVar2123, nVar2124, nVar2125, nVar2126, nVar2127, nVar2128, nVar2129, nVar2130, nVar2131, nVar2132, nVar2133, nVar2134, nVar2135, nVar2136, nVar2137, nVar2138, nVar2139, nVar2140, nVar2141, nVar2142, nVar2143, nVar2144, nVar2145, nVar2146, nVar2147, nVar2148, nVar2149, nVar2150, nVar2151, nVar2152, nVar2153, nVar2154, nVar2155, nVar2156, nVar2157, nVar2158, nVar2159, nVar2160, nVar2161, nVar2162, nVar2163, nVar2164, nVar2165, nVar2166, nVar2167, nVar2168, nVar2169, nVar2170, nVar2171, nVar2172, nVar2173, nVar2174, nVar2175, nVar2176, nVar2177, nVar2178, nVar2180, nVar2181, nVar2182, nVar2183, nVar2184, nVar2185, nVar2186, nVar2187, nVar2188, nVar2189, nVar2190, nVar2191, nVar2192, nVar2193, nVar2194, nVar2195, nVar2196, nVar2197, nVar2198, nVar2199, nVar2200, nVar2201, nVar2202, nVar2203, nVar2204, nVar2205, nVar2206, nVar2207, nVar2208, nVar2209, nVar2210, nVar2211, nVar2212, nVar2213, nVar2214, nVar2215, nVar2216, nVar2217, nVar2218, nVar2219, nVar2220, nVar2221, nVar2222, nVar2223, nVar2224, nVar2225, nVar2226, nVar2227, nVar2228, nVar2229, nVar2230, nVar2231, nVar2232, nVar2233, nVar2234, nVar2235, nVar2236, nVar2237, nVar2238, nVar2239, nVar2240, nVar2241, nVar2242, nVar2243, nVar2244, nVar2245, nVar2246, nVar2247, nVar2248, nVar2249, nVar2250, nVar2251, nVar2252, nVar2253, nVar2254, nVar2255, nVar2256, nVar2257, nVar2258, nVar2259, nVar2260, nVar2261, nVar2262, nVar2263, nVar2264, nVar2265, nVar2266, nVar2267, nVar2268, nVar2269, nVar2270, nVar2271, nVar2272, nVar2273, nVar2274, nVar2275, nVar2276, nVar2277, nVar2278, nVar2279, nVar2280, nVar2281, nVar2282, nVar2283, nVar2284, nVar2285, nVar2286, nVar2287, nVar2288, nVar2289, nVar2290, nVar2291, nVar2292, nVar2293, nVar2294, nVar2295, nVar2296, nVar2297, nVar2298, nVar2299, nVar2300, nVar2301, nVar2302, nVar2303, nVar2304, nVar2305, nVar2306, nVar2307, nVar2308, nVar2309, nVar2310, nVar2311, nVar2312, nVar2313, nVar2314, nVar2315, nVar2316, nVar2317, nVar2318, nVar2319, nVar2320, nVar2321, nVar2322, nVar2323, nVar2324, nVar2325, nVar2326, nVar2327, nVar2328, nVar2329, nVar2330, nVar2331, nVar2332, nVar2333, nVar2334, nVar2335, nVar2336, nVar2337, nVar2338, nVar2339, nVar2340, nVar2341, nVar2342, nVar2343, nVar2344, nVar2345, nVar2346, nVar2347, nVar2348, nVar2349, nVar2350, nVar2351, nVar2352, nVar2353, nVar2354, nVar2355, nVar2356, nVar2357, nVar2358, nVar2359, nVar2360, nVar2361, nVar2362, nVar2363, nVar2364, nVar2365, nVar2366, nVar2367, nVar2368, nVar2369, nVar2370, nVar2371, nVar2372, nVar2373, nVar2374, nVar2375, nVar2376, nVar2377, nVar2378, nVar2379, nVar2380, nVar2381, nVar2382, nVar2383, nVar2384, nVar2385, nVar2386, nVar2387, nVar2388, nVar2389, nVar2390, nVar2391, nVar2392, nVar2393, nVar2394, nVar2395, nVar2396, nVar2397, nVar2398, nVar2399, nVar2400, nVar2401, nVar2402, nVar2403, nVar2404, nVar2405, nVar2406, nVar2407, nVar2408, nVar2409, nVar2410, nVar2411, nVar2412, nVar2413, nVar2414, nVar2415, nVar2416, nVar2417, nVar2418, nVar2419, nVar2420, nVar2421, nVar2422, nVar2423, nVar2424, nVar2425, nVar2426, nVar2427, nVar2428, nVar2429, nVar2430, nVar2431, nVar2432, nVar2433, nVar2434, nVar2435, nVar2436, nVar2437, nVar2438, nVar2439, nVar2440, nVar2441, nVar2442, nVar2443, nVar2444, nVar2445, nVar2446, nVar2447, nVar2448, nVar2449, nVar2450, nVar2451, nVar2452, nVar2453, nVar2454, nVar2455, nVar2456, nVar2457, nVar2458, nVar2459, nVar2460, nVar2461, nVar2462, nVar2463, nVar2464, nVar2465, nVar2466, nVar2467, nVar2468, nVar2469, nVar2470, nVar2471, nVar2472, nVar2473, nVar2474, nVar2475, nVar2476, nVar2477, nVar2478, nVar2479, nVar2480, nVar2481, nVar2482, nVar2483, nVar2484, nVar2485, nVar2486, nVar2487, nVar2488, nVar2489, nVar2490, nVar2491, nVar2492, nVar2493, nVar2494, nVar2495, nVar2496, nVar2497, nVar2498, nVar2499, nVar2500, nVar2501, nVar2502, nVar2503, nVar2504, nVar2505, nVar2506, nVar2507, nVar2508, nVar2509, nVar2510, nVar2511, nVar2512, nVar2513, nVar2514, nVar2515, nVar2516, nVar2517, nVar2518, nVar2519, nVar2520, nVar2521, nVar2522, nVar2523, nVar2524, nVar2525, nVar2526, nVar2527, nVar2528, nVar2529, nVar2530, nVar2531, nVar2532, nVar2533, nVar2534, nVar2535, nVar2536, nVar2537, nVar2538, nVar2539, nVar2540, nVar2541, nVar2542, nVar2543, nVar2544, nVar2545, nVar2546, nVar2547, nVar2548, nVar2549, nVar2550, nVar2551, nVar2552, nVar2553, nVar2554, nVar2555, nVar2556, nVar2557, nVar2558, nVar2559, nVar2560, nVar2561, nVar2562, nVar2563, nVar2564, nVar2565, nVar2566, nVar2567, nVar2568, nVar2569, nVar2570, nVar2571, nVar2572, nVar2573, nVar2574, nVar2575, nVar2576, nVar2577, nVar2578, nVar2579, nVar2580, nVar2581, nVar2582, nVar2583, nVar2584, nVar2585, nVar2586, nVar2587, nVar2588, nVar2589, nVar2590, nVar2591, nVar2592, nVar2593, nVar2594, nVar2595, nVar2596, nVar2597, nVar2598, nVar2599, nVar2600, nVar2601, nVar2602, nVar2603, nVar2604, nVar2605, nVar2606, nVar2607, nVar2608, nVar2609, nVar2610, nVar2611, nVar2612, nVar2613, nVar2614, nVar2615, nVar2616, nVar2617, nVar2618, nVar2619, nVar2620, nVar2622, nVar2623, nVar2624, nVar2625, nVar2626, nVar2627, nVar2628, nVar2629, nVar2630, nVar2631, nVar2633, nVar2634, nVar2635, nVar2636, nVar2637, nVar2638, nVar2639, nVar2640, nVar2641, nVar2642, nVar2643, nVar2644, nVar2645, nVar2646, nVar2647, nVar2648, nVar2649, nVar2650, nVar2651, nVar2652, nVar2653, nVar2654, nVar2655, nVar2656, nVar2657, nVar2658, nVar2659, nVar2660, nVar2661, nVar2662, nVar2663, nVar2664, nVar2665, nVar2666, nVar2667, nVar2668, nVar2669, nVar2670, nVar2671, nVar2672, nVar2673, nVar2674, nVar2675, nVar2676, nVar2677, nVar2678, nVar2679, nVar2680, nVar2681, nVar2682, nVar2683, nVar2684, nVar2685, nVar2686, nVar2687, nVar2688, nVar2689, nVar2690, nVar2691, nVar2692, nVar2693, nVar2694, nVar2695, nVar2696, nVar2697, nVar2698, nVar2699, nVar2700, nVar2701, nVar2702, nVar2703, nVar2704, nVar2705, nVar2706, nVar2707, nVar2708, nVar2709, nVar2710, nVar2711, nVar2712, nVar2713, nVar2714, nVar2715, nVar2716, nVar2717, nVar2718, nVar2719, nVar2720, nVar2721, nVar2722, nVar2723, nVar2724, nVar2725, nVar2726, nVar2727, nVar2728, nVar2729, nVar2730, nVar2731, nVar2732, nVar2733, nVar2734, nVar2735, nVar2736, nVar2737, nVar2738, nVar2739, nVar2740, nVar2741, nVar2742, nVar2743, nVar2744, nVar2745, nVar2746, nVar2747, nVar2748, nVar2749, nVar2750, nVar2751, nVar2752, nVar2753, nVar2755, nVar2756, nVar2757, nVar2758, nVar2759, nVar2760, nVar2761, nVar2762, nVar2763, nVar2764, nVar2765, nVar2766, nVar2767, nVar2768, nVar2769, nVar2770, nVar2771, nVar2772, nVar2773, nVar2774, nVar2775, nVar2776, nVar2777, nVar2778, nVar2779, nVar2780, nVar2781, nVar2782, nVar2783, nVar2784, nVar2785, nVar2786, nVar2787, nVar2788, nVar2789, nVar2790, nVar2791, nVar2792, nVar2793, nVar2794, nVar2795, nVar2796, nVar2797, nVar2798, nVar2799, nVar2800, nVar2801, nVar2802, nVar2803, nVar2804, nVar2805, nVar2806, nVar2807, nVar2808, nVar2809, nVar2810, nVar2811, nVar2812, nVar2813, nVar2814, nVar2815, nVar2816, nVar2817, nVar2818, nVar2819, nVar2820, nVar2821, nVar2822, nVar2823, nVar2824, nVar2825, nVar2826, nVar2827, nVar2828, nVar2829, nVar2830, nVar2831, nVar2832, nVar2833, nVar2834, nVar2835, nVar2836, nVar2837, nVar2838, nVar2839, nVar2840, nVar2841, nVar2842, nVar2843, nVar2844, nVar2845, nVar2846, nVar2847, nVar2848, nVar2849, nVar2850, nVar2851, nVar2852, nVar2853, nVar2854, nVar2855, nVar2856, nVar2857, nVar2858, nVar2859, nVar2860, nVar2861, nVar2862, nVar2863, nVar2864, nVar2865, nVar2866, nVar2867, nVar2868, nVar2869, nVar2870, nVar2871, nVar2872, nVar2873, nVar2874, nVar2875, nVar2876, nVar2877, nVar2878, nVar2879, nVar2880, nVar2881, nVar2882, nVar2883, nVar2884, nVar2885, nVar2886, nVar2887, nVar2888, nVar2889, nVar2890, nVar2891, nVar2892, nVar2893, nVar2894, nVar2895, nVar2896, nVar2897, nVar2898, nVar2899, nVar2900, nVar2901, nVar2902, nVar2903, nVar2904, nVar2905, nVar2906, nVar2907, nVar2908, nVar2909, nVar2910, nVar2911, nVar2912, nVar2913, nVar2914, nVar2915, nVar2916, nVar2917, nVar2918, nVar2919, nVar2920, nVar2921, nVar2922, nVar2923, nVar2924, nVar2925, nVar2926, nVar2927, nVar2928, nVar2929, nVar2930, nVar2931, nVar2932, nVar2933, nVar2934, nVar2935, nVar2936, nVar2937, nVar2938, nVar2939, nVar2940, nVar2941, nVar2942, nVar2943, nVar2944, nVar2945, nVar2946, nVar2947, nVar2948, nVar2949, nVar2950, nVar2951, nVar2952, nVar2953, nVar2954, nVar2955, nVar2956, nVar2957, nVar2958, nVar2959, nVar2960, nVar2961, nVar2962, nVar2963, nVar2964, nVar2965, nVar2966, nVar2967, nVar2968, nVar2969, nVar2970, nVar2971, nVar2972, nVar2973, nVar2974, nVar2975, nVar2976, nVar2977, nVar2978, nVar2979, nVar2980, nVar2981, nVar2982, nVar2983, nVar2984, nVar2985, nVar2986, nVar2987, nVar2988, nVar2989, nVar2990, nVar2991, nVar2992, nVar2993, nVar2994, nVar2995, nVar2996, nVar2997, nVar2998, nVar2999, nVar3000, nVar3001, nVar3002, nVar3003, nVar3004, nVar3005, nVar3006, nVar3007, nVar3008, nVar3009, nVar3010, nVar3011, nVar3012, nVar3013, nVar3014, nVar3015, nVar3016, nVar3017, nVar3018, nVar3019, nVar3020, nVar3021, nVar3022, nVar3023, nVar3024, nVar3025, nVar3026, nVar3027, nVar3028, nVar3029, nVar3030, nVar3031, nVar3032, nVar3033, nVar3034, nVar3035, nVar3036, nVar3037, nVar3038, nVar3039, nVar3040, nVar3041, nVar3042, nVar3043, nVar3044, nVar3045, nVar3046, nVar3047, nVar3049, nVar3050, nVar3051, nVar3052, nVar3053, nVar3054, nVar3055, nVar3056, nVar3057, nVar3058, nVar3059, nVar3060, nVar3061, nVar3063, nVar3064, nVar3065, nVar3066, nVar3067, nVar3068, nVar3069, nVar3070, nVar3071, nVar3072, nVar3073, nVar3074, nVar3075, nVar3076, nVar3077, nVar3078, nVar3079, nVar3080, nVar3081, nVar3082, nVar3083, nVar3084, nVar3085, nVar3086, nVar3087, nVar3088, nVar3089, nVar3090, nVar3091, nVar3092, nVar3093, nVar3094, nVar3095, nVar3096, nVar3097, nVar3098, nVar3099, nVar3100, nVar3101, nVar3102, nVar3103, nVar3104, nVar3105, nVar3106, nVar3107, nVar3108, nVar3109, nVar3110, nVar3111, nVar3112, nVar3113, nVar3114, nVar3115, nVar3116, nVar3117, nVar3118, nVar3119, nVar3120, nVar3121, nVar3122, nVar3123, nVar3124, nVar3125, nVar3126, nVar3127, nVar3128, nVar3129, nVar3130, nVar3131, nVar3132, nVar3133, nVar3134, nVar3135, nVar3136, nVar3137, nVar3138, nVar3140, nVar3141, nVar3142, nVar3143, nVar3144, nVar3145, nVar3146, nVar3147, nVar3148, nVar3149, nVar3150, nVar3151, nVar3152, nVar3153, nVar3154, nVar3155, nVar3156, nVar3157, nVar3158, nVar3159, nVar3160, nVar3161, nVar3162, nVar3163, nVar3164, nVar3165, nVar3166, nVar3167, nVar3168, nVar3169, nVar3170, nVar3171, nVar3172, nVar3173, nVar3174, nVar3175, nVar3176, nVar3177, nVar3178, nVar3179, nVar3180, nVar3181, nVar3182, nVar3183, nVar3184, nVar3185, nVar3186, nVar3187, nVar3188, nVar3189, nVar3190, nVar3191, nVar3192, nVar3193, nVar3194, nVar3195, nVar3196, nVar3197, nVar3198, nVar3199, nVar3200, nVar3201, nVar3202, nVar3203, nVar3204, nVar3205, nVar3206, nVar3207, nVar3208, nVar3209, nVar3210, nVar3211, nVar3212, nVar3213, nVar3214, nVar3215, nVar3216, nVar3217, nVar3218, nVar3219, nVar3220, nVar3221, nVar3222, nVar3223, nVar3224, nVar3225, nVar3226, nVar3227, nVar3228, nVar3229, nVar3230, nVar3231, nVar3232, nVar3233, nVar3234, nVar3235, nVar3236, nVar3237, nVar3238, nVar3239, nVar3240, nVar3241, nVar3242, nVar3243, nVar3244, nVar3245, nVar3246, nVar3247, nVar3248, nVar3249, nVar3250, nVar3251, nVar3252, nVar3253, nVar3254, nVar3255, nVar3256, nVar3257, nVar3258, nVar3259, nVar3260, nVar3261, nVar3262, nVar3263, nVar3264, nVar3265, nVar3266, nVar3267, nVar3268, nVar3269, nVar3270, nVar3271, nVar3272, nVar3273, nVar3274, nVar3275, nVar3276, nVar3277, nVar3278, nVar3279, nVar3280, nVar3281, nVar3282, nVar3283, nVar3284, nVar3285, nVar3286, nVar3287, nVar3288, nVar3289, nVar3290, nVar3291, nVar3292, nVar3293, nVar3294, nVar3295, nVar3296, nVar3297, nVar3298, nVar3299, nVar3300, nVar3301, nVar3302, nVar3303, nVar3304, nVar3305, nVar3306, nVar3307, nVar3308, nVar3309, nVar3310, nVar3311, nVar3312, nVar3313, nVar3314, nVar3315, nVar3316, nVar3317, nVar3318, nVar3319, nVar3320, nVar3321, nVar3322, nVar3323, nVar3324, nVar3325, nVar3326, nVar3327, nVar3328, nVar3329, nVar3330, nVar3331, nVar3332, nVar3333, nVar3334, nVar3335, nVar3336, nVar3337, nVar3338, nVar3339, nVar3340, nVar3341, nVar3342, nVar3343, nVar3344, nVar3345, nVar3346, nVar3347, nVar3348, nVar3349, nVar3350, nVar3351, nVar3352, nVar3353, nVar3354, nVar3355, nVar3356, nVar3357, nVar3358, nVar3359, nVar3360, nVar3361, nVar3362, nVar3363, nVar3364, nVar3365, nVar3366, nVar3367, nVar3368, nVar3369, nVar3370, nVar3371, nVar3372, nVar3373, nVar3374, nVar3375, nVar3376, nVar3377, nVar3378, nVar3379, nVar3380, nVar3381, nVar3382, nVar3383, nVar3384, nVar3385, nVar3386, nVar3387, nVar3388, nVar3389, nVar3390, nVar3391, nVar3392, nVar3393, nVar3394, nVar3395, nVar3396, nVar3397, nVar3398, nVar3399, nVar3400, nVar3401, nVar3402, nVar3403, nVar3404, nVar3405, nVar3406, nVar3407, nVar3408, nVar3409, nVar3410, nVar3411, nVar3412, nVar3413, nVar3414, nVar3415, nVar3416, nVar3417, nVar3418, nVar3419, nVar3420, nVar3421, nVar3422, nVar3423, nVar3424, nVar3425, nVar3426, nVar3427, nVar3428, nVar3429, nVar3430, nVar3431, nVar3432, nVar3433, nVar3434, nVar3435, nVar3436, nVar3437, nVar3438, nVar3439, nVar3440, nVar3441, nVar3442, nVar3443, nVar3444, nVar3445, nVar3446, nVar3447, nVar3448, nVar3449, nVar3450, nVar3451, nVar3452, nVar3453, nVar3454, nVar3455, nVar3456, nVar3457, nVar3458, nVar3459, nVar3460, nVar3461, nVar3462, nVar3463, nVar3464, nVar3465, nVar3466, nVar3467, nVar3468, nVar3469, nVar3470, nVar3471, nVar3472, nVar3473, nVar3474, nVar3475, nVar3476, nVar3477, nVar3478, nVar3479, nVar3480, nVar3481, nVar3482, nVar3483, nVar3484, nVar3485, nVar3486, nVar3487, nVar3488, nVar3489, nVar3490, nVar3491, nVar3492, nVar3493, nVar3494, nVar3495, nVar3496, nVar3497, nVar3498, nVar3499, nVar3500, nVar3501, nVar3502, nVar3503, nVar3504, nVar3505, nVar3506, nVar3507, nVar3508, nVar3509, nVar3510, nVar3511, nVar3512, nVar3513, nVar3514, nVar3515, nVar3516, nVar3517, nVar3518, nVar3519, nVar3520, nVar3521, nVar3522, nVar3523, nVar3524, nVar3525, nVar3526, nVar3527, nVar3528, nVar3529, nVar3530, nVar3531, nVar3532, nVar3533, nVar3534, nVar3535, nVar3536, nVar3537, nVar3538, nVar3539, nVar3540, nVar3541, nVar3542, nVar3543, nVar3544, nVar3545, nVar3546, nVar3547, nVar3548, nVar3549, nVar3550, nVar3551, nVar3552, nVar3553, nVar3554, nVar3555, nVar3556, nVar3557, nVar3558, nVar3559, nVar3560, nVar3561, nVar3562, nVar3563, nVar3564, nVar3565, nVar3566, nVar3567, nVar3568, nVar3569, nVar3570, nVar3571, nVar3572, nVar3573, nVar3574, nVar3575, nVar3576, nVar3577, nVar3578, nVar3579, nVar3580, nVar3581, nVar3582, nVar3583, nVar3584, nVar3585, nVar3586, nVar3587, nVar3588, nVar3589, nVar3590, nVar3591, nVar3592, nVar3593, nVar3594, nVar3595, nVar3596, nVar3597, nVar3598, nVar3599, nVar3600, nVar3601, nVar3602, nVar3603, nVar3604, nVar3605, nVar3606, nVar3607, nVar3608, nVar3609, nVar3610, nVar3611, nVar3612, nVar3613, nVar3614, nVar3615, nVar3616, nVar3617, nVar3618, nVar3619, nVar3620, nVar3621, nVar3622, nVar3623, nVar3624, nVar3625, nVar3626, nVar3627, nVar3628, nVar3629, nVar3630, nVar3631, nVar3632, nVar3633, nVar3634, nVar3635, nVar3636, nVar3637, nVar3638, nVar3639, nVar3640, nVar3641, nVar3642, nVar3643, nVar3644, nVar3645, nVar3646, nVar3647, nVar3648, nVar3649, nVar3650, nVar3651, nVar3652, nVar3653, nVar3654, nVar3655, nVar3656, nVar3657, nVar3658, nVar3659, nVar3660, nVar3661, nVar3662, nVar3663, nVar3664, nVar3665, nVar3666, nVar3667, nVar3668, nVar3669, nVar3670, nVar3671, nVar3672, nVar3673, nVar3674, nVar3675, nVar3676, nVar3677, nVar3678, nVar3679, nVar3680, nVar3681, nVar3682, nVar3683, nVar3684, nVar3685, nVar3686, nVar3687, nVar3688, nVar3689, nVar3690, nVar3691, nVar3692, nVar3693, nVar3694, nVar3695, nVar3696, nVar3697, nVar3698, nVar3699, nVar3700, nVar3701, nVar3702, nVar3703, nVar3704, nVar3705, nVar3706, nVar3707, nVar3708, nVar3709, nVar3710, nVar347, nVar399, nVar1040, nVar1175, nVar2103, nVar2179, nVar2621, nVar2632, nVar2754, nVar3048, nVar3062, nVar3139, nVar3711, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280, nVar3718, nVar3719, nVar3720;
+
+
+
+procedure proc65();
+
+
+
+implementation proc65()
+{
+
+ anon0__unique__1:
+ assume (forall x: int :: { nVar3714[x] } nVar3714[x] <= 0 || nVar3714[x] > 8912);
+ assume (forall x: int :: { nVar3717[x] } nVar3717[x] <= 0 || nVar3717[x] > 8912);
+ assume (forall x: int :: { nVar3721[x] } nVar3721[x] <= 0 || nVar3721[x] > 8912);
+ assume (forall x: int :: { nVar3722[x] } nVar3722[x] <= 0 || nVar3722[x] > 8912);
+ return;
+}
+
+
+
+procedure proc66();
+ modifies nVar3711;
+
+
+
+implementation proc66()
+{
+
+ anon0__unique__1:
+ nVar3711 := 0;
+ return;
+}
+
+
+
+procedure proc67();
+ modifies nVar1, nVar3714, nVar3717, nVar3722, nVar3721, nVar1254, nVar280;
+
+
+
+procedure proc68(nVar4658: int, nVar4659: int) returns (nVar4660: int);
+
+
+
+implementation proc68(nVar4658: int, nVar4659: int) returns (nVar4660: int)
+{
+ var nVar4661: int;
+
+ anon0__unique__1:
+ nVar4660 := nVar4661;
+ return;
+}
+
+
+
+procedure proc69(nVar4662: int) returns (nVar4663: int);
+
+
+
+implementation proc69(nVar4662: int) returns (nVar4663: int)
+{
+ var nVar4664: int;
+
+ anon0__unique__1:
+ nVar4663 := nVar4664;
+ return;
+}
+
+
+
+procedure proc70(nVar4665: int, nVar4666: int) returns (nVar4667: int);
+
+
+
+implementation proc70(nVar4665: int, nVar4666: int) returns (nVar4667: int)
+{
+ var nVar4668: int;
+
+ anon0__unique__1:
+ nVar4667 := nVar4668;
+ return;
+}
+
+
+
+procedure proc71(nVar4669: int) returns (nVar4670: int);
+
+
+
+implementation proc71(nVar4669: int) returns (nVar4670: int)
+{
+ var nVar4671: int;
+
+ anon0__unique__1:
+ nVar4670 := nVar4671;
+ return;
+}
+
+
+
+procedure proc72(nVar4672: int, nVar4673: int) returns (nVar4674: int);
+
+
+
+implementation proc72(nVar4672: int, nVar4673: int) returns (nVar4674: int)
+{
+ var nVar4675: int;
+
+ anon0__unique__1:
+ nVar4674 := nVar4675;
+ return;
+}
+
+
+
+procedure proc73(nVar4676: int) returns (nVar4677: int);
+
+
+
+implementation proc73(nVar4676: int) returns (nVar4677: int)
+{
+ var nVar4678: int;
+
+ anon0__unique__1:
+ nVar4677 := nVar4678;
+ return;
+}
+
+
+
+procedure proc74(nVar4679: int, nVar4680: int) returns (nVar4681: int);
+
+
+
+implementation proc74(nVar4679: int, nVar4680: int) returns (nVar4681: int)
+{
+ var nVar4682: int;
+
+ anon0__unique__1:
+ nVar4681 := nVar4682;
+ return;
+}
+
+
+
+procedure proc75(nVar4683: int) returns (nVar4684: int);
+
+
+
+implementation proc75(nVar4683: int) returns (nVar4684: int)
+{
+ var nVar4685: int;
+
+ anon0__unique__1:
+ nVar4684 := nVar4685;
+ return;
+}
+
+
+
+procedure proc76(nVar4686: int, nVar4687: int) returns (nVar4688: int);
+
+
+
+implementation proc76(nVar4686: int, nVar4687: int) returns (nVar4688: int)
+{
+ var nVar4689: int;
+
+ anon0__unique__1:
+ nVar4688 := nVar4689;
+ return;
+}
+
+
+
+procedure proc77(nVar4690: int) returns (nVar4691: int);
+
+
+
+implementation proc77(nVar4690: int) returns (nVar4691: int)
+{
+ var nVar4692: int;
+
+ anon0__unique__1:
+ nVar4691 := nVar4692;
+ return;
+}
+
+
+
+procedure proc78(nVar4693: int, nVar4694: int) returns (nVar4695: int);
+
+
+
+implementation proc78(nVar4693: int, nVar4694: int) returns (nVar4695: int)
+{
+ var nVar4696: int;
+
+ anon0__unique__1:
+ nVar4695 := nVar4696;
+ return;
+}
+
+
+
+procedure proc79(nVar4697: int) returns (nVar4698: int);
+
+
+
+implementation proc79(nVar4697: int) returns (nVar4698: int)
+{
+ var nVar4699: int;
+
+ anon0__unique__1:
+ nVar4698 := nVar4699;
+ return;
+}
+
+
+
+procedure proc80(nVar4700: int, nVar4701: int) returns (nVar4702: int);
+
+
+
+implementation proc80(nVar4700: int, nVar4701: int) returns (nVar4702: int)
+{
+ var nVar4703: int;
+
+ anon0__unique__1:
+ nVar4702 := nVar4703;
+ return;
+}
+
+
+
+procedure proc81(nVar4704: int) returns (nVar4705: int);
+
+
+
+implementation proc81(nVar4704: int) returns (nVar4705: int)
+{
+ var nVar4706: int;
+
+ anon0__unique__1:
+ nVar4705 := nVar4706;
+ return;
+}
+
+
+
+procedure proc82(nVar4707: int, nVar4708: int) returns (nVar4709: int);
+
+
+
+implementation proc82(nVar4707: int, nVar4708: int) returns (nVar4709: int)
+{
+ var nVar4710: int;
+
+ anon0__unique__1:
+ nVar4709 := nVar4710;
+ return;
+}
+
+
+
+procedure proc83(nVar4711: int) returns (nVar4712: int);
+
+
+
+implementation proc83(nVar4711: int) returns (nVar4712: int)
+{
+ var nVar4713: int;
+
+ anon0__unique__1:
+ nVar4712 := nVar4713;
+ return;
+}
+
+
+
+procedure proc84(nVar4714: int, nVar4715: int) returns (nVar4716: int);
+
+
+
+implementation proc84(nVar4714: int, nVar4715: int) returns (nVar4716: int)
+{
+ var nVar4717: int;
+
+ anon0__unique__1:
+ nVar4716 := nVar4717;
+ return;
+}
+
+
+
+procedure proc85(nVar4718: int) returns (nVar4719: int);
+
+
+
+implementation proc85(nVar4718: int) returns (nVar4719: int)
+{
+ var nVar4720: int;
+
+ anon0__unique__1:
+ nVar4719 := nVar4720;
+ return;
+}
+
+
+
+procedure proc86(nVar4721: int, nVar4722: int) returns (nVar4723: int);
+
+
+
+implementation proc86(nVar4721: int, nVar4722: int) returns (nVar4723: int)
+{
+ var nVar4724: int;
+
+ anon0__unique__1:
+ nVar4723 := nVar4724;
+ return;
+}
+
+
+
+procedure proc87(nVar4725: int) returns (nVar4726: int);
+
+
+
+implementation proc87(nVar4725: int) returns (nVar4726: int)
+{
+ var nVar4727: int;
+
+ anon0__unique__1:
+ nVar4726 := nVar4727;
+ return;
+}
+
+
+
+procedure proc88(nVar4728: int, nVar4729: int) returns (nVar4730: int);
+
+
+
+implementation proc88(nVar4728: int, nVar4729: int) returns (nVar4730: int)
+{
+ var nVar4731: int;
+
+ anon0__unique__1:
+ nVar4730 := nVar4731;
+ return;
+}
+
+
+
+procedure proc89(nVar4732: int) returns (nVar4733: int);
+
+
+
+implementation proc89(nVar4732: int) returns (nVar4733: int)
+{
+ var nVar4734: int;
+
+ anon0__unique__1:
+ nVar4733 := nVar4734;
+ return;
+}
+
+
+
+procedure proc90(nVar4735: int, nVar4736: int) returns (nVar4737: int);
+
+
+
+implementation proc90(nVar4735: int, nVar4736: int) returns (nVar4737: int)
+{
+ var nVar4738: int;
+
+ anon0__unique__1:
+ nVar4737 := nVar4738;
+ return;
+}
+
+
+
+procedure proc91(nVar4739: int) returns (nVar4740: int);
+
+
+
+implementation proc91(nVar4739: int) returns (nVar4740: int)
+{
+ var nVar4741: int;
+
+ anon0__unique__1:
+ nVar4740 := nVar4741;
+ return;
+}
+
+
+
+procedure proc92(nVar4742: int, nVar4743: int) returns (nVar4744: int);
+
+
+
+implementation proc92(nVar4742: int, nVar4743: int) returns (nVar4744: int)
+{
+ var nVar4745: int;
+
+ anon0__unique__1:
+ nVar4744 := nVar4745;
+ return;
+}
+
+
+
+procedure proc93(nVar4746: int) returns (nVar4747: int);
+
+
+
+implementation proc93(nVar4746: int) returns (nVar4747: int)
+{
+ var nVar4748: int;
+
+ anon0__unique__1:
+ nVar4747 := nVar4748;
+ return;
+}
+
+
+
+procedure proc94(nVar4749: int, nVar4750: int) returns (nVar4751: int);
+
+
+
+implementation proc94(nVar4749: int, nVar4750: int) returns (nVar4751: int)
+{
+ var nVar4752: int;
+
+ anon0__unique__1:
+ nVar4751 := nVar4752;
+ return;
+}
+
+
+
+procedure proc95(nVar4753: int) returns (nVar4754: int);
+
+
+
+implementation proc95(nVar4753: int) returns (nVar4754: int)
+{
+ var nVar4755: int;
+
+ anon0__unique__1:
+ nVar4754 := nVar4755;
+ return;
+}
+
+
+
+procedure proc96(nVar4756: int) returns (nVar4757: int);
+
+
+
+implementation proc96(nVar4756: int) returns (nVar4757: int)
+{
+ var nVar4758: int;
+
+ anon0__unique__1:
+ nVar4757 := nVar4758;
+ return;
+}
+
+
+
+procedure proc97(nVar4759: int) returns (nVar4760: int);
+
+
+
+implementation proc97(nVar4759: int) returns (nVar4760: int)
+{
+ var nVar4761: int;
+
+ anon0__unique__1:
+ nVar4760 := nVar4761;
+ return;
+}
+
+
+
+procedure proc98(nVar4762: int) returns (nVar4763: int);
+
+
+
+implementation proc98(nVar4762: int) returns (nVar4763: int)
+{
+ var nVar4764: int;
+
+ anon0__unique__1:
+ nVar4763 := nVar4764;
+ return;
+}
+
+
+
+procedure proc99(nVar4765: int) returns (nVar4766: int);
+
+
+
+implementation proc99(nVar4765: int) returns (nVar4766: int)
+{
+ var nVar4767: int;
+
+ anon0__unique__1:
+ nVar4766 := nVar4767;
+ return;
+}
+
+
+
+procedure proc100(nVar4768: int) returns (nVar4769: int);
+
+
+
+implementation proc100(nVar4768: int) returns (nVar4769: int)
+{
+ var nVar4770: int;
+
+ anon0__unique__1:
+ nVar4769 := nVar4770;
+ return;
+}
+
+
+
+procedure proc101(nVar4771: int) returns (nVar4772: int);
+
+
+
+implementation proc101(nVar4771: int) returns (nVar4772: int)
+{
+ var nVar4773: int;
+
+ anon0__unique__1:
+ nVar4772 := nVar4773;
+ return;
+}
+
+
+
+procedure proc102(nVar4774: int) returns (nVar4775: int);
+
+
+
+implementation proc102(nVar4774: int) returns (nVar4775: int)
+{
+ var nVar4776: int;
+
+ anon0__unique__1:
+ nVar4775 := nVar4776;
+ return;
+}
+
+
+
+procedure proc103(nVar4777: int) returns (nVar4778: int);
+
+
+
+implementation proc103(nVar4777: int) returns (nVar4778: int)
+{
+ var nVar4779: int;
+
+ anon0__unique__1:
+ nVar4778 := nVar4779;
+ return;
+}
+
+
+
+procedure proc104(nVar4780: int) returns (nVar4781: int);
+
+
+
+implementation proc104(nVar4780: int) returns (nVar4781: int)
+{
+ var nVar4782: int;
+
+ anon0__unique__1:
+ nVar4781 := nVar4782;
+ return;
+}
+
+
+
+procedure proc105(nVar4783: int) returns (nVar4784: int);
+
+
+
+implementation proc105(nVar4783: int) returns (nVar4784: int)
+{
+ var nVar4785: int;
+
+ anon0__unique__1:
+ nVar4784 := nVar4785;
+ return;
+}
+
+
+
+procedure proc106(nVar4786: int) returns (nVar4787: int);
+
+
+
+implementation proc106(nVar4786: int) returns (nVar4787: int)
+{
+ var nVar4788: int;
+
+ anon0__unique__1:
+ nVar4787 := nVar4788;
+ return;
+}
+
+
+
+procedure proc107(nVar4789: int) returns (nVar4790: int);
+
+
+
+implementation proc107(nVar4789: int) returns (nVar4790: int)
+{
+ var nVar4791: int;
+
+ anon0__unique__1:
+ nVar4790 := nVar4791;
+ return;
+}
+
+
+
+procedure proc108(nVar4792: int) returns (nVar4793: int);
+
+
+
+implementation proc108(nVar4792: int) returns (nVar4793: int)
+{
+ var nVar4794: int;
+
+ anon0__unique__1:
+ nVar4793 := nVar4794;
+ return;
+}
+
+
+
+procedure proc109(nVar4795: int) returns (nVar4796: int);
+
+
+
+implementation proc109(nVar4795: int) returns (nVar4796: int)
+{
+ var nVar4797: int;
+
+ anon0__unique__1:
+ nVar4796 := nVar4797;
+ return;
+}
+
+
+
+procedure proc110(nVar4798: int, nVar4799: int) returns (nVar4800: int);
+
+
+
+implementation proc110(nVar4798: int, nVar4799: int) returns (nVar4800: int)
+{
+ var nVar4801: int;
+
+ anon0__unique__1:
+ nVar4800 := nVar4801;
+ return;
+}
+
+
+
+procedure proc111(nVar4802: int) returns (nVar4803: int);
+
+
+
+implementation proc111(nVar4802: int) returns (nVar4803: int)
+{
+ var nVar4804: int;
+
+ anon0__unique__1:
+ nVar4803 := nVar4804;
+ return;
+}
+
+
+
+procedure proc112(nVar4805: int, nVar4806: int) returns (nVar4807: int);
+
+
+
+implementation proc112(nVar4805: int, nVar4806: int) returns (nVar4807: int)
+{
+ var nVar4808: int;
+
+ anon0__unique__1:
+ nVar4807 := nVar4808;
+ return;
+}
+
+
+
+procedure proc113(nVar4809: int) returns (nVar4810: int);
+
+
+
+implementation proc113(nVar4809: int) returns (nVar4810: int)
+{
+ var nVar4811: int;
+
+ anon0__unique__1:
+ nVar4810 := nVar4811;
+ return;
+}
+
+
+
+procedure proc114(nVar4812: int) returns (nVar4813: int);
+
+
+
+implementation proc114(nVar4812: int) returns (nVar4813: int)
+{
+ var nVar4814: int;
+
+ anon0__unique__1:
+ nVar4813 := nVar4814;
+ return;
+}
+
+
+
+procedure proc115(nVar4815: int) returns (nVar4816: int);
+
+
+
+implementation proc115(nVar4815: int) returns (nVar4816: int)
+{
+ var nVar4817: int;
+
+ anon0__unique__1:
+ nVar4816 := nVar4817;
+ return;
+}
+
+
+
+implementation proc67()
+{
+ var nVar4818: int;
+ var nVar4819: int;
+ var nVar4820: int;
+ var nVar4821: int;
+ var nVar4822: int;
+ var nVar4823: int;
+ var nVar4824: int;
+ var nVar4825: int;
+ var nVar4826: int;
+ var nVar4827: int;
+ var nVar4828: int;
+ var nVar4829: int;
+ var nVar4830: int;
+ var nVar4831: int;
+ var nVar4832: int;
+ var nVar4833: int;
+ var nVar4834: int;
+ var nVar4835: int;
+ var nVar4836: int;
+ var nVar4837: int;
+ var nVar4838: int;
+ var nVar4839: int;
+ var nVar4840: int;
+ var nVar4841: int;
+ var nVar4842: int;
+ var nVar4843: int;
+ var nVar4844: int;
+ var nVar4845: int;
+ var nVar4846: int;
+ var nVar4847: int;
+ var nVar4848: int;
+ var nVar4849: int;
+ var nVar4850: int;
+ var nVar4851: int;
+ var nVar4852: int;
+ var nVar4853: int;
+ var nVar4854: int;
+ var nVar4855: int;
+ var nVar4856: int;
+ var nVar4857: int;
+ var nVar4858: int;
+ var nVar4859: int;
+ var nVar4860: int;
+ var nVar4861: int;
+ var nVar4862: int;
+ var nVar4863: int;
+ var nVar4864: int;
+ var nVar4865: int;
+ var nVar4866: int;
+ var nVar4867: int;
+ var nVar4868: int;
+ var nVar4869: int;
+ var nVar4870: int;
+ var nVar4871: int;
+ var nVar4872: int;
+ var nVar4873: int;
+ var nVar4874: int;
+ var nVar4875: int;
+ var nVar4876: int;
+ var nVar4877: int;
+ var nVar4878: int;
+ var nVar4879: int;
+ var nVar4880: int;
+ var nVar4881: int;
+ var nVar4882: int;
+ var nVar4883: int;
+ var nVar4884: int;
+ var nVar4885: int;
+ var nVar4886: int;
+ var nVar4887: int;
+
+ anon0__unique__1:
+ call {:si_unique_call 4808} nVar4818 := proc130(100);
+ call {:si_unique_call 4809} nVar4819 := proc130(140);
+ call {:si_unique_call 4810} nVar4820 := proc130(228);
+ call {:si_unique_call 4811} nVar4821 := proc130(392);
+ call {:si_unique_call 4812} nVar4822 := proc130(140);
+ call {:si_unique_call 4813} nVar4823 := proc130(140);
+ call {:si_unique_call 4814} nVar4824 := proc130(140);
+ call {:si_unique_call 4815} nVar4825 := proc130(140);
+ call {:si_unique_call 4816} nVar4826 := proc130(140);
+ call {:si_unique_call 4817} nVar4827 := proc130(100);
+ call {:si_unique_call 4818} nVar4828 := proc130(140);
+ call {:si_unique_call 4819} nVar4829 := proc130(228);
+ call {:si_unique_call 4820} nVar4830 := proc130(60);
+ call {:si_unique_call 4821} nVar4831 := proc130(140);
+ call {:si_unique_call 4822} nVar4832 := proc130(360);
+ call {:si_unique_call 4823} nVar4833 := proc130(140);
+ call {:si_unique_call 4824} nVar4834 := proc130(140);
+ call {:si_unique_call 4825} nVar4835 := proc130(140);
+ call {:si_unique_call 4826} nVar4836 := proc130(140);
+ call {:si_unique_call 4827} nVar4837 := proc130(140);
+ call {:si_unique_call 4828} nVar4838 := proc130(140);
+ assume nVar3139 > 0;
+ nVar3714[func18(nVar3139)] := 4158;
+ assume nVar2179 > 0;
+ nVar3714[func18(nVar2179)] := 4171;
+ nVar4827 := nVar4276;
+ nVar4818 := nVar4603;
+ nVar4830 := nVar4651;
+ nVar4821 := nVar4609;
+ nVar4832 := nVar4610;
+ nVar3717[func26(nVar868)] := 284;
+ nVar3717[func26(nVar2360)] := 286;
+ assume nVar3716[func21(nVar367)] > 0;
+ nVar3722[func50(nVar402)] := 279;
+ nVar3721[func43(nVar402)] := 0;
+ assume nVar3716[func21(nVar2637)] > 0;
+ nVar3722[func50(nVar3688)] := 388;
+ nVar3721[func43(nVar3688)] := 0;
+ nVar3717[func26(nVar1365)] := 658;
+ assume nVar3716[func21(nVar2770)] > 0;
+ nVar3722[func50(nVar1550)] := 654;
+ nVar3721[func43(nVar1550)] := 0;
+ nVar3717[func26(nVar2815)] := 680;
+ assume nVar3716[func21(nVar1718)] > 0;
+ nVar3722[func50(nVar1431)] := 675;
+ nVar3721[func43(nVar1431)] := 0;
+ nVar3717[func26(nVar3390)] := 689;
+ assume nVar3716[func21(nVar3138)] > 0;
+ nVar3722[func50(nVar2365)] := 685;
+ nVar3721[func43(nVar2365)] := 0;
+ nVar3717[func26(nVar3594)] := 698;
+ assume nVar3716[func21(nVar2578)] > 0;
+ nVar3722[func50(nVar1895)] := 694;
+ nVar3721[func43(nVar1895)] := 0;
+ nVar3717[func26(nVar691)] := 707;
+ assume nVar3716[func21(nVar3538)] > 0;
+ nVar3722[func50(nVar3083)] := 703;
+ nVar3721[func43(nVar3083)] := 0;
+ nVar3717[func26(nVar753)] := 716;
+ assume nVar3716[func21(nVar1294)] > 0;
+ nVar3722[func50(nVar1690)] := 712;
+ nVar3721[func43(nVar1690)] := 0;
+ nVar3717[func26(nVar1316)] := 726;
+ assume nVar3716[func21(nVar1909)] > 0;
+ nVar3722[func50(nVar3373)] := 721;
+ nVar3721[func43(nVar3373)] := 0;
+ nVar3717[func26(nVar624)] := 735;
+ assume nVar3716[func21(nVar3544)] > 0;
+ nVar3722[func50(nVar1548)] := 731;
+ nVar3721[func43(nVar1548)] := 0;
+ nVar3717[func26(nVar3111)] := 744;
+ assume nVar3716[func21(nVar3657)] > 0;
+ nVar3722[func50(nVar3279)] := 740;
+ nVar3721[func43(nVar3279)] := 0;
+ nVar3717[func26(nVar2001)] := 753;
+ assume nVar3716[func21(nVar3534)] > 0;
+ nVar3722[func50(nVar3176)] := 749;
+ nVar3721[func43(nVar3176)] := 0;
+ nVar3717[func26(nVar1915)] := 760;
+ assume nVar3716[func21(nVar2444)] > 0;
+ nVar3722[func50(nVar1472)] := 763;
+ nVar3721[func43(nVar1472)] := 0;
+ nVar3717[func26(nVar3661)] := 767;
+ assume nVar3716[func21(nVar3400)] > 0;
+ nVar3722[func50(nVar14)] := 770;
+ nVar3721[func43(nVar14)] := 0;
+ nVar3717[func26(nVar3261)] := 977;
+ assume nVar3716[func21(nVar1164)] > 0;
+ nVar3722[func50(nVar2713)] := 973;
+ nVar3721[func43(nVar2713)] := 0;
+ call {:si_unique_call 4894} nVar4887 := proc132();
+ assume nVar1175 > 0;
+ assume nVar399 > 0;
+ assume nVar2621 > 0;
+ assume nVar3712[func9(nVar1347)] > 0;
+ assume nVar3712[func9(nVar371)] > 0;
+ assume nVar3712[func9(nVar573)] > 0;
+ assume nVar347 > 0;
+ assume nVar3048 > 0;
+ assume nVar3712[func9(nVar2368)] > 0;
+ assume nVar3712[func9(nVar2948)] > 0;
+ assume nVar3712[func9(nVar3240)] > 0;
+ assume nVar2754 > 0;
+ assume nVar2103 > 0;
+ assume nVar3062 > 0;
+ assume nVar2632 > 0;
+ assume nVar1040 > 0;
+ assume nVar3712[func9(nVar3424)] > 0;
+ assume nVar3712[func9(nVar2898)] > 0;
+ assume nVar3712[func9(nVar1366)] > 0;
+ assume nVar3712[func9(nVar1264)] > 0;
+ assume nVar3712[func9(nVar412)] > 0;
+ assume nVar3712[func9(nVar2957)] > 0;
+ assume nVar3712[func9(nVar3577)] > 0;
+ assume nVar3712[func9(nVar876)] > 0;
+ assume nVar3712[func9(nVar628)] > 0;
+ assume nVar3712[func9(nVar1457)] > 0;
+ assume nVar3712[func9(nVar194)] > 0;
+ assume nVar3712[func9(nVar2771)] > 0;
+ assume nVar3712[func9(nVar971)] > 0;
+ assume nVar3712[func9(nVar968)] > 0;
+ assume nVar3712[func9(nVar966)] > 0;
+ assume nVar3712[func9(nVar1235)] > 0;
+ nVar1254 := 0;
+ nVar280 := -1;
+ assume nVar3715[func20(nVar1871)] > 0;
+ assume nVar3715[func20(nVar1789)] > 0;
+ assume nVar3715[func20(nVar2411)] > 0;
+ assume nVar3715[func20(nVar3338)] > 0;
+ assume nVar3715[func20(nVar3638)] > 0;
+ assume nVar3715[func20(nVar3451)] > 0;
+ assume nVar3715[func20(nVar736)] > 0;
+ assume nVar3715[func20(nVar3348)] > 0;
+ assume nVar3715[func20(nVar212)] > 0;
+ assume nVar3715[func20(nVar1853)] > 0;
+ assume nVar3715[func20(nVar1455)] > 0;
+ assume nVar3715[func20(nVar549)] > 0;
+ assume nVar3715[func20(nVar2251)] > 0;
+ assume nVar3715[func20(nVar3569)] > 0;
+ assume nVar3715[func20(nVar2095)] > 0;
+ assume nVar3715[func20(nVar260)] > 0;
+ assume nVar3715[func20(nVar3389)] > 0;
+ assume nVar3715[func20(nVar494)] > 0;
+ assume nVar3715[func20(nVar765)] > 0;
+ assume nVar3715[func20(nVar1476)] > 0;
+ assume nVar3715[func20(nVar3469)] > 0;
+ assume nVar3715[func20(nVar1512)] > 0;
+ assume nVar3715[func20(nVar582)] > 0;
+ assume nVar3715[func20(nVar3295)] > 0;
+ assume nVar3715[func20(nVar2631)] > 0;
+ assume nVar3715[func20(nVar3423)] > 0;
+ assume nVar3715[func20(nVar578)] > 0;
+ assume nVar3715[func20(nVar1468)] > 0;
+ assume nVar3715[func20(nVar1039)] > 0;
+ assume nVar3715[func20(nVar2507)] > 0;
+ assume nVar3715[func20(nVar360)] > 0;
+ assume nVar3715[func20(nVar2626)] > 0;
+ assume nVar3715[func20(nVar2801)] > 0;
+ assume nVar3715[func20(nVar3294)] > 0;
+ assume nVar3715[func20(nVar2638)] > 0;
+ assume nVar3715[func20(nVar2276)] > 0;
+ assume nVar3715[func20(nVar3236)] > 0;
+ assume nVar3715[func20(nVar1924)] > 0;
+ assume nVar3715[func20(nVar899)] > 0;
+ assume nVar3715[func20(nVar3377)] > 0;
+ assume nVar3715[func20(nVar748)] > 0;
+ assume nVar3715[func20(nVar2933)] > 0;
+ assume nVar3715[func20(nVar3134)] > 0;
+ assume nVar3715[func20(nVar577)] > 0;
+ assume nVar3715[func20(nVar2208)] > 0;
+ assume nVar3715[func20(nVar1150)] > 0;
+ assume nVar3715[func20(nVar188)] > 0;
+ assume nVar3715[func20(nVar1379)] > 0;
+ assume nVar3715[func20(nVar2065)] > 0;
+ assume nVar3715[func20(nVar2102)] > 0;
+ assume nVar3715[func20(nVar3103)] > 0;
+ assume nVar3715[func20(nVar1665)] > 0;
+ assume nVar3715[func20(nVar2235)] > 0;
+ assume nVar3715[func20(nVar3369)] > 0;
+ assume nVar3715[func20(nVar2137)] > 0;
+ assume nVar3715[func20(nVar3600)] > 0;
+ assume nVar3715[func20(nVar3257)] > 0;
+ assume nVar3715[func20(nVar1638)] > 0;
+ assume nVar3715[func20(nVar3528)] > 0;
+ assume nVar3715[func20(nVar1786)] > 0;
+ assume nVar3715[func20(nVar38)] > 0;
+ assume nVar3715[func20(nVar1959)] > 0;
+ assume nVar3715[func20(nVar1238)] > 0;
+ assume nVar3715[func20(nVar2519)] > 0;
+ assume nVar3715[func20(nVar2246)] > 0;
+ assume nVar3715[func20(nVar2831)] > 0;
+ assume nVar3715[func20(nVar3699)] > 0;
+ assume nVar3715[func20(nVar3315)] > 0;
+ assume nVar3715[func20(nVar1685)] > 0;
+ assume nVar3715[func20(nVar1340)] > 0;
+ assume nVar3715[func20(nVar2766)] > 0;
+ assume nVar3715[func20(nVar832)] > 0;
+ assume nVar3715[func20(nVar1497)] > 0;
+ assume nVar3715[func20(nVar2204)] > 0;
+ assume nVar3715[func20(nVar3406)] > 0;
+ assume nVar3715[func20(nVar2855)] > 0;
+ assume nVar3715[func20(nVar237)] > 0;
+ assume nVar3715[func20(nVar664)] > 0;
+ assume nVar3715[func20(nVar3409)] > 0;
+ assume nVar3715[func20(nVar1700)] > 0;
+ assume nVar3715[func20(nVar2896)] > 0;
+ assume nVar3715[func20(nVar1816)] > 0;
+ assume nVar3715[func20(nVar669)] > 0;
+ assume nVar3715[func20(nVar3483)] > 0;
+ assume nVar3715[func20(nVar3507)] > 0;
+ assume nVar3715[func20(nVar646)] > 0;
+ assume nVar3715[func20(nVar3254)] > 0;
+ assume nVar3715[func20(nVar2769)] > 0;
+ assume nVar3715[func20(nVar2323)] > 0;
+ assume nVar3715[func20(nVar3182)] > 0;
+ assume nVar3715[func20(nVar755)] > 0;
+ assume nVar3715[func20(nVar2148)] > 0;
+ assume nVar3715[func20(nVar3676)] > 0;
+ assume nVar3715[func20(nVar3269)] > 0;
+ assume nVar3715[func20(nVar3184)] > 0;
+ assume nVar3715[func20(nVar235)] > 0;
+ assume nVar3715[func20(nVar398)] > 0;
+ assume nVar3715[func20(nVar923)] > 0;
+ assume nVar3715[func20(nVar3146)] > 0;
+ assume nVar3715[func20(nVar3401)] > 0;
+ assume nVar3715[func20(nVar1922)] > 0;
+ assume nVar3715[func20(nVar563)] > 0;
+ assume nVar3715[func20(nVar3288)] > 0;
+ assume nVar3715[func20(nVar3214)] > 0;
+ assume nVar3715[func20(nVar660)] > 0;
+ assume nVar3715[func20(nVar2522)] > 0;
+ assume nVar3715[func20(nVar1600)] > 0;
+ assume nVar3715[func20(nVar3061)] > 0;
+ assume nVar3715[func20(nVar3708)] > 0;
+ assume nVar3715[func20(nVar3156)] > 0;
+ assume nVar3715[func20(nVar430)] > 0;
+ assume nVar3715[func20(nVar2997)] > 0;
+ assume nVar3715[func20(nVar3180)] > 0;
+ assume nVar3715[func20(nVar2155)] > 0;
+ assume nVar3715[func20(nVar2400)] > 0;
+ assume nVar3715[func20(nVar304)] > 0;
+ assume nVar3715[func20(nVar1666)] > 0;
+ assume nVar3715[func20(nVar2120)] > 0;
+ assume nVar3715[func20(nVar1067)] > 0;
+ assume nVar3715[func20(nVar2180)] > 0;
+ assume nVar3715[func20(nVar821)] > 0;
+ assume nVar3715[func20(nVar878)] > 0;
+ assume nVar3715[func20(nVar1779)] > 0;
+ assume nVar3715[func20(nVar1377)] > 0;
+ assume nVar3715[func20(nVar3670)] > 0;
+ assume nVar3715[func20(nVar2949)] > 0;
+ assume nVar3715[func20(nVar2919)] > 0;
+ assume nVar3715[func20(nVar2112)] > 0;
+ assume nVar3715[func20(nVar1322)] > 0;
+ assume nVar3715[func20(nVar538)] > 0;
+ assume nVar3715[func20(nVar7)] > 0;
+ assume nVar3715[func20(nVar3104)] > 0;
+ assume nVar3715[func20(nVar1802)] > 0;
+ assume nVar3715[func20(nVar3466)] > 0;
+ assume nVar3715[func20(nVar233)] > 0;
+ assume nVar3715[func20(nVar333)] > 0;
+ assume nVar3715[func20(nVar3671)] > 0;
+ assume nVar3715[func20(nVar2636)] > 0;
+ assume nVar3715[func20(nVar238)] > 0;
+ assume nVar3715[func20(nVar2210)] > 0;
+ assume nVar3715[func20(nVar1095)] > 0;
+ assume nVar3715[func20(nVar1841)] > 0;
+ assume nVar3715[func20(nVar3601)] > 0;
+ assume nVar3715[func20(nVar3392)] > 0;
+ assume nVar3715[func20(nVar401)] > 0;
+ assume nVar3715[func20(nVar844)] > 0;
+ assume nVar3715[func20(nVar964)] > 0;
+ assume nVar3715[func20(nVar2205)] > 0;
+ assume nVar3715[func20(nVar1170)] > 0;
+ assume nVar3715[func20(nVar2306)] > 0;
+ assume nVar3715[func20(nVar2833)] > 0;
+ assume nVar3715[func20(nVar232)] > 0;
+ assume nVar3715[func20(nVar164)] > 0;
+ assume nVar3715[func20(nVar1584)] > 0;
+ assume nVar3715[func20(nVar2135)] > 0;
+ assume nVar3715[func20(nVar341)] > 0;
+ assume nVar3715[func20(nVar1777)] > 0;
+ assume nVar3715[func20(nVar1896)] > 0;
+ assume nVar3715[func20(nVar2429)] > 0;
+ assume nVar3715[func20(nVar426)] > 0;
+ assume nVar3715[func20(nVar1391)] > 0;
+ assume nVar3715[func20(nVar3677)] > 0;
+ assume nVar3715[func20(nVar3582)] > 0;
+ assume nVar3715[func20(nVar2990)] > 0;
+ assume nVar3715[func20(nVar2849)] > 0;
+ assume nVar3712[func9(nVar1565)] > 0;
+ assume nVar3712[func9(nVar2461)] > 0;
+ assume nVar3712[func9(nVar3635)] > 0;
+ assume nVar3712[func9(nVar530)] > 0;
+ assume nVar3712[func9(nVar2125)] > 0;
+ assume nVar3712[func9(nVar1830)] > 0;
+ assume nVar3712[func9(nVar2524)] > 0;
+ assume nVar3712[func9(nVar3077)] > 0;
+ assume nVar3712[func9(nVar3458)] > 0;
+ assume nVar3712[func9(nVar2652)] > 0;
+ assume nVar3712[func9(nVar1393)] > 0;
+ assume nVar3712[func9(nVar3313)] > 0;
+ assume nVar3712[func9(nVar3283)] > 0;
+ assume nVar3712[func9(nVar3602)] > 0;
+ assume nVar3712[func9(nVar1904)] > 0;
+ assume nVar3712[func9(nVar1398)] > 0;
+ assume nVar3712[func9(nVar623)] > 0;
+ assume nVar3712[func9(nVar1790)] > 0;
+ assume nVar3712[func9(nVar685)] > 0;
+ assume nVar3712[func9(nVar2857)] > 0;
+ assume nVar3712[func9(nVar3328)] > 0;
+ assume nVar3712[func9(nVar3526)] > 0;
+ assume nVar3712[func9(nVar1938)] > 0;
+ assume nVar3712[func9(nVar781)] > 0;
+ assume nVar3712[func9(nVar1613)] > 0;
+ assume nVar3712[func9(nVar1054)] > 0;
+ nVar4823 := nVar4607;
+ call {:si_unique_call 6280} nVar4871 := proc68(nVar1676, nVar4823);
+ call {:si_unique_call 4745} nVar4839 := proc69(8560);
+ nVar4834 := nVar4607;
+ call {:si_unique_call 6283} nVar4872 := proc70(nVar195, nVar4834);
+ call {:si_unique_call 4747} nVar4840 := proc71(8571);
+ nVar4825 := nVar4607;
+ call {:si_unique_call 6286} nVar4873 := proc72(nVar770, nVar4825);
+ call {:si_unique_call 4749} nVar4841 := proc73(8592);
+ nVar4836 := nVar4607;
+ call {:si_unique_call 6289} nVar4874 := proc74(nVar864, nVar4836);
+ call {:si_unique_call 4751} nVar4842 := proc75(8624);
+ nVar4837 := nVar4607;
+ call {:si_unique_call 6292} nVar4875 := proc76(nVar3096, nVar4837);
+ call {:si_unique_call 4753} nVar4843 := proc77(8640);
+ nVar4828 := nVar4607;
+ call {:si_unique_call 6295} nVar4876 := proc78(nVar1136, nVar4828);
+ call {:si_unique_call 4755} nVar4844 := proc79(8647);
+ nVar4819 := nVar4607;
+ call {:si_unique_call 6298} nVar4877 := proc80(nVar606, nVar4819);
+ call {:si_unique_call 4757} nVar4845 := proc81(8658);
+ nVar4831 := nVar4607;
+ call {:si_unique_call 6301} nVar4878 := proc82(nVar2935, nVar4831);
+ call {:si_unique_call 4759} nVar4846 := proc83(8661);
+ nVar4822 := nVar4607;
+ call {:si_unique_call 6304} nVar4879 := proc84(nVar403, nVar4822);
+ call {:si_unique_call 4761} nVar4847 := proc85(8691);
+ nVar4833 := nVar4607;
+ call {:si_unique_call 6307} nVar4880 := proc86(nVar3675, nVar4833);
+ call {:si_unique_call 4763} nVar4848 := proc87(8702);
+ nVar4824 := nVar4607;
+ call {:si_unique_call 6310} nVar4881 := proc88(nVar2648, nVar4824);
+ call {:si_unique_call 4765} nVar4849 := proc89(8719);
+ nVar4835 := nVar4607;
+ call {:si_unique_call 6313} nVar4882 := proc90(nVar1756, nVar4835);
+ call {:si_unique_call 4767} nVar4850 := proc91(8722);
+ nVar4826 := nVar4607;
+ call {:si_unique_call 6316} nVar4883 := proc92(nVar3521, nVar4826);
+ call {:si_unique_call 4769} nVar4851 := proc93(8725);
+ nVar4838 := nVar4607;
+ call {:si_unique_call 6319} nVar4884 := proc94(nVar1042, nVar4838);
+ call {:si_unique_call 4771} nVar4852 := proc95(8764);
+ call {:si_unique_call 4773} nVar4853 := proc96(nVar1042);
+ call {:si_unique_call 4775} nVar4854 := proc97(nVar3521);
+ call {:si_unique_call 4777} nVar4855 := proc98(nVar1756);
+ call {:si_unique_call 4779} nVar4856 := proc99(nVar2648);
+ call {:si_unique_call 4781} nVar4857 := proc100(nVar3675);
+ call {:si_unique_call 4783} nVar4858 := proc101(nVar403);
+ call {:si_unique_call 4785} nVar4859 := proc102(nVar2935);
+ call {:si_unique_call 4787} nVar4860 := proc103(nVar606);
+ call {:si_unique_call 4789} nVar4861 := proc104(nVar1136);
+ call {:si_unique_call 4791} nVar4862 := proc105(nVar3096);
+ call {:si_unique_call 4793} nVar4863 := proc106(nVar864);
+ call {:si_unique_call 4795} nVar4864 := proc107(nVar770);
+ call {:si_unique_call 4797} nVar4865 := proc108(nVar195);
+ call {:si_unique_call 4799} nVar4866 := proc109(nVar1676);
+ nVar4829 := nVar4606;
+ call {:si_unique_call 6322} nVar4885 := proc110(nVar223, nVar4829);
+ call {:si_unique_call 4801} nVar4867 := proc111(8877);
+ nVar4820 := nVar4606;
+ call {:si_unique_call 6325} nVar4886 := proc112(nVar3559, nVar4820);
+ call {:si_unique_call 4803} nVar4868 := proc113(8912);
+ call {:si_unique_call 4805} nVar4869 := proc114(nVar3559);
+ call {:si_unique_call 4807} nVar4870 := proc115(nVar223);
+ return;
+}
+
+
+
+procedure proc116() returns (nVar4910: int);
+ modifies nVar1, nVar3718, nVar3719;
+
+
+
+procedure proc117(nVar4897: int, nVar4898: int);
+ modifies nVar3718, nVar3719;
+
+
+
+procedure proc118(nVar4891: int, nVar4892: int);
+ modifies nVar3718;
+
+
+
+procedure proc119(nVar4888: int) returns (nVar4889: int);
+
+
+
+implementation proc119(nVar4888: int) returns (nVar4889: int)
+{
+ var nVar4890: int;
+
+ anon0__unique__1:
+ nVar4889 := nVar4890;
+ return;
+}
+
+
+
+implementation proc118(nVar4891: int, nVar4892: int)
+{
+ var nVar4893: int;
+ var nVar4894: int;
+ var nVar4895: int;
+ var nVar4896: int;
+
+ anon0__unique__1:
+ nVar4894 := nVar4891;
+ nVar4895 := nVar4892;
+ assume nVar4894 > 0;
+ nVar3718[func29(nVar4894)] := nVar4895;
+ assume nVar4894 > 0;
+ nVar4893 := nVar3718[func29(nVar4894)];
+ call {:si_unique_call 6334} nVar4896 := proc119(nVar4893);
+ return;
+}
+
+
+
+implementation proc117(nVar4897: int, nVar4898: int)
+{
+ var nVar4899: int;
+ var nVar4900: int;
+
+ anon0__unique__1:
+ nVar4899 := nVar4897;
+ nVar4900 := nVar4898;
+ assume nVar4899 > 0;
+ call {:si_unique_call 9} proc118(func31(nVar4899), nVar4900);
+ assume nVar4899 > 0;
+ nVar3719[func30(nVar4899)] := 1;
+ return;
+}
+
+
+
+procedure proc120(nVar4908: int);
+
+
+
+procedure proc121(nVar4904: int);
+
+
+
+procedure proc122(nVar4901: int) returns (nVar4902: int);
+
+
+
+implementation proc122(nVar4901: int) returns (nVar4902: int)
+{
+ var nVar4903: int;
+
+ anon0__unique__1:
+ nVar4902 := nVar4903;
+ return;
+}
+
+
+
+implementation proc121(nVar4904: int)
+{
+ var nVar4905: int;
+ var nVar4906: int;
+ var nVar4907: int;
+
+ anon0__unique__1:
+ nVar4906 := nVar4904;
+ assume nVar4906 > 0;
+ nVar4905 := nVar3718[func29(nVar4906)];
+ call {:si_unique_call 6} nVar4907 := proc122(nVar4905);
+ return;
+}
+
+
+
+implementation proc120(nVar4908: int)
+{
+ var nVar4909: int;
+
+ anon0__unique__1:
+ nVar4909 := nVar4908;
+ assume nVar4909 > 0;
+ call {:si_unique_call 6339} proc121(func31(nVar4909));
+ return;
+}
+
+
+
+implementation proc116() returns (nVar4910: int)
+{
+ var nVar4911: int;
+ var nVar4912: int;
+ var nVar4913: int;
+ var nVar4914: int;
+ var nVar4915: int;
+
+ anon0__unique__1:
+ call {:si_unique_call 4730} nVar4912 := proc130(4);
+ call {:si_unique_call 4731} nVar4914 := proc130(16);
+ nVar4913 := 0;
+ assume nVar4914 > 0;
+ call {:si_unique_call 4741} proc117(nVar4914, nVar1042);
+ goto L9__unique__2;
+
+ L9__unique__2:
+ goto anon5_Then__unique__3;
+
+ anon5_Then__unique__3:
+ assume nVar4914 > 0;
+ assume nVar3719[func30(nVar4914)] == 0;
+ call {:si_unique_call 4734} proc120(nVar4914);
+ nVar4910 := nVar4913;
+ return;
+}
+
+
+
+procedure proc123(nVar4916: int, nVar4917: int);
+ modifies nVar3720;
+
+
+
+implementation proc123(nVar4916: int, nVar4917: int)
+{
+ var nVar4918: int;
+ var nVar4919: int;
+ var nVar4920: int;
+ var nVar4921: int;
+
+ anon0__unique__1:
+ nVar4919 := nVar4916;
+ nVar4920 := nVar4917;
+ assume nVar4919 > 0;
+ nVar3720[func32(nVar4919)] := nVar4920;
+ goto anon3_Then__unique__2;
+
+ anon3_Then__unique__2:
+ assume nVar4919 > 0;
+ assume nVar3720[func32(nVar4919)] == 0;
+ goto L1__unique__3;
+
+ L1__unique__3:
+ return;
+}
+
+
+
+procedure proc124(nVar4922: int) returns (nVar4923: int);
+
+
+
+implementation proc124(nVar4922: int) returns (nVar4923: int)
+{
+ var nVar4924: int;
+
+ anon0__unique__1:
+ nVar4924 := nVar4922;
+ assume nVar4924 > 0;
+ nVar4923 := nVar3720[func32(nVar4924)];
+ return;
+}
+
+
+
+procedure proc125(nVar4925: int) returns (nVar4926: int);
+
+
+
+implementation proc125(nVar4925: int) returns (nVar4926: int)
+{
+ var nVar4927: int;
+
+ anon0__unique__1:
+ nVar4926 := nVar4927;
+ return;
+}
+
+
+
+procedure proc126();
+ modifies nVar3711;
+
+
+
+procedure proc127(nVar4931: int);
+ modifies nVar3711;
+
+
+
+procedure proc128(nVar4929: int);
+ modifies nVar3711;
+
+
+
+procedure proc129(nVar4928: int);
+ modifies nVar3711;
+
+
+
+implementation proc129(nVar4928: int)
+{
+
+ anon0__unique__1:
+ nVar3711 := 1;
+ return;
+}
+
+
+
+implementation proc128(nVar4929: int)
+{
+ var nVar4930: int;
+
+ anon0__unique__1:
+ nVar4930 := nVar4929;
+ call {:si_unique_call 6374} proc129(nVar4614);
+ return;
+}
+
+
+
+implementation proc127(nVar4931: int)
+{
+ var nVar4932: int;
+
+ anon0__unique__1:
+ nVar4932 := nVar4931;
+ call {:si_unique_call 6370} proc128(nVar4932);
+ goto anon3_Then__unique__2;
+
+ anon3_Then__unique__2:
+ assume nVar3711 == 1;
+ goto LM2__unique__3;
+
+ LM2__unique__3:
+ return;
+}
+
+
+
+implementation proc126()
+{
+
+ anon0__unique__1:
+ call {:si_unique_call 6336} proc127(nVar4632);
+ goto anon3_Then__unique__2;
+
+ anon3_Then__unique__2:
+ assume nVar3711 == 1;
+ goto LM2__unique__3;
+
+ LM2__unique__3:
+ return;
+}
+
+
+
+implementation proc64() returns (nVar4933: int, nVar4934: bool)
+{
+ var nVar4935: int;
+ var nVar4936: int;
+ var nVar4937: int;
+ var nVar4938: int;
+ var nVar4939: int;
+ var nVar4940: int;
+ var nVar4941: int;
+ var nVar4942: int;
+ var nVar4943: int;
+ var nVar4944: int;
+ var nVar4945: int;
+ var nVar4946: int;
+ var nVar4947: int;
+ var nVar4948: int;
+ var nVar4949: int;
+ var nVar4950: int;
+ var nVar4951: int;
+ var nVar4952: int;
+ var nVar4953: int;
+ var nVar4954: int;
+ var nVar4955: int;
+ var nVar4956: int;
+ var nVar4957: int;
+ var nVar4958: int;
+ var nVar4959: int;
+ var nVar4960: int;
+ var nVar4961: int;
+ var nVar4962: int;
+ var nVar4963: int;
+ var nVar4964: int;
+ var nVar4965: int;
+ var nVar4966: int;
+ var nVar4967: int;
+ var nVar4968: int;
+ var nVar4969: int;
+ var nVar4970: int;
+ var nVar4971: int;
+ var nVar4972: int;
+ var nVar4973: int;
+ var nVar4974: int;
+ var nVar4975: int;
+ var nVar4976: int;
+ var nVar4977: int;
+ var nVar4978: int;
+ var nVar4979: int;
+ var nVar4980: int;
+ var nVar4981: int;
+ var nVar4982: int;
+ var nVar4983: int;
+ var nVar4984: int;
+ var nVar4985: int;
+ var nVar4986: int;
+ var nVar4987: int;
+ var nVar4988: int;
+ var nVar4989: int;
+ var nVar4990: int;
+ var nVar4991: int;
+ var nVar4992: int;
+ var nVar4993: int;
+ var nVar4994: int;
+ var nVar4995: int;
+ var nVar4996: int;
+ var nVar4997: int;
+ var nVar4998: int;
+ var nVar4999: int;
+ var nVar5000: int;
+ var nVar5001: int;
+ var nVar5002: int;
+ var nVar5003: int;
+ var nVar5004: int;
+ var nVar5005: int;
+ var nVar5006: int;
+ var nVar5007: int;
+ var nVar5008: int;
+ var nVar5009: int;
+ var nVar5010: int;
+ var nVar5011: int;
+ var nVar5012: int;
+ var nVar5013: int;
+ var nVar5014: int;
+ var nVar5015: int;
+ var nVar5016: int;
+ var nVar5017: int;
+ var nVar5018: int;
+ var nVar5019: int;
+ var nVar5020: int;
+ var nVar5021: int;
+ var nVar5022: int;
+ var nVar5023: int;
+ var nVar5024: int;
+ var nVar5025: int;
+ var nVar5026: int;
+ var nVar5027: int;
+ var nVar5028: int;
+ var nVar5029: int;
+ var nVar5030: int;
+ var nVar5031: int;
+ var nVar5032: int;
+ var nVar5033: int;
+ var nVar5034: int;
+ var nVar5035: int;
+ var nVar5036: int;
+ var nVar5037: int;
+ var nVar5038: int;
+ var nVar5039: int;
+ var nVar5040: int;
+ var nVar5041: int;
+ var nVar5042: int;
+ var nVar5043: int;
+ var nVar5044: int;
+ var nVar5045: int;
+ var nVar5046: int;
+ var nVar5047: int;
+ var nVar5048: int;
+ var nVar5049: int;
+ var nVar5050: int;
+ var nVar5051: int;
+ var nVar5052: int;
+ var nVar5053: int;
+ var nVar5054: int;
+ var nVar5055: int;
+ var nVar5056: int;
+ var nVar5057: int;
+ var nVar5058: int;
+ var nVar5059: int;
+ var nVar5060: int;
+ var nVar5061: int;
+ var nVar5062: int;
+ var nVar5063: int;
+ var nVar5064: int;
+ var nVar5065: int;
+ var nVar5066: int;
+ var nVar5067: int;
+ var nVar5068: int;
+ var nVar5069: int;
+ var nVar5070: int;
+ var nVar5071: int;
+ var nVar5072: int;
+ var nVar5073: int;
+ var nVar5074: int;
+ var nVar5075: int;
+ var nVar5076: int;
+ var nVar5077: int;
+ var nVar5078: int;
+ var nVar5079: int;
+ var nVar5080: int;
+ var nVar5081: int;
+ var nVar5082: int;
+ var nVar5083: int;
+ var nVar5084: int;
+ var nVar5085: int;
+ var nVar5086: int;
+ var nVar5087: int;
+ var nVar5088: int;
+ var nVar5089: int;
+ var nVar5090: int;
+ var nVar5091: int;
+ var nVar5092: int;
+ var nVar5093: int;
+ var nVar5094: int;
+ var nVar5095: int;
+ var nVar5096: int;
+ var nVar5097: int;
+ var nVar5098: int;
+ var nVar5099: int;
+ var nVar5100: int;
+ var nVar5101: int;
+ var nVar5102: int;
+ var nVar5103: int;
+ var nVar5104: int;
+ var nVar5105: int;
+ var nVar5106: int;
+ var nVar5107: int;
+ var nVar5108: int;
+ var nVar5109: int;
+ var nVar5110: int;
+ var nVar5111: int;
+ var nVar5112: int;
+ var nVar5113: int;
+ var nVar5114: int;
+ var nVar5115: int;
+ var nVar5116: int;
+ var nVar5117: int;
+ var nVar5118: int;
+ var nVar5119: int;
+ var nVar5120: int;
+ var nVar5121: int;
+ var nVar5122: int;
+ var nVar5123: int;
+ var nVar5124: int;
+ var nVar5125: int;
+ var nVar5126: int;
+ var nVar5127: int;
+ var nVar5128: int;
+ var nVar5129: int;
+ var nVar5130: int;
+ var nVar5131: int;
+ var nVar5132: int;
+ var nVar5133: int;
+ var nVar5134: int;
+ var nVar5135: int;
+ var nVar5136: int;
+ var nVar5137: int;
+ var nVar5138: int;
+ var nVar5139: int;
+ var nVar5140: int;
+ var nVar5141: int;
+ var nVar5142: int;
+ var nVar5143: int;
+ var nVar5144: int;
+ var nVar5145: int;
+ var nVar5146: int;
+ var nVar5147: int;
+ var nVar5148: int;
+ var nVar5149: int;
+ var nVar5150: int;
+ var nVar5151: int;
+ var nVar5152: int;
+ var nVar5153: int;
+ var nVar5154: int;
+ var nVar5155: int;
+ var nVar5156: int;
+ var nVar5157: int;
+ var nVar5158: int;
+ var nVar5159: int;
+ var nVar5160: int;
+ var nVar5161: int;
+ var nVar5162: int;
+ var nVar5163: int;
+ var nVar5164: int;
+ var nVar5165: int;
+ var nVar5166: int;
+ var nVar5167: int;
+ var nVar5168: int;
+ var nVar5169: int;
+ var nVar5170: int;
+ var nVar5171: int;
+ var nVar5172: int;
+ var nVar5173: int;
+ var nVar5174: int;
+ var nVar5175: int;
+ var nVar5176: int;
+ var nVar5177: int;
+ var nVar5178: int;
+ var nVar5179: int;
+ var nVar5180: int;
+ var nVar5181: int;
+ var nVar5182: int;
+ var nVar5183: int;
+ var nVar5184: int;
+ var nVar5185: int;
+ var nVar5186: int;
+ var nVar5187: int;
+ var nVar5188: int;
+ var nVar5189: int;
+ var nVar5190: int;
+ var nVar5191: int;
+ var nVar5192: int;
+ var nVar5193: int;
+ var nVar5194: int;
+ var nVar5195: int;
+ var nVar5196: int;
+ var nVar5197: int;
+ var nVar5198: int;
+ var nVar5199: int;
+ var nVar5200: int;
+ var nVar5201: int;
+ var nVar5202: int;
+ var nVar5203: int;
+ var nVar5204: int;
+ var nVar5205: int;
+ var nVar5206: int;
+ var nVar5207: int;
+ var nVar5208: int;
+ var nVar5209: int;
+ var nVar5210: int;
+ var nVar5211: int;
+ var nVar5212: int;
+ var nVar5213: int;
+ var nVar5214: int;
+ var nVar5215: int;
+ var nVar5216: int;
+ var nVar5217: int;
+ var nVar5218: int;
+ var nVar5219: int;
+ var nVar5220: int;
+ var nVar5221: int;
+ var nVar5222: int;
+ var nVar5223: int;
+ var nVar5224: int;
+ var nVar5225: int;
+ var nVar5226: int;
+ var nVar5227: int;
+ var nVar5228: int;
+ var nVar5229: int;
+ var nVar5230: int;
+ var nVar5231: int;
+ var nVar5232: int;
+ var nVar5233: int;
+ var nVar5234: int;
+ var nVar5235: int;
+ var nVar5236: int;
+ var nVar5237: int;
+ var nVar5238: int;
+ var nVar5239: int;
+ var nVar5240: int;
+ var nVar5241: int;
+ var nVar5242: int;
+ var nVar5243: int;
+ var nVar5244: int;
+ var nVar5245: int;
+ var nVar5246: int;
+ var nVar5247: int;
+ var nVar5248: int;
+ var nVar5249: int;
+ var nVar5250: int;
+ var nVar5251: int;
+ var nVar5252: int;
+ var nVar5253: int;
+ var nVar5254: int;
+ var nVar5255: int;
+ var nVar5256: int;
+ var nVar5257: int;
+ var nVar5258: int;
+ var nVar5259: int;
+ var nVar5260: int;
+ var nVar5261: int;
+ var nVar5262: int;
+ var nVar5263: int;
+ var nVar5264: int;
+ var nVar5265: int;
+ var nVar5266: int;
+ var nVar5267: int;
+ var nVar5268: int;
+ var nVar5269: int;
+ var nVar5270: int;
+ var nVar5271: int;
+ var nVar5272: int;
+ var nVar5273: int;
+ var nVar5274: int;
+ var nVar5275: int;
+ var nVar5276: int;
+ var nVar5277: int;
+ var nVar5278: int;
+ var nVar5279: int;
+ var nVar5280: int;
+ var nVar5281: int;
+ var nVar5282: int;
+ var nVar5283: int;
+ var nVar5284: int;
+ var nVar5285: int;
+ var nVar5286: int;
+ var nVar5287: int;
+ var nVar5288: int;
+ var nVar5289: int;
+ var nVar5290: int;
+ var nVar5291: int;
+ var nVar5292: int;
+ var nVar5293: int;
+ var nVar5294: int;
+ var nVar5295: int;
+ var nVar5296: int;
+ var nVar5297: int;
+ var nVar5298: int;
+ var nVar5299: int;
+ var nVar5300: int;
+ var nVar5301: int;
+ var nVar5302: int;
+ var nVar5303: int;
+ var nVar5304: int;
+ var nVar5305: int;
+ var nVar5306: int;
+ var nVar5307: int;
+ var nVar5308: int;
+ var nVar5309: int;
+ var nVar5310: int;
+ var nVar5311: int;
+ var nVar5312: int;
+ var nVar5313: int;
+ var nVar5314: int;
+ var nVar5315: int;
+ var nVar5316: int;
+ var nVar5317: int;
+ var nVar5318: int;
+ var nVar5319: int;
+ var nVar5320: int;
+ var nVar5321: int;
+ var nVar5322: int;
+ var nVar5323: int;
+ var nVar5324: int;
+ var nVar5325: int;
+ var nVar5326: int;
+ var nVar5327: int;
+ var nVar5328: int;
+ var nVar5329: int;
+ var nVar5330: int;
+ var nVar5331: int;
+ var nVar5332: int;
+ var nVar5333: int;
+ var nVar5334: int;
+ var nVar5335: int;
+ var nVar5336: int;
+ var nVar5337: int;
+ var nVar5338: int;
+ var nVar5339: int;
+ var nVar5340: int;
+ var nVar5341: int;
+ var nVar5342: int;
+ var nVar5343: int;
+ var nVar5344: int;
+ var nVar5345: int;
+ var nVar5346: int;
+ var nVar5347: int;
+ var nVar5348: int;
+ var nVar5349: int;
+ var nVar5350: int;
+ var nVar5351: int;
+ var nVar5352: int;
+ var nVar5353: int;
+ var nVar5354: int;
+ var nVar5355: int;
+ var nVar5356: int;
+ var nVar5357: int;
+ var nVar5358: int;
+ var nVar5359: int;
+ var nVar5360: int;
+ var nVar5361: int;
+ var nVar5362: int;
+ var nVar5363: int;
+ var nVar5364: int;
+ var nVar5365: int;
+ var nVar5366: int;
+ var nVar5367: int;
+ var nVar5368: int;
+ var nVar5369: int;
+ var nVar5370: int;
+ var nVar5371: int;
+ var nVar5372: int;
+ var nVar5373: int;
+ var nVar5374: int;
+ var nVar5375: int;
+ var nVar5376: int;
+ var nVar5377: int;
+ var nVar5378: int;
+ var nVar5379: int;
+ var nVar5380: int;
+ var nVar5381: int;
+ var nVar5382: int;
+ var nVar5383: int;
+ var nVar5384: int;
+ var nVar5385: int;
+ var nVar5386: int;
+ var nVar5387: int;
+ var nVar5388: int;
+ var nVar5389: int;
+ var nVar5390: int;
+ var nVar5391: int;
+ var nVar5392: int;
+ var nVar5393: int;
+ var nVar5394: int;
+ var nVar5395: int;
+ var nVar5396: int;
+ var nVar5397: int;
+ var nVar5398: int;
+ var nVar5399: int;
+ var nVar5400: int;
+ var nVar5401: int;
+ var nVar5402: int;
+ var nVar5403: int;
+ var nVar5404: int;
+ var nVar5405: int;
+ var nVar5406: int;
+ var nVar5407: int;
+ var nVar5408: int;
+ var nVar5409: int;
+ var nVar5410: int;
+ var nVar5411: int;
+ var nVar5412: int;
+ var nVar5413: int;
+ var nVar5414: int;
+ var nVar5415: int;
+ var nVar5416: int;
+ var nVar5417: int;
+ var nVar5418: int;
+ var nVar5419: int;
+ var nVar5420: int;
+ var nVar5421: int;
+ var nVar5422: int;
+ var nVar5423: int;
+ var nVar5424: int;
+ var nVar5425: int;
+ var nVar5426: int;
+ var nVar5427: int;
+ var nVar5428: int;
+ var nVar5429: int;
+ var nVar5430: int;
+ var nVar5431: int;
+ var nVar5432: int;
+ var nVar5433: int;
+ var nVar5434: int;
+ var nVar5435: int;
+ var nVar5436: int;
+ var nVar5437: int;
+ var nVar5438: int;
+ var nVar5439: int;
+ var nVar5440: int;
+ var nVar5441: int;
+ var nVar5442: int;
+ var nVar5443: int;
+ var nVar5444: int;
+ var nVar5445: int;
+ var nVar5446: int;
+ var nVar5447: int;
+ var nVar5448: int;
+ var nVar5449: int;
+ var nVar5450: int;
+ var nVar5451: int;
+ var nVar5452: int;
+ var nVar5453: int;
+ var nVar5454: int;
+ var nVar5455: int;
+ var nVar5456: int;
+ var nVar5457: int;
+ var nVar5458: int;
+ var nVar5459: int;
+ var nVar5460: int;
+ var nVar5461: int;
+ var nVar5462: int;
+ var nVar5463: int;
+ var nVar5464: int;
+ var nVar5465: int;
+ var nVar5466: int;
+ var nVar5467: int;
+ var nVar5468: int;
+ var nVar5469: int;
+ var nVar5470: int;
+ var nVar5471: int;
+ var nVar5472: int;
+ var nVar5473: int;
+ var nVar5474: int;
+ var nVar5475: int;
+ var nVar5476: int;
+ var nVar5477: int;
+ var nVar5478: int;
+ var nVar5479: int;
+ var nVar5480: int;
+ var nVar5481: int;
+ var nVar5482: int;
+ var nVar5483: int;
+ var nVar5484: int;
+ var nVar5485: int;
+ var nVar5486: int;
+ var nVar5487: int;
+ var nVar5488: int;
+ var nVar5489: int;
+ var nVar5490: int;
+ var nVar5491: int;
+ var nVar5492: int;
+ var nVar5493: int;
+ var nVar5494: int;
+ var nVar5495: int;
+ var nVar5496: int;
+ var nVar5497: int;
+ var nVar5498: int;
+ var nVar5499: int;
+ var nVar5500: int;
+ var nVar5501: int;
+ var nVar5502: int;
+ var nVar5503: int;
+ var nVar5504: int;
+ var nVar5505: int;
+ var nVar5506: int;
+ var nVar5507: int;
+ var nVar5508: int;
+ var nVar5509: int;
+ var nVar5510: int;
+ var nVar5511: int;
+ var nVar5512: int;
+ var nVar5513: int;
+ var nVar5514: int;
+ var nVar5515: int;
+ var nVar5516: int;
+ var nVar5517: int;
+ var nVar5518: int;
+ var nVar5519: int;
+ var nVar5520: int;
+ var nVar5521: int;
+ var nVar5522: int;
+ var nVar5523: int;
+ var nVar5524: int;
+ var nVar5525: int;
+ var nVar5526: int;
+ var nVar5527: int;
+ var nVar5528: int;
+ var nVar5529: int;
+ var nVar5530: int;
+ var nVar5531: int;
+ var nVar5532: int;
+ var nVar5533: int;
+ var nVar5534: int;
+ var nVar5535: int;
+ var nVar5536: int;
+ var nVar5537: int;
+ var nVar5538: int;
+ var nVar5539: int;
+ var nVar5540: int;
+ var nVar5541: int;
+ var nVar5542: int;
+ var nVar5543: int;
+ var nVar5544: int;
+ var nVar5545: int;
+ var nVar5546: int;
+ var nVar5547: int;
+ var nVar5548: int;
+ var nVar5549: int;
+ var nVar5550: int;
+ var nVar5551: int;
+ var nVar5552: int;
+ var nVar5553: int;
+ var nVar5554: int;
+ var nVar5555: int;
+ var nVar5556: int;
+ var nVar5557: int;
+ var nVar5558: int;
+ var nVar5559: int;
+ var nVar5560: int;
+ var nVar5561: int;
+ var nVar5562: int;
+ var nVar5563: int;
+ var nVar5564: int;
+ var nVar5565: int;
+ var nVar5566: int;
+ var nVar5567: int;
+ var nVar5568: int;
+ var nVar5569: int;
+ var nVar5570: int;
+ var nVar5571: int;
+ var nVar5572: int;
+ var nVar5573: int;
+ var nVar5574: int;
+ var nVar5575: int;
+ var nVar5576: int;
+ var nVar5577: int;
+ var nVar5578: int;
+ var nVar5579: int;
+ var nVar5580: int;
+ var nVar5581: int;
+ var nVar5582: int;
+ var nVar5583: int;
+ var nVar5584: int;
+ var nVar5585: int;
+ var nVar5586: int;
+ var nVar5587: int;
+ var nVar5588: int;
+ var nVar5589: int;
+ var nVar5590: int;
+ var nVar5591: int;
+ var nVar5592: int;
+ var nVar5593: int;
+ var nVar5594: int;
+ var nVar5595: int;
+ var nVar5596: int;
+ var nVar5597: int;
+ var nVar5598: int;
+ var nVar5599: int;
+ var nVar5600: int;
+ var nVar5601: int;
+ var nVar5602: int;
+ var nVar5603: int;
+ var nVar5604: int;
+ var nVar5605: int;
+ var nVar5606: int;
+ var nVar5607: int;
+ var nVar5608: int;
+ var nVar5609: int;
+ var nVar5610: int;
+ var nVar5611: int;
+ var nVar5612: int;
+ var nVar5613: int;
+ var nVar5614: int;
+ var nVar5615: int;
+ var nVar5616: int;
+ var nVar5617: int;
+ var nVar5618: int;
+ var nVar5619: int;
+ var nVar5620: int;
+ var nVar5621: int;
+ var nVar5622: int;
+ var nVar5623: int;
+ var nVar5624: int;
+ var nVar5625: int;
+ var nVar5626: int;
+ var nVar5627: int;
+ var nVar5628: int;
+ var nVar5629: int;
+ var nVar5630: int;
+ var nVar5631: int;
+ var nVar5632: int;
+ var nVar5633: int;
+ var nVar5634: int;
+ var nVar5635: int;
+ var nVar5636: int;
+ var nVar5637: int;
+ var nVar5638: int;
+ var nVar5639: int;
+ var nVar5640: int;
+ var nVar5641: int;
+ var nVar5642: int;
+ var nVar5643: int;
+ var nVar5644: int;
+ var nVar5645: int;
+ var nVar5646: int;
+ var nVar5647: int;
+ var nVar5648: int;
+ var nVar5649: int;
+ var nVar5650: int;
+ var nVar5651: int;
+ var nVar5652: int;
+ var nVar5653: int;
+ var nVar5654: int;
+ var nVar5655: int;
+ var nVar5656: int;
+ var nVar5657: int;
+ var nVar5658: int;
+ var nVar5659: int;
+ var nVar5660: int;
+ var nVar5661: int;
+ var nVar5662: int;
+ var nVar5663: int;
+ var nVar5664: int;
+ var nVar5665: int;
+ var nVar5666: int;
+ var nVar5667: int;
+ var nVar5668: int;
+ var nVar5669: int;
+ var nVar5670: int;
+ var nVar5671: int;
+ var nVar5672: int;
+ var nVar5673: int;
+ var nVar5674: int;
+ var nVar5675: int;
+ var nVar5676: int;
+ var nVar5677: int;
+ var nVar5678: int;
+ var nVar5679: int;
+ var nVar5680: int;
+ var nVar5681: int;
+ var nVar5682: int;
+ var nVar5683: int;
+ var nVar5684: int;
+ var nVar5685: int;
+ var nVar5686: int;
+ var nVar5687: int;
+ var nVar5688: int;
+ var nVar5689: int;
+ var nVar5690: int;
+ var nVar5691: int;
+ var nVar5692: int;
+ var nVar5693: int;
+ var nVar5694: int;
+ var nVar5695: int;
+ var nVar5696: int;
+ var nVar5697: int;
+ var nVar5698: int;
+ var nVar5699: int;
+ var nVar5700: int;
+ var nVar5701: int;
+ var nVar5702: int;
+ var nVar5703: int;
+ var nVar5704: int;
+ var nVar5705: int;
+ var nVar5706: int;
+ var nVar5707: int;
+ var nVar5708: int;
+ var nVar5709: int;
+ var nVar5710: int;
+ var nVar5711: int;
+ var nVar5712: int;
+ var nVar5713: int;
+ var nVar5714: int;
+ var nVar5715: int;
+ var nVar5716: int;
+ var nVar5717: int;
+ var nVar5718: int;
+ var nVar5719: int;
+ var nVar5720: int;
+ var nVar5721: int;
+ var nVar5722: int;
+ var nVar5723: int;
+ var nVar5724: int;
+ var nVar5725: int;
+ var nVar5726: int;
+ var nVar5727: int;
+ var nVar5728: int;
+ var nVar5729: int;
+ var nVar5730: int;
+ var nVar5731: int;
+ var nVar5732: int;
+ var nVar5733: int;
+ var nVar5734: int;
+ var nVar5735: int;
+ var nVar5736: int;
+ var nVar5737: int;
+ var nVar5738: int;
+ var nVar5739: int;
+ var nVar5740: int;
+ var nVar5741: int;
+ var nVar5742: int;
+ var nVar5743: int;
+ var nVar5744: int;
+ var nVar5745: int;
+ var nVar5746: int;
+ var nVar5747: int;
+ var nVar5748: int;
+ var nVar5749: int;
+ var nVar5750: int;
+ var nVar5751: int;
+ var nVar5752: int;
+ var nVar5753: int;
+ var nVar5754: int;
+ var nVar5755: int;
+ var nVar5756: int;
+ var nVar5757: int;
+ var nVar5758: int;
+ var nVar5759: int;
+ var nVar5760: int;
+ var nVar5761: int;
+ var nVar5762: int;
+ var nVar5763: int;
+ var nVar5764: int;
+ var nVar5765: int;
+ var nVar5766: int;
+ var nVar5767: int;
+ var nVar5768: int;
+ var nVar5769: int;
+ var nVar5770: int;
+ var nVar5771: int;
+ var nVar5772: int;
+ var nVar5773: int;
+ var nVar5774: int;
+ var nVar5775: int;
+ var nVar5776: int;
+ var nVar5777: int;
+ var nVar5778: int;
+ var nVar5779: int;
+ var nVar5780: int;
+ var nVar5781: int;
+ var nVar5782: int;
+ var nVar5783: int;
+ var nVar5784: int;
+ var nVar5785: int;
+ var nVar5786: int;
+ var nVar5787: int;
+ var nVar5788: int;
+ var nVar5789: int;
+ var nVar5790: int;
+ var nVar5791: int;
+ var nVar5792: int;
+ var nVar5793: int;
+ var nVar5794: int;
+ var nVar5795: int;
+
+ anon0__unique__1:
+ nVar4934 := true;
+ assume nVar1 > 0;
+ call {:si_unique_call 46} nVar2 := proc130(28);
+ call {:si_unique_call 47} nVar3 := proc130(12);
+ call {:si_unique_call 48} nVar4 := proc130(28);
+ call {:si_unique_call 49} nVar5 := proc130(24);
+ call {:si_unique_call 50} nVar6 := proc130(28);
+ call {:si_unique_call 51} nVar7 := proc130(4);
+ call {:si_unique_call 52} nVar8 := proc130(28);
+ call {:si_unique_call 53} nVar9 := proc130(16);
+ call {:si_unique_call 54} nVar10 := proc130(28);
+ call {:si_unique_call 55} nVar11 := proc130(28);
+ call {:si_unique_call 56} nVar12 := proc130(28);
+ call {:si_unique_call 57} nVar13 := proc130(28);
+ call {:si_unique_call 58} nVar14 := proc130(16);
+ call {:si_unique_call 59} nVar15 := proc130(28);
+ call {:si_unique_call 60} nVar16 := proc130(28);
+ call {:si_unique_call 61} nVar17 := proc130(28);
+ call {:si_unique_call 62} nVar18 := proc130(28);
+ call {:si_unique_call 63} nVar19 := proc130(28);
+ call {:si_unique_call 64} nVar20 := proc130(28);
+ call {:si_unique_call 65} nVar21 := proc130(28);
+ call {:si_unique_call 66} nVar22 := proc130(28);
+ call {:si_unique_call 67} nVar23 := proc130(28);
+ call {:si_unique_call 68} nVar24 := proc130(28);
+ call {:si_unique_call 69} nVar25 := proc130(28);
+ call {:si_unique_call 70} nVar26 := proc130(28);
+ call {:si_unique_call 71} nVar27 := proc130(28);
+ call {:si_unique_call 72} nVar28 := proc130(28);
+ call {:si_unique_call 73} nVar29 := proc130(28);
+ call {:si_unique_call 74} nVar30 := proc130(28);
+ call {:si_unique_call 75} nVar31 := proc130(28);
+ call {:si_unique_call 76} nVar32 := proc130(24);
+ call {:si_unique_call 77} nVar33 := proc130(28);
+ call {:si_unique_call 78} nVar34 := proc130(28);
+ call {:si_unique_call 79} nVar35 := proc130(28);
+ call {:si_unique_call 80} nVar36 := proc130(28);
+ call {:si_unique_call 81} nVar37 := proc130(28);
+ call {:si_unique_call 82} nVar38 := proc130(4);
+ call {:si_unique_call 83} nVar39 := proc130(28);
+ call {:si_unique_call 84} nVar40 := proc130(28);
+ call {:si_unique_call 85} nVar41 := proc130(28);
+ call {:si_unique_call 86} nVar42 := proc130(28);
+ call {:si_unique_call 87} nVar43 := proc130(28);
+ call {:si_unique_call 88} nVar44 := proc130(28);
+ call {:si_unique_call 89} nVar45 := proc130(24);
+ call {:si_unique_call 90} nVar46 := proc130(28);
+ call {:si_unique_call 91} nVar47 := proc130(28);
+ call {:si_unique_call 92} nVar48 := proc130(16);
+ call {:si_unique_call 93} nVar49 := proc130(28);
+ call {:si_unique_call 94} nVar50 := proc130(28);
+ call {:si_unique_call 95} nVar51 := proc130(28);
+ call {:si_unique_call 96} nVar52 := proc130(16);
+ call {:si_unique_call 97} nVar53 := proc130(28);
+ call {:si_unique_call 98} nVar54 := proc130(28);
+ call {:si_unique_call 99} nVar55 := proc130(28);
+ call {:si_unique_call 100} nVar56 := proc130(28);
+ call {:si_unique_call 101} nVar57 := proc130(28);
+ call {:si_unique_call 102} nVar58 := proc130(28);
+ call {:si_unique_call 103} nVar59 := proc130(16);
+ call {:si_unique_call 104} nVar60 := proc130(28);
+ call {:si_unique_call 105} nVar61 := proc130(12);
+ call {:si_unique_call 106} nVar62 := proc130(28);
+ call {:si_unique_call 107} nVar4940 := proc130(16);
+ call {:si_unique_call 108} nVar63 := proc130(28);
+ call {:si_unique_call 109} nVar64 := proc130(28);
+ call {:si_unique_call 110} nVar65 := proc130(28);
+ call {:si_unique_call 111} nVar66 := proc130(28);
+ call {:si_unique_call 112} nVar67 := proc130(28);
+ call {:si_unique_call 113} nVar68 := proc130(28);
+ call {:si_unique_call 114} nVar69 := proc130(28);
+ call {:si_unique_call 115} nVar70 := proc130(12);
+ call {:si_unique_call 116} nVar71 := proc130(28);
+ call {:si_unique_call 117} nVar72 := proc130(28);
+ call {:si_unique_call 118} nVar73 := proc130(28);
+ call {:si_unique_call 119} nVar74 := proc130(28);
+ call {:si_unique_call 120} nVar75 := proc130(28);
+ call {:si_unique_call 121} nVar76 := proc130(28);
+ call {:si_unique_call 122} nVar77 := proc130(16);
+ call {:si_unique_call 123} nVar78 := proc130(24);
+ call {:si_unique_call 124} nVar79 := proc130(28);
+ call {:si_unique_call 125} nVar80 := proc130(28);
+ call {:si_unique_call 126} nVar81 := proc130(28);
+ call {:si_unique_call 127} nVar82 := proc130(28);
+ call {:si_unique_call 128} nVar83 := proc130(28);
+ call {:si_unique_call 129} nVar84 := proc130(28);
+ call {:si_unique_call 130} nVar85 := proc130(28);
+ call {:si_unique_call 131} nVar86 := proc130(28);
+ call {:si_unique_call 132} nVar87 := proc130(28);
+ call {:si_unique_call 133} nVar88 := proc130(28);
+ call {:si_unique_call 134} nVar89 := proc130(28);
+ call {:si_unique_call 135} nVar90 := proc130(28);
+ call {:si_unique_call 136} nVar91 := proc130(28);
+ call {:si_unique_call 137} nVar92 := proc130(28);
+ call {:si_unique_call 138} nVar93 := proc130(28);
+ call {:si_unique_call 139} nVar94 := proc130(28);
+ call {:si_unique_call 140} nVar95 := proc130(28);
+ call {:si_unique_call 141} nVar96 := proc130(28);
+ call {:si_unique_call 142} nVar97 := proc130(28);
+ call {:si_unique_call 143} nVar98 := proc130(28);
+ call {:si_unique_call 144} nVar99 := proc130(16);
+ call {:si_unique_call 145} nVar100 := proc130(28);
+ call {:si_unique_call 146} nVar101 := proc130(28);
+ call {:si_unique_call 147} nVar102 := proc130(28);
+ call {:si_unique_call 148} nVar103 := proc130(28);
+ call {:si_unique_call 149} nVar104 := proc130(28);
+ call {:si_unique_call 150} nVar105 := proc130(16);
+ call {:si_unique_call 151} nVar106 := proc130(28);
+ call {:si_unique_call 152} nVar107 := proc130(28);
+ call {:si_unique_call 153} nVar108 := proc130(28);
+ call {:si_unique_call 154} nVar109 := proc130(28);
+ call {:si_unique_call 155} nVar110 := proc130(28);
+ call {:si_unique_call 156} nVar4941 := proc130(16);
+ call {:si_unique_call 157} nVar111 := proc130(28);
+ call {:si_unique_call 158} nVar112 := proc130(28);
+ call {:si_unique_call 159} nVar113 := proc130(28);
+ call {:si_unique_call 160} nVar114 := proc130(28);
+ call {:si_unique_call 161} nVar115 := proc130(28);
+ call {:si_unique_call 162} nVar116 := proc130(28);
+ call {:si_unique_call 163} nVar117 := proc130(28);
+ call {:si_unique_call 164} nVar118 := proc130(28);
+ call {:si_unique_call 165} nVar119 := proc130(28);
+ call {:si_unique_call 166} nVar120 := proc130(28);
+ call {:si_unique_call 167} nVar121 := proc130(28);
+ call {:si_unique_call 168} nVar122 := proc130(28);
+ call {:si_unique_call 169} nVar123 := proc130(28);
+ call {:si_unique_call 170} nVar124 := proc130(28);
+ call {:si_unique_call 171} nVar125 := proc130(28);
+ call {:si_unique_call 172} nVar126 := proc130(28);
+ call {:si_unique_call 173} nVar127 := proc130(28);
+ call {:si_unique_call 174} nVar128 := proc130(28);
+ call {:si_unique_call 175} nVar129 := proc130(28);
+ call {:si_unique_call 176} nVar130 := proc130(28);
+ call {:si_unique_call 177} nVar131 := proc130(12);
+ call {:si_unique_call 178} nVar132 := proc130(28);
+ call {:si_unique_call 179} nVar133 := proc130(28);
+ call {:si_unique_call 180} nVar134 := proc130(28);
+ call {:si_unique_call 181} nVar135 := proc130(28);
+ call {:si_unique_call 182} nVar136 := proc130(28);
+ call {:si_unique_call 183} nVar137 := proc130(28);
+ call {:si_unique_call 184} nVar138 := proc130(28);
+ call {:si_unique_call 185} nVar139 := proc130(16);
+ call {:si_unique_call 186} nVar140 := proc130(28);
+ call {:si_unique_call 187} nVar141 := proc130(28);
+ call {:si_unique_call 188} nVar142 := proc130(28);
+ call {:si_unique_call 189} nVar143 := proc130(28);
+ call {:si_unique_call 190} nVar144 := proc130(28);
+ call {:si_unique_call 191} nVar145 := proc130(28);
+ call {:si_unique_call 192} nVar146 := proc130(28);
+ call {:si_unique_call 193} nVar147 := proc130(28);
+ call {:si_unique_call 194} nVar148 := proc130(28);
+ call {:si_unique_call 195} nVar149 := proc130(28);
+ call {:si_unique_call 196} nVar150 := proc130(28);
+ call {:si_unique_call 197} nVar151 := proc130(28);
+ call {:si_unique_call 198} nVar152 := proc130(28);
+ call {:si_unique_call 199} nVar153 := proc130(28);
+ call {:si_unique_call 200} nVar154 := proc130(28);
+ call {:si_unique_call 201} nVar155 := proc130(28);
+ call {:si_unique_call 202} nVar156 := proc130(28);
+ call {:si_unique_call 203} nVar157 := proc130(28);
+ call {:si_unique_call 204} nVar158 := proc130(28);
+ call {:si_unique_call 205} nVar159 := proc130(28);
+ call {:si_unique_call 206} nVar160 := proc130(24);
+ call {:si_unique_call 207} nVar161 := proc130(28);
+ call {:si_unique_call 208} nVar162 := proc130(28);
+ call {:si_unique_call 209} nVar163 := proc130(28);
+ call {:si_unique_call 210} nVar164 := proc130(4);
+ call {:si_unique_call 211} nVar165 := proc130(16);
+ call {:si_unique_call 212} nVar166 := proc130(28);
+ call {:si_unique_call 213} nVar167 := proc130(28);
+ call {:si_unique_call 214} nVar168 := proc130(28);
+ call {:si_unique_call 215} nVar169 := proc130(12);
+ call {:si_unique_call 216} nVar170 := proc130(16);
+ call {:si_unique_call 217} nVar171 := proc130(28);
+ call {:si_unique_call 218} nVar172 := proc130(28);
+ call {:si_unique_call 219} nVar173 := proc130(28);
+ call {:si_unique_call 220} nVar174 := proc130(28);
+ call {:si_unique_call 221} nVar175 := proc130(12);
+ call {:si_unique_call 222} nVar176 := proc130(28);
+ call {:si_unique_call 223} nVar177 := proc130(28);
+ call {:si_unique_call 224} nVar178 := proc130(28);
+ call {:si_unique_call 225} nVar179 := proc130(28);
+ call {:si_unique_call 226} nVar180 := proc130(28);
+ call {:si_unique_call 227} nVar181 := proc130(28);
+ call {:si_unique_call 228} nVar182 := proc130(28);
+ call {:si_unique_call 229} nVar183 := proc130(28);
+ call {:si_unique_call 230} nVar184 := proc130(28);
+ call {:si_unique_call 231} nVar185 := proc130(28);
+ call {:si_unique_call 232} nVar186 := proc130(28);
+ call {:si_unique_call 233} nVar187 := proc130(12);
+ call {:si_unique_call 234} nVar188 := proc130(4);
+ call {:si_unique_call 235} nVar189 := proc130(28);
+ call {:si_unique_call 236} nVar190 := proc130(28);
+ call {:si_unique_call 237} nVar191 := proc130(16);
+ call {:si_unique_call 238} nVar192 := proc130(12);
+ call {:si_unique_call 239} nVar193 := proc130(28);
+ call {:si_unique_call 240} nVar194 := proc130(16);
+ call {:si_unique_call 241} nVar195 := proc130(56);
+ call {:si_unique_call 242} nVar196 := proc130(28);
+ call {:si_unique_call 243} nVar197 := proc130(28);
+ call {:si_unique_call 244} nVar198 := proc130(28);
+ call {:si_unique_call 245} nVar199 := proc130(28);
+ call {:si_unique_call 246} nVar200 := proc130(28);
+ call {:si_unique_call 247} nVar201 := proc130(28);
+ call {:si_unique_call 248} nVar202 := proc130(28);
+ call {:si_unique_call 249} nVar203 := proc130(28);
+ call {:si_unique_call 250} nVar204 := proc130(24);
+ call {:si_unique_call 251} nVar205 := proc130(28);
+ call {:si_unique_call 252} nVar206 := proc130(28);
+ call {:si_unique_call 253} nVar207 := proc130(16);
+ call {:si_unique_call 254} nVar208 := proc130(28);
+ call {:si_unique_call 255} nVar209 := proc130(28);
+ call {:si_unique_call 256} nVar210 := proc130(28);
+ call {:si_unique_call 257} nVar211 := proc130(28);
+ call {:si_unique_call 258} nVar212 := proc130(4);
+ call {:si_unique_call 259} nVar213 := proc130(28);
+ call {:si_unique_call 260} nVar214 := proc130(28);
+ call {:si_unique_call 261} nVar215 := proc130(12);
+ call {:si_unique_call 262} nVar4942 := proc130(20);
+ call {:si_unique_call 263} nVar216 := proc130(24);
+ call {:si_unique_call 264} nVar217 := proc130(16);
+ call {:si_unique_call 265} nVar218 := proc130(24);
+ call {:si_unique_call 266} nVar219 := proc130(28);
+ call {:si_unique_call 267} nVar220 := proc130(28);
+ call {:si_unique_call 268} nVar221 := proc130(24);
+ call {:si_unique_call 269} nVar222 := proc130(28);
+ call {:si_unique_call 270} nVar223 := proc130(56);
+ call {:si_unique_call 271} nVar224 := proc130(28);
+ call {:si_unique_call 272} nVar225 := proc130(28);
+ call {:si_unique_call 273} nVar226 := proc130(28);
+ call {:si_unique_call 274} nVar227 := proc130(12);
+ call {:si_unique_call 275} nVar228 := proc130(28);
+ call {:si_unique_call 276} nVar229 := proc130(28);
+ call {:si_unique_call 277} nVar230 := proc130(28);
+ call {:si_unique_call 278} nVar231 := proc130(28);
+ call {:si_unique_call 279} nVar232 := proc130(4);
+ call {:si_unique_call 280} nVar233 := proc130(4);
+ call {:si_unique_call 281} nVar234 := proc130(28);
+ call {:si_unique_call 282} nVar235 := proc130(4);
+ call {:si_unique_call 283} nVar236 := proc130(24);
+ call {:si_unique_call 284} nVar237 := proc130(4);
+ call {:si_unique_call 285} nVar238 := proc130(4);
+ call {:si_unique_call 286} nVar239 := proc130(28);
+ call {:si_unique_call 287} nVar240 := proc130(28);
+ call {:si_unique_call 288} nVar241 := proc130(28);
+ call {:si_unique_call 289} nVar242 := proc130(28);
+ call {:si_unique_call 290} nVar243 := proc130(28);
+ call {:si_unique_call 291} nVar244 := proc130(28);
+ call {:si_unique_call 292} nVar245 := proc130(28);
+ call {:si_unique_call 293} nVar246 := proc130(28);
+ call {:si_unique_call 294} nVar247 := proc130(28);
+ call {:si_unique_call 295} nVar248 := proc130(12);
+ call {:si_unique_call 296} nVar249 := proc130(28);
+ call {:si_unique_call 297} nVar250 := proc130(28);
+ call {:si_unique_call 298} nVar251 := proc130(28);
+ call {:si_unique_call 299} nVar252 := proc130(28);
+ call {:si_unique_call 300} nVar253 := proc130(28);
+ call {:si_unique_call 301} nVar254 := proc130(28);
+ call {:si_unique_call 302} nVar255 := proc130(28);
+ call {:si_unique_call 303} nVar256 := proc130(16);
+ call {:si_unique_call 304} nVar4943 := proc130(16);
+ call {:si_unique_call 305} nVar257 := proc130(28);
+ call {:si_unique_call 306} nVar258 := proc130(28);
+ call {:si_unique_call 307} nVar259 := proc130(28);
+ call {:si_unique_call 308} nVar260 := proc130(4);
+ call {:si_unique_call 309} nVar261 := proc130(28);
+ call {:si_unique_call 310} nVar262 := proc130(28);
+ call {:si_unique_call 311} nVar263 := proc130(16);
+ call {:si_unique_call 312} nVar264 := proc130(16);
+ call {:si_unique_call 313} nVar265 := proc130(28);
+ call {:si_unique_call 314} nVar266 := proc130(12);
+ call {:si_unique_call 315} nVar267 := proc130(28);
+ call {:si_unique_call 316} nVar268 := proc130(28);
+ call {:si_unique_call 317} nVar269 := proc130(28);
+ call {:si_unique_call 318} nVar270 := proc130(28);
+ call {:si_unique_call 319} nVar271 := proc130(12);
+ call {:si_unique_call 320} nVar272 := proc130(28);
+ call {:si_unique_call 321} nVar273 := proc130(28);
+ call {:si_unique_call 322} nVar274 := proc130(28);
+ call {:si_unique_call 323} nVar275 := proc130(28);
+ call {:si_unique_call 324} nVar276 := proc130(28);
+ call {:si_unique_call 325} nVar277 := proc130(28);
+ call {:si_unique_call 326} nVar278 := proc130(28);
+ call {:si_unique_call 327} nVar279 := proc130(28);
+ call {:si_unique_call 328} nVar281 := proc130(28);
+ call {:si_unique_call 329} nVar282 := proc130(28);
+ call {:si_unique_call 330} nVar283 := proc130(28);
+ call {:si_unique_call 331} nVar284 := proc130(28);
+ call {:si_unique_call 332} nVar285 := proc130(28);
+ call {:si_unique_call 333} nVar286 := proc130(28);
+ call {:si_unique_call 334} nVar287 := proc130(16);
+ call {:si_unique_call 335} nVar288 := proc130(28);
+ call {:si_unique_call 336} nVar289 := proc130(28);
+ call {:si_unique_call 337} nVar4944 := proc130(16);
+ call {:si_unique_call 338} nVar290 := proc130(28);
+ call {:si_unique_call 339} nVar291 := proc130(28);
+ call {:si_unique_call 340} nVar292 := proc130(28);
+ call {:si_unique_call 341} nVar293 := proc130(12);
+ call {:si_unique_call 342} nVar294 := proc130(28);
+ call {:si_unique_call 343} nVar295 := proc130(28);
+ call {:si_unique_call 344} nVar296 := proc130(28);
+ call {:si_unique_call 345} nVar297 := proc130(28);
+ call {:si_unique_call 346} nVar298 := proc130(28);
+ call {:si_unique_call 347} nVar299 := proc130(28);
+ call {:si_unique_call 348} nVar300 := proc130(16);
+ call {:si_unique_call 349} nVar301 := proc130(28);
+ call {:si_unique_call 350} nVar302 := proc130(28);
+ call {:si_unique_call 351} nVar303 := proc130(28);
+ call {:si_unique_call 352} nVar304 := proc130(4);
+ call {:si_unique_call 353} nVar305 := proc130(28);
+ call {:si_unique_call 354} nVar306 := proc130(28);
+ call {:si_unique_call 355} nVar307 := proc130(28);
+ call {:si_unique_call 356} nVar308 := proc130(28);
+ call {:si_unique_call 357} nVar309 := proc130(28);
+ call {:si_unique_call 358} nVar310 := proc130(28);
+ call {:si_unique_call 359} nVar311 := proc130(28);
+ call {:si_unique_call 360} nVar312 := proc130(16);
+ call {:si_unique_call 361} nVar313 := proc130(28);
+ call {:si_unique_call 362} nVar314 := proc130(12);
+ call {:si_unique_call 363} nVar315 := proc130(12);
+ call {:si_unique_call 364} nVar316 := proc130(28);
+ call {:si_unique_call 365} nVar317 := proc130(28);
+ call {:si_unique_call 366} nVar318 := proc130(28);
+ call {:si_unique_call 367} nVar319 := proc130(16);
+ call {:si_unique_call 368} nVar320 := proc130(28);
+ call {:si_unique_call 369} nVar321 := proc130(28);
+ call {:si_unique_call 370} nVar322 := proc130(28);
+ call {:si_unique_call 371} nVar323 := proc130(28);
+ call {:si_unique_call 372} nVar324 := proc130(28);
+ call {:si_unique_call 373} nVar325 := proc130(28);
+ call {:si_unique_call 374} nVar326 := proc130(16);
+ call {:si_unique_call 375} nVar327 := proc130(28);
+ call {:si_unique_call 376} nVar328 := proc130(28);
+ call {:si_unique_call 377} nVar329 := proc130(28);
+ call {:si_unique_call 378} nVar330 := proc130(16);
+ call {:si_unique_call 379} nVar331 := proc130(28);
+ call {:si_unique_call 380} nVar332 := proc130(28);
+ call {:si_unique_call 381} nVar333 := proc130(4);
+ call {:si_unique_call 382} nVar334 := proc130(28);
+ call {:si_unique_call 383} nVar335 := proc130(28);
+ call {:si_unique_call 384} nVar336 := proc130(28);
+ call {:si_unique_call 385} nVar337 := proc130(28);
+ call {:si_unique_call 386} nVar338 := proc130(28);
+ call {:si_unique_call 387} nVar339 := proc130(28);
+ call {:si_unique_call 388} nVar340 := proc130(28);
+ call {:si_unique_call 389} nVar341 := proc130(4);
+ call {:si_unique_call 390} nVar342 := proc130(28);
+ call {:si_unique_call 391} nVar343 := proc130(28);
+ call {:si_unique_call 392} nVar344 := proc130(28);
+ call {:si_unique_call 393} nVar345 := proc130(28);
+ call {:si_unique_call 394} nVar346 := proc130(28);
+ call {:si_unique_call 395} nVar348 := proc130(28);
+ call {:si_unique_call 396} nVar349 := proc130(28);
+ call {:si_unique_call 397} nVar350 := proc130(28);
+ call {:si_unique_call 398} nVar351 := proc130(28);
+ call {:si_unique_call 399} nVar352 := proc130(28);
+ call {:si_unique_call 400} nVar353 := proc130(16);
+ call {:si_unique_call 401} nVar354 := proc130(24);
+ call {:si_unique_call 402} nVar355 := proc130(28);
+ call {:si_unique_call 403} nVar356 := proc130(28);
+ call {:si_unique_call 404} nVar357 := proc130(28);
+ call {:si_unique_call 405} nVar358 := proc130(28);
+ call {:si_unique_call 406} nVar359 := proc130(16);
+ call {:si_unique_call 407} nVar360 := proc130(4);
+ call {:si_unique_call 408} nVar361 := proc130(28);
+ call {:si_unique_call 409} nVar362 := proc130(28);
+ call {:si_unique_call 410} nVar363 := proc130(28);
+ call {:si_unique_call 411} nVar364 := proc130(28);
+ call {:si_unique_call 412} nVar365 := proc130(28);
+ call {:si_unique_call 413} nVar366 := proc130(28);
+ call {:si_unique_call 414} nVar367 := proc130(8);
+ call {:si_unique_call 415} nVar368 := proc130(28);
+ call {:si_unique_call 416} nVar369 := proc130(28);
+ call {:si_unique_call 417} nVar370 := proc130(28);
+ call {:si_unique_call 418} nVar371 := proc130(16);
+ call {:si_unique_call 419} nVar372 := proc130(28);
+ call {:si_unique_call 420} nVar373 := proc130(28);
+ call {:si_unique_call 421} nVar374 := proc130(28);
+ call {:si_unique_call 422} nVar375 := proc130(28);
+ call {:si_unique_call 423} nVar376 := proc130(24);
+ call {:si_unique_call 424} nVar377 := proc130(28);
+ call {:si_unique_call 425} nVar378 := proc130(16);
+ call {:si_unique_call 426} nVar379 := proc130(28);
+ call {:si_unique_call 427} nVar380 := proc130(28);
+ call {:si_unique_call 428} nVar381 := proc130(28);
+ call {:si_unique_call 429} nVar382 := proc130(28);
+ call {:si_unique_call 430} nVar383 := proc130(28);
+ call {:si_unique_call 431} nVar384 := proc130(28);
+ call {:si_unique_call 432} nVar385 := proc130(16);
+ call {:si_unique_call 433} nVar386 := proc130(28);
+ call {:si_unique_call 434} nVar387 := proc130(28);
+ call {:si_unique_call 435} nVar388 := proc130(28);
+ call {:si_unique_call 436} nVar389 := proc130(28);
+ call {:si_unique_call 437} nVar4945 := proc130(16);
+ call {:si_unique_call 438} nVar390 := proc130(28);
+ call {:si_unique_call 439} nVar391 := proc130(28);
+ call {:si_unique_call 440} nVar392 := proc130(28);
+ call {:si_unique_call 441} nVar393 := proc130(28);
+ call {:si_unique_call 442} nVar394 := proc130(28);
+ call {:si_unique_call 443} nVar395 := proc130(28);
+ call {:si_unique_call 444} nVar396 := proc130(24);
+ call {:si_unique_call 445} nVar397 := proc130(28);
+ call {:si_unique_call 446} nVar398 := proc130(4);
+ call {:si_unique_call 447} nVar400 := proc130(28);
+ call {:si_unique_call 448} nVar401 := proc130(4);
+ call {:si_unique_call 449} nVar402 := proc130(16);
+ call {:si_unique_call 450} nVar403 := proc130(56);
+ call {:si_unique_call 451} nVar404 := proc130(24);
+ call {:si_unique_call 452} nVar405 := proc130(12);
+ call {:si_unique_call 453} nVar406 := proc130(28);
+ call {:si_unique_call 454} nVar407 := proc130(28);
+ call {:si_unique_call 455} nVar408 := proc130(28);
+ call {:si_unique_call 456} nVar409 := proc130(28);
+ call {:si_unique_call 457} nVar410 := proc130(28);
+ call {:si_unique_call 458} nVar411 := proc130(16);
+ call {:si_unique_call 459} nVar412 := proc130(16);
+ call {:si_unique_call 460} nVar413 := proc130(28);
+ call {:si_unique_call 461} nVar414 := proc130(28);
+ call {:si_unique_call 462} nVar415 := proc130(28);
+ call {:si_unique_call 463} nVar416 := proc130(28);
+ call {:si_unique_call 464} nVar417 := proc130(28);
+ call {:si_unique_call 465} nVar418 := proc130(28);
+ call {:si_unique_call 466} nVar419 := proc130(28);
+ call {:si_unique_call 467} nVar420 := proc130(12);
+ call {:si_unique_call 468} nVar421 := proc130(28);
+ call {:si_unique_call 469} nVar422 := proc130(28);
+ call {:si_unique_call 470} nVar423 := proc130(28);
+ call {:si_unique_call 471} nVar424 := proc130(28);
+ call {:si_unique_call 472} nVar425 := proc130(28);
+ call {:si_unique_call 473} nVar426 := proc130(4);
+ call {:si_unique_call 474} nVar427 := proc130(28);
+ call {:si_unique_call 475} nVar428 := proc130(28);
+ call {:si_unique_call 476} nVar429 := proc130(28);
+ call {:si_unique_call 477} nVar430 := proc130(4);
+ call {:si_unique_call 478} nVar431 := proc130(28);
+ call {:si_unique_call 479} nVar432 := proc130(28);
+ call {:si_unique_call 480} nVar433 := proc130(28);
+ call {:si_unique_call 481} nVar434 := proc130(28);
+ call {:si_unique_call 482} nVar435 := proc130(28);
+ call {:si_unique_call 483} nVar436 := proc130(28);
+ call {:si_unique_call 484} nVar437 := proc130(28);
+ call {:si_unique_call 485} nVar438 := proc130(28);
+ call {:si_unique_call 486} nVar439 := proc130(28);
+ call {:si_unique_call 487} nVar440 := proc130(28);
+ call {:si_unique_call 488} nVar441 := proc130(28);
+ call {:si_unique_call 489} nVar442 := proc130(24);
+ call {:si_unique_call 490} nVar443 := proc130(28);
+ call {:si_unique_call 491} nVar444 := proc130(28);
+ call {:si_unique_call 492} nVar445 := proc130(28);
+ call {:si_unique_call 493} nVar446 := proc130(16);
+ call {:si_unique_call 494} nVar447 := proc130(24);
+ call {:si_unique_call 495} nVar448 := proc130(28);
+ call {:si_unique_call 496} nVar449 := proc130(28);
+ call {:si_unique_call 497} nVar450 := proc130(28);
+ call {:si_unique_call 498} nVar451 := proc130(28);
+ call {:si_unique_call 499} nVar452 := proc130(28);
+ call {:si_unique_call 500} nVar453 := proc130(28);
+ call {:si_unique_call 501} nVar454 := proc130(28);
+ call {:si_unique_call 502} nVar455 := proc130(12);
+ call {:si_unique_call 503} nVar456 := proc130(28);
+ call {:si_unique_call 504} nVar457 := proc130(28);
+ call {:si_unique_call 505} nVar458 := proc130(28);
+ call {:si_unique_call 506} nVar459 := proc130(28);
+ call {:si_unique_call 507} nVar460 := proc130(28);
+ call {:si_unique_call 508} nVar461 := proc130(28);
+ call {:si_unique_call 509} nVar462 := proc130(28);
+ call {:si_unique_call 510} nVar463 := proc130(28);
+ call {:si_unique_call 511} nVar464 := proc130(16);
+ call {:si_unique_call 512} nVar465 := proc130(28);
+ call {:si_unique_call 513} nVar466 := proc130(28);
+ call {:si_unique_call 514} nVar467 := proc130(24);
+ call {:si_unique_call 515} nVar468 := proc130(28);
+ call {:si_unique_call 516} nVar469 := proc130(12);
+ call {:si_unique_call 517} nVar470 := proc130(28);
+ call {:si_unique_call 518} nVar471 := proc130(28);
+ call {:si_unique_call 519} nVar472 := proc130(28);
+ call {:si_unique_call 520} nVar473 := proc130(28);
+ call {:si_unique_call 521} nVar474 := proc130(16);
+ call {:si_unique_call 522} nVar475 := proc130(28);
+ call {:si_unique_call 523} nVar476 := proc130(28);
+ call {:si_unique_call 524} nVar477 := proc130(4);
+ call {:si_unique_call 525} nVar478 := proc130(28);
+ call {:si_unique_call 526} nVar479 := proc130(28);
+ call {:si_unique_call 527} nVar480 := proc130(12);
+ call {:si_unique_call 528} nVar481 := proc130(24);
+ call {:si_unique_call 529} nVar482 := proc130(28);
+ call {:si_unique_call 530} nVar483 := proc130(28);
+ call {:si_unique_call 531} nVar484 := proc130(28);
+ call {:si_unique_call 532} nVar485 := proc130(28);
+ call {:si_unique_call 533} nVar486 := proc130(28);
+ call {:si_unique_call 534} nVar487 := proc130(28);
+ call {:si_unique_call 535} nVar488 := proc130(28);
+ call {:si_unique_call 536} nVar489 := proc130(28);
+ call {:si_unique_call 537} nVar490 := proc130(28);
+ call {:si_unique_call 538} nVar491 := proc130(28);
+ call {:si_unique_call 539} nVar492 := proc130(28);
+ call {:si_unique_call 540} nVar493 := proc130(28);
+ call {:si_unique_call 541} nVar494 := proc130(4);
+ call {:si_unique_call 542} nVar495 := proc130(28);
+ call {:si_unique_call 543} nVar496 := proc130(28);
+ call {:si_unique_call 544} nVar497 := proc130(28);
+ call {:si_unique_call 545} nVar498 := proc130(28);
+ call {:si_unique_call 546} nVar499 := proc130(28);
+ call {:si_unique_call 547} nVar500 := proc130(28);
+ call {:si_unique_call 548} nVar501 := proc130(28);
+ call {:si_unique_call 549} nVar502 := proc130(28);
+ call {:si_unique_call 550} nVar503 := proc130(28);
+ call {:si_unique_call 551} nVar504 := proc130(28);
+ call {:si_unique_call 552} nVar505 := proc130(28);
+ call {:si_unique_call 553} nVar506 := proc130(28);
+ call {:si_unique_call 554} nVar507 := proc130(16);
+ call {:si_unique_call 555} nVar508 := proc130(24);
+ call {:si_unique_call 556} nVar509 := proc130(28);
+ call {:si_unique_call 557} nVar510 := proc130(28);
+ call {:si_unique_call 558} nVar511 := proc130(28);
+ call {:si_unique_call 559} nVar512 := proc130(28);
+ call {:si_unique_call 560} nVar513 := proc130(24);
+ call {:si_unique_call 561} nVar514 := proc130(16);
+ call {:si_unique_call 562} nVar515 := proc130(28);
+ call {:si_unique_call 563} nVar516 := proc130(28);
+ call {:si_unique_call 564} nVar517 := proc130(28);
+ call {:si_unique_call 565} nVar518 := proc130(28);
+ call {:si_unique_call 566} nVar519 := proc130(28);
+ call {:si_unique_call 567} nVar520 := proc130(28);
+ call {:si_unique_call 568} nVar521 := proc130(28);
+ call {:si_unique_call 569} nVar522 := proc130(16);
+ call {:si_unique_call 570} nVar523 := proc130(16);
+ call {:si_unique_call 571} nVar524 := proc130(28);
+ call {:si_unique_call 572} nVar525 := proc130(28);
+ call {:si_unique_call 573} nVar526 := proc130(28);
+ call {:si_unique_call 574} nVar527 := proc130(24);
+ call {:si_unique_call 575} nVar528 := proc130(28);
+ call {:si_unique_call 576} nVar529 := proc130(28);
+ call {:si_unique_call 577} nVar530 := proc130(16);
+ call {:si_unique_call 578} nVar531 := proc130(28);
+ call {:si_unique_call 579} nVar532 := proc130(28);
+ call {:si_unique_call 580} nVar533 := proc130(28);
+ call {:si_unique_call 581} nVar534 := proc130(28);
+ call {:si_unique_call 582} nVar535 := proc130(28);
+ call {:si_unique_call 583} nVar536 := proc130(28);
+ call {:si_unique_call 584} nVar537 := proc130(12);
+ call {:si_unique_call 585} nVar538 := proc130(4);
+ call {:si_unique_call 586} nVar539 := proc130(28);
+ call {:si_unique_call 587} nVar540 := proc130(28);
+ call {:si_unique_call 588} nVar541 := proc130(28);
+ call {:si_unique_call 589} nVar542 := proc130(28);
+ call {:si_unique_call 590} nVar543 := proc130(28);
+ call {:si_unique_call 591} nVar544 := proc130(28);
+ call {:si_unique_call 592} nVar545 := proc130(28);
+ call {:si_unique_call 593} nVar546 := proc130(28);
+ call {:si_unique_call 594} nVar547 := proc130(28);
+ call {:si_unique_call 595} nVar548 := proc130(28);
+ call {:si_unique_call 596} nVar549 := proc130(4);
+ call {:si_unique_call 597} nVar550 := proc130(28);
+ call {:si_unique_call 598} nVar551 := proc130(28);
+ call {:si_unique_call 599} nVar552 := proc130(28);
+ call {:si_unique_call 600} nVar553 := proc130(28);
+ call {:si_unique_call 601} nVar554 := proc130(28);
+ call {:si_unique_call 602} nVar555 := proc130(28);
+ call {:si_unique_call 603} nVar556 := proc130(28);
+ call {:si_unique_call 604} nVar557 := proc130(28);
+ call {:si_unique_call 605} nVar558 := proc130(28);
+ call {:si_unique_call 606} nVar559 := proc130(28);
+ call {:si_unique_call 607} nVar560 := proc130(28);
+ call {:si_unique_call 608} nVar561 := proc130(28);
+ call {:si_unique_call 609} nVar562 := proc130(28);
+ call {:si_unique_call 610} nVar563 := proc130(4);
+ call {:si_unique_call 611} nVar564 := proc130(28);
+ call {:si_unique_call 612} nVar565 := proc130(28);
+ call {:si_unique_call 613} nVar566 := proc130(28);
+ call {:si_unique_call 614} nVar567 := proc130(28);
+ call {:si_unique_call 615} nVar568 := proc130(28);
+ call {:si_unique_call 616} nVar569 := proc130(28);
+ call {:si_unique_call 617} nVar570 := proc130(28);
+ call {:si_unique_call 618} nVar571 := proc130(28);
+ call {:si_unique_call 619} nVar572 := proc130(16);
+ call {:si_unique_call 620} nVar573 := proc130(16);
+ call {:si_unique_call 621} nVar574 := proc130(16);
+ call {:si_unique_call 622} nVar575 := proc130(28);
+ call {:si_unique_call 623} nVar576 := proc130(28);
+ call {:si_unique_call 624} nVar577 := proc130(4);
+ call {:si_unique_call 625} nVar578 := proc130(4);
+ call {:si_unique_call 626} nVar579 := proc130(16);
+ call {:si_unique_call 627} nVar580 := proc130(28);
+ call {:si_unique_call 628} nVar581 := proc130(28);
+ call {:si_unique_call 629} nVar582 := proc130(4);
+ call {:si_unique_call 630} nVar583 := proc130(24);
+ call {:si_unique_call 631} nVar584 := proc130(28);
+ call {:si_unique_call 632} nVar585 := proc130(28);
+ call {:si_unique_call 633} nVar586 := proc130(28);
+ call {:si_unique_call 634} nVar587 := proc130(28);
+ call {:si_unique_call 635} nVar588 := proc130(16);
+ call {:si_unique_call 636} nVar589 := proc130(28);
+ call {:si_unique_call 637} nVar590 := proc130(24);
+ call {:si_unique_call 638} nVar591 := proc130(28);
+ call {:si_unique_call 639} nVar592 := proc130(28);
+ call {:si_unique_call 640} nVar593 := proc130(28);
+ call {:si_unique_call 641} nVar594 := proc130(28);
+ call {:si_unique_call 642} nVar595 := proc130(28);
+ call {:si_unique_call 643} nVar596 := proc130(16);
+ call {:si_unique_call 644} nVar597 := proc130(28);
+ call {:si_unique_call 645} nVar598 := proc130(28);
+ call {:si_unique_call 646} nVar599 := proc130(28);
+ call {:si_unique_call 647} nVar600 := proc130(28);
+ call {:si_unique_call 648} nVar601 := proc130(12);
+ call {:si_unique_call 649} nVar602 := proc130(28);
+ call {:si_unique_call 650} nVar603 := proc130(28);
+ call {:si_unique_call 651} nVar604 := proc130(28);
+ call {:si_unique_call 652} nVar605 := proc130(28);
+ call {:si_unique_call 653} nVar606 := proc130(56);
+ call {:si_unique_call 654} nVar607 := proc130(28);
+ call {:si_unique_call 655} nVar608 := proc130(28);
+ call {:si_unique_call 656} nVar609 := proc130(28);
+ call {:si_unique_call 657} nVar610 := proc130(28);
+ call {:si_unique_call 658} nVar611 := proc130(28);
+ call {:si_unique_call 659} nVar612 := proc130(16);
+ call {:si_unique_call 660} nVar613 := proc130(28);
+ call {:si_unique_call 661} nVar614 := proc130(28);
+ call {:si_unique_call 662} nVar615 := proc130(28);
+ call {:si_unique_call 663} nVar616 := proc130(28);
+ call {:si_unique_call 664} nVar617 := proc130(28);
+ call {:si_unique_call 665} nVar618 := proc130(24);
+ call {:si_unique_call 666} nVar619 := proc130(28);
+ call {:si_unique_call 667} nVar620 := proc130(28);
+ call {:si_unique_call 668} nVar621 := proc130(28);
+ call {:si_unique_call 669} nVar622 := proc130(28);
+ call {:si_unique_call 670} nVar623 := proc130(16);
+ call {:si_unique_call 671} nVar624 := proc130(28);
+ call {:si_unique_call 672} nVar625 := proc130(28);
+ call {:si_unique_call 673} nVar626 := proc130(28);
+ call {:si_unique_call 674} nVar627 := proc130(12);
+ call {:si_unique_call 675} nVar628 := proc130(16);
+ call {:si_unique_call 676} nVar629 := proc130(28);
+ call {:si_unique_call 677} nVar630 := proc130(28);
+ call {:si_unique_call 678} nVar631 := proc130(12);
+ call {:si_unique_call 679} nVar632 := proc130(16);
+ call {:si_unique_call 680} nVar633 := proc130(28);
+ call {:si_unique_call 681} nVar634 := proc130(28);
+ call {:si_unique_call 682} nVar635 := proc130(28);
+ call {:si_unique_call 683} nVar636 := proc130(28);
+ call {:si_unique_call 684} nVar637 := proc130(28);
+ call {:si_unique_call 685} nVar638 := proc130(28);
+ call {:si_unique_call 686} nVar639 := proc130(28);
+ call {:si_unique_call 687} nVar640 := proc130(28);
+ call {:si_unique_call 688} nVar641 := proc130(28);
+ call {:si_unique_call 689} nVar642 := proc130(28);
+ call {:si_unique_call 690} nVar643 := proc130(24);
+ call {:si_unique_call 691} nVar644 := proc130(28);
+ call {:si_unique_call 692} nVar645 := proc130(28);
+ call {:si_unique_call 693} nVar646 := proc130(4);
+ call {:si_unique_call 694} nVar647 := proc130(28);
+ call {:si_unique_call 695} nVar648 := proc130(28);
+ call {:si_unique_call 696} nVar649 := proc130(28);
+ call {:si_unique_call 697} nVar650 := proc130(16);
+ call {:si_unique_call 698} nVar651 := proc130(28);
+ call {:si_unique_call 699} nVar652 := proc130(28);
+ call {:si_unique_call 700} nVar653 := proc130(12);
+ call {:si_unique_call 701} nVar654 := proc130(28);
+ call {:si_unique_call 702} nVar655 := proc130(28);
+ call {:si_unique_call 703} nVar656 := proc130(28);
+ call {:si_unique_call 704} nVar657 := proc130(28);
+ call {:si_unique_call 705} nVar658 := proc130(28);
+ call {:si_unique_call 706} nVar659 := proc130(28);
+ call {:si_unique_call 707} nVar660 := proc130(4);
+ call {:si_unique_call 708} nVar661 := proc130(28);
+ call {:si_unique_call 709} nVar662 := proc130(28);
+ call {:si_unique_call 710} nVar4946 := proc130(8);
+ call {:si_unique_call 711} nVar663 := proc130(28);
+ call {:si_unique_call 712} nVar664 := proc130(4);
+ call {:si_unique_call 713} nVar665 := proc130(28);
+ call {:si_unique_call 714} nVar666 := proc130(28);
+ call {:si_unique_call 715} nVar667 := proc130(28);
+ call {:si_unique_call 716} nVar668 := proc130(28);
+ call {:si_unique_call 717} nVar669 := proc130(4);
+ call {:si_unique_call 718} nVar670 := proc130(28);
+ call {:si_unique_call 719} nVar671 := proc130(28);
+ call {:si_unique_call 720} nVar672 := proc130(28);
+ call {:si_unique_call 721} nVar673 := proc130(28);
+ call {:si_unique_call 722} nVar674 := proc130(28);
+ call {:si_unique_call 723} nVar675 := proc130(28);
+ call {:si_unique_call 724} nVar676 := proc130(28);
+ call {:si_unique_call 725} nVar677 := proc130(28);
+ call {:si_unique_call 726} nVar678 := proc130(28);
+ call {:si_unique_call 727} nVar679 := proc130(24);
+ call {:si_unique_call 728} nVar680 := proc130(28);
+ call {:si_unique_call 729} nVar681 := proc130(28);
+ call {:si_unique_call 730} nVar682 := proc130(28);
+ call {:si_unique_call 731} nVar683 := proc130(12);
+ call {:si_unique_call 732} nVar684 := proc130(28);
+ call {:si_unique_call 733} nVar685 := proc130(16);
+ call {:si_unique_call 734} nVar686 := proc130(16);
+ call {:si_unique_call 735} nVar687 := proc130(16);
+ call {:si_unique_call 736} nVar688 := proc130(28);
+ call {:si_unique_call 737} nVar689 := proc130(28);
+ call {:si_unique_call 738} nVar690 := proc130(24);
+ call {:si_unique_call 739} nVar691 := proc130(28);
+ call {:si_unique_call 740} nVar692 := proc130(28);
+ call {:si_unique_call 741} nVar693 := proc130(28);
+ call {:si_unique_call 742} nVar694 := proc130(28);
+ call {:si_unique_call 743} nVar695 := proc130(28);
+ call {:si_unique_call 744} nVar696 := proc130(28);
+ call {:si_unique_call 745} nVar697 := proc130(28);
+ call {:si_unique_call 746} nVar698 := proc130(24);
+ call {:si_unique_call 747} nVar699 := proc130(24);
+ call {:si_unique_call 748} nVar700 := proc130(28);
+ call {:si_unique_call 749} nVar701 := proc130(28);
+ call {:si_unique_call 750} nVar702 := proc130(28);
+ call {:si_unique_call 751} nVar703 := proc130(24);
+ call {:si_unique_call 752} nVar704 := proc130(28);
+ call {:si_unique_call 753} nVar705 := proc130(28);
+ call {:si_unique_call 754} nVar706 := proc130(28);
+ call {:si_unique_call 755} nVar707 := proc130(28);
+ call {:si_unique_call 756} nVar708 := proc130(28);
+ call {:si_unique_call 757} nVar709 := proc130(28);
+ call {:si_unique_call 758} nVar710 := proc130(28);
+ call {:si_unique_call 759} nVar711 := proc130(28);
+ call {:si_unique_call 760} nVar712 := proc130(28);
+ call {:si_unique_call 761} nVar713 := proc130(28);
+ call {:si_unique_call 762} nVar714 := proc130(28);
+ call {:si_unique_call 763} nVar715 := proc130(28);
+ call {:si_unique_call 764} nVar716 := proc130(28);
+ call {:si_unique_call 765} nVar717 := proc130(28);
+ call {:si_unique_call 766} nVar718 := proc130(28);
+ call {:si_unique_call 767} nVar719 := proc130(28);
+ call {:si_unique_call 768} nVar720 := proc130(28);
+ call {:si_unique_call 769} nVar721 := proc130(28);
+ call {:si_unique_call 770} nVar722 := proc130(28);
+ call {:si_unique_call 771} nVar723 := proc130(28);
+ call {:si_unique_call 772} nVar724 := proc130(28);
+ call {:si_unique_call 773} nVar725 := proc130(28);
+ call {:si_unique_call 774} nVar726 := proc130(28);
+ call {:si_unique_call 775} nVar727 := proc130(28);
+ call {:si_unique_call 776} nVar728 := proc130(16);
+ call {:si_unique_call 777} nVar729 := proc130(28);
+ call {:si_unique_call 778} nVar730 := proc130(28);
+ call {:si_unique_call 779} nVar731 := proc130(28);
+ call {:si_unique_call 780} nVar732 := proc130(24);
+ call {:si_unique_call 781} nVar733 := proc130(28);
+ call {:si_unique_call 782} nVar734 := proc130(28);
+ call {:si_unique_call 783} nVar735 := proc130(28);
+ call {:si_unique_call 784} nVar736 := proc130(4);
+ call {:si_unique_call 785} nVar737 := proc130(28);
+ call {:si_unique_call 786} nVar738 := proc130(28);
+ call {:si_unique_call 787} nVar739 := proc130(12);
+ call {:si_unique_call 788} nVar740 := proc130(28);
+ call {:si_unique_call 789} nVar741 := proc130(28);
+ call {:si_unique_call 790} nVar742 := proc130(28);
+ call {:si_unique_call 791} nVar743 := proc130(28);
+ call {:si_unique_call 792} nVar744 := proc130(12);
+ call {:si_unique_call 793} nVar745 := proc130(28);
+ call {:si_unique_call 794} nVar746 := proc130(28);
+ call {:si_unique_call 795} nVar747 := proc130(28);
+ call {:si_unique_call 796} nVar748 := proc130(4);
+ call {:si_unique_call 797} nVar749 := proc130(28);
+ call {:si_unique_call 798} nVar750 := proc130(16);
+ call {:si_unique_call 799} nVar751 := proc130(28);
+ call {:si_unique_call 800} nVar752 := proc130(28);
+ call {:si_unique_call 801} nVar753 := proc130(28);
+ call {:si_unique_call 802} nVar754 := proc130(28);
+ call {:si_unique_call 803} nVar755 := proc130(4);
+ call {:si_unique_call 804} nVar756 := proc130(28);
+ call {:si_unique_call 805} nVar757 := proc130(28);
+ call {:si_unique_call 806} nVar758 := proc130(28);
+ call {:si_unique_call 807} nVar759 := proc130(28);
+ call {:si_unique_call 808} nVar760 := proc130(28);
+ call {:si_unique_call 809} nVar761 := proc130(28);
+ call {:si_unique_call 810} nVar762 := proc130(28);
+ call {:si_unique_call 811} nVar763 := proc130(24);
+ call {:si_unique_call 812} nVar764 := proc130(12);
+ call {:si_unique_call 813} nVar765 := proc130(4);
+ call {:si_unique_call 814} nVar766 := proc130(12);
+ call {:si_unique_call 815} nVar767 := proc130(28);
+ call {:si_unique_call 816} nVar768 := proc130(28);
+ call {:si_unique_call 817} nVar769 := proc130(28);
+ call {:si_unique_call 818} nVar770 := proc130(56);
+ call {:si_unique_call 819} nVar771 := proc130(12);
+ call {:si_unique_call 820} nVar772 := proc130(28);
+ call {:si_unique_call 821} nVar773 := proc130(28);
+ call {:si_unique_call 822} nVar774 := proc130(28);
+ call {:si_unique_call 823} nVar775 := proc130(12);
+ call {:si_unique_call 824} nVar776 := proc130(28);
+ call {:si_unique_call 825} nVar777 := proc130(28);
+ call {:si_unique_call 826} nVar778 := proc130(12);
+ call {:si_unique_call 827} nVar779 := proc130(24);
+ call {:si_unique_call 828} nVar780 := proc130(28);
+ call {:si_unique_call 829} nVar781 := proc130(16);
+ call {:si_unique_call 830} nVar782 := proc130(28);
+ call {:si_unique_call 831} nVar783 := proc130(28);
+ call {:si_unique_call 832} nVar784 := proc130(28);
+ call {:si_unique_call 833} nVar785 := proc130(28);
+ call {:si_unique_call 834} nVar786 := proc130(28);
+ call {:si_unique_call 835} nVar787 := proc130(28);
+ call {:si_unique_call 836} nVar788 := proc130(28);
+ call {:si_unique_call 837} nVar789 := proc130(28);
+ call {:si_unique_call 838} nVar790 := proc130(28);
+ call {:si_unique_call 839} nVar791 := proc130(28);
+ call {:si_unique_call 840} nVar792 := proc130(24);
+ call {:si_unique_call 841} nVar793 := proc130(28);
+ call {:si_unique_call 842} nVar794 := proc130(28);
+ call {:si_unique_call 843} nVar795 := proc130(28);
+ call {:si_unique_call 844} nVar796 := proc130(28);
+ call {:si_unique_call 845} nVar797 := proc130(28);
+ call {:si_unique_call 846} nVar798 := proc130(28);
+ call {:si_unique_call 847} nVar799 := proc130(28);
+ call {:si_unique_call 848} nVar800 := proc130(28);
+ call {:si_unique_call 849} nVar801 := proc130(28);
+ call {:si_unique_call 850} nVar802 := proc130(28);
+ call {:si_unique_call 851} nVar803 := proc130(28);
+ call {:si_unique_call 852} nVar804 := proc130(28);
+ call {:si_unique_call 853} nVar805 := proc130(28);
+ call {:si_unique_call 854} nVar806 := proc130(12);
+ call {:si_unique_call 855} nVar807 := proc130(28);
+ call {:si_unique_call 856} nVar808 := proc130(28);
+ call {:si_unique_call 857} nVar809 := proc130(28);
+ call {:si_unique_call 858} nVar810 := proc130(28);
+ call {:si_unique_call 859} nVar811 := proc130(28);
+ call {:si_unique_call 860} nVar812 := proc130(12);
+ call {:si_unique_call 861} nVar813 := proc130(28);
+ call {:si_unique_call 862} nVar814 := proc130(28);
+ call {:si_unique_call 863} nVar815 := proc130(28);
+ call {:si_unique_call 864} nVar816 := proc130(12);
+ call {:si_unique_call 865} nVar817 := proc130(28);
+ call {:si_unique_call 866} nVar818 := proc130(28);
+ call {:si_unique_call 867} nVar819 := proc130(28);
+ call {:si_unique_call 868} nVar820 := proc130(28);
+ call {:si_unique_call 869} nVar821 := proc130(4);
+ call {:si_unique_call 870} nVar822 := proc130(28);
+ call {:si_unique_call 871} nVar823 := proc130(28);
+ call {:si_unique_call 872} nVar824 := proc130(28);
+ call {:si_unique_call 873} nVar825 := proc130(28);
+ call {:si_unique_call 874} nVar826 := proc130(28);
+ call {:si_unique_call 875} nVar827 := proc130(28);
+ call {:si_unique_call 876} nVar828 := proc130(28);
+ call {:si_unique_call 877} nVar829 := proc130(28);
+ call {:si_unique_call 878} nVar830 := proc130(28);
+ call {:si_unique_call 879} nVar831 := proc130(28);
+ call {:si_unique_call 880} nVar832 := proc130(4);
+ call {:si_unique_call 881} nVar833 := proc130(28);
+ call {:si_unique_call 882} nVar834 := proc130(16);
+ call {:si_unique_call 883} nVar835 := proc130(28);
+ call {:si_unique_call 884} nVar836 := proc130(28);
+ call {:si_unique_call 885} nVar837 := proc130(28);
+ call {:si_unique_call 886} nVar838 := proc130(28);
+ call {:si_unique_call 887} nVar839 := proc130(28);
+ call {:si_unique_call 888} nVar840 := proc130(28);
+ call {:si_unique_call 889} nVar841 := proc130(28);
+ call {:si_unique_call 890} nVar842 := proc130(28);
+ call {:si_unique_call 891} nVar843 := proc130(16);
+ call {:si_unique_call 892} nVar844 := proc130(4);
+ call {:si_unique_call 893} nVar845 := proc130(28);
+ call {:si_unique_call 894} nVar846 := proc130(28);
+ call {:si_unique_call 895} nVar847 := proc130(28);
+ call {:si_unique_call 896} nVar848 := proc130(28);
+ call {:si_unique_call 897} nVar849 := proc130(28);
+ call {:si_unique_call 898} nVar850 := proc130(28);
+ call {:si_unique_call 899} nVar851 := proc130(28);
+ call {:si_unique_call 900} nVar852 := proc130(28);
+ call {:si_unique_call 901} nVar853 := proc130(28);
+ call {:si_unique_call 902} nVar854 := proc130(16);
+ call {:si_unique_call 903} nVar855 := proc130(28);
+ call {:si_unique_call 904} nVar856 := proc130(28);
+ call {:si_unique_call 905} nVar857 := proc130(28);
+ call {:si_unique_call 906} nVar858 := proc130(28);
+ call {:si_unique_call 907} nVar859 := proc130(28);
+ call {:si_unique_call 908} nVar860 := proc130(28);
+ call {:si_unique_call 909} nVar861 := proc130(28);
+ call {:si_unique_call 910} nVar862 := proc130(28);
+ call {:si_unique_call 911} nVar863 := proc130(28);
+ call {:si_unique_call 912} nVar864 := proc130(56);
+ call {:si_unique_call 913} nVar865 := proc130(28);
+ call {:si_unique_call 914} nVar866 := proc130(28);
+ call {:si_unique_call 915} nVar867 := proc130(28);
+ call {:si_unique_call 916} nVar868 := proc130(28);
+ call {:si_unique_call 917} nVar869 := proc130(28);
+ call {:si_unique_call 918} nVar870 := proc130(12);
+ call {:si_unique_call 919} nVar871 := proc130(28);
+ call {:si_unique_call 920} nVar872 := proc130(28);
+ call {:si_unique_call 921} nVar873 := proc130(28);
+ call {:si_unique_call 922} nVar874 := proc130(28);
+ call {:si_unique_call 923} nVar875 := proc130(28);
+ call {:si_unique_call 924} nVar876 := proc130(16);
+ call {:si_unique_call 925} nVar877 := proc130(28);
+ call {:si_unique_call 926} nVar878 := proc130(4);
+ call {:si_unique_call 927} nVar879 := proc130(24);
+ call {:si_unique_call 928} nVar880 := proc130(24);
+ call {:si_unique_call 929} nVar881 := proc130(28);
+ call {:si_unique_call 930} nVar882 := proc130(28);
+ call {:si_unique_call 931} nVar883 := proc130(28);
+ call {:si_unique_call 932} nVar884 := proc130(28);
+ call {:si_unique_call 933} nVar885 := proc130(28);
+ call {:si_unique_call 934} nVar886 := proc130(28);
+ call {:si_unique_call 935} nVar887 := proc130(28);
+ call {:si_unique_call 936} nVar888 := proc130(28);
+ call {:si_unique_call 937} nVar889 := proc130(28);
+ call {:si_unique_call 938} nVar890 := proc130(28);
+ call {:si_unique_call 939} nVar891 := proc130(28);
+ call {:si_unique_call 940} nVar892 := proc130(28);
+ call {:si_unique_call 941} nVar893 := proc130(28);
+ call {:si_unique_call 942} nVar894 := proc130(28);
+ call {:si_unique_call 943} nVar895 := proc130(28);
+ call {:si_unique_call 944} nVar896 := proc130(28);
+ call {:si_unique_call 945} nVar897 := proc130(28);
+ call {:si_unique_call 946} nVar898 := proc130(16);
+ call {:si_unique_call 947} nVar899 := proc130(4);
+ call {:si_unique_call 948} nVar900 := proc130(28);
+ call {:si_unique_call 949} nVar901 := proc130(28);
+ call {:si_unique_call 950} nVar902 := proc130(28);
+ call {:si_unique_call 951} nVar903 := proc130(28);
+ call {:si_unique_call 952} nVar904 := proc130(12);
+ call {:si_unique_call 953} nVar905 := proc130(28);
+ call {:si_unique_call 954} nVar906 := proc130(28);
+ call {:si_unique_call 955} nVar907 := proc130(28);
+ call {:si_unique_call 956} nVar908 := proc130(12);
+ call {:si_unique_call 957} nVar909 := proc130(28);
+ call {:si_unique_call 958} nVar910 := proc130(28);
+ call {:si_unique_call 959} nVar911 := proc130(28);
+ call {:si_unique_call 960} nVar912 := proc130(28);
+ call {:si_unique_call 961} nVar913 := proc130(28);
+ call {:si_unique_call 962} nVar914 := proc130(28);
+ call {:si_unique_call 963} nVar915 := proc130(28);
+ call {:si_unique_call 964} nVar916 := proc130(28);
+ call {:si_unique_call 965} nVar917 := proc130(28);
+ call {:si_unique_call 966} nVar918 := proc130(16);
+ call {:si_unique_call 967} nVar919 := proc130(28);
+ call {:si_unique_call 968} nVar920 := proc130(28);
+ call {:si_unique_call 969} nVar921 := proc130(28);
+ call {:si_unique_call 970} nVar922 := proc130(16);
+ call {:si_unique_call 971} nVar923 := proc130(4);
+ call {:si_unique_call 972} nVar924 := proc130(28);
+ call {:si_unique_call 973} nVar925 := proc130(28);
+ call {:si_unique_call 974} nVar926 := proc130(28);
+ call {:si_unique_call 975} nVar927 := proc130(28);
+ call {:si_unique_call 976} nVar928 := proc130(28);
+ call {:si_unique_call 977} nVar929 := proc130(28);
+ call {:si_unique_call 978} nVar930 := proc130(28);
+ call {:si_unique_call 979} nVar931 := proc130(28);
+ call {:si_unique_call 980} nVar932 := proc130(28);
+ call {:si_unique_call 981} nVar933 := proc130(28);
+ call {:si_unique_call 982} nVar934 := proc130(28);
+ call {:si_unique_call 983} nVar935 := proc130(28);
+ call {:si_unique_call 984} nVar936 := proc130(28);
+ call {:si_unique_call 985} nVar937 := proc130(28);
+ call {:si_unique_call 986} nVar938 := proc130(28);
+ call {:si_unique_call 987} nVar939 := proc130(28);
+ call {:si_unique_call 988} nVar940 := proc130(28);
+ call {:si_unique_call 989} nVar941 := proc130(28);
+ call {:si_unique_call 990} nVar942 := proc130(28);
+ call {:si_unique_call 991} nVar943 := proc130(28);
+ call {:si_unique_call 992} nVar944 := proc130(28);
+ call {:si_unique_call 993} nVar945 := proc130(28);
+ call {:si_unique_call 994} nVar946 := proc130(28);
+ call {:si_unique_call 995} nVar947 := proc130(28);
+ call {:si_unique_call 996} nVar948 := proc130(28);
+ call {:si_unique_call 997} nVar949 := proc130(28);
+ call {:si_unique_call 998} nVar950 := proc130(28);
+ call {:si_unique_call 999} nVar951 := proc130(28);
+ call {:si_unique_call 1000} nVar952 := proc130(28);
+ call {:si_unique_call 1001} nVar953 := proc130(28);
+ call {:si_unique_call 1002} nVar954 := proc130(28);
+ call {:si_unique_call 1003} nVar955 := proc130(28);
+ call {:si_unique_call 1004} nVar956 := proc130(28);
+ call {:si_unique_call 1005} nVar957 := proc130(28);
+ call {:si_unique_call 1006} nVar958 := proc130(28);
+ call {:si_unique_call 1007} nVar959 := proc130(12);
+ call {:si_unique_call 1008} nVar960 := proc130(28);
+ call {:si_unique_call 1009} nVar961 := proc130(28);
+ call {:si_unique_call 1010} nVar962 := proc130(28);
+ call {:si_unique_call 1011} nVar963 := proc130(28);
+ call {:si_unique_call 1012} nVar964 := proc130(4);
+ call {:si_unique_call 1013} nVar965 := proc130(28);
+ call {:si_unique_call 1014} nVar966 := proc130(16);
+ call {:si_unique_call 1015} nVar967 := proc130(28);
+ call {:si_unique_call 1016} nVar968 := proc130(16);
+ call {:si_unique_call 1017} nVar969 := proc130(28);
+ call {:si_unique_call 1018} nVar970 := proc130(28);
+ call {:si_unique_call 1019} nVar971 := proc130(16);
+ call {:si_unique_call 1020} nVar972 := proc130(12);
+ call {:si_unique_call 1021} nVar973 := proc130(28);
+ call {:si_unique_call 1022} nVar974 := proc130(28);
+ call {:si_unique_call 1023} nVar975 := proc130(28);
+ call {:si_unique_call 1024} nVar976 := proc130(12);
+ call {:si_unique_call 1025} nVar977 := proc130(28);
+ call {:si_unique_call 1026} nVar978 := proc130(12);
+ call {:si_unique_call 1027} nVar979 := proc130(28);
+ call {:si_unique_call 1028} nVar980 := proc130(28);
+ call {:si_unique_call 1029} nVar981 := proc130(12);
+ call {:si_unique_call 1030} nVar982 := proc130(16);
+ call {:si_unique_call 1031} nVar983 := proc130(28);
+ call {:si_unique_call 1032} nVar984 := proc130(28);
+ call {:si_unique_call 1033} nVar985 := proc130(16);
+ call {:si_unique_call 1034} nVar986 := proc130(28);
+ call {:si_unique_call 1035} nVar987 := proc130(28);
+ call {:si_unique_call 1036} nVar988 := proc130(28);
+ call {:si_unique_call 1037} nVar989 := proc130(28);
+ call {:si_unique_call 1038} nVar990 := proc130(28);
+ call {:si_unique_call 1039} nVar991 := proc130(28);
+ call {:si_unique_call 1040} nVar992 := proc130(28);
+ call {:si_unique_call 1041} nVar993 := proc130(28);
+ call {:si_unique_call 1042} nVar994 := proc130(28);
+ call {:si_unique_call 1043} nVar995 := proc130(28);
+ call {:si_unique_call 1044} nVar996 := proc130(28);
+ call {:si_unique_call 1045} nVar997 := proc130(28);
+ call {:si_unique_call 1046} nVar998 := proc130(28);
+ call {:si_unique_call 1047} nVar999 := proc130(28);
+ call {:si_unique_call 1048} nVar1000 := proc130(28);
+ call {:si_unique_call 1049} nVar1001 := proc130(28);
+ call {:si_unique_call 1050} nVar1002 := proc130(28);
+ call {:si_unique_call 1051} nVar1003 := proc130(28);
+ call {:si_unique_call 1052} nVar1004 := proc130(28);
+ call {:si_unique_call 1053} nVar1005 := proc130(28);
+ call {:si_unique_call 1054} nVar1006 := proc130(24);
+ call {:si_unique_call 1055} nVar1007 := proc130(28);
+ call {:si_unique_call 1056} nVar1008 := proc130(28);
+ call {:si_unique_call 1057} nVar1009 := proc130(28);
+ call {:si_unique_call 1058} nVar4947 := proc130(16);
+ call {:si_unique_call 1059} nVar1010 := proc130(28);
+ call {:si_unique_call 1060} nVar1011 := proc130(28);
+ call {:si_unique_call 1061} nVar1012 := proc130(28);
+ call {:si_unique_call 1062} nVar1013 := proc130(28);
+ call {:si_unique_call 1063} nVar1014 := proc130(28);
+ call {:si_unique_call 1064} nVar1015 := proc130(28);
+ call {:si_unique_call 1065} nVar1016 := proc130(12);
+ call {:si_unique_call 1066} nVar1017 := proc130(12);
+ call {:si_unique_call 1067} nVar1018 := proc130(28);
+ call {:si_unique_call 1068} nVar1019 := proc130(28);
+ call {:si_unique_call 1069} nVar1020 := proc130(12);
+ call {:si_unique_call 1070} nVar1021 := proc130(28);
+ call {:si_unique_call 1071} nVar1022 := proc130(28);
+ call {:si_unique_call 1072} nVar1023 := proc130(24);
+ call {:si_unique_call 1073} nVar1024 := proc130(28);
+ call {:si_unique_call 1074} nVar1025 := proc130(16);
+ call {:si_unique_call 1075} nVar1026 := proc130(16);
+ call {:si_unique_call 1076} nVar1027 := proc130(28);
+ call {:si_unique_call 1077} nVar1028 := proc130(28);
+ call {:si_unique_call 1078} nVar1029 := proc130(12);
+ call {:si_unique_call 1079} nVar1030 := proc130(12);
+ call {:si_unique_call 1080} nVar1031 := proc130(28);
+ call {:si_unique_call 1081} nVar1032 := proc130(28);
+ call {:si_unique_call 1082} nVar1033 := proc130(28);
+ call {:si_unique_call 1083} nVar1034 := proc130(28);
+ call {:si_unique_call 1084} nVar1035 := proc130(12);
+ call {:si_unique_call 1085} nVar1036 := proc130(16);
+ call {:si_unique_call 1086} nVar1037 := proc130(28);
+ call {:si_unique_call 1087} nVar1038 := proc130(28);
+ call {:si_unique_call 1088} nVar1039 := proc130(4);
+ call {:si_unique_call 1089} nVar1041 := proc130(28);
+ call {:si_unique_call 1090} nVar1042 := proc130(56);
+ call {:si_unique_call 1091} nVar1043 := proc130(28);
+ call {:si_unique_call 1092} nVar1044 := proc130(28);
+ call {:si_unique_call 1093} nVar1045 := proc130(28);
+ call {:si_unique_call 1094} nVar1046 := proc130(28);
+ call {:si_unique_call 1095} nVar1047 := proc130(12);
+ call {:si_unique_call 1096} nVar1048 := proc130(28);
+ call {:si_unique_call 1097} nVar1049 := proc130(28);
+ call {:si_unique_call 1098} nVar1050 := proc130(16);
+ call {:si_unique_call 1099} nVar1051 := proc130(28);
+ call {:si_unique_call 1100} nVar1052 := proc130(28);
+ call {:si_unique_call 1101} nVar1053 := proc130(28);
+ call {:si_unique_call 1102} nVar1054 := proc130(16);
+ call {:si_unique_call 1103} nVar1055 := proc130(28);
+ call {:si_unique_call 1104} nVar1056 := proc130(28);
+ call {:si_unique_call 1105} nVar1057 := proc130(28);
+ call {:si_unique_call 1106} nVar1058 := proc130(28);
+ call {:si_unique_call 1107} nVar1059 := proc130(28);
+ call {:si_unique_call 1108} nVar1060 := proc130(28);
+ call {:si_unique_call 1109} nVar1061 := proc130(28);
+ call {:si_unique_call 1110} nVar1062 := proc130(28);
+ call {:si_unique_call 1111} nVar1063 := proc130(28);
+ call {:si_unique_call 1112} nVar1064 := proc130(28);
+ call {:si_unique_call 1113} nVar1065 := proc130(28);
+ call {:si_unique_call 1114} nVar1066 := proc130(28);
+ call {:si_unique_call 1115} nVar1067 := proc130(4);
+ call {:si_unique_call 1116} nVar1068 := proc130(28);
+ call {:si_unique_call 1117} nVar1069 := proc130(28);
+ call {:si_unique_call 1118} nVar1070 := proc130(28);
+ call {:si_unique_call 1119} nVar1071 := proc130(24);
+ call {:si_unique_call 1120} nVar1072 := proc130(28);
+ call {:si_unique_call 1121} nVar1073 := proc130(8);
+ call {:si_unique_call 1122} nVar1074 := proc130(28);
+ call {:si_unique_call 1123} nVar1075 := proc130(28);
+ call {:si_unique_call 1124} nVar1076 := proc130(16);
+ call {:si_unique_call 1125} nVar1077 := proc130(28);
+ call {:si_unique_call 1126} nVar1078 := proc130(28);
+ call {:si_unique_call 1127} nVar1079 := proc130(28);
+ call {:si_unique_call 1128} nVar1080 := proc130(28);
+ call {:si_unique_call 1129} nVar1081 := proc130(28);
+ call {:si_unique_call 1130} nVar1082 := proc130(28);
+ call {:si_unique_call 1131} nVar1083 := proc130(28);
+ call {:si_unique_call 1132} nVar1084 := proc130(28);
+ call {:si_unique_call 1133} nVar1085 := proc130(28);
+ call {:si_unique_call 1134} nVar1086 := proc130(28);
+ call {:si_unique_call 1135} nVar1087 := proc130(28);
+ call {:si_unique_call 1136} nVar1088 := proc130(28);
+ call {:si_unique_call 1137} nVar1089 := proc130(28);
+ call {:si_unique_call 1138} nVar1090 := proc130(28);
+ call {:si_unique_call 1139} nVar1091 := proc130(28);
+ call {:si_unique_call 1140} nVar1092 := proc130(28);
+ call {:si_unique_call 1141} nVar1093 := proc130(28);
+ call {:si_unique_call 1142} nVar1094 := proc130(12);
+ call {:si_unique_call 1143} nVar1095 := proc130(4);
+ call {:si_unique_call 1144} nVar1096 := proc130(16);
+ call {:si_unique_call 1145} nVar1097 := proc130(24);
+ call {:si_unique_call 1146} nVar1098 := proc130(28);
+ call {:si_unique_call 1147} nVar1099 := proc130(28);
+ call {:si_unique_call 1148} nVar1100 := proc130(28);
+ call {:si_unique_call 1149} nVar1101 := proc130(28);
+ call {:si_unique_call 1150} nVar1102 := proc130(28);
+ call {:si_unique_call 1151} nVar1103 := proc130(28);
+ call {:si_unique_call 1152} nVar1104 := proc130(24);
+ call {:si_unique_call 1153} nVar1105 := proc130(28);
+ call {:si_unique_call 1154} nVar1106 := proc130(16);
+ call {:si_unique_call 1155} nVar1107 := proc130(12);
+ call {:si_unique_call 1156} nVar1108 := proc130(28);
+ call {:si_unique_call 1157} nVar1109 := proc130(28);
+ call {:si_unique_call 1158} nVar1110 := proc130(28);
+ call {:si_unique_call 1159} nVar1111 := proc130(28);
+ call {:si_unique_call 1160} nVar1112 := proc130(16);
+ call {:si_unique_call 1161} nVar1113 := proc130(28);
+ call {:si_unique_call 1162} nVar1114 := proc130(28);
+ call {:si_unique_call 1163} nVar1115 := proc130(28);
+ call {:si_unique_call 1164} nVar1116 := proc130(28);
+ call {:si_unique_call 1165} nVar1117 := proc130(28);
+ call {:si_unique_call 1166} nVar1118 := proc130(16);
+ call {:si_unique_call 1167} nVar1119 := proc130(16);
+ call {:si_unique_call 1168} nVar1120 := proc130(28);
+ call {:si_unique_call 1169} nVar1121 := proc130(28);
+ call {:si_unique_call 1170} nVar1122 := proc130(28);
+ call {:si_unique_call 1171} nVar1123 := proc130(16);
+ call {:si_unique_call 1172} nVar1124 := proc130(28);
+ call {:si_unique_call 1173} nVar1125 := proc130(28);
+ call {:si_unique_call 1174} nVar1126 := proc130(28);
+ call {:si_unique_call 1175} nVar1127 := proc130(28);
+ call {:si_unique_call 1176} nVar1128 := proc130(28);
+ call {:si_unique_call 1177} nVar1129 := proc130(28);
+ call {:si_unique_call 1178} nVar1130 := proc130(28);
+ call {:si_unique_call 1179} nVar1131 := proc130(28);
+ call {:si_unique_call 1180} nVar1132 := proc130(28);
+ call {:si_unique_call 1181} nVar1133 := proc130(28);
+ call {:si_unique_call 1182} nVar1134 := proc130(16);
+ call {:si_unique_call 1183} nVar1135 := proc130(28);
+ call {:si_unique_call 1184} nVar1136 := proc130(56);
+ call {:si_unique_call 1185} nVar1137 := proc130(28);
+ call {:si_unique_call 1186} nVar1138 := proc130(16);
+ call {:si_unique_call 1187} nVar1139 := proc130(28);
+ call {:si_unique_call 1188} nVar1140 := proc130(28);
+ call {:si_unique_call 1189} nVar1141 := proc130(28);
+ call {:si_unique_call 1190} nVar1142 := proc130(28);
+ call {:si_unique_call 1191} nVar1143 := proc130(28);
+ call {:si_unique_call 1192} nVar1144 := proc130(12);
+ call {:si_unique_call 1193} nVar1145 := proc130(28);
+ call {:si_unique_call 1194} nVar1146 := proc130(28);
+ call {:si_unique_call 1195} nVar1147 := proc130(28);
+ call {:si_unique_call 1196} nVar1148 := proc130(16);
+ call {:si_unique_call 1197} nVar1149 := proc130(28);
+ call {:si_unique_call 1198} nVar1150 := proc130(4);
+ call {:si_unique_call 1199} nVar1151 := proc130(28);
+ call {:si_unique_call 1200} nVar1152 := proc130(28);
+ call {:si_unique_call 1201} nVar1153 := proc130(28);
+ call {:si_unique_call 1202} nVar1154 := proc130(28);
+ call {:si_unique_call 1203} nVar1155 := proc130(28);
+ call {:si_unique_call 1204} nVar1156 := proc130(28);
+ call {:si_unique_call 1205} nVar1157 := proc130(28);
+ call {:si_unique_call 1206} nVar1158 := proc130(28);
+ call {:si_unique_call 1207} nVar1159 := proc130(28);
+ call {:si_unique_call 1208} nVar1160 := proc130(28);
+ call {:si_unique_call 1209} nVar1161 := proc130(28);
+ call {:si_unique_call 1210} nVar1162 := proc130(28);
+ call {:si_unique_call 1211} nVar1163 := proc130(28);
+ call {:si_unique_call 1212} nVar1164 := proc130(8);
+ call {:si_unique_call 1213} nVar1165 := proc130(28);
+ call {:si_unique_call 1214} nVar1166 := proc130(28);
+ call {:si_unique_call 1215} nVar1167 := proc130(28);
+ call {:si_unique_call 1216} nVar1168 := proc130(16);
+ call {:si_unique_call 1217} nVar1169 := proc130(28);
+ call {:si_unique_call 1218} nVar1170 := proc130(4);
+ call {:si_unique_call 1219} nVar1171 := proc130(28);
+ call {:si_unique_call 1220} nVar1172 := proc130(28);
+ call {:si_unique_call 1221} nVar1173 := proc130(28);
+ call {:si_unique_call 1222} nVar1174 := proc130(12);
+ call {:si_unique_call 1223} nVar1176 := proc130(28);
+ call {:si_unique_call 1224} nVar1177 := proc130(28);
+ call {:si_unique_call 1225} nVar1178 := proc130(28);
+ call {:si_unique_call 1226} nVar1179 := proc130(28);
+ call {:si_unique_call 1227} nVar1180 := proc130(28);
+ call {:si_unique_call 1228} nVar1181 := proc130(28);
+ call {:si_unique_call 1229} nVar1182 := proc130(16);
+ call {:si_unique_call 1230} nVar1183 := proc130(28);
+ call {:si_unique_call 1231} nVar1184 := proc130(28);
+ call {:si_unique_call 1232} nVar1185 := proc130(28);
+ call {:si_unique_call 1233} nVar1186 := proc130(28);
+ call {:si_unique_call 1234} nVar1187 := proc130(28);
+ call {:si_unique_call 1235} nVar1188 := proc130(28);
+ call {:si_unique_call 1236} nVar1189 := proc130(28);
+ call {:si_unique_call 1237} nVar1190 := proc130(28);
+ call {:si_unique_call 1238} nVar1191 := proc130(28);
+ call {:si_unique_call 1239} nVar1192 := proc130(28);
+ call {:si_unique_call 1240} nVar1193 := proc130(28);
+ call {:si_unique_call 1241} nVar1194 := proc130(28);
+ call {:si_unique_call 1242} nVar1195 := proc130(28);
+ call {:si_unique_call 1243} nVar1196 := proc130(28);
+ call {:si_unique_call 1244} nVar1197 := proc130(16);
+ call {:si_unique_call 1245} nVar1198 := proc130(28);
+ call {:si_unique_call 1246} nVar1199 := proc130(28);
+ call {:si_unique_call 1247} nVar1200 := proc130(28);
+ call {:si_unique_call 1248} nVar1201 := proc130(28);
+ call {:si_unique_call 1249} nVar1202 := proc130(28);
+ call {:si_unique_call 1250} nVar1203 := proc130(28);
+ call {:si_unique_call 1251} nVar1204 := proc130(28);
+ call {:si_unique_call 1252} nVar1205 := proc130(28);
+ call {:si_unique_call 1253} nVar1206 := proc130(28);
+ call {:si_unique_call 1254} nVar1207 := proc130(28);
+ call {:si_unique_call 1255} nVar1208 := proc130(28);
+ call {:si_unique_call 1256} nVar1209 := proc130(28);
+ call {:si_unique_call 1257} nVar1210 := proc130(28);
+ call {:si_unique_call 1258} nVar1211 := proc130(28);
+ call {:si_unique_call 1259} nVar1212 := proc130(28);
+ call {:si_unique_call 1260} nVar1213 := proc130(28);
+ call {:si_unique_call 1261} nVar1214 := proc130(28);
+ call {:si_unique_call 1262} nVar1215 := proc130(16);
+ call {:si_unique_call 1263} nVar1216 := proc130(12);
+ call {:si_unique_call 1264} nVar1217 := proc130(28);
+ call {:si_unique_call 1265} nVar1218 := proc130(28);
+ call {:si_unique_call 1266} nVar1219 := proc130(28);
+ call {:si_unique_call 1267} nVar1220 := proc130(28);
+ call {:si_unique_call 1268} nVar1221 := proc130(28);
+ call {:si_unique_call 1269} nVar1222 := proc130(16);
+ call {:si_unique_call 1270} nVar1223 := proc130(28);
+ call {:si_unique_call 1271} nVar1224 := proc130(28);
+ call {:si_unique_call 1272} nVar1225 := proc130(28);
+ call {:si_unique_call 1273} nVar1226 := proc130(12);
+ call {:si_unique_call 1274} nVar1227 := proc130(24);
+ call {:si_unique_call 1275} nVar1228 := proc130(28);
+ call {:si_unique_call 1276} nVar1229 := proc130(28);
+ call {:si_unique_call 1277} nVar1230 := proc130(28);
+ call {:si_unique_call 1278} nVar1231 := proc130(28);
+ call {:si_unique_call 1279} nVar1232 := proc130(28);
+ call {:si_unique_call 1280} nVar1233 := proc130(28);
+ call {:si_unique_call 1281} nVar1234 := proc130(28);
+ call {:si_unique_call 1282} nVar1235 := proc130(16);
+ call {:si_unique_call 1283} nVar1236 := proc130(28);
+ call {:si_unique_call 1284} nVar1237 := proc130(28);
+ call {:si_unique_call 1285} nVar1238 := proc130(4);
+ call {:si_unique_call 1286} nVar1239 := proc130(28);
+ call {:si_unique_call 1287} nVar1240 := proc130(28);
+ call {:si_unique_call 1288} nVar1241 := proc130(28);
+ call {:si_unique_call 1289} nVar1242 := proc130(16);
+ call {:si_unique_call 1290} nVar1243 := proc130(28);
+ call {:si_unique_call 1291} nVar1244 := proc130(28);
+ call {:si_unique_call 1292} nVar1245 := proc130(28);
+ call {:si_unique_call 1293} nVar1246 := proc130(28);
+ call {:si_unique_call 1294} nVar1247 := proc130(24);
+ call {:si_unique_call 1295} nVar1248 := proc130(28);
+ call {:si_unique_call 1296} nVar1249 := proc130(28);
+ call {:si_unique_call 1297} nVar1250 := proc130(28);
+ call {:si_unique_call 1298} nVar1251 := proc130(12);
+ call {:si_unique_call 1299} nVar1252 := proc130(28);
+ call {:si_unique_call 1300} nVar1253 := proc130(28);
+ call {:si_unique_call 1301} nVar1255 := proc130(28);
+ call {:si_unique_call 1302} nVar1256 := proc130(28);
+ call {:si_unique_call 1303} nVar1257 := proc130(28);
+ call {:si_unique_call 1304} nVar1258 := proc130(28);
+ call {:si_unique_call 1305} nVar1259 := proc130(28);
+ call {:si_unique_call 1306} nVar1260 := proc130(28);
+ call {:si_unique_call 1307} nVar1261 := proc130(28);
+ call {:si_unique_call 1308} nVar1262 := proc130(28);
+ call {:si_unique_call 1309} nVar1263 := proc130(28);
+ call {:si_unique_call 1310} nVar1264 := proc130(16);
+ call {:si_unique_call 1311} nVar1265 := proc130(28);
+ call {:si_unique_call 1312} nVar1266 := proc130(28);
+ call {:si_unique_call 1313} nVar1267 := proc130(24);
+ call {:si_unique_call 1314} nVar1268 := proc130(24);
+ call {:si_unique_call 1315} nVar1269 := proc130(28);
+ call {:si_unique_call 1316} nVar1270 := proc130(28);
+ call {:si_unique_call 1317} nVar1271 := proc130(28);
+ call {:si_unique_call 1318} nVar1272 := proc130(28);
+ call {:si_unique_call 1319} nVar1273 := proc130(28);
+ call {:si_unique_call 1320} nVar1274 := proc130(12);
+ call {:si_unique_call 1321} nVar1275 := proc130(12);
+ call {:si_unique_call 1322} nVar1276 := proc130(28);
+ call {:si_unique_call 1323} nVar1277 := proc130(28);
+ call {:si_unique_call 1324} nVar1278 := proc130(28);
+ call {:si_unique_call 1325} nVar1279 := proc130(16);
+ call {:si_unique_call 1326} nVar1280 := proc130(24);
+ call {:si_unique_call 1327} nVar1281 := proc130(28);
+ call {:si_unique_call 1328} nVar1282 := proc130(28);
+ call {:si_unique_call 1329} nVar1283 := proc130(28);
+ call {:si_unique_call 1330} nVar1284 := proc130(28);
+ call {:si_unique_call 1331} nVar1285 := proc130(28);
+ call {:si_unique_call 1332} nVar1286 := proc130(28);
+ call {:si_unique_call 1333} nVar1287 := proc130(28);
+ call {:si_unique_call 1334} nVar1288 := proc130(28);
+ call {:si_unique_call 1335} nVar1289 := proc130(28);
+ call {:si_unique_call 1336} nVar1290 := proc130(28);
+ call {:si_unique_call 1337} nVar1291 := proc130(28);
+ call {:si_unique_call 1338} nVar1292 := proc130(28);
+ call {:si_unique_call 1339} nVar1293 := proc130(28);
+ call {:si_unique_call 1340} nVar1294 := proc130(8);
+ call {:si_unique_call 1341} nVar1295 := proc130(28);
+ call {:si_unique_call 1342} nVar1296 := proc130(28);
+ call {:si_unique_call 1343} nVar1297 := proc130(28);
+ call {:si_unique_call 1344} nVar1298 := proc130(12);
+ call {:si_unique_call 1345} nVar1299 := proc130(28);
+ call {:si_unique_call 1346} nVar1300 := proc130(12);
+ call {:si_unique_call 1347} nVar1301 := proc130(28);
+ call {:si_unique_call 1348} nVar1302 := proc130(28);
+ call {:si_unique_call 1349} nVar1303 := proc130(28);
+ call {:si_unique_call 1350} nVar1304 := proc130(28);
+ call {:si_unique_call 1351} nVar1305 := proc130(28);
+ call {:si_unique_call 1352} nVar1306 := proc130(28);
+ call {:si_unique_call 1353} nVar1307 := proc130(28);
+ call {:si_unique_call 1354} nVar4948 := proc130(16);
+ call {:si_unique_call 1355} nVar1308 := proc130(28);
+ call {:si_unique_call 1356} nVar1309 := proc130(28);
+ call {:si_unique_call 1357} nVar1310 := proc130(28);
+ call {:si_unique_call 1358} nVar1311 := proc130(28);
+ call {:si_unique_call 1359} nVar1312 := proc130(28);
+ call {:si_unique_call 1360} nVar1313 := proc130(28);
+ call {:si_unique_call 1361} nVar1314 := proc130(28);
+ call {:si_unique_call 1362} nVar1315 := proc130(28);
+ call {:si_unique_call 1363} nVar1316 := proc130(28);
+ call {:si_unique_call 1364} nVar1317 := proc130(12);
+ call {:si_unique_call 1365} nVar1318 := proc130(28);
+ call {:si_unique_call 1366} nVar1319 := proc130(28);
+ call {:si_unique_call 1367} nVar1320 := proc130(28);
+ call {:si_unique_call 1368} nVar1321 := proc130(28);
+ call {:si_unique_call 1369} nVar1322 := proc130(4);
+ call {:si_unique_call 1370} nVar1323 := proc130(28);
+ call {:si_unique_call 1371} nVar1324 := proc130(8);
+ call {:si_unique_call 1372} nVar1325 := proc130(28);
+ call {:si_unique_call 1373} nVar1326 := proc130(28);
+ call {:si_unique_call 1374} nVar1327 := proc130(28);
+ call {:si_unique_call 1375} nVar1328 := proc130(12);
+ call {:si_unique_call 1376} nVar1329 := proc130(28);
+ call {:si_unique_call 1377} nVar1330 := proc130(28);
+ call {:si_unique_call 1378} nVar1331 := proc130(28);
+ call {:si_unique_call 1379} nVar1332 := proc130(28);
+ call {:si_unique_call 1380} nVar1333 := proc130(28);
+ call {:si_unique_call 1381} nVar1334 := proc130(28);
+ call {:si_unique_call 1382} nVar1335 := proc130(28);
+ call {:si_unique_call 1383} nVar1336 := proc130(28);
+ call {:si_unique_call 1384} nVar1337 := proc130(12);
+ call {:si_unique_call 1385} nVar1338 := proc130(28);
+ call {:si_unique_call 1386} nVar1339 := proc130(24);
+ call {:si_unique_call 1387} nVar1340 := proc130(4);
+ call {:si_unique_call 1388} nVar1341 := proc130(28);
+ call {:si_unique_call 1389} nVar1342 := proc130(28);
+ call {:si_unique_call 1390} nVar1343 := proc130(16);
+ call {:si_unique_call 1391} nVar1344 := proc130(28);
+ call {:si_unique_call 1392} nVar1345 := proc130(28);
+ call {:si_unique_call 1393} nVar1346 := proc130(28);
+ call {:si_unique_call 1394} nVar1347 := proc130(16);
+ call {:si_unique_call 1395} nVar1348 := proc130(28);
+ call {:si_unique_call 1396} nVar1349 := proc130(28);
+ call {:si_unique_call 1397} nVar1350 := proc130(28);
+ call {:si_unique_call 1398} nVar1351 := proc130(28);
+ call {:si_unique_call 1399} nVar1352 := proc130(28);
+ call {:si_unique_call 1400} nVar1353 := proc130(28);
+ call {:si_unique_call 1401} nVar1354 := proc130(28);
+ call {:si_unique_call 1402} nVar1355 := proc130(28);
+ call {:si_unique_call 1403} nVar1356 := proc130(28);
+ call {:si_unique_call 1404} nVar1357 := proc130(28);
+ call {:si_unique_call 1405} nVar1358 := proc130(28);
+ call {:si_unique_call 1406} nVar1359 := proc130(28);
+ call {:si_unique_call 1407} nVar1360 := proc130(28);
+ call {:si_unique_call 1408} nVar1361 := proc130(28);
+ call {:si_unique_call 1409} nVar1362 := proc130(28);
+ call {:si_unique_call 1410} nVar1363 := proc130(12);
+ call {:si_unique_call 1411} nVar1364 := proc130(28);
+ call {:si_unique_call 1412} nVar1365 := proc130(28);
+ call {:si_unique_call 1413} nVar1366 := proc130(16);
+ call {:si_unique_call 1414} nVar1367 := proc130(28);
+ call {:si_unique_call 1415} nVar1368 := proc130(28);
+ call {:si_unique_call 1416} nVar1369 := proc130(28);
+ call {:si_unique_call 1417} nVar1370 := proc130(28);
+ call {:si_unique_call 1418} nVar1371 := proc130(12);
+ call {:si_unique_call 1419} nVar1372 := proc130(28);
+ call {:si_unique_call 1420} nVar1373 := proc130(12);
+ call {:si_unique_call 1421} nVar1374 := proc130(28);
+ call {:si_unique_call 1422} nVar1375 := proc130(28);
+ call {:si_unique_call 1423} nVar1376 := proc130(28);
+ call {:si_unique_call 1424} nVar1377 := proc130(4);
+ call {:si_unique_call 1425} nVar1378 := proc130(28);
+ call {:si_unique_call 1426} nVar1379 := proc130(4);
+ call {:si_unique_call 1427} nVar1380 := proc130(28);
+ call {:si_unique_call 1428} nVar1381 := proc130(28);
+ call {:si_unique_call 1429} nVar1382 := proc130(28);
+ call {:si_unique_call 1430} nVar1383 := proc130(28);
+ call {:si_unique_call 1431} nVar1384 := proc130(28);
+ call {:si_unique_call 1432} nVar1385 := proc130(28);
+ call {:si_unique_call 1433} nVar1386 := proc130(28);
+ call {:si_unique_call 1434} nVar1387 := proc130(28);
+ call {:si_unique_call 1435} nVar1388 := proc130(28);
+ call {:si_unique_call 1436} nVar1389 := proc130(28);
+ call {:si_unique_call 1437} nVar1390 := proc130(28);
+ call {:si_unique_call 1438} nVar1391 := proc130(4);
+ call {:si_unique_call 1439} nVar1392 := proc130(28);
+ call {:si_unique_call 1440} nVar1393 := proc130(16);
+ call {:si_unique_call 1441} nVar1394 := proc130(28);
+ call {:si_unique_call 1442} nVar1395 := proc130(28);
+ call {:si_unique_call 1443} nVar1396 := proc130(28);
+ call {:si_unique_call 1444} nVar1397 := proc130(28);
+ call {:si_unique_call 1445} nVar1398 := proc130(16);
+ call {:si_unique_call 1446} nVar1399 := proc130(28);
+ call {:si_unique_call 1447} nVar1400 := proc130(28);
+ call {:si_unique_call 1448} nVar1401 := proc130(12);
+ call {:si_unique_call 1449} nVar1402 := proc130(16);
+ call {:si_unique_call 1450} nVar1403 := proc130(28);
+ call {:si_unique_call 1451} nVar1404 := proc130(28);
+ call {:si_unique_call 1452} nVar1405 := proc130(28);
+ call {:si_unique_call 1453} nVar1406 := proc130(28);
+ call {:si_unique_call 1454} nVar1407 := proc130(28);
+ call {:si_unique_call 1455} nVar1408 := proc130(16);
+ call {:si_unique_call 1456} nVar1409 := proc130(28);
+ call {:si_unique_call 1457} nVar1410 := proc130(12);
+ call {:si_unique_call 1458} nVar1411 := proc130(28);
+ call {:si_unique_call 1459} nVar1412 := proc130(28);
+ call {:si_unique_call 1460} nVar1413 := proc130(28);
+ call {:si_unique_call 1461} nVar1414 := proc130(28);
+ call {:si_unique_call 1462} nVar1415 := proc130(28);
+ call {:si_unique_call 1463} nVar1416 := proc130(28);
+ call {:si_unique_call 1464} nVar1417 := proc130(28);
+ call {:si_unique_call 1465} nVar1418 := proc130(28);
+ call {:si_unique_call 1466} nVar1419 := proc130(28);
+ call {:si_unique_call 1467} nVar1420 := proc130(28);
+ call {:si_unique_call 1468} nVar1421 := proc130(28);
+ call {:si_unique_call 1469} nVar1422 := proc130(28);
+ call {:si_unique_call 1470} nVar1423 := proc130(28);
+ call {:si_unique_call 1471} nVar1424 := proc130(28);
+ call {:si_unique_call 1472} nVar1425 := proc130(28);
+ call {:si_unique_call 1473} nVar1426 := proc130(28);
+ call {:si_unique_call 1474} nVar1427 := proc130(28);
+ call {:si_unique_call 1475} nVar1428 := proc130(28);
+ call {:si_unique_call 1476} nVar1429 := proc130(28);
+ call {:si_unique_call 1477} nVar1430 := proc130(28);
+ call {:si_unique_call 1478} nVar1431 := proc130(16);
+ call {:si_unique_call 1479} nVar1432 := proc130(24);
+ call {:si_unique_call 1480} nVar1433 := proc130(28);
+ call {:si_unique_call 1481} nVar1434 := proc130(28);
+ call {:si_unique_call 1482} nVar1435 := proc130(28);
+ call {:si_unique_call 1483} nVar1436 := proc130(28);
+ call {:si_unique_call 1484} nVar1437 := proc130(16);
+ call {:si_unique_call 1485} nVar1438 := proc130(28);
+ call {:si_unique_call 1486} nVar1439 := proc130(28);
+ call {:si_unique_call 1487} nVar1440 := proc130(28);
+ call {:si_unique_call 1488} nVar1441 := proc130(28);
+ call {:si_unique_call 1489} nVar1442 := proc130(24);
+ call {:si_unique_call 1490} nVar1443 := proc130(28);
+ call {:si_unique_call 1491} nVar1444 := proc130(28);
+ call {:si_unique_call 1492} nVar1445 := proc130(28);
+ call {:si_unique_call 1493} nVar1446 := proc130(28);
+ call {:si_unique_call 1494} nVar1447 := proc130(28);
+ call {:si_unique_call 1495} nVar1448 := proc130(28);
+ call {:si_unique_call 1496} nVar1449 := proc130(28);
+ call {:si_unique_call 1497} nVar1450 := proc130(28);
+ call {:si_unique_call 1498} nVar1451 := proc130(28);
+ call {:si_unique_call 1499} nVar1452 := proc130(12);
+ call {:si_unique_call 1500} nVar1453 := proc130(28);
+ call {:si_unique_call 1501} nVar1454 := proc130(28);
+ call {:si_unique_call 1502} nVar1455 := proc130(4);
+ call {:si_unique_call 1503} nVar1456 := proc130(28);
+ call {:si_unique_call 1504} nVar1457 := proc130(16);
+ call {:si_unique_call 1505} nVar1458 := proc130(28);
+ call {:si_unique_call 1506} nVar1459 := proc130(12);
+ call {:si_unique_call 1507} nVar1460 := proc130(24);
+ call {:si_unique_call 1508} nVar1461 := proc130(28);
+ call {:si_unique_call 1509} nVar1462 := proc130(28);
+ call {:si_unique_call 1510} nVar1463 := proc130(24);
+ call {:si_unique_call 1511} nVar1464 := proc130(28);
+ call {:si_unique_call 1512} nVar1465 := proc130(28);
+ call {:si_unique_call 1513} nVar1466 := proc130(28);
+ call {:si_unique_call 1514} nVar1467 := proc130(28);
+ call {:si_unique_call 1515} nVar1468 := proc130(4);
+ call {:si_unique_call 1516} nVar1469 := proc130(28);
+ call {:si_unique_call 1517} nVar1470 := proc130(28);
+ call {:si_unique_call 1518} nVar1471 := proc130(28);
+ call {:si_unique_call 1519} nVar1472 := proc130(16);
+ call {:si_unique_call 1520} nVar1473 := proc130(16);
+ call {:si_unique_call 1521} nVar1474 := proc130(28);
+ call {:si_unique_call 1522} nVar1475 := proc130(28);
+ call {:si_unique_call 1523} nVar1476 := proc130(4);
+ call {:si_unique_call 1524} nVar1477 := proc130(28);
+ call {:si_unique_call 1525} nVar1478 := proc130(28);
+ call {:si_unique_call 1526} nVar1479 := proc130(28);
+ call {:si_unique_call 1527} nVar1480 := proc130(28);
+ call {:si_unique_call 1528} nVar1481 := proc130(28);
+ call {:si_unique_call 1529} nVar1482 := proc130(28);
+ call {:si_unique_call 1530} nVar1483 := proc130(28);
+ call {:si_unique_call 1531} nVar1484 := proc130(28);
+ call {:si_unique_call 1532} nVar1485 := proc130(28);
+ call {:si_unique_call 1533} nVar1486 := proc130(28);
+ call {:si_unique_call 1534} nVar1487 := proc130(28);
+ call {:si_unique_call 1535} nVar4949 := proc130(16);
+ call {:si_unique_call 1536} nVar1488 := proc130(28);
+ call {:si_unique_call 1537} nVar1489 := proc130(28);
+ call {:si_unique_call 1538} nVar1490 := proc130(28);
+ call {:si_unique_call 1539} nVar1491 := proc130(28);
+ call {:si_unique_call 1540} nVar1492 := proc130(28);
+ call {:si_unique_call 1541} nVar1493 := proc130(24);
+ call {:si_unique_call 1542} nVar1494 := proc130(28);
+ call {:si_unique_call 1543} nVar1495 := proc130(28);
+ call {:si_unique_call 1544} nVar1496 := proc130(28);
+ call {:si_unique_call 1545} nVar1497 := proc130(4);
+ call {:si_unique_call 1546} nVar1498 := proc130(28);
+ call {:si_unique_call 1547} nVar1499 := proc130(28);
+ call {:si_unique_call 1548} nVar1500 := proc130(28);
+ call {:si_unique_call 1549} nVar1501 := proc130(28);
+ call {:si_unique_call 1550} nVar1502 := proc130(12);
+ call {:si_unique_call 1551} nVar1503 := proc130(28);
+ call {:si_unique_call 1552} nVar1504 := proc130(12);
+ call {:si_unique_call 1553} nVar1505 := proc130(28);
+ call {:si_unique_call 1554} nVar1506 := proc130(28);
+ call {:si_unique_call 1555} nVar1507 := proc130(28);
+ call {:si_unique_call 1556} nVar1508 := proc130(28);
+ call {:si_unique_call 1557} nVar1509 := proc130(28);
+ call {:si_unique_call 1558} nVar1510 := proc130(28);
+ call {:si_unique_call 1559} nVar1511 := proc130(28);
+ call {:si_unique_call 1560} nVar1512 := proc130(4);
+ call {:si_unique_call 1561} nVar1513 := proc130(28);
+ call {:si_unique_call 1562} nVar1514 := proc130(28);
+ call {:si_unique_call 1563} nVar1515 := proc130(28);
+ call {:si_unique_call 1564} nVar1516 := proc130(16);
+ call {:si_unique_call 1565} nVar1517 := proc130(28);
+ call {:si_unique_call 1566} nVar1518 := proc130(28);
+ call {:si_unique_call 1567} nVar1519 := proc130(16);
+ call {:si_unique_call 1568} nVar1520 := proc130(28);
+ call {:si_unique_call 1569} nVar1521 := proc130(28);
+ call {:si_unique_call 1570} nVar1522 := proc130(28);
+ call {:si_unique_call 1571} nVar1523 := proc130(12);
+ call {:si_unique_call 1572} nVar1524 := proc130(28);
+ call {:si_unique_call 1573} nVar1525 := proc130(28);
+ call {:si_unique_call 1574} nVar1526 := proc130(28);
+ call {:si_unique_call 1575} nVar1527 := proc130(12);
+ call {:si_unique_call 1576} nVar1528 := proc130(28);
+ call {:si_unique_call 1577} nVar1529 := proc130(28);
+ call {:si_unique_call 1578} nVar1530 := proc130(28);
+ call {:si_unique_call 1579} nVar1531 := proc130(28);
+ call {:si_unique_call 1580} nVar1532 := proc130(28);
+ call {:si_unique_call 1581} nVar1533 := proc130(28);
+ call {:si_unique_call 1582} nVar1534 := proc130(28);
+ call {:si_unique_call 1583} nVar1535 := proc130(28);
+ call {:si_unique_call 1584} nVar1536 := proc130(28);
+ call {:si_unique_call 1585} nVar1537 := proc130(28);
+ call {:si_unique_call 1586} nVar1538 := proc130(28);
+ call {:si_unique_call 1587} nVar1539 := proc130(28);
+ call {:si_unique_call 1588} nVar1540 := proc130(28);
+ call {:si_unique_call 1589} nVar1541 := proc130(28);
+ call {:si_unique_call 1590} nVar1542 := proc130(28);
+ call {:si_unique_call 1591} nVar1543 := proc130(28);
+ call {:si_unique_call 1592} nVar1544 := proc130(28);
+ call {:si_unique_call 1593} nVar1545 := proc130(28);
+ call {:si_unique_call 1594} nVar1546 := proc130(28);
+ call {:si_unique_call 1595} nVar1547 := proc130(28);
+ call {:si_unique_call 1596} nVar1548 := proc130(16);
+ call {:si_unique_call 1597} nVar1549 := proc130(28);
+ call {:si_unique_call 1598} nVar1550 := proc130(16);
+ call {:si_unique_call 1599} nVar1551 := proc130(28);
+ call {:si_unique_call 1600} nVar1552 := proc130(28);
+ call {:si_unique_call 1601} nVar1553 := proc130(28);
+ call {:si_unique_call 1602} nVar1554 := proc130(28);
+ call {:si_unique_call 1603} nVar1555 := proc130(28);
+ call {:si_unique_call 1604} nVar1556 := proc130(28);
+ call {:si_unique_call 1605} nVar1557 := proc130(28);
+ call {:si_unique_call 1606} nVar1558 := proc130(28);
+ call {:si_unique_call 1607} nVar1559 := proc130(28);
+ call {:si_unique_call 1608} nVar1560 := proc130(24);
+ call {:si_unique_call 1609} nVar1561 := proc130(28);
+ call {:si_unique_call 1610} nVar1562 := proc130(28);
+ call {:si_unique_call 1611} nVar1563 := proc130(28);
+ call {:si_unique_call 1612} nVar1564 := proc130(28);
+ call {:si_unique_call 1613} nVar1565 := proc130(16);
+ call {:si_unique_call 1614} nVar1566 := proc130(28);
+ call {:si_unique_call 1615} nVar1567 := proc130(28);
+ call {:si_unique_call 1616} nVar1568 := proc130(28);
+ call {:si_unique_call 1617} nVar1569 := proc130(28);
+ call {:si_unique_call 1618} nVar1570 := proc130(12);
+ call {:si_unique_call 1619} nVar1571 := proc130(28);
+ call {:si_unique_call 1620} nVar1572 := proc130(28);
+ call {:si_unique_call 1621} nVar1573 := proc130(28);
+ call {:si_unique_call 1622} nVar1574 := proc130(28);
+ call {:si_unique_call 1623} nVar1575 := proc130(28);
+ call {:si_unique_call 1624} nVar1576 := proc130(28);
+ call {:si_unique_call 1625} nVar1577 := proc130(28);
+ call {:si_unique_call 1626} nVar1578 := proc130(28);
+ call {:si_unique_call 1627} nVar1579 := proc130(28);
+ call {:si_unique_call 1628} nVar1580 := proc130(28);
+ call {:si_unique_call 1629} nVar1581 := proc130(28);
+ call {:si_unique_call 1630} nVar1582 := proc130(28);
+ call {:si_unique_call 1631} nVar1583 := proc130(16);
+ call {:si_unique_call 1632} nVar1584 := proc130(4);
+ call {:si_unique_call 1633} nVar1585 := proc130(12);
+ call {:si_unique_call 1634} nVar1586 := proc130(28);
+ call {:si_unique_call 1635} nVar1587 := proc130(28);
+ call {:si_unique_call 1636} nVar1588 := proc130(28);
+ call {:si_unique_call 1637} nVar1589 := proc130(16);
+ call {:si_unique_call 1638} nVar1590 := proc130(28);
+ call {:si_unique_call 1639} nVar1591 := proc130(28);
+ call {:si_unique_call 1640} nVar1592 := proc130(16);
+ call {:si_unique_call 1641} nVar1593 := proc130(28);
+ call {:si_unique_call 1642} nVar1594 := proc130(28);
+ call {:si_unique_call 1643} nVar1595 := proc130(28);
+ call {:si_unique_call 1644} nVar1596 := proc130(12);
+ call {:si_unique_call 1645} nVar1597 := proc130(28);
+ call {:si_unique_call 1646} nVar1598 := proc130(28);
+ call {:si_unique_call 1647} nVar1599 := proc130(28);
+ call {:si_unique_call 1648} nVar1600 := proc130(4);
+ call {:si_unique_call 1649} nVar1601 := proc130(28);
+ call {:si_unique_call 1650} nVar1602 := proc130(28);
+ call {:si_unique_call 1651} nVar1603 := proc130(28);
+ call {:si_unique_call 1652} nVar1604 := proc130(28);
+ call {:si_unique_call 1653} nVar1605 := proc130(24);
+ call {:si_unique_call 1654} nVar1606 := proc130(28);
+ call {:si_unique_call 1655} nVar1607 := proc130(28);
+ call {:si_unique_call 1656} nVar1608 := proc130(28);
+ call {:si_unique_call 1657} nVar1609 := proc130(28);
+ call {:si_unique_call 1658} nVar1610 := proc130(12);
+ call {:si_unique_call 1659} nVar1611 := proc130(28);
+ call {:si_unique_call 1660} nVar1612 := proc130(28);
+ call {:si_unique_call 1661} nVar1613 := proc130(16);
+ call {:si_unique_call 1662} nVar1614 := proc130(28);
+ call {:si_unique_call 1663} nVar1615 := proc130(28);
+ call {:si_unique_call 1664} nVar1616 := proc130(28);
+ call {:si_unique_call 1665} nVar1617 := proc130(28);
+ call {:si_unique_call 1666} nVar1618 := proc130(28);
+ call {:si_unique_call 1667} nVar1619 := proc130(28);
+ call {:si_unique_call 1668} nVar1620 := proc130(28);
+ call {:si_unique_call 1669} nVar1621 := proc130(28);
+ call {:si_unique_call 1670} nVar1622 := proc130(28);
+ call {:si_unique_call 1671} nVar1623 := proc130(28);
+ call {:si_unique_call 1672} nVar1624 := proc130(28);
+ call {:si_unique_call 1673} nVar1625 := proc130(28);
+ call {:si_unique_call 1674} nVar1626 := proc130(28);
+ call {:si_unique_call 1675} nVar1627 := proc130(28);
+ call {:si_unique_call 1676} nVar1628 := proc130(28);
+ call {:si_unique_call 1677} nVar1629 := proc130(12);
+ call {:si_unique_call 1678} nVar1630 := proc130(12);
+ call {:si_unique_call 1679} nVar1631 := proc130(16);
+ call {:si_unique_call 1680} nVar1632 := proc130(16);
+ call {:si_unique_call 1681} nVar1633 := proc130(28);
+ call {:si_unique_call 1682} nVar1634 := proc130(28);
+ call {:si_unique_call 1683} nVar1635 := proc130(28);
+ call {:si_unique_call 1684} nVar1636 := proc130(28);
+ call {:si_unique_call 1685} nVar1637 := proc130(28);
+ call {:si_unique_call 1686} nVar1638 := proc130(4);
+ call {:si_unique_call 1687} nVar1639 := proc130(28);
+ call {:si_unique_call 1688} nVar1640 := proc130(28);
+ call {:si_unique_call 1689} nVar1641 := proc130(16);
+ call {:si_unique_call 1690} nVar1642 := proc130(28);
+ call {:si_unique_call 1691} nVar1643 := proc130(28);
+ call {:si_unique_call 1692} nVar1644 := proc130(28);
+ call {:si_unique_call 1693} nVar1645 := proc130(16);
+ call {:si_unique_call 1694} nVar1646 := proc130(28);
+ call {:si_unique_call 1695} nVar1647 := proc130(28);
+ call {:si_unique_call 1696} nVar1648 := proc130(28);
+ call {:si_unique_call 1697} nVar1649 := proc130(28);
+ call {:si_unique_call 1698} nVar1650 := proc130(28);
+ call {:si_unique_call 1699} nVar1651 := proc130(28);
+ call {:si_unique_call 1700} nVar1652 := proc130(28);
+ call {:si_unique_call 1701} nVar1653 := proc130(28);
+ call {:si_unique_call 1702} nVar1654 := proc130(28);
+ call {:si_unique_call 1703} nVar1655 := proc130(28);
+ call {:si_unique_call 1704} nVar1656 := proc130(28);
+ call {:si_unique_call 1705} nVar1657 := proc130(28);
+ call {:si_unique_call 1706} nVar1658 := proc130(28);
+ call {:si_unique_call 1707} nVar1659 := proc130(28);
+ call {:si_unique_call 1708} nVar1660 := proc130(28);
+ call {:si_unique_call 1709} nVar1661 := proc130(28);
+ call {:si_unique_call 1710} nVar1662 := proc130(28);
+ call {:si_unique_call 1711} nVar1663 := proc130(28);
+ call {:si_unique_call 1712} nVar1664 := proc130(16);
+ call {:si_unique_call 1713} nVar1665 := proc130(4);
+ call {:si_unique_call 1714} nVar1666 := proc130(4);
+ call {:si_unique_call 1715} nVar1667 := proc130(28);
+ call {:si_unique_call 1716} nVar4950 := proc130(8);
+ call {:si_unique_call 1717} nVar1668 := proc130(28);
+ call {:si_unique_call 1718} nVar1669 := proc130(28);
+ call {:si_unique_call 1719} nVar1670 := proc130(28);
+ call {:si_unique_call 1720} nVar1671 := proc130(28);
+ call {:si_unique_call 1721} nVar1672 := proc130(28);
+ call {:si_unique_call 1722} nVar1673 := proc130(28);
+ call {:si_unique_call 1723} nVar1674 := proc130(28);
+ call {:si_unique_call 1724} nVar1675 := proc130(28);
+ call {:si_unique_call 1725} nVar1676 := proc130(56);
+ call {:si_unique_call 1726} nVar1677 := proc130(28);
+ call {:si_unique_call 1727} nVar1678 := proc130(16);
+ call {:si_unique_call 1728} nVar1679 := proc130(28);
+ call {:si_unique_call 1729} nVar1680 := proc130(28);
+ call {:si_unique_call 1730} nVar1681 := proc130(28);
+ call {:si_unique_call 1731} nVar1682 := proc130(28);
+ call {:si_unique_call 1732} nVar1683 := proc130(28);
+ call {:si_unique_call 1733} nVar1684 := proc130(28);
+ call {:si_unique_call 1734} nVar1685 := proc130(4);
+ call {:si_unique_call 1735} nVar1686 := proc130(28);
+ call {:si_unique_call 1736} nVar1687 := proc130(28);
+ call {:si_unique_call 1737} nVar1688 := proc130(28);
+ call {:si_unique_call 1738} nVar1689 := proc130(28);
+ call {:si_unique_call 1739} nVar1690 := proc130(16);
+ call {:si_unique_call 1740} nVar1691 := proc130(28);
+ call {:si_unique_call 1741} nVar1692 := proc130(28);
+ call {:si_unique_call 1742} nVar1693 := proc130(28);
+ call {:si_unique_call 1743} nVar1694 := proc130(28);
+ call {:si_unique_call 1744} nVar1695 := proc130(28);
+ call {:si_unique_call 1745} nVar1696 := proc130(28);
+ call {:si_unique_call 1746} nVar4951 := proc130(16);
+ call {:si_unique_call 1747} nVar1697 := proc130(28);
+ call {:si_unique_call 1748} nVar1698 := proc130(28);
+ call {:si_unique_call 1749} nVar1699 := proc130(28);
+ call {:si_unique_call 1750} nVar1700 := proc130(4);
+ call {:si_unique_call 1751} nVar1701 := proc130(28);
+ call {:si_unique_call 1752} nVar1702 := proc130(28);
+ call {:si_unique_call 1753} nVar1703 := proc130(16);
+ call {:si_unique_call 1754} nVar1704 := proc130(28);
+ call {:si_unique_call 1755} nVar1705 := proc130(28);
+ call {:si_unique_call 1756} nVar1706 := proc130(28);
+ call {:si_unique_call 1757} nVar1707 := proc130(28);
+ call {:si_unique_call 1758} nVar1708 := proc130(28);
+ call {:si_unique_call 1759} nVar1709 := proc130(28);
+ call {:si_unique_call 1760} nVar1710 := proc130(28);
+ call {:si_unique_call 1761} nVar1711 := proc130(12);
+ call {:si_unique_call 1762} nVar1712 := proc130(12);
+ call {:si_unique_call 1763} nVar1713 := proc130(28);
+ call {:si_unique_call 1764} nVar1714 := proc130(28);
+ call {:si_unique_call 1765} nVar1715 := proc130(16);
+ call {:si_unique_call 1766} nVar1716 := proc130(24);
+ call {:si_unique_call 1767} nVar1717 := proc130(28);
+ call {:si_unique_call 1768} nVar1718 := proc130(8);
+ call {:si_unique_call 1769} nVar1719 := proc130(28);
+ call {:si_unique_call 1770} nVar1720 := proc130(28);
+ call {:si_unique_call 1771} nVar1721 := proc130(28);
+ call {:si_unique_call 1772} nVar1722 := proc130(28);
+ call {:si_unique_call 1773} nVar1723 := proc130(28);
+ call {:si_unique_call 1774} nVar1724 := proc130(28);
+ call {:si_unique_call 1775} nVar1725 := proc130(28);
+ call {:si_unique_call 1776} nVar1726 := proc130(28);
+ call {:si_unique_call 1777} nVar1727 := proc130(28);
+ call {:si_unique_call 1778} nVar1728 := proc130(28);
+ call {:si_unique_call 1779} nVar1729 := proc130(24);
+ call {:si_unique_call 1780} nVar1730 := proc130(28);
+ call {:si_unique_call 1781} nVar1731 := proc130(28);
+ call {:si_unique_call 1782} nVar1732 := proc130(28);
+ call {:si_unique_call 1783} nVar1733 := proc130(28);
+ call {:si_unique_call 1784} nVar1734 := proc130(28);
+ call {:si_unique_call 1785} nVar1735 := proc130(28);
+ call {:si_unique_call 1786} nVar1736 := proc130(28);
+ call {:si_unique_call 1787} nVar1737 := proc130(28);
+ call {:si_unique_call 1788} nVar1738 := proc130(28);
+ call {:si_unique_call 1789} nVar1739 := proc130(28);
+ call {:si_unique_call 1790} nVar1740 := proc130(28);
+ call {:si_unique_call 1791} nVar1741 := proc130(28);
+ call {:si_unique_call 1792} nVar1742 := proc130(28);
+ call {:si_unique_call 1793} nVar1743 := proc130(28);
+ call {:si_unique_call 1794} nVar1744 := proc130(28);
+ call {:si_unique_call 1795} nVar1745 := proc130(28);
+ call {:si_unique_call 1796} nVar1746 := proc130(28);
+ call {:si_unique_call 1797} nVar1747 := proc130(28);
+ call {:si_unique_call 1798} nVar1748 := proc130(28);
+ call {:si_unique_call 1799} nVar1749 := proc130(28);
+ call {:si_unique_call 1800} nVar1750 := proc130(28);
+ call {:si_unique_call 1801} nVar1751 := proc130(28);
+ call {:si_unique_call 1802} nVar1752 := proc130(28);
+ call {:si_unique_call 1803} nVar1753 := proc130(28);
+ call {:si_unique_call 1804} nVar1754 := proc130(28);
+ call {:si_unique_call 1805} nVar1755 := proc130(28);
+ call {:si_unique_call 1806} nVar1756 := proc130(56);
+ call {:si_unique_call 1807} nVar1757 := proc130(28);
+ call {:si_unique_call 1808} nVar1758 := proc130(28);
+ call {:si_unique_call 1809} nVar1759 := proc130(28);
+ call {:si_unique_call 1810} nVar1760 := proc130(28);
+ call {:si_unique_call 1811} nVar1761 := proc130(28);
+ call {:si_unique_call 1812} nVar1762 := proc130(28);
+ call {:si_unique_call 1813} nVar1763 := proc130(28);
+ call {:si_unique_call 1814} nVar4952 := proc130(16);
+ call {:si_unique_call 1815} nVar1764 := proc130(28);
+ call {:si_unique_call 1816} nVar1765 := proc130(28);
+ call {:si_unique_call 1817} nVar1766 := proc130(28);
+ call {:si_unique_call 1818} nVar1767 := proc130(28);
+ call {:si_unique_call 1819} nVar1768 := proc130(28);
+ call {:si_unique_call 1820} nVar1769 := proc130(28);
+ call {:si_unique_call 1821} nVar1770 := proc130(16);
+ call {:si_unique_call 1822} nVar1771 := proc130(28);
+ call {:si_unique_call 1823} nVar1772 := proc130(28);
+ call {:si_unique_call 1824} nVar1773 := proc130(28);
+ call {:si_unique_call 1825} nVar1774 := proc130(28);
+ call {:si_unique_call 1826} nVar1775 := proc130(28);
+ call {:si_unique_call 1827} nVar1776 := proc130(28);
+ call {:si_unique_call 1828} nVar1777 := proc130(4);
+ call {:si_unique_call 1829} nVar1778 := proc130(28);
+ call {:si_unique_call 1830} nVar1779 := proc130(4);
+ call {:si_unique_call 1831} nVar1780 := proc130(28);
+ call {:si_unique_call 1832} nVar1781 := proc130(28);
+ call {:si_unique_call 1833} nVar1782 := proc130(28);
+ call {:si_unique_call 1834} nVar1783 := proc130(28);
+ call {:si_unique_call 1835} nVar1784 := proc130(28);
+ call {:si_unique_call 1836} nVar1785 := proc130(28);
+ call {:si_unique_call 1837} nVar1786 := proc130(4);
+ call {:si_unique_call 1838} nVar1787 := proc130(28);
+ call {:si_unique_call 1839} nVar1788 := proc130(28);
+ call {:si_unique_call 1840} nVar1789 := proc130(4);
+ call {:si_unique_call 1841} nVar1790 := proc130(16);
+ call {:si_unique_call 1842} nVar1791 := proc130(28);
+ call {:si_unique_call 1843} nVar1792 := proc130(28);
+ call {:si_unique_call 1844} nVar1793 := proc130(12);
+ call {:si_unique_call 1845} nVar1794 := proc130(28);
+ call {:si_unique_call 1846} nVar1795 := proc130(28);
+ call {:si_unique_call 1847} nVar1796 := proc130(12);
+ call {:si_unique_call 1848} nVar1797 := proc130(28);
+ call {:si_unique_call 1849} nVar1798 := proc130(28);
+ call {:si_unique_call 1850} nVar1799 := proc130(28);
+ call {:si_unique_call 1851} nVar1800 := proc130(28);
+ call {:si_unique_call 1852} nVar1801 := proc130(24);
+ call {:si_unique_call 1853} nVar1802 := proc130(4);
+ call {:si_unique_call 1854} nVar1803 := proc130(24);
+ call {:si_unique_call 1855} nVar1804 := proc130(28);
+ call {:si_unique_call 1856} nVar1805 := proc130(28);
+ call {:si_unique_call 1857} nVar1806 := proc130(28);
+ call {:si_unique_call 1858} nVar1807 := proc130(12);
+ call {:si_unique_call 1859} nVar1808 := proc130(28);
+ call {:si_unique_call 1860} nVar1809 := proc130(28);
+ call {:si_unique_call 1861} nVar1810 := proc130(28);
+ call {:si_unique_call 1862} nVar1811 := proc130(24);
+ call {:si_unique_call 1863} nVar1812 := proc130(28);
+ call {:si_unique_call 1864} nVar1813 := proc130(28);
+ call {:si_unique_call 1865} nVar1814 := proc130(28);
+ call {:si_unique_call 1866} nVar1815 := proc130(12);
+ call {:si_unique_call 1867} nVar1816 := proc130(4);
+ call {:si_unique_call 1868} nVar1817 := proc130(28);
+ call {:si_unique_call 1869} nVar1818 := proc130(28);
+ call {:si_unique_call 1870} nVar1819 := proc130(28);
+ call {:si_unique_call 1871} nVar1820 := proc130(28);
+ call {:si_unique_call 1872} nVar1821 := proc130(16);
+ call {:si_unique_call 1873} nVar1822 := proc130(28);
+ call {:si_unique_call 1874} nVar1823 := proc130(28);
+ call {:si_unique_call 1875} nVar1824 := proc130(24);
+ call {:si_unique_call 1876} nVar1825 := proc130(28);
+ call {:si_unique_call 1877} nVar1826 := proc130(28);
+ call {:si_unique_call 1878} nVar1827 := proc130(28);
+ call {:si_unique_call 1879} nVar1828 := proc130(28);
+ call {:si_unique_call 1880} nVar1829 := proc130(28);
+ call {:si_unique_call 1881} nVar1830 := proc130(16);
+ call {:si_unique_call 1882} nVar1831 := proc130(28);
+ call {:si_unique_call 1883} nVar1832 := proc130(24);
+ call {:si_unique_call 1884} nVar1833 := proc130(24);
+ call {:si_unique_call 1885} nVar1834 := proc130(28);
+ call {:si_unique_call 1886} nVar1835 := proc130(28);
+ call {:si_unique_call 1887} nVar1836 := proc130(28);
+ call {:si_unique_call 1888} nVar1837 := proc130(28);
+ call {:si_unique_call 1889} nVar1838 := proc130(16);
+ call {:si_unique_call 1890} nVar1839 := proc130(28);
+ call {:si_unique_call 1891} nVar1840 := proc130(28);
+ call {:si_unique_call 1892} nVar1841 := proc130(4);
+ call {:si_unique_call 1893} nVar1842 := proc130(28);
+ call {:si_unique_call 1894} nVar1843 := proc130(28);
+ call {:si_unique_call 1895} nVar1844 := proc130(28);
+ call {:si_unique_call 1896} nVar1845 := proc130(12);
+ call {:si_unique_call 1897} nVar1846 := proc130(12);
+ call {:si_unique_call 1898} nVar1847 := proc130(28);
+ call {:si_unique_call 1899} nVar1848 := proc130(28);
+ call {:si_unique_call 1900} nVar1849 := proc130(28);
+ call {:si_unique_call 1901} nVar1850 := proc130(28);
+ call {:si_unique_call 1902} nVar1851 := proc130(28);
+ call {:si_unique_call 1903} nVar1852 := proc130(12);
+ call {:si_unique_call 1904} nVar1853 := proc130(4);
+ call {:si_unique_call 1905} nVar1854 := proc130(28);
+ call {:si_unique_call 1906} nVar1855 := proc130(28);
+ call {:si_unique_call 1907} nVar1856 := proc130(28);
+ call {:si_unique_call 1908} nVar1857 := proc130(28);
+ call {:si_unique_call 1909} nVar1858 := proc130(28);
+ call {:si_unique_call 1910} nVar1859 := proc130(28);
+ call {:si_unique_call 1911} nVar1860 := proc130(28);
+ call {:si_unique_call 1912} nVar1861 := proc130(28);
+ call {:si_unique_call 1913} nVar1862 := proc130(28);
+ call {:si_unique_call 1914} nVar1863 := proc130(28);
+ call {:si_unique_call 1915} nVar1864 := proc130(28);
+ call {:si_unique_call 1916} nVar1865 := proc130(28);
+ call {:si_unique_call 1917} nVar1866 := proc130(28);
+ call {:si_unique_call 1918} nVar1867 := proc130(28);
+ call {:si_unique_call 1919} nVar1868 := proc130(28);
+ call {:si_unique_call 1920} nVar1869 := proc130(28);
+ call {:si_unique_call 1921} nVar1870 := proc130(28);
+ call {:si_unique_call 1922} nVar1871 := proc130(4);
+ call {:si_unique_call 1923} nVar1872 := proc130(24);
+ call {:si_unique_call 1924} nVar1873 := proc130(28);
+ call {:si_unique_call 1925} nVar1874 := proc130(12);
+ call {:si_unique_call 1926} nVar1875 := proc130(28);
+ call {:si_unique_call 1927} nVar1876 := proc130(28);
+ call {:si_unique_call 1928} nVar1877 := proc130(28);
+ call {:si_unique_call 1929} nVar1878 := proc130(28);
+ call {:si_unique_call 1930} nVar1879 := proc130(28);
+ call {:si_unique_call 1931} nVar1880 := proc130(12);
+ call {:si_unique_call 1932} nVar1881 := proc130(28);
+ call {:si_unique_call 1933} nVar1882 := proc130(28);
+ call {:si_unique_call 1934} nVar1883 := proc130(28);
+ call {:si_unique_call 1935} nVar1884 := proc130(24);
+ call {:si_unique_call 1936} nVar1885 := proc130(28);
+ call {:si_unique_call 1937} nVar1886 := proc130(28);
+ call {:si_unique_call 1938} nVar1887 := proc130(28);
+ call {:si_unique_call 1939} nVar1888 := proc130(28);
+ call {:si_unique_call 1940} nVar1889 := proc130(28);
+ call {:si_unique_call 1941} nVar1890 := proc130(28);
+ call {:si_unique_call 1942} nVar1891 := proc130(28);
+ call {:si_unique_call 1943} nVar1892 := proc130(28);
+ call {:si_unique_call 1944} nVar1893 := proc130(28);
+ call {:si_unique_call 1945} nVar1894 := proc130(28);
+ call {:si_unique_call 1946} nVar1895 := proc130(16);
+ call {:si_unique_call 1947} nVar1896 := proc130(4);
+ call {:si_unique_call 1948} nVar1897 := proc130(12);
+ call {:si_unique_call 1949} nVar1898 := proc130(28);
+ call {:si_unique_call 1950} nVar1899 := proc130(28);
+ call {:si_unique_call 1951} nVar1900 := proc130(28);
+ call {:si_unique_call 1952} nVar1901 := proc130(28);
+ call {:si_unique_call 1953} nVar1902 := proc130(28);
+ call {:si_unique_call 1954} nVar1903 := proc130(28);
+ call {:si_unique_call 1955} nVar1904 := proc130(16);
+ call {:si_unique_call 1956} nVar1905 := proc130(28);
+ call {:si_unique_call 1957} nVar1906 := proc130(28);
+ call {:si_unique_call 1958} nVar1907 := proc130(28);
+ call {:si_unique_call 1959} nVar1908 := proc130(28);
+ call {:si_unique_call 1960} nVar1909 := proc130(8);
+ call {:si_unique_call 1961} nVar1910 := proc130(28);
+ call {:si_unique_call 1962} nVar1911 := proc130(28);
+ call {:si_unique_call 1963} nVar4953 := proc130(16);
+ call {:si_unique_call 1964} nVar1912 := proc130(28);
+ call {:si_unique_call 1965} nVar1913 := proc130(28);
+ call {:si_unique_call 1966} nVar1914 := proc130(28);
+ call {:si_unique_call 1967} nVar1915 := proc130(28);
+ call {:si_unique_call 1968} nVar1916 := proc130(28);
+ call {:si_unique_call 1969} nVar1917 := proc130(28);
+ call {:si_unique_call 1970} nVar1918 := proc130(28);
+ call {:si_unique_call 1971} nVar1919 := proc130(28);
+ call {:si_unique_call 1972} nVar1920 := proc130(28);
+ call {:si_unique_call 1973} nVar1921 := proc130(28);
+ call {:si_unique_call 1974} nVar1922 := proc130(4);
+ call {:si_unique_call 1975} nVar1923 := proc130(24);
+ call {:si_unique_call 1976} nVar1924 := proc130(4);
+ call {:si_unique_call 1977} nVar1925 := proc130(28);
+ call {:si_unique_call 1978} nVar1926 := proc130(28);
+ call {:si_unique_call 1979} nVar1928 := proc130(28);
+ call {:si_unique_call 1980} nVar1929 := proc130(28);
+ call {:si_unique_call 1981} nVar1930 := proc130(28);
+ call {:si_unique_call 1982} nVar1931 := proc130(28);
+ call {:si_unique_call 1983} nVar1932 := proc130(28);
+ call {:si_unique_call 1984} nVar1933 := proc130(24);
+ call {:si_unique_call 1985} nVar1934 := proc130(28);
+ call {:si_unique_call 1986} nVar1935 := proc130(28);
+ call {:si_unique_call 1987} nVar1936 := proc130(28);
+ call {:si_unique_call 1988} nVar1937 := proc130(28);
+ call {:si_unique_call 1989} nVar1938 := proc130(16);
+ call {:si_unique_call 1990} nVar1939 := proc130(28);
+ call {:si_unique_call 1991} nVar1940 := proc130(28);
+ call {:si_unique_call 1992} nVar1941 := proc130(28);
+ call {:si_unique_call 1993} nVar1942 := proc130(28);
+ call {:si_unique_call 1994} nVar1943 := proc130(28);
+ call {:si_unique_call 1995} nVar4954 := proc130(16);
+ call {:si_unique_call 1996} nVar1944 := proc130(16);
+ call {:si_unique_call 1997} nVar1945 := proc130(28);
+ call {:si_unique_call 1998} nVar1946 := proc130(24);
+ call {:si_unique_call 1999} nVar1947 := proc130(28);
+ call {:si_unique_call 2000} nVar1948 := proc130(28);
+ call {:si_unique_call 2001} nVar1949 := proc130(28);
+ call {:si_unique_call 2002} nVar1950 := proc130(12);
+ call {:si_unique_call 2003} nVar1951 := proc130(28);
+ call {:si_unique_call 2004} nVar1952 := proc130(28);
+ call {:si_unique_call 2005} nVar1953 := proc130(28);
+ call {:si_unique_call 2006} nVar1954 := proc130(28);
+ call {:si_unique_call 2007} nVar1955 := proc130(28);
+ call {:si_unique_call 2008} nVar1956 := proc130(28);
+ call {:si_unique_call 2009} nVar1957 := proc130(28);
+ call {:si_unique_call 2010} nVar1958 := proc130(28);
+ call {:si_unique_call 2011} nVar1959 := proc130(4);
+ call {:si_unique_call 2012} nVar1960 := proc130(28);
+ call {:si_unique_call 2013} nVar1961 := proc130(28);
+ call {:si_unique_call 2014} nVar1962 := proc130(28);
+ call {:si_unique_call 2015} nVar1963 := proc130(28);
+ call {:si_unique_call 2016} nVar1964 := proc130(28);
+ call {:si_unique_call 2017} nVar1965 := proc130(28);
+ call {:si_unique_call 2018} nVar1966 := proc130(28);
+ call {:si_unique_call 2019} nVar1967 := proc130(28);
+ call {:si_unique_call 2020} nVar1968 := proc130(28);
+ call {:si_unique_call 2021} nVar1969 := proc130(16);
+ call {:si_unique_call 2022} nVar1970 := proc130(28);
+ call {:si_unique_call 2023} nVar1971 := proc130(28);
+ call {:si_unique_call 2024} nVar1972 := proc130(28);
+ call {:si_unique_call 2025} nVar1973 := proc130(28);
+ call {:si_unique_call 2026} nVar1974 := proc130(28);
+ call {:si_unique_call 2027} nVar1975 := proc130(28);
+ call {:si_unique_call 2028} nVar1976 := proc130(28);
+ call {:si_unique_call 2029} nVar1977 := proc130(28);
+ call {:si_unique_call 2030} nVar1978 := proc130(28);
+ call {:si_unique_call 2031} nVar1979 := proc130(28);
+ call {:si_unique_call 2032} nVar1980 := proc130(28);
+ call {:si_unique_call 2033} nVar1981 := proc130(16);
+ call {:si_unique_call 2034} nVar1982 := proc130(28);
+ call {:si_unique_call 2035} nVar1983 := proc130(28);
+ call {:si_unique_call 2036} nVar1984 := proc130(28);
+ call {:si_unique_call 2037} nVar1985 := proc130(24);
+ call {:si_unique_call 2038} nVar1986 := proc130(28);
+ call {:si_unique_call 2039} nVar1987 := proc130(28);
+ call {:si_unique_call 2040} nVar1988 := proc130(12);
+ call {:si_unique_call 2041} nVar1989 := proc130(28);
+ call {:si_unique_call 2042} nVar1990 := proc130(12);
+ call {:si_unique_call 2043} nVar1991 := proc130(28);
+ call {:si_unique_call 2044} nVar1992 := proc130(28);
+ call {:si_unique_call 2045} nVar1993 := proc130(28);
+ call {:si_unique_call 2046} nVar1994 := proc130(12);
+ call {:si_unique_call 2047} nVar1995 := proc130(28);
+ call {:si_unique_call 2048} nVar1996 := proc130(28);
+ call {:si_unique_call 2049} nVar1997 := proc130(12);
+ call {:si_unique_call 2050} nVar1998 := proc130(28);
+ call {:si_unique_call 2051} nVar1999 := proc130(28);
+ call {:si_unique_call 2052} nVar2000 := proc130(28);
+ call {:si_unique_call 2053} nVar2001 := proc130(28);
+ call {:si_unique_call 2054} nVar2002 := proc130(16);
+ call {:si_unique_call 2055} nVar2003 := proc130(28);
+ call {:si_unique_call 2056} nVar2004 := proc130(28);
+ call {:si_unique_call 2057} nVar2005 := proc130(28);
+ call {:si_unique_call 2058} nVar2006 := proc130(28);
+ call {:si_unique_call 2059} nVar2007 := proc130(28);
+ call {:si_unique_call 2060} nVar2008 := proc130(28);
+ call {:si_unique_call 2061} nVar2009 := proc130(28);
+ call {:si_unique_call 2062} nVar2010 := proc130(28);
+ call {:si_unique_call 2063} nVar2011 := proc130(28);
+ call {:si_unique_call 2064} nVar2012 := proc130(28);
+ call {:si_unique_call 2065} nVar2013 := proc130(28);
+ call {:si_unique_call 2066} nVar2014 := proc130(28);
+ call {:si_unique_call 2067} nVar2015 := proc130(28);
+ call {:si_unique_call 2068} nVar2016 := proc130(28);
+ call {:si_unique_call 2069} nVar2017 := proc130(28);
+ call {:si_unique_call 2070} nVar2018 := proc130(28);
+ call {:si_unique_call 2071} nVar2019 := proc130(28);
+ call {:si_unique_call 2072} nVar2020 := proc130(28);
+ call {:si_unique_call 2073} nVar2021 := proc130(28);
+ call {:si_unique_call 2074} nVar2022 := proc130(28);
+ call {:si_unique_call 2075} nVar2023 := proc130(28);
+ call {:si_unique_call 2076} nVar2024 := proc130(28);
+ call {:si_unique_call 2077} nVar2025 := proc130(16);
+ call {:si_unique_call 2078} nVar2026 := proc130(28);
+ call {:si_unique_call 2079} nVar2027 := proc130(28);
+ call {:si_unique_call 2080} nVar2028 := proc130(28);
+ call {:si_unique_call 2081} nVar2029 := proc130(28);
+ call {:si_unique_call 2082} nVar2030 := proc130(28);
+ call {:si_unique_call 2083} nVar2031 := proc130(28);
+ call {:si_unique_call 2084} nVar2032 := proc130(28);
+ call {:si_unique_call 2085} nVar2033 := proc130(28);
+ call {:si_unique_call 2086} nVar2034 := proc130(28);
+ call {:si_unique_call 2087} nVar2035 := proc130(28);
+ call {:si_unique_call 2088} nVar2036 := proc130(16);
+ call {:si_unique_call 2089} nVar2037 := proc130(28);
+ call {:si_unique_call 2090} nVar2038 := proc130(28);
+ call {:si_unique_call 2091} nVar2039 := proc130(28);
+ call {:si_unique_call 2092} nVar2040 := proc130(28);
+ call {:si_unique_call 2093} nVar2041 := proc130(28);
+ call {:si_unique_call 2094} nVar2042 := proc130(28);
+ call {:si_unique_call 2095} nVar2043 := proc130(28);
+ call {:si_unique_call 2096} nVar2044 := proc130(28);
+ call {:si_unique_call 2097} nVar2045 := proc130(28);
+ call {:si_unique_call 2098} nVar2046 := proc130(28);
+ call {:si_unique_call 2099} nVar2047 := proc130(28);
+ call {:si_unique_call 2100} nVar2048 := proc130(28);
+ call {:si_unique_call 2101} nVar2049 := proc130(28);
+ call {:si_unique_call 2102} nVar2050 := proc130(28);
+ call {:si_unique_call 2103} nVar2051 := proc130(28);
+ call {:si_unique_call 2104} nVar2052 := proc130(24);
+ call {:si_unique_call 2105} nVar2053 := proc130(28);
+ call {:si_unique_call 2106} nVar2054 := proc130(28);
+ call {:si_unique_call 2107} nVar2055 := proc130(24);
+ call {:si_unique_call 2108} nVar2056 := proc130(28);
+ call {:si_unique_call 2109} nVar2057 := proc130(28);
+ call {:si_unique_call 2110} nVar2058 := proc130(28);
+ call {:si_unique_call 2111} nVar2059 := proc130(28);
+ call {:si_unique_call 2112} nVar2060 := proc130(28);
+ call {:si_unique_call 2113} nVar2061 := proc130(28);
+ call {:si_unique_call 2114} nVar2062 := proc130(28);
+ call {:si_unique_call 2115} nVar2063 := proc130(28);
+ call {:si_unique_call 2116} nVar2064 := proc130(28);
+ call {:si_unique_call 2117} nVar2065 := proc130(4);
+ call {:si_unique_call 2118} nVar2066 := proc130(28);
+ call {:si_unique_call 2119} nVar2067 := proc130(28);
+ call {:si_unique_call 2120} nVar2068 := proc130(28);
+ call {:si_unique_call 2121} nVar2069 := proc130(28);
+ call {:si_unique_call 2122} nVar2070 := proc130(28);
+ call {:si_unique_call 2123} nVar2071 := proc130(28);
+ call {:si_unique_call 2124} nVar2072 := proc130(28);
+ call {:si_unique_call 2125} nVar2073 := proc130(28);
+ call {:si_unique_call 2126} nVar2074 := proc130(28);
+ call {:si_unique_call 2127} nVar2075 := proc130(12);
+ call {:si_unique_call 2128} nVar2076 := proc130(12);
+ call {:si_unique_call 2129} nVar2077 := proc130(28);
+ call {:si_unique_call 2130} nVar4955 := proc130(16);
+ call {:si_unique_call 2131} nVar2078 := proc130(28);
+ call {:si_unique_call 2132} nVar2079 := proc130(12);
+ call {:si_unique_call 2133} nVar2080 := proc130(16);
+ call {:si_unique_call 2134} nVar2081 := proc130(28);
+ call {:si_unique_call 2135} nVar2082 := proc130(28);
+ call {:si_unique_call 2136} nVar2083 := proc130(28);
+ call {:si_unique_call 2137} nVar2084 := proc130(28);
+ call {:si_unique_call 2138} nVar2085 := proc130(28);
+ call {:si_unique_call 2139} nVar2086 := proc130(28);
+ call {:si_unique_call 2140} nVar2087 := proc130(28);
+ call {:si_unique_call 2141} nVar2088 := proc130(28);
+ call {:si_unique_call 2142} nVar2089 := proc130(28);
+ call {:si_unique_call 2143} nVar2090 := proc130(28);
+ call {:si_unique_call 2144} nVar2091 := proc130(28);
+ call {:si_unique_call 2145} nVar2092 := proc130(28);
+ call {:si_unique_call 2146} nVar2093 := proc130(24);
+ call {:si_unique_call 2147} nVar2094 := proc130(28);
+ call {:si_unique_call 2148} nVar2095 := proc130(4);
+ call {:si_unique_call 2149} nVar2096 := proc130(28);
+ call {:si_unique_call 2150} nVar2097 := proc130(28);
+ call {:si_unique_call 2151} nVar2098 := proc130(28);
+ call {:si_unique_call 2152} nVar2099 := proc130(28);
+ call {:si_unique_call 2153} nVar2100 := proc130(28);
+ call {:si_unique_call 2154} nVar2101 := proc130(12);
+ call {:si_unique_call 2155} nVar2102 := proc130(4);
+ call {:si_unique_call 2156} nVar2104 := proc130(28);
+ call {:si_unique_call 2157} nVar2105 := proc130(28);
+ call {:si_unique_call 2158} nVar2106 := proc130(28);
+ call {:si_unique_call 2159} nVar2107 := proc130(28);
+ call {:si_unique_call 2160} nVar2108 := proc130(28);
+ call {:si_unique_call 2161} nVar2109 := proc130(12);
+ call {:si_unique_call 2162} nVar2110 := proc130(28);
+ call {:si_unique_call 2163} nVar2111 := proc130(24);
+ call {:si_unique_call 2164} nVar2112 := proc130(4);
+ call {:si_unique_call 2165} nVar2113 := proc130(28);
+ call {:si_unique_call 2166} nVar2114 := proc130(28);
+ call {:si_unique_call 2167} nVar2115 := proc130(28);
+ call {:si_unique_call 2168} nVar2116 := proc130(28);
+ call {:si_unique_call 2169} nVar2117 := proc130(12);
+ call {:si_unique_call 2170} nVar2118 := proc130(28);
+ call {:si_unique_call 2171} nVar2119 := proc130(28);
+ call {:si_unique_call 2172} nVar2120 := proc130(4);
+ call {:si_unique_call 2173} nVar2121 := proc130(28);
+ call {:si_unique_call 2174} nVar2122 := proc130(28);
+ call {:si_unique_call 2175} nVar2123 := proc130(28);
+ call {:si_unique_call 2176} nVar2124 := proc130(28);
+ call {:si_unique_call 2177} nVar2125 := proc130(16);
+ call {:si_unique_call 2178} nVar2126 := proc130(28);
+ call {:si_unique_call 2179} nVar2127 := proc130(28);
+ call {:si_unique_call 2180} nVar2128 := proc130(28);
+ call {:si_unique_call 2181} nVar2129 := proc130(28);
+ call {:si_unique_call 2182} nVar2130 := proc130(28);
+ call {:si_unique_call 2183} nVar2131 := proc130(28);
+ call {:si_unique_call 2184} nVar2132 := proc130(28);
+ call {:si_unique_call 2185} nVar2133 := proc130(28);
+ call {:si_unique_call 2186} nVar2134 := proc130(28);
+ call {:si_unique_call 2187} nVar2135 := proc130(4);
+ call {:si_unique_call 2188} nVar2136 := proc130(28);
+ call {:si_unique_call 2189} nVar2137 := proc130(4);
+ call {:si_unique_call 2190} nVar2138 := proc130(28);
+ call {:si_unique_call 2191} nVar2139 := proc130(28);
+ call {:si_unique_call 2192} nVar2140 := proc130(28);
+ call {:si_unique_call 2193} nVar2141 := proc130(28);
+ call {:si_unique_call 2194} nVar2142 := proc130(28);
+ call {:si_unique_call 2195} nVar2143 := proc130(28);
+ call {:si_unique_call 2196} nVar2144 := proc130(28);
+ call {:si_unique_call 2197} nVar2145 := proc130(28);
+ call {:si_unique_call 2198} nVar2146 := proc130(28);
+ call {:si_unique_call 2199} nVar2147 := proc130(28);
+ call {:si_unique_call 2200} nVar2148 := proc130(4);
+ call {:si_unique_call 2201} nVar2149 := proc130(28);
+ call {:si_unique_call 2202} nVar2150 := proc130(28);
+ call {:si_unique_call 2203} nVar2151 := proc130(28);
+ call {:si_unique_call 2204} nVar2152 := proc130(12);
+ call {:si_unique_call 2205} nVar2153 := proc130(28);
+ call {:si_unique_call 2206} nVar2154 := proc130(28);
+ call {:si_unique_call 2207} nVar2155 := proc130(4);
+ call {:si_unique_call 2208} nVar2156 := proc130(28);
+ call {:si_unique_call 2209} nVar2157 := proc130(28);
+ call {:si_unique_call 2210} nVar2158 := proc130(28);
+ call {:si_unique_call 2211} nVar2159 := proc130(28);
+ call {:si_unique_call 2212} nVar2160 := proc130(28);
+ call {:si_unique_call 2213} nVar2161 := proc130(28);
+ call {:si_unique_call 2214} nVar2162 := proc130(28);
+ call {:si_unique_call 2215} nVar2163 := proc130(28);
+ call {:si_unique_call 2216} nVar2164 := proc130(28);
+ call {:si_unique_call 2217} nVar2165 := proc130(28);
+ call {:si_unique_call 2218} nVar2166 := proc130(28);
+ call {:si_unique_call 2219} nVar2167 := proc130(28);
+ call {:si_unique_call 2220} nVar2168 := proc130(28);
+ call {:si_unique_call 2221} nVar2169 := proc130(16);
+ call {:si_unique_call 2222} nVar2170 := proc130(28);
+ call {:si_unique_call 2223} nVar2171 := proc130(12);
+ call {:si_unique_call 2224} nVar2172 := proc130(28);
+ call {:si_unique_call 2225} nVar2173 := proc130(28);
+ call {:si_unique_call 2226} nVar2174 := proc130(16);
+ call {:si_unique_call 2227} nVar2175 := proc130(28);
+ call {:si_unique_call 2228} nVar2176 := proc130(28);
+ call {:si_unique_call 2229} nVar2177 := proc130(28);
+ call {:si_unique_call 2230} nVar2178 := proc130(28);
+ call {:si_unique_call 2231} nVar2180 := proc130(4);
+ call {:si_unique_call 2232} nVar2181 := proc130(24);
+ call {:si_unique_call 2233} nVar2182 := proc130(28);
+ call {:si_unique_call 2234} nVar2183 := proc130(28);
+ call {:si_unique_call 2235} nVar2184 := proc130(28);
+ call {:si_unique_call 2236} nVar2185 := proc130(28);
+ call {:si_unique_call 2237} nVar2186 := proc130(28);
+ call {:si_unique_call 2238} nVar2187 := proc130(28);
+ call {:si_unique_call 2239} nVar2188 := proc130(28);
+ call {:si_unique_call 2240} nVar2189 := proc130(24);
+ call {:si_unique_call 2241} nVar2190 := proc130(28);
+ call {:si_unique_call 2242} nVar2191 := proc130(28);
+ call {:si_unique_call 2243} nVar2192 := proc130(28);
+ call {:si_unique_call 2244} nVar2193 := proc130(12);
+ call {:si_unique_call 2245} nVar2194 := proc130(28);
+ call {:si_unique_call 2246} nVar2195 := proc130(28);
+ call {:si_unique_call 2247} nVar2196 := proc130(28);
+ call {:si_unique_call 2248} nVar2197 := proc130(28);
+ call {:si_unique_call 2249} nVar2198 := proc130(28);
+ call {:si_unique_call 2250} nVar2199 := proc130(28);
+ call {:si_unique_call 2251} nVar2200 := proc130(28);
+ call {:si_unique_call 2252} nVar2201 := proc130(12);
+ call {:si_unique_call 2253} nVar2202 := proc130(28);
+ call {:si_unique_call 2254} nVar2203 := proc130(24);
+ call {:si_unique_call 2255} nVar2204 := proc130(4);
+ call {:si_unique_call 2256} nVar2205 := proc130(4);
+ call {:si_unique_call 2257} nVar2206 := proc130(28);
+ call {:si_unique_call 2258} nVar2207 := proc130(28);
+ call {:si_unique_call 2259} nVar2208 := proc130(4);
+ call {:si_unique_call 2260} nVar2209 := proc130(28);
+ call {:si_unique_call 2261} nVar2210 := proc130(4);
+ call {:si_unique_call 2262} nVar2211 := proc130(28);
+ call {:si_unique_call 2263} nVar2212 := proc130(28);
+ call {:si_unique_call 2264} nVar2213 := proc130(28);
+ call {:si_unique_call 2265} nVar2214 := proc130(28);
+ call {:si_unique_call 2266} nVar2215 := proc130(28);
+ call {:si_unique_call 2267} nVar2216 := proc130(28);
+ call {:si_unique_call 2268} nVar2217 := proc130(28);
+ call {:si_unique_call 2269} nVar2218 := proc130(28);
+ call {:si_unique_call 2270} nVar2219 := proc130(28);
+ call {:si_unique_call 2271} nVar2220 := proc130(28);
+ call {:si_unique_call 2272} nVar2221 := proc130(28);
+ call {:si_unique_call 2273} nVar2222 := proc130(28);
+ call {:si_unique_call 2274} nVar2223 := proc130(28);
+ call {:si_unique_call 2275} nVar2224 := proc130(28);
+ call {:si_unique_call 2276} nVar2225 := proc130(28);
+ call {:si_unique_call 2277} nVar2226 := proc130(16);
+ call {:si_unique_call 2278} nVar2227 := proc130(28);
+ call {:si_unique_call 2279} nVar2228 := proc130(28);
+ call {:si_unique_call 2280} nVar2229 := proc130(28);
+ call {:si_unique_call 2281} nVar2230 := proc130(28);
+ call {:si_unique_call 2282} nVar2231 := proc130(28);
+ call {:si_unique_call 2283} nVar2232 := proc130(28);
+ call {:si_unique_call 2284} nVar2233 := proc130(28);
+ call {:si_unique_call 2285} nVar2234 := proc130(24);
+ call {:si_unique_call 2286} nVar2235 := proc130(4);
+ call {:si_unique_call 2287} nVar2236 := proc130(28);
+ call {:si_unique_call 2288} nVar2237 := proc130(28);
+ call {:si_unique_call 2289} nVar2238 := proc130(28);
+ call {:si_unique_call 2290} nVar2239 := proc130(28);
+ call {:si_unique_call 2291} nVar2240 := proc130(16);
+ call {:si_unique_call 2292} nVar2241 := proc130(28);
+ call {:si_unique_call 2293} nVar2242 := proc130(12);
+ call {:si_unique_call 2294} nVar2243 := proc130(28);
+ call {:si_unique_call 2295} nVar2244 := proc130(28);
+ call {:si_unique_call 2296} nVar2245 := proc130(28);
+ call {:si_unique_call 2297} nVar2246 := proc130(4);
+ call {:si_unique_call 2298} nVar2247 := proc130(28);
+ call {:si_unique_call 2299} nVar2248 := proc130(28);
+ call {:si_unique_call 2300} nVar2249 := proc130(24);
+ call {:si_unique_call 2301} nVar2250 := proc130(28);
+ call {:si_unique_call 2302} nVar2251 := proc130(4);
+ call {:si_unique_call 2303} nVar2252 := proc130(12);
+ call {:si_unique_call 2304} nVar2253 := proc130(28);
+ call {:si_unique_call 2305} nVar2254 := proc130(28);
+ call {:si_unique_call 2306} nVar2255 := proc130(28);
+ call {:si_unique_call 2307} nVar2256 := proc130(28);
+ call {:si_unique_call 2308} nVar2257 := proc130(28);
+ call {:si_unique_call 2309} nVar2258 := proc130(28);
+ call {:si_unique_call 2310} nVar2259 := proc130(12);
+ call {:si_unique_call 2311} nVar2260 := proc130(28);
+ call {:si_unique_call 2312} nVar2261 := proc130(28);
+ call {:si_unique_call 2313} nVar2262 := proc130(28);
+ call {:si_unique_call 2314} nVar2263 := proc130(28);
+ call {:si_unique_call 2315} nVar2264 := proc130(28);
+ call {:si_unique_call 2316} nVar2265 := proc130(28);
+ call {:si_unique_call 2317} nVar2266 := proc130(28);
+ call {:si_unique_call 2318} nVar2267 := proc130(28);
+ call {:si_unique_call 2319} nVar2268 := proc130(28);
+ call {:si_unique_call 2320} nVar2269 := proc130(28);
+ call {:si_unique_call 2321} nVar2270 := proc130(28);
+ call {:si_unique_call 2322} nVar2271 := proc130(28);
+ call {:si_unique_call 2323} nVar2272 := proc130(28);
+ call {:si_unique_call 2324} nVar2273 := proc130(28);
+ call {:si_unique_call 2325} nVar2274 := proc130(28);
+ call {:si_unique_call 2326} nVar2275 := proc130(28);
+ call {:si_unique_call 2327} nVar2276 := proc130(4);
+ call {:si_unique_call 2328} nVar2277 := proc130(28);
+ call {:si_unique_call 2329} nVar2278 := proc130(28);
+ call {:si_unique_call 2330} nVar2279 := proc130(28);
+ call {:si_unique_call 2331} nVar2280 := proc130(28);
+ call {:si_unique_call 2332} nVar2281 := proc130(28);
+ call {:si_unique_call 2333} nVar2282 := proc130(12);
+ call {:si_unique_call 2334} nVar2283 := proc130(28);
+ call {:si_unique_call 2335} nVar2284 := proc130(28);
+ call {:si_unique_call 2336} nVar2285 := proc130(28);
+ call {:si_unique_call 2337} nVar2286 := proc130(28);
+ call {:si_unique_call 2338} nVar2287 := proc130(28);
+ call {:si_unique_call 2339} nVar2288 := proc130(28);
+ call {:si_unique_call 2340} nVar2289 := proc130(28);
+ call {:si_unique_call 2341} nVar2290 := proc130(28);
+ call {:si_unique_call 2342} nVar2291 := proc130(28);
+ call {:si_unique_call 2343} nVar2292 := proc130(28);
+ call {:si_unique_call 2344} nVar2293 := proc130(28);
+ call {:si_unique_call 2345} nVar2294 := proc130(12);
+ call {:si_unique_call 2346} nVar2295 := proc130(28);
+ call {:si_unique_call 2347} nVar2296 := proc130(28);
+ call {:si_unique_call 2348} nVar2297 := proc130(28);
+ call {:si_unique_call 2349} nVar2298 := proc130(28);
+ call {:si_unique_call 2350} nVar2299 := proc130(28);
+ call {:si_unique_call 2351} nVar2300 := proc130(28);
+ call {:si_unique_call 2352} nVar2301 := proc130(28);
+ call {:si_unique_call 2353} nVar2302 := proc130(28);
+ call {:si_unique_call 2354} nVar2303 := proc130(28);
+ call {:si_unique_call 2355} nVar2304 := proc130(28);
+ call {:si_unique_call 2356} nVar2305 := proc130(12);
+ call {:si_unique_call 2357} nVar2306 := proc130(4);
+ call {:si_unique_call 2358} nVar2307 := proc130(28);
+ call {:si_unique_call 2359} nVar2308 := proc130(28);
+ call {:si_unique_call 2360} nVar2309 := proc130(28);
+ call {:si_unique_call 2361} nVar2310 := proc130(28);
+ call {:si_unique_call 2362} nVar2311 := proc130(28);
+ call {:si_unique_call 2363} nVar2312 := proc130(28);
+ call {:si_unique_call 2364} nVar2313 := proc130(28);
+ call {:si_unique_call 2365} nVar2314 := proc130(28);
+ call {:si_unique_call 2366} nVar2315 := proc130(28);
+ call {:si_unique_call 2367} nVar2316 := proc130(28);
+ call {:si_unique_call 2368} nVar2317 := proc130(12);
+ call {:si_unique_call 2369} nVar2318 := proc130(28);
+ call {:si_unique_call 2370} nVar2319 := proc130(28);
+ call {:si_unique_call 2371} nVar2320 := proc130(28);
+ call {:si_unique_call 2372} nVar2321 := proc130(28);
+ call {:si_unique_call 2373} nVar2322 := proc130(28);
+ call {:si_unique_call 2374} nVar2323 := proc130(4);
+ call {:si_unique_call 2375} nVar2324 := proc130(28);
+ call {:si_unique_call 2376} nVar2325 := proc130(28);
+ call {:si_unique_call 2377} nVar2326 := proc130(24);
+ call {:si_unique_call 2378} nVar2327 := proc130(28);
+ call {:si_unique_call 2379} nVar2328 := proc130(28);
+ call {:si_unique_call 2380} nVar2329 := proc130(28);
+ call {:si_unique_call 2381} nVar2330 := proc130(28);
+ call {:si_unique_call 2382} nVar2331 := proc130(28);
+ call {:si_unique_call 2383} nVar2332 := proc130(16);
+ call {:si_unique_call 2384} nVar2333 := proc130(28);
+ call {:si_unique_call 2385} nVar2334 := proc130(12);
+ call {:si_unique_call 2386} nVar2335 := proc130(28);
+ call {:si_unique_call 2387} nVar2336 := proc130(28);
+ call {:si_unique_call 2388} nVar2337 := proc130(28);
+ call {:si_unique_call 2389} nVar2338 := proc130(28);
+ call {:si_unique_call 2390} nVar2339 := proc130(28);
+ call {:si_unique_call 2391} nVar2340 := proc130(12);
+ call {:si_unique_call 2392} nVar2341 := proc130(28);
+ call {:si_unique_call 2393} nVar2342 := proc130(28);
+ call {:si_unique_call 2394} nVar2343 := proc130(28);
+ call {:si_unique_call 2395} nVar2344 := proc130(28);
+ call {:si_unique_call 2396} nVar2345 := proc130(28);
+ call {:si_unique_call 2397} nVar2346 := proc130(16);
+ call {:si_unique_call 2398} nVar2347 := proc130(28);
+ call {:si_unique_call 2399} nVar2348 := proc130(24);
+ call {:si_unique_call 2400} nVar2349 := proc130(28);
+ call {:si_unique_call 2401} nVar2350 := proc130(28);
+ call {:si_unique_call 2402} nVar2351 := proc130(28);
+ call {:si_unique_call 2403} nVar2352 := proc130(28);
+ call {:si_unique_call 2404} nVar2353 := proc130(16);
+ call {:si_unique_call 2405} nVar2354 := proc130(28);
+ call {:si_unique_call 2406} nVar2355 := proc130(28);
+ call {:si_unique_call 2407} nVar2356 := proc130(28);
+ call {:si_unique_call 2408} nVar2357 := proc130(28);
+ call {:si_unique_call 2409} nVar2358 := proc130(28);
+ call {:si_unique_call 2410} nVar2359 := proc130(28);
+ call {:si_unique_call 2411} nVar2360 := proc130(28);
+ call {:si_unique_call 2412} nVar2361 := proc130(28);
+ call {:si_unique_call 2413} nVar2362 := proc130(28);
+ call {:si_unique_call 2414} nVar2363 := proc130(28);
+ call {:si_unique_call 2415} nVar2364 := proc130(28);
+ call {:si_unique_call 2416} nVar2365 := proc130(16);
+ call {:si_unique_call 2417} nVar2366 := proc130(28);
+ call {:si_unique_call 2418} nVar2367 := proc130(28);
+ call {:si_unique_call 2419} nVar2368 := proc130(16);
+ call {:si_unique_call 2420} nVar2369 := proc130(28);
+ call {:si_unique_call 2421} nVar2370 := proc130(28);
+ call {:si_unique_call 2422} nVar2371 := proc130(28);
+ call {:si_unique_call 2423} nVar2372 := proc130(28);
+ call {:si_unique_call 2424} nVar2373 := proc130(28);
+ call {:si_unique_call 2425} nVar2374 := proc130(12);
+ call {:si_unique_call 2426} nVar2375 := proc130(28);
+ call {:si_unique_call 2427} nVar2376 := proc130(28);
+ call {:si_unique_call 2428} nVar2377 := proc130(28);
+ call {:si_unique_call 2429} nVar2378 := proc130(28);
+ call {:si_unique_call 2430} nVar2379 := proc130(28);
+ call {:si_unique_call 2431} nVar2380 := proc130(28);
+ call {:si_unique_call 2432} nVar2381 := proc130(28);
+ call {:si_unique_call 2433} nVar2382 := proc130(28);
+ call {:si_unique_call 2434} nVar2383 := proc130(28);
+ call {:si_unique_call 2435} nVar2384 := proc130(28);
+ call {:si_unique_call 2436} nVar2385 := proc130(28);
+ call {:si_unique_call 2437} nVar2386 := proc130(12);
+ call {:si_unique_call 2438} nVar2387 := proc130(28);
+ call {:si_unique_call 2439} nVar2388 := proc130(28);
+ call {:si_unique_call 2440} nVar2389 := proc130(28);
+ call {:si_unique_call 2441} nVar2390 := proc130(28);
+ call {:si_unique_call 2442} nVar2391 := proc130(28);
+ call {:si_unique_call 2443} nVar2392 := proc130(28);
+ call {:si_unique_call 2444} nVar2393 := proc130(28);
+ call {:si_unique_call 2445} nVar2394 := proc130(28);
+ call {:si_unique_call 2446} nVar2395 := proc130(28);
+ call {:si_unique_call 2447} nVar2396 := proc130(28);
+ call {:si_unique_call 2448} nVar2397 := proc130(28);
+ call {:si_unique_call 2449} nVar2398 := proc130(28);
+ call {:si_unique_call 2450} nVar2399 := proc130(28);
+ call {:si_unique_call 2451} nVar2400 := proc130(4);
+ call {:si_unique_call 2452} nVar2401 := proc130(28);
+ call {:si_unique_call 2453} nVar2402 := proc130(12);
+ call {:si_unique_call 2454} nVar2403 := proc130(28);
+ call {:si_unique_call 2455} nVar2404 := proc130(28);
+ call {:si_unique_call 2456} nVar2405 := proc130(28);
+ call {:si_unique_call 2457} nVar2406 := proc130(28);
+ call {:si_unique_call 2458} nVar2407 := proc130(12);
+ call {:si_unique_call 2459} nVar2408 := proc130(28);
+ call {:si_unique_call 2460} nVar2409 := proc130(28);
+ call {:si_unique_call 2461} nVar2410 := proc130(28);
+ call {:si_unique_call 2462} nVar2411 := proc130(4);
+ call {:si_unique_call 2463} nVar2412 := proc130(28);
+ call {:si_unique_call 2464} nVar2413 := proc130(28);
+ call {:si_unique_call 2465} nVar2414 := proc130(28);
+ call {:si_unique_call 2466} nVar2415 := proc130(28);
+ call {:si_unique_call 2467} nVar2416 := proc130(28);
+ call {:si_unique_call 2468} nVar2417 := proc130(28);
+ call {:si_unique_call 2469} nVar2418 := proc130(28);
+ call {:si_unique_call 2470} nVar2419 := proc130(28);
+ call {:si_unique_call 2471} nVar2420 := proc130(28);
+ call {:si_unique_call 2472} nVar2421 := proc130(28);
+ call {:si_unique_call 2473} nVar2422 := proc130(24);
+ call {:si_unique_call 2474} nVar2423 := proc130(28);
+ call {:si_unique_call 2475} nVar2424 := proc130(28);
+ call {:si_unique_call 2476} nVar2425 := proc130(28);
+ call {:si_unique_call 2477} nVar2426 := proc130(28);
+ call {:si_unique_call 2478} nVar2427 := proc130(28);
+ call {:si_unique_call 2479} nVar2428 := proc130(28);
+ call {:si_unique_call 2480} nVar2429 := proc130(4);
+ call {:si_unique_call 2481} nVar2430 := proc130(28);
+ call {:si_unique_call 2482} nVar2431 := proc130(28);
+ call {:si_unique_call 2483} nVar2432 := proc130(28);
+ call {:si_unique_call 2484} nVar2433 := proc130(28);
+ call {:si_unique_call 2485} nVar2434 := proc130(28);
+ call {:si_unique_call 2486} nVar2435 := proc130(28);
+ call {:si_unique_call 2487} nVar2436 := proc130(12);
+ call {:si_unique_call 2488} nVar2437 := proc130(28);
+ call {:si_unique_call 2489} nVar2438 := proc130(28);
+ call {:si_unique_call 2490} nVar2439 := proc130(28);
+ call {:si_unique_call 2491} nVar2440 := proc130(16);
+ call {:si_unique_call 2492} nVar2441 := proc130(24);
+ call {:si_unique_call 2493} nVar2442 := proc130(28);
+ call {:si_unique_call 2494} nVar2443 := proc130(28);
+ call {:si_unique_call 2495} nVar2444 := proc130(8);
+ call {:si_unique_call 2496} nVar2445 := proc130(28);
+ call {:si_unique_call 2497} nVar2446 := proc130(28);
+ call {:si_unique_call 2498} nVar2447 := proc130(28);
+ call {:si_unique_call 2499} nVar2448 := proc130(28);
+ call {:si_unique_call 2500} nVar2449 := proc130(28);
+ call {:si_unique_call 2501} nVar2450 := proc130(28);
+ call {:si_unique_call 2502} nVar2451 := proc130(28);
+ call {:si_unique_call 2503} nVar2452 := proc130(28);
+ call {:si_unique_call 2504} nVar2453 := proc130(28);
+ call {:si_unique_call 2505} nVar2454 := proc130(28);
+ call {:si_unique_call 2506} nVar2455 := proc130(24);
+ call {:si_unique_call 2507} nVar2456 := proc130(28);
+ call {:si_unique_call 2508} nVar2457 := proc130(28);
+ call {:si_unique_call 2509} nVar2458 := proc130(28);
+ call {:si_unique_call 2510} nVar2459 := proc130(28);
+ call {:si_unique_call 2511} nVar2460 := proc130(28);
+ call {:si_unique_call 2512} nVar2461 := proc130(16);
+ call {:si_unique_call 2513} nVar2462 := proc130(28);
+ call {:si_unique_call 2514} nVar2463 := proc130(28);
+ call {:si_unique_call 2515} nVar2464 := proc130(28);
+ call {:si_unique_call 2516} nVar2465 := proc130(28);
+ call {:si_unique_call 2517} nVar2466 := proc130(16);
+ call {:si_unique_call 2518} nVar2467 := proc130(28);
+ call {:si_unique_call 2519} nVar2468 := proc130(28);
+ call {:si_unique_call 2520} nVar2469 := proc130(28);
+ call {:si_unique_call 2521} nVar2470 := proc130(28);
+ call {:si_unique_call 2522} nVar2471 := proc130(28);
+ call {:si_unique_call 2523} nVar2472 := proc130(28);
+ call {:si_unique_call 2524} nVar2473 := proc130(28);
+ call {:si_unique_call 2525} nVar2474 := proc130(28);
+ call {:si_unique_call 2526} nVar2475 := proc130(28);
+ call {:si_unique_call 2527} nVar2476 := proc130(28);
+ call {:si_unique_call 2528} nVar2477 := proc130(28);
+ call {:si_unique_call 2529} nVar2478 := proc130(28);
+ call {:si_unique_call 2530} nVar2479 := proc130(28);
+ call {:si_unique_call 2531} nVar2480 := proc130(28);
+ call {:si_unique_call 2532} nVar2481 := proc130(28);
+ call {:si_unique_call 2533} nVar2482 := proc130(28);
+ call {:si_unique_call 2534} nVar2483 := proc130(28);
+ call {:si_unique_call 2535} nVar2484 := proc130(28);
+ call {:si_unique_call 2536} nVar2485 := proc130(28);
+ call {:si_unique_call 2537} nVar2486 := proc130(28);
+ call {:si_unique_call 2538} nVar2487 := proc130(16);
+ call {:si_unique_call 2539} nVar2488 := proc130(28);
+ call {:si_unique_call 2540} nVar2489 := proc130(28);
+ call {:si_unique_call 2541} nVar2490 := proc130(28);
+ call {:si_unique_call 2542} nVar2491 := proc130(28);
+ call {:si_unique_call 2543} nVar2492 := proc130(28);
+ call {:si_unique_call 2544} nVar2493 := proc130(28);
+ call {:si_unique_call 2545} nVar2494 := proc130(16);
+ call {:si_unique_call 2546} nVar2495 := proc130(28);
+ call {:si_unique_call 2547} nVar2496 := proc130(12);
+ call {:si_unique_call 2548} nVar2497 := proc130(28);
+ call {:si_unique_call 2549} nVar2498 := proc130(28);
+ call {:si_unique_call 2550} nVar2499 := proc130(28);
+ call {:si_unique_call 2551} nVar2500 := proc130(28);
+ call {:si_unique_call 2552} nVar2501 := proc130(12);
+ call {:si_unique_call 2553} nVar2502 := proc130(28);
+ call {:si_unique_call 2554} nVar2503 := proc130(28);
+ call {:si_unique_call 2555} nVar2504 := proc130(28);
+ call {:si_unique_call 2556} nVar2505 := proc130(28);
+ call {:si_unique_call 2557} nVar2506 := proc130(28);
+ call {:si_unique_call 2558} nVar2507 := proc130(4);
+ call {:si_unique_call 2559} nVar2508 := proc130(28);
+ call {:si_unique_call 2560} nVar2509 := proc130(28);
+ call {:si_unique_call 2561} nVar2510 := proc130(28);
+ call {:si_unique_call 2562} nVar2511 := proc130(28);
+ call {:si_unique_call 2563} nVar2512 := proc130(28);
+ call {:si_unique_call 2564} nVar2513 := proc130(28);
+ call {:si_unique_call 2565} nVar2514 := proc130(28);
+ call {:si_unique_call 2566} nVar2515 := proc130(28);
+ call {:si_unique_call 2567} nVar2516 := proc130(28);
+ call {:si_unique_call 2568} nVar2517 := proc130(28);
+ call {:si_unique_call 2569} nVar2518 := proc130(28);
+ call {:si_unique_call 2570} nVar2519 := proc130(4);
+ call {:si_unique_call 2571} nVar2520 := proc130(28);
+ call {:si_unique_call 2572} nVar2521 := proc130(28);
+ call {:si_unique_call 2573} nVar2522 := proc130(4);
+ call {:si_unique_call 2574} nVar2523 := proc130(28);
+ call {:si_unique_call 2575} nVar2524 := proc130(16);
+ call {:si_unique_call 2576} nVar2525 := proc130(28);
+ call {:si_unique_call 2577} nVar2526 := proc130(28);
+ call {:si_unique_call 2578} nVar2527 := proc130(28);
+ call {:si_unique_call 2579} nVar2528 := proc130(28);
+ call {:si_unique_call 2580} nVar2529 := proc130(28);
+ call {:si_unique_call 2581} nVar2530 := proc130(28);
+ call {:si_unique_call 2582} nVar2531 := proc130(28);
+ call {:si_unique_call 2583} nVar2532 := proc130(28);
+ call {:si_unique_call 2584} nVar2533 := proc130(28);
+ call {:si_unique_call 2585} nVar2534 := proc130(28);
+ call {:si_unique_call 2586} nVar2535 := proc130(12);
+ call {:si_unique_call 2587} nVar2536 := proc130(28);
+ call {:si_unique_call 2588} nVar2537 := proc130(28);
+ call {:si_unique_call 2589} nVar2538 := proc130(28);
+ call {:si_unique_call 2590} nVar2539 := proc130(28);
+ call {:si_unique_call 2591} nVar2540 := proc130(28);
+ call {:si_unique_call 2592} nVar2541 := proc130(28);
+ call {:si_unique_call 2593} nVar2542 := proc130(28);
+ call {:si_unique_call 2594} nVar2543 := proc130(28);
+ call {:si_unique_call 2595} nVar2544 := proc130(24);
+ call {:si_unique_call 2596} nVar2545 := proc130(24);
+ call {:si_unique_call 2597} nVar2546 := proc130(28);
+ call {:si_unique_call 2598} nVar2547 := proc130(28);
+ call {:si_unique_call 2599} nVar2548 := proc130(28);
+ call {:si_unique_call 2600} nVar2549 := proc130(28);
+ call {:si_unique_call 2601} nVar2550 := proc130(28);
+ call {:si_unique_call 2602} nVar2551 := proc130(28);
+ call {:si_unique_call 2603} nVar2552 := proc130(28);
+ call {:si_unique_call 2604} nVar2553 := proc130(28);
+ call {:si_unique_call 2605} nVar2554 := proc130(28);
+ call {:si_unique_call 2606} nVar2555 := proc130(28);
+ call {:si_unique_call 2607} nVar2556 := proc130(28);
+ call {:si_unique_call 2608} nVar2557 := proc130(28);
+ call {:si_unique_call 2609} nVar2558 := proc130(28);
+ call {:si_unique_call 2610} nVar2559 := proc130(28);
+ call {:si_unique_call 2611} nVar2560 := proc130(28);
+ call {:si_unique_call 2612} nVar2561 := proc130(28);
+ call {:si_unique_call 2613} nVar2562 := proc130(28);
+ call {:si_unique_call 2614} nVar2563 := proc130(28);
+ call {:si_unique_call 2615} nVar2564 := proc130(28);
+ call {:si_unique_call 2616} nVar2565 := proc130(28);
+ call {:si_unique_call 2617} nVar2566 := proc130(28);
+ call {:si_unique_call 2618} nVar2567 := proc130(28);
+ call {:si_unique_call 2619} nVar2568 := proc130(28);
+ call {:si_unique_call 2620} nVar2569 := proc130(28);
+ call {:si_unique_call 2621} nVar2570 := proc130(28);
+ call {:si_unique_call 2622} nVar2571 := proc130(28);
+ call {:si_unique_call 2623} nVar2572 := proc130(28);
+ call {:si_unique_call 2624} nVar2573 := proc130(16);
+ call {:si_unique_call 2625} nVar2574 := proc130(28);
+ call {:si_unique_call 2626} nVar2575 := proc130(28);
+ call {:si_unique_call 2627} nVar2576 := proc130(28);
+ call {:si_unique_call 2628} nVar2577 := proc130(16);
+ call {:si_unique_call 2629} nVar2578 := proc130(8);
+ call {:si_unique_call 2630} nVar2579 := proc130(28);
+ call {:si_unique_call 2631} nVar2580 := proc130(28);
+ call {:si_unique_call 2632} nVar2581 := proc130(28);
+ call {:si_unique_call 2633} nVar2582 := proc130(28);
+ call {:si_unique_call 2634} nVar2583 := proc130(28);
+ call {:si_unique_call 2635} nVar2584 := proc130(28);
+ call {:si_unique_call 2636} nVar2585 := proc130(28);
+ call {:si_unique_call 2637} nVar2586 := proc130(28);
+ call {:si_unique_call 2638} nVar2587 := proc130(28);
+ call {:si_unique_call 2639} nVar2588 := proc130(28);
+ call {:si_unique_call 2640} nVar2589 := proc130(28);
+ call {:si_unique_call 2641} nVar2590 := proc130(28);
+ call {:si_unique_call 2642} nVar2591 := proc130(28);
+ call {:si_unique_call 2643} nVar2592 := proc130(28);
+ call {:si_unique_call 2644} nVar2593 := proc130(28);
+ call {:si_unique_call 2645} nVar2594 := proc130(8);
+ call {:si_unique_call 2646} nVar2595 := proc130(28);
+ call {:si_unique_call 2647} nVar2596 := proc130(28);
+ call {:si_unique_call 2648} nVar2597 := proc130(16);
+ call {:si_unique_call 2649} nVar2598 := proc130(28);
+ call {:si_unique_call 2650} nVar2599 := proc130(12);
+ call {:si_unique_call 2651} nVar2600 := proc130(28);
+ call {:si_unique_call 2652} nVar2601 := proc130(28);
+ call {:si_unique_call 2653} nVar2602 := proc130(28);
+ call {:si_unique_call 2654} nVar2603 := proc130(28);
+ call {:si_unique_call 2655} nVar2604 := proc130(28);
+ call {:si_unique_call 2656} nVar2605 := proc130(28);
+ call {:si_unique_call 2657} nVar2606 := proc130(28);
+ call {:si_unique_call 2658} nVar2607 := proc130(28);
+ call {:si_unique_call 2659} nVar2608 := proc130(28);
+ call {:si_unique_call 2660} nVar2609 := proc130(16);
+ call {:si_unique_call 2661} nVar2610 := proc130(28);
+ call {:si_unique_call 2662} nVar2611 := proc130(28);
+ call {:si_unique_call 2663} nVar2612 := proc130(28);
+ call {:si_unique_call 2664} nVar2613 := proc130(28);
+ call {:si_unique_call 2665} nVar2614 := proc130(12);
+ call {:si_unique_call 2666} nVar2615 := proc130(28);
+ call {:si_unique_call 2667} nVar2616 := proc130(28);
+ call {:si_unique_call 2668} nVar2617 := proc130(12);
+ call {:si_unique_call 2669} nVar2618 := proc130(28);
+ call {:si_unique_call 2670} nVar2619 := proc130(28);
+ call {:si_unique_call 2671} nVar2620 := proc130(28);
+ call {:si_unique_call 2672} nVar2622 := proc130(28);
+ call {:si_unique_call 2673} nVar2623 := proc130(28);
+ call {:si_unique_call 2674} nVar2624 := proc130(28);
+ call {:si_unique_call 2675} nVar2625 := proc130(28);
+ call {:si_unique_call 2676} nVar2626 := proc130(4);
+ call {:si_unique_call 2677} nVar2627 := proc130(28);
+ call {:si_unique_call 2678} nVar2628 := proc130(28);
+ call {:si_unique_call 2679} nVar2629 := proc130(28);
+ call {:si_unique_call 2680} nVar2630 := proc130(28);
+ call {:si_unique_call 2681} nVar2631 := proc130(4);
+ call {:si_unique_call 2682} nVar2633 := proc130(28);
+ call {:si_unique_call 2683} nVar2634 := proc130(28);
+ call {:si_unique_call 2684} nVar2635 := proc130(28);
+ call {:si_unique_call 2685} nVar2636 := proc130(4);
+ call {:si_unique_call 2686} nVar2637 := proc130(8);
+ call {:si_unique_call 2687} nVar2638 := proc130(4);
+ call {:si_unique_call 2688} nVar2639 := proc130(28);
+ call {:si_unique_call 2689} nVar2640 := proc130(28);
+ call {:si_unique_call 2690} nVar2641 := proc130(28);
+ call {:si_unique_call 2691} nVar2642 := proc130(28);
+ call {:si_unique_call 2692} nVar2643 := proc130(24);
+ call {:si_unique_call 2693} nVar2644 := proc130(28);
+ call {:si_unique_call 2694} nVar2645 := proc130(28);
+ call {:si_unique_call 2695} nVar2646 := proc130(24);
+ call {:si_unique_call 2696} nVar2647 := proc130(28);
+ call {:si_unique_call 2697} nVar2648 := proc130(56);
+ call {:si_unique_call 2698} nVar2649 := proc130(16);
+ call {:si_unique_call 2699} nVar2650 := proc130(28);
+ call {:si_unique_call 2700} nVar2651 := proc130(12);
+ call {:si_unique_call 2701} nVar2652 := proc130(16);
+ call {:si_unique_call 2702} nVar2653 := proc130(28);
+ call {:si_unique_call 2703} nVar2654 := proc130(28);
+ call {:si_unique_call 2704} nVar2655 := proc130(16);
+ call {:si_unique_call 2705} nVar2656 := proc130(28);
+ call {:si_unique_call 2706} nVar2657 := proc130(28);
+ call {:si_unique_call 2707} nVar2658 := proc130(28);
+ call {:si_unique_call 2708} nVar2659 := proc130(28);
+ call {:si_unique_call 2709} nVar2660 := proc130(28);
+ call {:si_unique_call 2710} nVar2661 := proc130(16);
+ call {:si_unique_call 2711} nVar2662 := proc130(28);
+ call {:si_unique_call 2712} nVar2663 := proc130(28);
+ call {:si_unique_call 2713} nVar2664 := proc130(12);
+ call {:si_unique_call 2714} nVar2665 := proc130(28);
+ call {:si_unique_call 2715} nVar2666 := proc130(12);
+ call {:si_unique_call 2716} nVar2667 := proc130(28);
+ call {:si_unique_call 2717} nVar2668 := proc130(28);
+ call {:si_unique_call 2718} nVar2669 := proc130(28);
+ call {:si_unique_call 2719} nVar2670 := proc130(28);
+ call {:si_unique_call 2720} nVar2671 := proc130(28);
+ call {:si_unique_call 2721} nVar2672 := proc130(28);
+ call {:si_unique_call 2722} nVar2673 := proc130(28);
+ call {:si_unique_call 2723} nVar2674 := proc130(28);
+ call {:si_unique_call 2724} nVar2675 := proc130(28);
+ call {:si_unique_call 2725} nVar2676 := proc130(12);
+ call {:si_unique_call 2726} nVar2677 := proc130(16);
+ call {:si_unique_call 2727} nVar2678 := proc130(28);
+ call {:si_unique_call 2728} nVar2679 := proc130(28);
+ call {:si_unique_call 2729} nVar2680 := proc130(28);
+ call {:si_unique_call 2730} nVar2681 := proc130(28);
+ call {:si_unique_call 2731} nVar2682 := proc130(28);
+ call {:si_unique_call 2732} nVar2683 := proc130(28);
+ call {:si_unique_call 2733} nVar2684 := proc130(28);
+ call {:si_unique_call 2734} nVar2685 := proc130(24);
+ call {:si_unique_call 2735} nVar2686 := proc130(28);
+ call {:si_unique_call 2736} nVar2687 := proc130(28);
+ call {:si_unique_call 2737} nVar2688 := proc130(28);
+ call {:si_unique_call 2738} nVar2689 := proc130(28);
+ call {:si_unique_call 2739} nVar2690 := proc130(28);
+ call {:si_unique_call 2740} nVar2691 := proc130(28);
+ call {:si_unique_call 2741} nVar2692 := proc130(28);
+ call {:si_unique_call 2742} nVar2693 := proc130(28);
+ call {:si_unique_call 2743} nVar2694 := proc130(28);
+ call {:si_unique_call 2744} nVar2695 := proc130(28);
+ call {:si_unique_call 2745} nVar2696 := proc130(28);
+ call {:si_unique_call 2746} nVar2697 := proc130(12);
+ call {:si_unique_call 2747} nVar2698 := proc130(28);
+ call {:si_unique_call 2748} nVar2699 := proc130(24);
+ call {:si_unique_call 2749} nVar2700 := proc130(28);
+ call {:si_unique_call 2750} nVar2701 := proc130(28);
+ call {:si_unique_call 2751} nVar2702 := proc130(28);
+ call {:si_unique_call 2752} nVar2703 := proc130(28);
+ call {:si_unique_call 2753} nVar2704 := proc130(28);
+ call {:si_unique_call 2754} nVar2705 := proc130(28);
+ call {:si_unique_call 2755} nVar2706 := proc130(28);
+ call {:si_unique_call 2756} nVar2707 := proc130(28);
+ call {:si_unique_call 2757} nVar2708 := proc130(28);
+ call {:si_unique_call 2758} nVar2709 := proc130(28);
+ call {:si_unique_call 2759} nVar2710 := proc130(28);
+ call {:si_unique_call 2760} nVar2711 := proc130(28);
+ call {:si_unique_call 2761} nVar2712 := proc130(28);
+ call {:si_unique_call 2762} nVar2713 := proc130(16);
+ call {:si_unique_call 2763} nVar2714 := proc130(28);
+ call {:si_unique_call 2764} nVar2715 := proc130(28);
+ call {:si_unique_call 2765} nVar2716 := proc130(28);
+ call {:si_unique_call 2766} nVar2717 := proc130(24);
+ call {:si_unique_call 2767} nVar2718 := proc130(28);
+ call {:si_unique_call 2768} nVar2719 := proc130(28);
+ call {:si_unique_call 2769} nVar2720 := proc130(28);
+ call {:si_unique_call 2770} nVar2721 := proc130(24);
+ call {:si_unique_call 2771} nVar2722 := proc130(28);
+ call {:si_unique_call 2772} nVar2723 := proc130(28);
+ call {:si_unique_call 2773} nVar2724 := proc130(28);
+ call {:si_unique_call 2774} nVar2725 := proc130(24);
+ call {:si_unique_call 2775} nVar2726 := proc130(28);
+ call {:si_unique_call 2776} nVar2727 := proc130(28);
+ call {:si_unique_call 2777} nVar2728 := proc130(28);
+ call {:si_unique_call 2778} nVar2729 := proc130(28);
+ call {:si_unique_call 2779} nVar2730 := proc130(28);
+ call {:si_unique_call 2780} nVar2731 := proc130(28);
+ call {:si_unique_call 2781} nVar2732 := proc130(28);
+ call {:si_unique_call 2782} nVar2733 := proc130(16);
+ call {:si_unique_call 2783} nVar2734 := proc130(28);
+ call {:si_unique_call 2784} nVar2735 := proc130(28);
+ call {:si_unique_call 2785} nVar2736 := proc130(28);
+ call {:si_unique_call 2786} nVar2737 := proc130(28);
+ call {:si_unique_call 2787} nVar2738 := proc130(28);
+ call {:si_unique_call 2788} nVar2739 := proc130(28);
+ call {:si_unique_call 2789} nVar2740 := proc130(28);
+ call {:si_unique_call 2790} nVar2741 := proc130(28);
+ call {:si_unique_call 2791} nVar2742 := proc130(28);
+ call {:si_unique_call 2792} nVar2743 := proc130(28);
+ call {:si_unique_call 2793} nVar2744 := proc130(28);
+ call {:si_unique_call 2794} nVar2745 := proc130(28);
+ call {:si_unique_call 2795} nVar2746 := proc130(12);
+ call {:si_unique_call 2796} nVar2747 := proc130(28);
+ call {:si_unique_call 2797} nVar2748 := proc130(28);
+ call {:si_unique_call 2798} nVar2749 := proc130(28);
+ call {:si_unique_call 2799} nVar2750 := proc130(28);
+ call {:si_unique_call 2800} nVar2751 := proc130(28);
+ call {:si_unique_call 2801} nVar2752 := proc130(28);
+ call {:si_unique_call 2802} nVar2753 := proc130(28);
+ call {:si_unique_call 2803} nVar2755 := proc130(28);
+ call {:si_unique_call 2804} nVar2756 := proc130(28);
+ call {:si_unique_call 2805} nVar2757 := proc130(28);
+ call {:si_unique_call 2806} nVar2758 := proc130(28);
+ call {:si_unique_call 2807} nVar2759 := proc130(28);
+ call {:si_unique_call 2808} nVar2760 := proc130(28);
+ call {:si_unique_call 2809} nVar2761 := proc130(28);
+ call {:si_unique_call 2810} nVar2762 := proc130(28);
+ call {:si_unique_call 2811} nVar2763 := proc130(28);
+ call {:si_unique_call 2812} nVar2764 := proc130(28);
+ call {:si_unique_call 2813} nVar2765 := proc130(28);
+ call {:si_unique_call 2814} nVar2766 := proc130(4);
+ call {:si_unique_call 2815} nVar2767 := proc130(24);
+ call {:si_unique_call 2816} nVar2768 := proc130(28);
+ call {:si_unique_call 2817} nVar2769 := proc130(4);
+ call {:si_unique_call 2818} nVar2770 := proc130(8);
+ call {:si_unique_call 2819} nVar2771 := proc130(16);
+ call {:si_unique_call 2820} nVar2772 := proc130(28);
+ call {:si_unique_call 2821} nVar2773 := proc130(28);
+ call {:si_unique_call 2822} nVar2774 := proc130(28);
+ call {:si_unique_call 2823} nVar2775 := proc130(28);
+ call {:si_unique_call 2824} nVar2776 := proc130(28);
+ call {:si_unique_call 2825} nVar2777 := proc130(28);
+ call {:si_unique_call 2826} nVar2778 := proc130(28);
+ call {:si_unique_call 2827} nVar2779 := proc130(28);
+ call {:si_unique_call 2828} nVar2780 := proc130(28);
+ call {:si_unique_call 2829} nVar2781 := proc130(12);
+ call {:si_unique_call 2830} nVar2782 := proc130(28);
+ call {:si_unique_call 2831} nVar2783 := proc130(28);
+ call {:si_unique_call 2832} nVar2784 := proc130(28);
+ call {:si_unique_call 2833} nVar2785 := proc130(28);
+ call {:si_unique_call 2834} nVar2786 := proc130(28);
+ call {:si_unique_call 2835} nVar2787 := proc130(28);
+ call {:si_unique_call 2836} nVar2788 := proc130(28);
+ call {:si_unique_call 2837} nVar2789 := proc130(28);
+ call {:si_unique_call 2838} nVar2790 := proc130(28);
+ call {:si_unique_call 2839} nVar2791 := proc130(28);
+ call {:si_unique_call 2840} nVar2792 := proc130(16);
+ call {:si_unique_call 2841} nVar2793 := proc130(24);
+ call {:si_unique_call 2842} nVar2794 := proc130(28);
+ call {:si_unique_call 2843} nVar2795 := proc130(12);
+ call {:si_unique_call 2844} nVar2796 := proc130(28);
+ call {:si_unique_call 2845} nVar2797 := proc130(28);
+ call {:si_unique_call 2846} nVar2798 := proc130(28);
+ call {:si_unique_call 2847} nVar2799 := proc130(28);
+ call {:si_unique_call 2848} nVar2800 := proc130(28);
+ call {:si_unique_call 2849} nVar2801 := proc130(4);
+ call {:si_unique_call 2850} nVar2802 := proc130(28);
+ call {:si_unique_call 2851} nVar2803 := proc130(28);
+ call {:si_unique_call 2852} nVar2804 := proc130(28);
+ call {:si_unique_call 2853} nVar2805 := proc130(28);
+ call {:si_unique_call 2854} nVar2806 := proc130(28);
+ call {:si_unique_call 2855} nVar2807 := proc130(28);
+ call {:si_unique_call 2856} nVar2808 := proc130(24);
+ call {:si_unique_call 2857} nVar2809 := proc130(28);
+ call {:si_unique_call 2858} nVar2810 := proc130(28);
+ call {:si_unique_call 2859} nVar2811 := proc130(28);
+ call {:si_unique_call 2860} nVar2812 := proc130(28);
+ call {:si_unique_call 2861} nVar2813 := proc130(16);
+ call {:si_unique_call 2862} nVar2814 := proc130(28);
+ call {:si_unique_call 2863} nVar2815 := proc130(28);
+ call {:si_unique_call 2864} nVar2816 := proc130(28);
+ call {:si_unique_call 2865} nVar2817 := proc130(28);
+ call {:si_unique_call 2866} nVar2818 := proc130(28);
+ call {:si_unique_call 2867} nVar2819 := proc130(28);
+ call {:si_unique_call 2868} nVar2820 := proc130(28);
+ call {:si_unique_call 2869} nVar2821 := proc130(28);
+ call {:si_unique_call 2870} nVar2822 := proc130(28);
+ call {:si_unique_call 2871} nVar2823 := proc130(16);
+ call {:si_unique_call 2872} nVar2824 := proc130(28);
+ call {:si_unique_call 2873} nVar2825 := proc130(28);
+ call {:si_unique_call 2874} nVar2826 := proc130(28);
+ call {:si_unique_call 2875} nVar2827 := proc130(28);
+ call {:si_unique_call 2876} nVar2828 := proc130(28);
+ call {:si_unique_call 2877} nVar2829 := proc130(28);
+ call {:si_unique_call 2878} nVar2830 := proc130(12);
+ call {:si_unique_call 2879} nVar2831 := proc130(4);
+ call {:si_unique_call 2880} nVar2832 := proc130(28);
+ call {:si_unique_call 2881} nVar2833 := proc130(4);
+ call {:si_unique_call 2882} nVar2834 := proc130(28);
+ call {:si_unique_call 2883} nVar2835 := proc130(12);
+ call {:si_unique_call 2884} nVar2836 := proc130(28);
+ call {:si_unique_call 2885} nVar2837 := proc130(28);
+ call {:si_unique_call 2886} nVar2838 := proc130(28);
+ call {:si_unique_call 2887} nVar2839 := proc130(28);
+ call {:si_unique_call 2888} nVar2840 := proc130(28);
+ call {:si_unique_call 2889} nVar2841 := proc130(28);
+ call {:si_unique_call 2890} nVar2842 := proc130(28);
+ call {:si_unique_call 2891} nVar2843 := proc130(12);
+ call {:si_unique_call 2892} nVar2844 := proc130(28);
+ call {:si_unique_call 2893} nVar2845 := proc130(28);
+ call {:si_unique_call 2894} nVar2846 := proc130(28);
+ call {:si_unique_call 2895} nVar2847 := proc130(28);
+ call {:si_unique_call 2896} nVar2848 := proc130(28);
+ call {:si_unique_call 2897} nVar2849 := proc130(4);
+ call {:si_unique_call 2898} nVar2850 := proc130(28);
+ call {:si_unique_call 2899} nVar2851 := proc130(28);
+ call {:si_unique_call 2900} nVar2852 := proc130(28);
+ call {:si_unique_call 2901} nVar2853 := proc130(16);
+ call {:si_unique_call 2902} nVar2854 := proc130(28);
+ call {:si_unique_call 2903} nVar2855 := proc130(4);
+ call {:si_unique_call 2904} nVar2856 := proc130(28);
+ call {:si_unique_call 2905} nVar2857 := proc130(16);
+ call {:si_unique_call 2906} nVar2858 := proc130(28);
+ call {:si_unique_call 2907} nVar2859 := proc130(16);
+ call {:si_unique_call 2908} nVar2860 := proc130(12);
+ call {:si_unique_call 2909} nVar2861 := proc130(28);
+ call {:si_unique_call 2910} nVar2862 := proc130(28);
+ call {:si_unique_call 2911} nVar2863 := proc130(12);
+ call {:si_unique_call 2912} nVar2864 := proc130(28);
+ call {:si_unique_call 2913} nVar2865 := proc130(28);
+ call {:si_unique_call 2914} nVar2866 := proc130(24);
+ call {:si_unique_call 2915} nVar2867 := proc130(28);
+ call {:si_unique_call 2916} nVar2868 := proc130(28);
+ call {:si_unique_call 2917} nVar2869 := proc130(28);
+ call {:si_unique_call 2918} nVar2870 := proc130(28);
+ call {:si_unique_call 2919} nVar2871 := proc130(28);
+ call {:si_unique_call 2920} nVar2872 := proc130(28);
+ call {:si_unique_call 2921} nVar2873 := proc130(28);
+ call {:si_unique_call 2922} nVar2874 := proc130(12);
+ call {:si_unique_call 2923} nVar2875 := proc130(28);
+ call {:si_unique_call 2924} nVar2876 := proc130(28);
+ call {:si_unique_call 2925} nVar2877 := proc130(12);
+ call {:si_unique_call 2926} nVar2878 := proc130(28);
+ call {:si_unique_call 2927} nVar2879 := proc130(28);
+ call {:si_unique_call 2928} nVar2880 := proc130(16);
+ call {:si_unique_call 2929} nVar2881 := proc130(28);
+ call {:si_unique_call 2930} nVar2882 := proc130(28);
+ call {:si_unique_call 2931} nVar2883 := proc130(28);
+ call {:si_unique_call 2932} nVar2884 := proc130(28);
+ call {:si_unique_call 2933} nVar2885 := proc130(28);
+ call {:si_unique_call 2934} nVar2886 := proc130(28);
+ call {:si_unique_call 2935} nVar2887 := proc130(28);
+ call {:si_unique_call 2936} nVar2888 := proc130(28);
+ call {:si_unique_call 2937} nVar2889 := proc130(28);
+ call {:si_unique_call 2938} nVar2890 := proc130(28);
+ call {:si_unique_call 2939} nVar2891 := proc130(16);
+ call {:si_unique_call 2940} nVar2892 := proc130(28);
+ call {:si_unique_call 2941} nVar4956 := proc130(16);
+ call {:si_unique_call 2942} nVar2893 := proc130(28);
+ call {:si_unique_call 2943} nVar2894 := proc130(28);
+ call {:si_unique_call 2944} nVar2895 := proc130(28);
+ call {:si_unique_call 2945} nVar2896 := proc130(4);
+ call {:si_unique_call 2946} nVar2897 := proc130(28);
+ call {:si_unique_call 2947} nVar2898 := proc130(16);
+ call {:si_unique_call 2948} nVar2899 := proc130(28);
+ call {:si_unique_call 2949} nVar2900 := proc130(28);
+ call {:si_unique_call 2950} nVar2901 := proc130(28);
+ call {:si_unique_call 2951} nVar2902 := proc130(28);
+ call {:si_unique_call 2952} nVar2903 := proc130(28);
+ call {:si_unique_call 2953} nVar2904 := proc130(28);
+ call {:si_unique_call 2954} nVar2905 := proc130(28);
+ call {:si_unique_call 2955} nVar2906 := proc130(28);
+ call {:si_unique_call 2956} nVar2907 := proc130(28);
+ call {:si_unique_call 2957} nVar2908 := proc130(28);
+ call {:si_unique_call 2958} nVar2909 := proc130(28);
+ call {:si_unique_call 2959} nVar2910 := proc130(28);
+ call {:si_unique_call 2960} nVar2911 := proc130(28);
+ call {:si_unique_call 2961} nVar4957 := proc130(68);
+ call {:si_unique_call 2962} nVar2912 := proc130(28);
+ call {:si_unique_call 2963} nVar2913 := proc130(28);
+ call {:si_unique_call 2964} nVar2914 := proc130(28);
+ call {:si_unique_call 2965} nVar2915 := proc130(28);
+ call {:si_unique_call 2966} nVar2916 := proc130(28);
+ call {:si_unique_call 2967} nVar2917 := proc130(28);
+ call {:si_unique_call 2968} nVar2918 := proc130(28);
+ call {:si_unique_call 2969} nVar2919 := proc130(4);
+ call {:si_unique_call 2970} nVar2920 := proc130(28);
+ call {:si_unique_call 2971} nVar2921 := proc130(28);
+ call {:si_unique_call 2972} nVar2922 := proc130(28);
+ call {:si_unique_call 2973} nVar2923 := proc130(16);
+ call {:si_unique_call 2974} nVar2924 := proc130(28);
+ call {:si_unique_call 2975} nVar2925 := proc130(28);
+ call {:si_unique_call 2976} nVar2926 := proc130(28);
+ call {:si_unique_call 2977} nVar2927 := proc130(28);
+ call {:si_unique_call 2978} nVar2928 := proc130(28);
+ call {:si_unique_call 2979} nVar2929 := proc130(28);
+ call {:si_unique_call 2980} nVar2930 := proc130(28);
+ call {:si_unique_call 2981} nVar2931 := proc130(28);
+ call {:si_unique_call 2982} nVar2932 := proc130(28);
+ call {:si_unique_call 2983} nVar2933 := proc130(4);
+ call {:si_unique_call 2984} nVar2934 := proc130(28);
+ call {:si_unique_call 2985} nVar2935 := proc130(56);
+ call {:si_unique_call 2986} nVar2936 := proc130(28);
+ call {:si_unique_call 2987} nVar2937 := proc130(28);
+ call {:si_unique_call 2988} nVar2938 := proc130(28);
+ call {:si_unique_call 2989} nVar2939 := proc130(28);
+ call {:si_unique_call 2990} nVar2940 := proc130(28);
+ call {:si_unique_call 2991} nVar2941 := proc130(28);
+ call {:si_unique_call 2992} nVar2942 := proc130(28);
+ call {:si_unique_call 2993} nVar2943 := proc130(28);
+ call {:si_unique_call 2994} nVar2944 := proc130(28);
+ call {:si_unique_call 2995} nVar2945 := proc130(28);
+ call {:si_unique_call 2996} nVar2946 := proc130(28);
+ call {:si_unique_call 2997} nVar2947 := proc130(16);
+ call {:si_unique_call 2998} nVar2948 := proc130(16);
+ call {:si_unique_call 2999} nVar2949 := proc130(4);
+ call {:si_unique_call 3000} nVar2950 := proc130(28);
+ call {:si_unique_call 3001} nVar2951 := proc130(28);
+ call {:si_unique_call 3002} nVar2952 := proc130(28);
+ call {:si_unique_call 3003} nVar2953 := proc130(16);
+ call {:si_unique_call 3004} nVar2954 := proc130(28);
+ call {:si_unique_call 3005} nVar2955 := proc130(28);
+ call {:si_unique_call 3006} nVar2956 := proc130(28);
+ call {:si_unique_call 3007} nVar2957 := proc130(16);
+ call {:si_unique_call 3008} nVar2958 := proc130(16);
+ call {:si_unique_call 3009} nVar2959 := proc130(28);
+ call {:si_unique_call 3010} nVar2960 := proc130(28);
+ call {:si_unique_call 3011} nVar2961 := proc130(28);
+ call {:si_unique_call 3012} nVar2962 := proc130(28);
+ call {:si_unique_call 3013} nVar2963 := proc130(28);
+ call {:si_unique_call 3014} nVar2964 := proc130(28);
+ call {:si_unique_call 3015} nVar2965 := proc130(28);
+ call {:si_unique_call 3016} nVar2966 := proc130(28);
+ call {:si_unique_call 3017} nVar2967 := proc130(28);
+ call {:si_unique_call 3018} nVar2968 := proc130(28);
+ call {:si_unique_call 3019} nVar2969 := proc130(28);
+ call {:si_unique_call 3020} nVar2970 := proc130(28);
+ call {:si_unique_call 3021} nVar2971 := proc130(28);
+ call {:si_unique_call 3022} nVar2972 := proc130(28);
+ call {:si_unique_call 3023} nVar2973 := proc130(28);
+ call {:si_unique_call 3024} nVar2974 := proc130(12);
+ call {:si_unique_call 3025} nVar2975 := proc130(28);
+ call {:si_unique_call 3026} nVar2976 := proc130(16);
+ call {:si_unique_call 3027} nVar2977 := proc130(28);
+ call {:si_unique_call 3028} nVar2978 := proc130(28);
+ call {:si_unique_call 3029} nVar2979 := proc130(28);
+ call {:si_unique_call 3030} nVar2980 := proc130(28);
+ call {:si_unique_call 3031} nVar2981 := proc130(28);
+ call {:si_unique_call 3032} nVar2982 := proc130(28);
+ call {:si_unique_call 3033} nVar2983 := proc130(28);
+ call {:si_unique_call 3034} nVar2984 := proc130(28);
+ call {:si_unique_call 3035} nVar2985 := proc130(28);
+ call {:si_unique_call 3036} nVar2986 := proc130(28);
+ call {:si_unique_call 3037} nVar2987 := proc130(28);
+ call {:si_unique_call 3038} nVar2988 := proc130(28);
+ call {:si_unique_call 3039} nVar2989 := proc130(28);
+ call {:si_unique_call 3040} nVar2990 := proc130(4);
+ call {:si_unique_call 3041} nVar2991 := proc130(28);
+ call {:si_unique_call 3042} nVar2992 := proc130(28);
+ call {:si_unique_call 3043} nVar2993 := proc130(28);
+ call {:si_unique_call 3044} nVar2994 := proc130(28);
+ call {:si_unique_call 3045} nVar2995 := proc130(28);
+ call {:si_unique_call 3046} nVar2996 := proc130(28);
+ call {:si_unique_call 3047} nVar2997 := proc130(4);
+ call {:si_unique_call 3048} nVar2998 := proc130(28);
+ call {:si_unique_call 3049} nVar2999 := proc130(28);
+ call {:si_unique_call 3050} nVar3000 := proc130(28);
+ call {:si_unique_call 3051} nVar3001 := proc130(28);
+ call {:si_unique_call 3052} nVar3002 := proc130(28);
+ call {:si_unique_call 3053} nVar3003 := proc130(28);
+ call {:si_unique_call 3054} nVar3004 := proc130(28);
+ call {:si_unique_call 3055} nVar3005 := proc130(28);
+ call {:si_unique_call 3056} nVar3006 := proc130(16);
+ call {:si_unique_call 3057} nVar3007 := proc130(28);
+ call {:si_unique_call 3058} nVar3008 := proc130(28);
+ call {:si_unique_call 3059} nVar3009 := proc130(28);
+ call {:si_unique_call 3060} nVar3010 := proc130(28);
+ call {:si_unique_call 3061} nVar3011 := proc130(28);
+ call {:si_unique_call 3062} nVar3012 := proc130(28);
+ call {:si_unique_call 3063} nVar3013 := proc130(28);
+ call {:si_unique_call 3064} nVar3014 := proc130(28);
+ call {:si_unique_call 3065} nVar3015 := proc130(28);
+ call {:si_unique_call 3066} nVar3016 := proc130(28);
+ call {:si_unique_call 3067} nVar3017 := proc130(28);
+ call {:si_unique_call 3068} nVar3018 := proc130(28);
+ call {:si_unique_call 3069} nVar3019 := proc130(28);
+ call {:si_unique_call 3070} nVar3020 := proc130(28);
+ call {:si_unique_call 3071} nVar3021 := proc130(28);
+ call {:si_unique_call 3072} nVar3022 := proc130(28);
+ call {:si_unique_call 3073} nVar3023 := proc130(28);
+ call {:si_unique_call 3074} nVar3024 := proc130(28);
+ call {:si_unique_call 3075} nVar3025 := proc130(28);
+ call {:si_unique_call 3076} nVar3026 := proc130(28);
+ call {:si_unique_call 3077} nVar3027 := proc130(28);
+ call {:si_unique_call 3078} nVar3028 := proc130(28);
+ call {:si_unique_call 3079} nVar3029 := proc130(28);
+ call {:si_unique_call 3080} nVar3030 := proc130(28);
+ call {:si_unique_call 3081} nVar3031 := proc130(16);
+ call {:si_unique_call 3082} nVar3032 := proc130(28);
+ call {:si_unique_call 3083} nVar3033 := proc130(28);
+ call {:si_unique_call 3084} nVar3034 := proc130(28);
+ call {:si_unique_call 3085} nVar3035 := proc130(28);
+ call {:si_unique_call 3086} nVar3036 := proc130(28);
+ call {:si_unique_call 3087} nVar3037 := proc130(16);
+ call {:si_unique_call 3088} nVar3038 := proc130(28);
+ call {:si_unique_call 3089} nVar3039 := proc130(28);
+ call {:si_unique_call 3090} nVar3040 := proc130(28);
+ call {:si_unique_call 3091} nVar3041 := proc130(28);
+ call {:si_unique_call 3092} nVar3042 := proc130(16);
+ call {:si_unique_call 3093} nVar3043 := proc130(28);
+ call {:si_unique_call 3094} nVar3044 := proc130(28);
+ call {:si_unique_call 3095} nVar3045 := proc130(28);
+ call {:si_unique_call 3096} nVar3046 := proc130(28);
+ call {:si_unique_call 3097} nVar3047 := proc130(28);
+ call {:si_unique_call 3098} nVar3049 := proc130(28);
+ call {:si_unique_call 3099} nVar3050 := proc130(12);
+ call {:si_unique_call 3100} nVar3051 := proc130(28);
+ call {:si_unique_call 3101} nVar3052 := proc130(28);
+ call {:si_unique_call 3102} nVar3053 := proc130(28);
+ call {:si_unique_call 3103} nVar3054 := proc130(28);
+ call {:si_unique_call 3104} nVar3055 := proc130(28);
+ call {:si_unique_call 3105} nVar3056 := proc130(28);
+ call {:si_unique_call 3106} nVar3057 := proc130(28);
+ call {:si_unique_call 3107} nVar3058 := proc130(28);
+ call {:si_unique_call 3108} nVar3059 := proc130(12);
+ call {:si_unique_call 3109} nVar3060 := proc130(16);
+ call {:si_unique_call 3110} nVar3061 := proc130(4);
+ call {:si_unique_call 3111} nVar3063 := proc130(28);
+ call {:si_unique_call 3112} nVar3064 := proc130(28);
+ call {:si_unique_call 3113} nVar3065 := proc130(28);
+ call {:si_unique_call 3114} nVar3066 := proc130(28);
+ call {:si_unique_call 3115} nVar3067 := proc130(28);
+ call {:si_unique_call 3116} nVar3068 := proc130(28);
+ call {:si_unique_call 3117} nVar3069 := proc130(28);
+ call {:si_unique_call 3118} nVar3070 := proc130(28);
+ call {:si_unique_call 3119} nVar3071 := proc130(12);
+ call {:si_unique_call 3120} nVar3072 := proc130(28);
+ call {:si_unique_call 3121} nVar3073 := proc130(28);
+ call {:si_unique_call 3122} nVar3074 := proc130(28);
+ call {:si_unique_call 3123} nVar3075 := proc130(28);
+ call {:si_unique_call 3124} nVar3076 := proc130(28);
+ call {:si_unique_call 3125} nVar3077 := proc130(16);
+ call {:si_unique_call 3126} nVar3078 := proc130(28);
+ call {:si_unique_call 3127} nVar3079 := proc130(28);
+ call {:si_unique_call 3128} nVar3080 := proc130(28);
+ call {:si_unique_call 3129} nVar3081 := proc130(28);
+ call {:si_unique_call 3130} nVar3082 := proc130(28);
+ call {:si_unique_call 3131} nVar3083 := proc130(16);
+ call {:si_unique_call 3132} nVar3084 := proc130(28);
+ call {:si_unique_call 3133} nVar3085 := proc130(28);
+ call {:si_unique_call 3134} nVar3086 := proc130(28);
+ call {:si_unique_call 3135} nVar3087 := proc130(28);
+ call {:si_unique_call 3136} nVar3088 := proc130(28);
+ call {:si_unique_call 3137} nVar3089 := proc130(28);
+ call {:si_unique_call 3138} nVar3090 := proc130(28);
+ call {:si_unique_call 3139} nVar3091 := proc130(28);
+ call {:si_unique_call 3140} nVar3092 := proc130(28);
+ call {:si_unique_call 3141} nVar3093 := proc130(16);
+ call {:si_unique_call 3142} nVar3094 := proc130(28);
+ call {:si_unique_call 3143} nVar3095 := proc130(28);
+ call {:si_unique_call 3144} nVar3096 := proc130(56);
+ call {:si_unique_call 3145} nVar3097 := proc130(28);
+ call {:si_unique_call 3146} nVar3098 := proc130(28);
+ call {:si_unique_call 3147} nVar3099 := proc130(12);
+ call {:si_unique_call 3148} nVar3100 := proc130(28);
+ call {:si_unique_call 3149} nVar3101 := proc130(28);
+ call {:si_unique_call 3150} nVar3102 := proc130(28);
+ call {:si_unique_call 3151} nVar3103 := proc130(4);
+ call {:si_unique_call 3152} nVar3104 := proc130(4);
+ call {:si_unique_call 3153} nVar3105 := proc130(28);
+ call {:si_unique_call 3154} nVar3106 := proc130(28);
+ call {:si_unique_call 3155} nVar3107 := proc130(28);
+ call {:si_unique_call 3156} nVar3108 := proc130(28);
+ call {:si_unique_call 3157} nVar3109 := proc130(28);
+ call {:si_unique_call 3158} nVar3110 := proc130(28);
+ call {:si_unique_call 3159} nVar3111 := proc130(28);
+ call {:si_unique_call 3160} nVar3112 := proc130(28);
+ call {:si_unique_call 3161} nVar3113 := proc130(28);
+ call {:si_unique_call 3162} nVar3114 := proc130(28);
+ call {:si_unique_call 3163} nVar3115 := proc130(28);
+ call {:si_unique_call 3164} nVar3116 := proc130(28);
+ call {:si_unique_call 3165} nVar3117 := proc130(28);
+ call {:si_unique_call 3166} nVar3118 := proc130(28);
+ call {:si_unique_call 3167} nVar3119 := proc130(16);
+ call {:si_unique_call 3168} nVar3120 := proc130(24);
+ call {:si_unique_call 3169} nVar3121 := proc130(16);
+ call {:si_unique_call 3170} nVar3122 := proc130(28);
+ call {:si_unique_call 3171} nVar3123 := proc130(28);
+ call {:si_unique_call 3172} nVar3124 := proc130(28);
+ call {:si_unique_call 3173} nVar3125 := proc130(28);
+ call {:si_unique_call 3174} nVar3126 := proc130(12);
+ call {:si_unique_call 3175} nVar3127 := proc130(28);
+ call {:si_unique_call 3176} nVar3128 := proc130(12);
+ call {:si_unique_call 3177} nVar3129 := proc130(28);
+ call {:si_unique_call 3178} nVar3130 := proc130(28);
+ call {:si_unique_call 3179} nVar3131 := proc130(28);
+ call {:si_unique_call 3180} nVar3132 := proc130(28);
+ call {:si_unique_call 3181} nVar3133 := proc130(28);
+ call {:si_unique_call 3182} nVar3134 := proc130(4);
+ call {:si_unique_call 3183} nVar3135 := proc130(28);
+ call {:si_unique_call 3184} nVar3136 := proc130(28);
+ call {:si_unique_call 3185} nVar3137 := proc130(24);
+ call {:si_unique_call 3186} nVar3138 := proc130(8);
+ call {:si_unique_call 3187} nVar3140 := proc130(24);
+ call {:si_unique_call 3188} nVar3141 := proc130(28);
+ call {:si_unique_call 3189} nVar3142 := proc130(24);
+ call {:si_unique_call 3190} nVar3143 := proc130(28);
+ call {:si_unique_call 3191} nVar3144 := proc130(28);
+ call {:si_unique_call 3192} nVar3145 := proc130(28);
+ call {:si_unique_call 3193} nVar3146 := proc130(4);
+ call {:si_unique_call 3194} nVar3147 := proc130(28);
+ call {:si_unique_call 3195} nVar3148 := proc130(28);
+ call {:si_unique_call 3196} nVar3149 := proc130(28);
+ call {:si_unique_call 3197} nVar3150 := proc130(28);
+ call {:si_unique_call 3198} nVar3151 := proc130(28);
+ call {:si_unique_call 3199} nVar3152 := proc130(28);
+ call {:si_unique_call 3200} nVar3153 := proc130(28);
+ call {:si_unique_call 3201} nVar3154 := proc130(28);
+ call {:si_unique_call 3202} nVar3155 := proc130(12);
+ call {:si_unique_call 3203} nVar3156 := proc130(4);
+ call {:si_unique_call 3204} nVar3157 := proc130(28);
+ call {:si_unique_call 3205} nVar3158 := proc130(28);
+ call {:si_unique_call 3206} nVar3159 := proc130(28);
+ call {:si_unique_call 3207} nVar3160 := proc130(28);
+ call {:si_unique_call 3208} nVar3161 := proc130(28);
+ call {:si_unique_call 3209} nVar3162 := proc130(16);
+ call {:si_unique_call 3210} nVar3163 := proc130(28);
+ call {:si_unique_call 3211} nVar3164 := proc130(28);
+ call {:si_unique_call 3212} nVar3165 := proc130(28);
+ call {:si_unique_call 3213} nVar3166 := proc130(28);
+ call {:si_unique_call 3214} nVar3167 := proc130(28);
+ call {:si_unique_call 3215} nVar3168 := proc130(28);
+ call {:si_unique_call 3216} nVar3169 := proc130(28);
+ call {:si_unique_call 3217} nVar3170 := proc130(28);
+ call {:si_unique_call 3218} nVar3171 := proc130(12);
+ call {:si_unique_call 3219} nVar3172 := proc130(28);
+ call {:si_unique_call 3220} nVar3173 := proc130(12);
+ call {:si_unique_call 3221} nVar3174 := proc130(16);
+ call {:si_unique_call 3222} nVar3175 := proc130(28);
+ call {:si_unique_call 3223} nVar3176 := proc130(16);
+ call {:si_unique_call 3224} nVar3177 := proc130(28);
+ call {:si_unique_call 3225} nVar3178 := proc130(28);
+ call {:si_unique_call 3226} nVar3179 := proc130(28);
+ call {:si_unique_call 3227} nVar3180 := proc130(4);
+ call {:si_unique_call 3228} nVar3181 := proc130(28);
+ call {:si_unique_call 3229} nVar3182 := proc130(4);
+ call {:si_unique_call 3230} nVar3183 := proc130(28);
+ call {:si_unique_call 3231} nVar3184 := proc130(4);
+ call {:si_unique_call 3232} nVar3185 := proc130(28);
+ call {:si_unique_call 3233} nVar3186 := proc130(28);
+ call {:si_unique_call 3234} nVar3187 := proc130(28);
+ call {:si_unique_call 3235} nVar3188 := proc130(16);
+ call {:si_unique_call 3236} nVar3189 := proc130(12);
+ call {:si_unique_call 3237} nVar3190 := proc130(28);
+ call {:si_unique_call 3238} nVar3191 := proc130(12);
+ call {:si_unique_call 3239} nVar3192 := proc130(28);
+ call {:si_unique_call 3240} nVar3193 := proc130(28);
+ call {:si_unique_call 3241} nVar3194 := proc130(28);
+ call {:si_unique_call 3242} nVar3195 := proc130(28);
+ call {:si_unique_call 3243} nVar3196 := proc130(28);
+ call {:si_unique_call 3244} nVar3197 := proc130(28);
+ call {:si_unique_call 3245} nVar3198 := proc130(24);
+ call {:si_unique_call 3246} nVar3199 := proc130(28);
+ call {:si_unique_call 3247} nVar3200 := proc130(28);
+ call {:si_unique_call 3248} nVar3201 := proc130(28);
+ call {:si_unique_call 3249} nVar3202 := proc130(28);
+ call {:si_unique_call 3250} nVar3203 := proc130(28);
+ call {:si_unique_call 3251} nVar3204 := proc130(28);
+ call {:si_unique_call 3252} nVar3205 := proc130(28);
+ call {:si_unique_call 3253} nVar3206 := proc130(28);
+ call {:si_unique_call 3254} nVar3207 := proc130(28);
+ call {:si_unique_call 3255} nVar3208 := proc130(28);
+ call {:si_unique_call 3256} nVar3209 := proc130(28);
+ call {:si_unique_call 3257} nVar3210 := proc130(28);
+ call {:si_unique_call 3258} nVar3211 := proc130(28);
+ call {:si_unique_call 3259} nVar3212 := proc130(28);
+ call {:si_unique_call 3260} nVar3213 := proc130(12);
+ call {:si_unique_call 3261} nVar3214 := proc130(4);
+ call {:si_unique_call 3262} nVar3215 := proc130(28);
+ call {:si_unique_call 3263} nVar3216 := proc130(12);
+ call {:si_unique_call 3264} nVar3217 := proc130(28);
+ call {:si_unique_call 3265} nVar3218 := proc130(28);
+ call {:si_unique_call 3266} nVar3219 := proc130(28);
+ call {:si_unique_call 3267} nVar3220 := proc130(28);
+ call {:si_unique_call 3268} nVar3221 := proc130(28);
+ call {:si_unique_call 3269} nVar3222 := proc130(28);
+ call {:si_unique_call 3270} nVar3223 := proc130(28);
+ call {:si_unique_call 3271} nVar3224 := proc130(28);
+ call {:si_unique_call 3272} nVar3225 := proc130(28);
+ call {:si_unique_call 3273} nVar3226 := proc130(28);
+ call {:si_unique_call 3274} nVar3227 := proc130(28);
+ call {:si_unique_call 3275} nVar3228 := proc130(12);
+ call {:si_unique_call 3276} nVar3229 := proc130(28);
+ call {:si_unique_call 3277} nVar3230 := proc130(28);
+ call {:si_unique_call 3278} nVar3231 := proc130(28);
+ call {:si_unique_call 3279} nVar3232 := proc130(28);
+ call {:si_unique_call 3280} nVar3233 := proc130(28);
+ call {:si_unique_call 3281} nVar3234 := proc130(28);
+ call {:si_unique_call 3282} nVar3235 := proc130(28);
+ call {:si_unique_call 3283} nVar3236 := proc130(4);
+ call {:si_unique_call 3284} nVar3237 := proc130(24);
+ call {:si_unique_call 3285} nVar3238 := proc130(28);
+ call {:si_unique_call 3286} nVar3239 := proc130(28);
+ call {:si_unique_call 3287} nVar3240 := proc130(16);
+ call {:si_unique_call 3288} nVar3241 := proc130(12);
+ call {:si_unique_call 3289} nVar3242 := proc130(28);
+ call {:si_unique_call 3290} nVar3243 := proc130(28);
+ call {:si_unique_call 3291} nVar3244 := proc130(28);
+ call {:si_unique_call 3292} nVar3245 := proc130(28);
+ call {:si_unique_call 3293} nVar3246 := proc130(28);
+ call {:si_unique_call 3294} nVar3247 := proc130(28);
+ call {:si_unique_call 3295} nVar3248 := proc130(28);
+ call {:si_unique_call 3296} nVar3249 := proc130(28);
+ call {:si_unique_call 3297} nVar3250 := proc130(24);
+ call {:si_unique_call 3298} nVar3251 := proc130(28);
+ call {:si_unique_call 3299} nVar3252 := proc130(28);
+ call {:si_unique_call 3300} nVar3253 := proc130(28);
+ call {:si_unique_call 3301} nVar3254 := proc130(4);
+ call {:si_unique_call 3302} nVar3255 := proc130(28);
+ call {:si_unique_call 3303} nVar3256 := proc130(28);
+ call {:si_unique_call 3304} nVar3257 := proc130(4);
+ call {:si_unique_call 3305} nVar3258 := proc130(24);
+ call {:si_unique_call 3306} nVar3259 := proc130(28);
+ call {:si_unique_call 3307} nVar3260 := proc130(28);
+ call {:si_unique_call 3308} nVar3261 := proc130(28);
+ call {:si_unique_call 3309} nVar3262 := proc130(28);
+ call {:si_unique_call 3310} nVar3263 := proc130(28);
+ call {:si_unique_call 3311} nVar3264 := proc130(28);
+ call {:si_unique_call 3312} nVar3265 := proc130(24);
+ call {:si_unique_call 3313} nVar3266 := proc130(28);
+ call {:si_unique_call 3314} nVar3267 := proc130(28);
+ call {:si_unique_call 3315} nVar3268 := proc130(28);
+ call {:si_unique_call 3316} nVar3269 := proc130(4);
+ call {:si_unique_call 3317} nVar3270 := proc130(28);
+ call {:si_unique_call 3318} nVar3271 := proc130(28);
+ call {:si_unique_call 3319} nVar3272 := proc130(28);
+ call {:si_unique_call 3320} nVar3273 := proc130(28);
+ call {:si_unique_call 3321} nVar3274 := proc130(28);
+ call {:si_unique_call 3322} nVar3275 := proc130(28);
+ call {:si_unique_call 3323} nVar3276 := proc130(28);
+ call {:si_unique_call 3324} nVar3277 := proc130(28);
+ call {:si_unique_call 3325} nVar3278 := proc130(28);
+ call {:si_unique_call 3326} nVar3279 := proc130(16);
+ call {:si_unique_call 3327} nVar3280 := proc130(28);
+ call {:si_unique_call 3328} nVar3281 := proc130(28);
+ call {:si_unique_call 3329} nVar3282 := proc130(28);
+ call {:si_unique_call 3330} nVar3283 := proc130(16);
+ call {:si_unique_call 3331} nVar3284 := proc130(24);
+ call {:si_unique_call 3332} nVar3285 := proc130(28);
+ call {:si_unique_call 3333} nVar3286 := proc130(28);
+ call {:si_unique_call 3334} nVar3287 := proc130(16);
+ call {:si_unique_call 3335} nVar3288 := proc130(4);
+ call {:si_unique_call 3336} nVar3289 := proc130(24);
+ call {:si_unique_call 3337} nVar3290 := proc130(28);
+ call {:si_unique_call 3338} nVar3291 := proc130(28);
+ call {:si_unique_call 3339} nVar3292 := proc130(28);
+ call {:si_unique_call 3340} nVar3293 := proc130(16);
+ call {:si_unique_call 3341} nVar3294 := proc130(4);
+ call {:si_unique_call 3342} nVar3295 := proc130(4);
+ call {:si_unique_call 3343} nVar3296 := proc130(28);
+ call {:si_unique_call 3344} nVar3297 := proc130(28);
+ call {:si_unique_call 3345} nVar3298 := proc130(28);
+ call {:si_unique_call 3346} nVar3299 := proc130(28);
+ call {:si_unique_call 3347} nVar3300 := proc130(28);
+ call {:si_unique_call 3348} nVar3301 := proc130(28);
+ call {:si_unique_call 3349} nVar3302 := proc130(28);
+ call {:si_unique_call 3350} nVar3303 := proc130(28);
+ call {:si_unique_call 3351} nVar3304 := proc130(28);
+ call {:si_unique_call 3352} nVar3305 := proc130(28);
+ call {:si_unique_call 3353} nVar3306 := proc130(28);
+ call {:si_unique_call 3354} nVar3307 := proc130(28);
+ call {:si_unique_call 3355} nVar3308 := proc130(28);
+ call {:si_unique_call 3356} nVar3309 := proc130(28);
+ call {:si_unique_call 3357} nVar3310 := proc130(28);
+ call {:si_unique_call 3358} nVar3311 := proc130(28);
+ call {:si_unique_call 3359} nVar3312 := proc130(12);
+ call {:si_unique_call 3360} nVar3313 := proc130(16);
+ call {:si_unique_call 3361} nVar3314 := proc130(28);
+ call {:si_unique_call 3362} nVar3315 := proc130(4);
+ call {:si_unique_call 3363} nVar3316 := proc130(12);
+ call {:si_unique_call 3364} nVar3317 := proc130(28);
+ call {:si_unique_call 3365} nVar3318 := proc130(28);
+ call {:si_unique_call 3366} nVar3319 := proc130(28);
+ call {:si_unique_call 3367} nVar3320 := proc130(28);
+ call {:si_unique_call 3368} nVar3321 := proc130(28);
+ call {:si_unique_call 3369} nVar3322 := proc130(28);
+ call {:si_unique_call 3370} nVar3323 := proc130(28);
+ call {:si_unique_call 3371} nVar3324 := proc130(12);
+ call {:si_unique_call 3372} nVar3325 := proc130(28);
+ call {:si_unique_call 3373} nVar3326 := proc130(28);
+ call {:si_unique_call 3374} nVar3327 := proc130(16);
+ call {:si_unique_call 3375} nVar3328 := proc130(16);
+ call {:si_unique_call 3376} nVar3329 := proc130(28);
+ call {:si_unique_call 3377} nVar3330 := proc130(28);
+ call {:si_unique_call 3378} nVar3331 := proc130(28);
+ call {:si_unique_call 3379} nVar3332 := proc130(28);
+ call {:si_unique_call 3380} nVar3333 := proc130(28);
+ call {:si_unique_call 3381} nVar3334 := proc130(28);
+ call {:si_unique_call 3382} nVar3335 := proc130(28);
+ call {:si_unique_call 3383} nVar3336 := proc130(28);
+ call {:si_unique_call 3384} nVar3337 := proc130(28);
+ call {:si_unique_call 3385} nVar3338 := proc130(4);
+ call {:si_unique_call 3386} nVar3339 := proc130(28);
+ call {:si_unique_call 3387} nVar3340 := proc130(28);
+ call {:si_unique_call 3388} nVar3341 := proc130(28);
+ call {:si_unique_call 3389} nVar3342 := proc130(28);
+ call {:si_unique_call 3390} nVar3343 := proc130(28);
+ call {:si_unique_call 3391} nVar3344 := proc130(28);
+ call {:si_unique_call 3392} nVar3345 := proc130(28);
+ call {:si_unique_call 3393} nVar4958 := proc130(16);
+ call {:si_unique_call 3394} nVar3346 := proc130(28);
+ call {:si_unique_call 3395} nVar3347 := proc130(28);
+ call {:si_unique_call 3396} nVar3348 := proc130(4);
+ call {:si_unique_call 3397} nVar3349 := proc130(12);
+ call {:si_unique_call 3398} nVar3350 := proc130(28);
+ call {:si_unique_call 3399} nVar3351 := proc130(28);
+ call {:si_unique_call 3400} nVar3352 := proc130(28);
+ call {:si_unique_call 3401} nVar3353 := proc130(28);
+ call {:si_unique_call 3402} nVar3354 := proc130(28);
+ call {:si_unique_call 3403} nVar3355 := proc130(28);
+ call {:si_unique_call 3404} nVar3356 := proc130(12);
+ call {:si_unique_call 3405} nVar3357 := proc130(28);
+ call {:si_unique_call 3406} nVar3358 := proc130(28);
+ call {:si_unique_call 3407} nVar3359 := proc130(28);
+ call {:si_unique_call 3408} nVar3360 := proc130(28);
+ call {:si_unique_call 3409} nVar3361 := proc130(28);
+ call {:si_unique_call 3410} nVar3362 := proc130(28);
+ call {:si_unique_call 3411} nVar3363 := proc130(28);
+ call {:si_unique_call 3412} nVar3364 := proc130(28);
+ call {:si_unique_call 3413} nVar3365 := proc130(16);
+ call {:si_unique_call 3414} nVar3366 := proc130(24);
+ call {:si_unique_call 3415} nVar3367 := proc130(28);
+ call {:si_unique_call 3416} nVar3368 := proc130(28);
+ call {:si_unique_call 3417} nVar3369 := proc130(4);
+ call {:si_unique_call 3418} nVar3370 := proc130(28);
+ call {:si_unique_call 3419} nVar3371 := proc130(28);
+ call {:si_unique_call 3420} nVar3372 := proc130(28);
+ call {:si_unique_call 3421} nVar3373 := proc130(16);
+ call {:si_unique_call 3422} nVar3374 := proc130(28);
+ call {:si_unique_call 3423} nVar3375 := proc130(28);
+ call {:si_unique_call 3424} nVar3376 := proc130(28);
+ call {:si_unique_call 3425} nVar3377 := proc130(4);
+ call {:si_unique_call 3426} nVar3378 := proc130(28);
+ call {:si_unique_call 3427} nVar3379 := proc130(16);
+ call {:si_unique_call 3428} nVar3380 := proc130(28);
+ call {:si_unique_call 3429} nVar3381 := proc130(28);
+ call {:si_unique_call 3430} nVar3382 := proc130(28);
+ call {:si_unique_call 3431} nVar3383 := proc130(28);
+ call {:si_unique_call 3432} nVar3384 := proc130(28);
+ call {:si_unique_call 3433} nVar3385 := proc130(28);
+ call {:si_unique_call 3434} nVar3386 := proc130(28);
+ call {:si_unique_call 3435} nVar3387 := proc130(16);
+ call {:si_unique_call 3436} nVar3388 := proc130(28);
+ call {:si_unique_call 3437} nVar3389 := proc130(4);
+ call {:si_unique_call 3438} nVar3390 := proc130(28);
+ call {:si_unique_call 3439} nVar3391 := proc130(28);
+ call {:si_unique_call 3440} nVar3392 := proc130(4);
+ call {:si_unique_call 3441} nVar3393 := proc130(28);
+ call {:si_unique_call 3442} nVar3394 := proc130(28);
+ call {:si_unique_call 3443} nVar3395 := proc130(24);
+ call {:si_unique_call 3444} nVar3396 := proc130(28);
+ call {:si_unique_call 3445} nVar3397 := proc130(28);
+ call {:si_unique_call 3446} nVar3398 := proc130(28);
+ call {:si_unique_call 3447} nVar3399 := proc130(28);
+ call {:si_unique_call 3448} nVar3400 := proc130(8);
+ call {:si_unique_call 3449} nVar3401 := proc130(4);
+ call {:si_unique_call 3450} nVar3402 := proc130(28);
+ call {:si_unique_call 3451} nVar3403 := proc130(28);
+ call {:si_unique_call 3452} nVar3404 := proc130(28);
+ call {:si_unique_call 3453} nVar3405 := proc130(28);
+ call {:si_unique_call 3454} nVar3406 := proc130(4);
+ call {:si_unique_call 3455} nVar3407 := proc130(28);
+ call {:si_unique_call 3456} nVar3408 := proc130(16);
+ call {:si_unique_call 3457} nVar3409 := proc130(4);
+ call {:si_unique_call 3458} nVar3410 := proc130(28);
+ call {:si_unique_call 3459} nVar3411 := proc130(28);
+ call {:si_unique_call 3460} nVar3412 := proc130(28);
+ call {:si_unique_call 3461} nVar3413 := proc130(28);
+ call {:si_unique_call 3462} nVar3414 := proc130(28);
+ call {:si_unique_call 3463} nVar3415 := proc130(28);
+ call {:si_unique_call 3464} nVar3416 := proc130(28);
+ call {:si_unique_call 3465} nVar3417 := proc130(28);
+ call {:si_unique_call 3466} nVar3418 := proc130(28);
+ call {:si_unique_call 3467} nVar3419 := proc130(28);
+ call {:si_unique_call 3468} nVar3420 := proc130(28);
+ call {:si_unique_call 3469} nVar3421 := proc130(28);
+ call {:si_unique_call 3470} nVar3422 := proc130(24);
+ call {:si_unique_call 3471} nVar3423 := proc130(4);
+ call {:si_unique_call 3472} nVar3424 := proc130(16);
+ call {:si_unique_call 3473} nVar3425 := proc130(28);
+ call {:si_unique_call 3474} nVar3426 := proc130(28);
+ call {:si_unique_call 3475} nVar3427 := proc130(28);
+ call {:si_unique_call 3476} nVar3428 := proc130(28);
+ call {:si_unique_call 3477} nVar3429 := proc130(28);
+ call {:si_unique_call 3478} nVar3430 := proc130(28);
+ call {:si_unique_call 3479} nVar3431 := proc130(28);
+ call {:si_unique_call 3480} nVar3432 := proc130(28);
+ call {:si_unique_call 3481} nVar3433 := proc130(28);
+ call {:si_unique_call 3482} nVar3434 := proc130(28);
+ call {:si_unique_call 3483} nVar3435 := proc130(28);
+ call {:si_unique_call 3484} nVar3436 := proc130(28);
+ call {:si_unique_call 3485} nVar3437 := proc130(28);
+ call {:si_unique_call 3486} nVar3438 := proc130(28);
+ call {:si_unique_call 3487} nVar3439 := proc130(28);
+ call {:si_unique_call 3488} nVar3440 := proc130(28);
+ call {:si_unique_call 3489} nVar3441 := proc130(28);
+ call {:si_unique_call 3490} nVar3442 := proc130(28);
+ call {:si_unique_call 3491} nVar3443 := proc130(28);
+ call {:si_unique_call 3492} nVar3444 := proc130(28);
+ call {:si_unique_call 3493} nVar3445 := proc130(28);
+ call {:si_unique_call 3494} nVar3446 := proc130(28);
+ call {:si_unique_call 3495} nVar3447 := proc130(28);
+ call {:si_unique_call 3496} nVar3448 := proc130(24);
+ call {:si_unique_call 3497} nVar3449 := proc130(28);
+ call {:si_unique_call 3498} nVar3450 := proc130(28);
+ call {:si_unique_call 3499} nVar3451 := proc130(4);
+ call {:si_unique_call 3500} nVar3452 := proc130(28);
+ call {:si_unique_call 3501} nVar3453 := proc130(24);
+ call {:si_unique_call 3502} nVar3454 := proc130(28);
+ call {:si_unique_call 3503} nVar3455 := proc130(28);
+ call {:si_unique_call 3504} nVar3456 := proc130(28);
+ call {:si_unique_call 3505} nVar3457 := proc130(28);
+ call {:si_unique_call 3506} nVar3458 := proc130(16);
+ call {:si_unique_call 3507} nVar3459 := proc130(28);
+ call {:si_unique_call 3508} nVar3460 := proc130(12);
+ call {:si_unique_call 3509} nVar3461 := proc130(28);
+ call {:si_unique_call 3510} nVar3462 := proc130(28);
+ call {:si_unique_call 3511} nVar3463 := proc130(28);
+ call {:si_unique_call 3512} nVar3464 := proc130(28);
+ call {:si_unique_call 3513} nVar3465 := proc130(28);
+ call {:si_unique_call 3514} nVar3466 := proc130(4);
+ call {:si_unique_call 3515} nVar3467 := proc130(28);
+ call {:si_unique_call 3516} nVar3468 := proc130(28);
+ call {:si_unique_call 3517} nVar3469 := proc130(4);
+ call {:si_unique_call 3518} nVar3470 := proc130(28);
+ call {:si_unique_call 3519} nVar3471 := proc130(28);
+ call {:si_unique_call 3520} nVar3472 := proc130(28);
+ call {:si_unique_call 3521} nVar3473 := proc130(12);
+ call {:si_unique_call 3522} nVar3474 := proc130(28);
+ call {:si_unique_call 3523} nVar3475 := proc130(28);
+ call {:si_unique_call 3524} nVar3476 := proc130(28);
+ call {:si_unique_call 3525} nVar3477 := proc130(28);
+ call {:si_unique_call 3526} nVar3478 := proc130(28);
+ call {:si_unique_call 3527} nVar3479 := proc130(16);
+ call {:si_unique_call 3528} nVar3480 := proc130(24);
+ call {:si_unique_call 3529} nVar3481 := proc130(28);
+ call {:si_unique_call 3530} nVar3482 := proc130(28);
+ call {:si_unique_call 3531} nVar3483 := proc130(4);
+ call {:si_unique_call 3532} nVar3484 := proc130(28);
+ call {:si_unique_call 3533} nVar3485 := proc130(28);
+ call {:si_unique_call 3534} nVar3486 := proc130(28);
+ call {:si_unique_call 3535} nVar3487 := proc130(28);
+ call {:si_unique_call 3536} nVar3488 := proc130(28);
+ call {:si_unique_call 3537} nVar3489 := proc130(28);
+ call {:si_unique_call 3538} nVar3490 := proc130(28);
+ call {:si_unique_call 3539} nVar3491 := proc130(24);
+ call {:si_unique_call 3540} nVar3492 := proc130(28);
+ call {:si_unique_call 3541} nVar3493 := proc130(28);
+ call {:si_unique_call 3542} nVar3494 := proc130(28);
+ call {:si_unique_call 3543} nVar3495 := proc130(16);
+ call {:si_unique_call 3544} nVar3496 := proc130(28);
+ call {:si_unique_call 3545} nVar3497 := proc130(24);
+ call {:si_unique_call 3546} nVar3498 := proc130(28);
+ call {:si_unique_call 3547} nVar3499 := proc130(28);
+ call {:si_unique_call 3548} nVar3500 := proc130(28);
+ call {:si_unique_call 3549} nVar3501 := proc130(28);
+ call {:si_unique_call 3550} nVar3502 := proc130(28);
+ call {:si_unique_call 3551} nVar3503 := proc130(28);
+ call {:si_unique_call 3552} nVar3504 := proc130(28);
+ call {:si_unique_call 3553} nVar3505 := proc130(28);
+ call {:si_unique_call 3554} nVar3506 := proc130(28);
+ call {:si_unique_call 3555} nVar3507 := proc130(4);
+ call {:si_unique_call 3556} nVar3508 := proc130(28);
+ call {:si_unique_call 3557} nVar3509 := proc130(28);
+ call {:si_unique_call 3558} nVar3510 := proc130(28);
+ call {:si_unique_call 3559} nVar3511 := proc130(28);
+ call {:si_unique_call 3560} nVar3512 := proc130(28);
+ call {:si_unique_call 3561} nVar3513 := proc130(28);
+ call {:si_unique_call 3562} nVar3514 := proc130(28);
+ call {:si_unique_call 3563} nVar3515 := proc130(28);
+ call {:si_unique_call 3564} nVar3516 := proc130(28);
+ call {:si_unique_call 3565} nVar3517 := proc130(28);
+ call {:si_unique_call 3566} nVar3518 := proc130(28);
+ call {:si_unique_call 3567} nVar3519 := proc130(28);
+ call {:si_unique_call 3568} nVar3520 := proc130(16);
+ call {:si_unique_call 3569} nVar3521 := proc130(56);
+ call {:si_unique_call 3570} nVar3522 := proc130(12);
+ call {:si_unique_call 3571} nVar3523 := proc130(28);
+ call {:si_unique_call 3572} nVar3524 := proc130(28);
+ call {:si_unique_call 3573} nVar3525 := proc130(28);
+ call {:si_unique_call 3574} nVar3526 := proc130(16);
+ call {:si_unique_call 3575} nVar3527 := proc130(28);
+ call {:si_unique_call 3576} nVar3528 := proc130(4);
+ call {:si_unique_call 3577} nVar3529 := proc130(16);
+ call {:si_unique_call 3578} nVar3530 := proc130(28);
+ call {:si_unique_call 3579} nVar3531 := proc130(28);
+ call {:si_unique_call 3580} nVar3532 := proc130(28);
+ call {:si_unique_call 3581} nVar3533 := proc130(28);
+ call {:si_unique_call 3582} nVar3534 := proc130(8);
+ call {:si_unique_call 3583} nVar3535 := proc130(12);
+ call {:si_unique_call 3584} nVar3536 := proc130(28);
+ call {:si_unique_call 3585} nVar3537 := proc130(28);
+ call {:si_unique_call 3586} nVar3538 := proc130(8);
+ call {:si_unique_call 3587} nVar3539 := proc130(28);
+ call {:si_unique_call 3588} nVar3540 := proc130(28);
+ call {:si_unique_call 3589} nVar3541 := proc130(28);
+ call {:si_unique_call 3590} nVar3542 := proc130(28);
+ call {:si_unique_call 3591} nVar3543 := proc130(28);
+ call {:si_unique_call 3592} nVar3544 := proc130(8);
+ call {:si_unique_call 3593} nVar3545 := proc130(12);
+ call {:si_unique_call 3594} nVar3546 := proc130(28);
+ call {:si_unique_call 3595} nVar3547 := proc130(28);
+ call {:si_unique_call 3596} nVar3548 := proc130(12);
+ call {:si_unique_call 3597} nVar3549 := proc130(28);
+ call {:si_unique_call 3598} nVar3550 := proc130(28);
+ call {:si_unique_call 3599} nVar3551 := proc130(28);
+ call {:si_unique_call 3600} nVar3552 := proc130(28);
+ call {:si_unique_call 3601} nVar3553 := proc130(28);
+ call {:si_unique_call 3602} nVar3554 := proc130(28);
+ call {:si_unique_call 3603} nVar3555 := proc130(28);
+ call {:si_unique_call 3604} nVar3556 := proc130(28);
+ call {:si_unique_call 3605} nVar3557 := proc130(28);
+ call {:si_unique_call 3606} nVar3558 := proc130(28);
+ call {:si_unique_call 3607} nVar3559 := proc130(56);
+ call {:si_unique_call 3608} nVar3560 := proc130(28);
+ call {:si_unique_call 3609} nVar3561 := proc130(28);
+ call {:si_unique_call 3610} nVar3562 := proc130(28);
+ call {:si_unique_call 3611} nVar3563 := proc130(28);
+ call {:si_unique_call 3612} nVar3564 := proc130(28);
+ call {:si_unique_call 3613} nVar3565 := proc130(28);
+ call {:si_unique_call 3614} nVar3566 := proc130(12);
+ call {:si_unique_call 3615} nVar3567 := proc130(28);
+ call {:si_unique_call 3616} nVar3568 := proc130(28);
+ call {:si_unique_call 3617} nVar3569 := proc130(4);
+ call {:si_unique_call 3618} nVar3570 := proc130(28);
+ call {:si_unique_call 3619} nVar3571 := proc130(28);
+ call {:si_unique_call 3620} nVar3572 := proc130(28);
+ call {:si_unique_call 3621} nVar3573 := proc130(28);
+ call {:si_unique_call 3622} nVar3574 := proc130(28);
+ call {:si_unique_call 3623} nVar3575 := proc130(28);
+ call {:si_unique_call 3624} nVar3576 := proc130(28);
+ call {:si_unique_call 3625} nVar3577 := proc130(16);
+ call {:si_unique_call 3626} nVar3578 := proc130(12);
+ call {:si_unique_call 3627} nVar3579 := proc130(28);
+ call {:si_unique_call 3628} nVar3580 := proc130(28);
+ call {:si_unique_call 3629} nVar3581 := proc130(28);
+ call {:si_unique_call 3630} nVar3582 := proc130(4);
+ call {:si_unique_call 3631} nVar3583 := proc130(28);
+ call {:si_unique_call 3632} nVar3584 := proc130(12);
+ call {:si_unique_call 3633} nVar3585 := proc130(28);
+ call {:si_unique_call 3634} nVar3586 := proc130(28);
+ call {:si_unique_call 3635} nVar3587 := proc130(28);
+ call {:si_unique_call 3636} nVar3588 := proc130(28);
+ call {:si_unique_call 3637} nVar3589 := proc130(28);
+ call {:si_unique_call 3638} nVar3590 := proc130(28);
+ call {:si_unique_call 3639} nVar3591 := proc130(24);
+ call {:si_unique_call 3640} nVar3592 := proc130(28);
+ call {:si_unique_call 3641} nVar3593 := proc130(28);
+ call {:si_unique_call 3642} nVar3594 := proc130(28);
+ call {:si_unique_call 3643} nVar3595 := proc130(28);
+ call {:si_unique_call 3644} nVar3596 := proc130(28);
+ call {:si_unique_call 3645} nVar3597 := proc130(28);
+ call {:si_unique_call 3646} nVar3598 := proc130(28);
+ call {:si_unique_call 3647} nVar3599 := proc130(28);
+ call {:si_unique_call 3648} nVar3600 := proc130(4);
+ call {:si_unique_call 3649} nVar3601 := proc130(4);
+ call {:si_unique_call 3650} nVar3602 := proc130(16);
+ call {:si_unique_call 3651} nVar4959 := proc130(16);
+ call {:si_unique_call 3652} nVar3603 := proc130(28);
+ call {:si_unique_call 3653} nVar3604 := proc130(28);
+ call {:si_unique_call 3654} nVar3605 := proc130(28);
+ call {:si_unique_call 3655} nVar3606 := proc130(28);
+ call {:si_unique_call 3656} nVar3607 := proc130(28);
+ call {:si_unique_call 3657} nVar3608 := proc130(28);
+ call {:si_unique_call 3658} nVar3609 := proc130(16);
+ call {:si_unique_call 3659} nVar3610 := proc130(28);
+ call {:si_unique_call 3660} nVar3611 := proc130(28);
+ call {:si_unique_call 3661} nVar3612 := proc130(28);
+ call {:si_unique_call 3662} nVar3613 := proc130(28);
+ call {:si_unique_call 3663} nVar3614 := proc130(28);
+ call {:si_unique_call 3664} nVar3615 := proc130(28);
+ call {:si_unique_call 3665} nVar3616 := proc130(28);
+ call {:si_unique_call 3666} nVar3617 := proc130(28);
+ call {:si_unique_call 3667} nVar3618 := proc130(28);
+ call {:si_unique_call 3668} nVar3619 := proc130(28);
+ call {:si_unique_call 3669} nVar3620 := proc130(28);
+ call {:si_unique_call 3670} nVar3621 := proc130(28);
+ call {:si_unique_call 3671} nVar3622 := proc130(12);
+ call {:si_unique_call 3672} nVar3623 := proc130(28);
+ call {:si_unique_call 3673} nVar3624 := proc130(28);
+ call {:si_unique_call 3674} nVar3625 := proc130(28);
+ call {:si_unique_call 3675} nVar3626 := proc130(28);
+ call {:si_unique_call 3676} nVar3627 := proc130(28);
+ call {:si_unique_call 3677} nVar3628 := proc130(28);
+ call {:si_unique_call 3678} nVar3629 := proc130(28);
+ call {:si_unique_call 3679} nVar3630 := proc130(28);
+ call {:si_unique_call 3680} nVar3631 := proc130(28);
+ call {:si_unique_call 3681} nVar3632 := proc130(28);
+ call {:si_unique_call 3682} nVar3633 := proc130(16);
+ call {:si_unique_call 3683} nVar3634 := proc130(28);
+ call {:si_unique_call 3684} nVar3635 := proc130(16);
+ call {:si_unique_call 3685} nVar3636 := proc130(28);
+ call {:si_unique_call 3686} nVar3637 := proc130(28);
+ call {:si_unique_call 3687} nVar3638 := proc130(4);
+ call {:si_unique_call 3688} nVar3639 := proc130(28);
+ call {:si_unique_call 3689} nVar3640 := proc130(28);
+ call {:si_unique_call 3690} nVar3641 := proc130(28);
+ call {:si_unique_call 3691} nVar3642 := proc130(28);
+ call {:si_unique_call 3692} nVar3643 := proc130(28);
+ call {:si_unique_call 3693} nVar3644 := proc130(16);
+ call {:si_unique_call 3694} nVar3645 := proc130(28);
+ call {:si_unique_call 3695} nVar3646 := proc130(28);
+ call {:si_unique_call 3696} nVar3647 := proc130(16);
+ call {:si_unique_call 3697} nVar3648 := proc130(28);
+ call {:si_unique_call 3698} nVar3649 := proc130(28);
+ call {:si_unique_call 3699} nVar3650 := proc130(28);
+ call {:si_unique_call 3700} nVar3651 := proc130(28);
+ call {:si_unique_call 3701} nVar3652 := proc130(28);
+ call {:si_unique_call 3702} nVar3653 := proc130(28);
+ call {:si_unique_call 3703} nVar3654 := proc130(28);
+ call {:si_unique_call 3704} nVar3655 := proc130(24);
+ call {:si_unique_call 3705} nVar3656 := proc130(28);
+ call {:si_unique_call 3706} nVar3657 := proc130(8);
+ call {:si_unique_call 3707} nVar3658 := proc130(28);
+ call {:si_unique_call 3708} nVar3659 := proc130(28);
+ call {:si_unique_call 3709} nVar3660 := proc130(28);
+ call {:si_unique_call 3710} nVar3661 := proc130(28);
+ call {:si_unique_call 3711} nVar3662 := proc130(28);
+ call {:si_unique_call 3712} nVar3663 := proc130(28);
+ call {:si_unique_call 3713} nVar3664 := proc130(16);
+ call {:si_unique_call 3714} nVar3665 := proc130(28);
+ call {:si_unique_call 3715} nVar3666 := proc130(28);
+ call {:si_unique_call 3716} nVar3667 := proc130(28);
+ call {:si_unique_call 3717} nVar3668 := proc130(28);
+ call {:si_unique_call 3718} nVar3669 := proc130(28);
+ call {:si_unique_call 3719} nVar3670 := proc130(4);
+ call {:si_unique_call 3720} nVar3671 := proc130(4);
+ call {:si_unique_call 3721} nVar3672 := proc130(28);
+ call {:si_unique_call 3722} nVar3673 := proc130(28);
+ call {:si_unique_call 3723} nVar3674 := proc130(28);
+ call {:si_unique_call 3724} nVar3675 := proc130(56);
+ call {:si_unique_call 3725} nVar3676 := proc130(4);
+ call {:si_unique_call 3726} nVar3677 := proc130(4);
+ call {:si_unique_call 3727} nVar3678 := proc130(28);
+ call {:si_unique_call 3728} nVar4960 := proc130(16);
+ call {:si_unique_call 3729} nVar3679 := proc130(24);
+ call {:si_unique_call 3730} nVar3680 := proc130(28);
+ call {:si_unique_call 3731} nVar3681 := proc130(28);
+ call {:si_unique_call 3732} nVar3682 := proc130(28);
+ call {:si_unique_call 3733} nVar3683 := proc130(28);
+ call {:si_unique_call 3734} nVar3684 := proc130(28);
+ call {:si_unique_call 3735} nVar3685 := proc130(28);
+ call {:si_unique_call 3736} nVar3686 := proc130(28);
+ call {:si_unique_call 3737} nVar3687 := proc130(28);
+ call {:si_unique_call 3738} nVar3688 := proc130(16);
+ call {:si_unique_call 3739} nVar3689 := proc130(28);
+ call {:si_unique_call 3740} nVar3690 := proc130(28);
+ call {:si_unique_call 3741} nVar3691 := proc130(16);
+ call {:si_unique_call 3742} nVar3692 := proc130(28);
+ call {:si_unique_call 3743} nVar3693 := proc130(12);
+ call {:si_unique_call 3744} nVar3694 := proc130(28);
+ call {:si_unique_call 3745} nVar3695 := proc130(28);
+ call {:si_unique_call 3746} nVar3696 := proc130(28);
+ call {:si_unique_call 3747} nVar3697 := proc130(28);
+ call {:si_unique_call 3748} nVar3698 := proc130(24);
+ call {:si_unique_call 3749} nVar3699 := proc130(4);
+ call {:si_unique_call 3750} nVar3700 := proc130(28);
+ call {:si_unique_call 3751} nVar3701 := proc130(28);
+ call {:si_unique_call 3752} nVar3702 := proc130(16);
+ call {:si_unique_call 3753} nVar3703 := proc130(28);
+ call {:si_unique_call 3754} nVar3704 := proc130(28);
+ call {:si_unique_call 3755} nVar3705 := proc130(28);
+ call {:si_unique_call 3756} nVar3706 := proc130(28);
+ call {:si_unique_call 3757} nVar3707 := proc130(28);
+ call {:si_unique_call 3758} nVar3708 := proc130(4);
+ call {:si_unique_call 3759} nVar3709 := proc130(28);
+ call {:si_unique_call 3760} nVar3710 := proc130(16);
+ call {:si_unique_call 3761} nVar4939 := proc131(4);
+ call {:si_unique_call 3762} nVar4939 := proc131(4);
+ call {:si_unique_call 3763} nVar4939 := proc131(4);
+ call {:si_unique_call 3764} nVar4939 := proc131(4);
+ call {:si_unique_call 3765} nVar4939 := proc131(4);
+ call {:si_unique_call 3766} nVar4939 := proc131(4);
+ call {:si_unique_call 3767} nVar4939 := proc131(4);
+ nVar347 := nVar4939;
+ call {:si_unique_call 3768} nVar4939 := proc131(4);
+ call {:si_unique_call 3769} nVar4939 := proc131(4);
+ nVar399 := nVar4939;
+ call {:si_unique_call 3770} nVar4939 := proc131(4);
+ call {:si_unique_call 3771} nVar4939 := proc131(4);
+ call {:si_unique_call 3772} nVar4939 := proc131(4);
+ call {:si_unique_call 3773} nVar4939 := proc131(4);
+ call {:si_unique_call 3774} nVar4939 := proc131(4);
+ call {:si_unique_call 3775} nVar4939 := proc131(4);
+ call {:si_unique_call 3776} nVar4939 := proc131(4);
+ call {:si_unique_call 3777} nVar4939 := proc131(4);
+ call {:si_unique_call 3778} nVar4939 := proc131(4);
+ call {:si_unique_call 3779} nVar4939 := proc131(4);
+ call {:si_unique_call 3780} nVar4939 := proc131(4);
+ call {:si_unique_call 3781} nVar4939 := proc131(4);
+ call {:si_unique_call 3782} nVar4939 := proc131(4);
+ call {:si_unique_call 3783} nVar4939 := proc131(4);
+ call {:si_unique_call 3784} nVar4939 := proc131(4);
+ call {:si_unique_call 3785} nVar4939 := proc131(4);
+ call {:si_unique_call 3786} nVar4939 := proc131(4);
+ call {:si_unique_call 3787} nVar4939 := proc131(4);
+ call {:si_unique_call 3788} nVar4939 := proc131(4);
+ call {:si_unique_call 3789} nVar4939 := proc131(4);
+ call {:si_unique_call 3790} nVar4939 := proc131(4);
+ call {:si_unique_call 3791} nVar4939 := proc131(4);
+ call {:si_unique_call 3792} nVar4939 := proc131(4);
+ call {:si_unique_call 3793} nVar4939 := proc131(4);
+ nVar1040 := nVar4939;
+ call {:si_unique_call 3794} nVar4939 := proc131(4);
+ call {:si_unique_call 3795} nVar4939 := proc131(4);
+ call {:si_unique_call 3796} nVar4939 := proc131(4);
+ call {:si_unique_call 3797} nVar4939 := proc131(4);
+ nVar1175 := nVar4939;
+ call {:si_unique_call 3798} nVar4939 := proc131(4);
+ call {:si_unique_call 3799} nVar4939 := proc131(4);
+ call {:si_unique_call 3800} nVar4939 := proc131(4);
+ call {:si_unique_call 3801} nVar4939 := proc131(4);
+ call {:si_unique_call 3802} nVar4939 := proc131(4);
+ call {:si_unique_call 3803} nVar4939 := proc131(4);
+ call {:si_unique_call 3804} nVar4939 := proc131(4);
+ call {:si_unique_call 3805} nVar4939 := proc131(4);
+ call {:si_unique_call 3806} nVar4939 := proc131(4);
+ call {:si_unique_call 3807} nVar4939 := proc131(4);
+ call {:si_unique_call 3808} nVar4939 := proc131(4);
+ call {:si_unique_call 3809} nVar4939 := proc131(4);
+ call {:si_unique_call 3810} nVar4939 := proc131(4);
+ call {:si_unique_call 3811} nVar4939 := proc131(4);
+ call {:si_unique_call 3812} nVar4939 := proc131(8);
+ call {:si_unique_call 3813} nVar4939 := proc131(4);
+ call {:si_unique_call 3814} nVar4939 := proc131(4);
+ call {:si_unique_call 3815} nVar4939 := proc131(4);
+ call {:si_unique_call 3816} nVar4939 := proc131(4);
+ call {:si_unique_call 3817} nVar4939 := proc131(4);
+ call {:si_unique_call 3818} nVar4939 := proc131(4);
+ call {:si_unique_call 3819} nVar4939 := proc131(4);
+ call {:si_unique_call 3820} nVar4939 := proc131(4);
+ nVar2103 := nVar4939;
+ call {:si_unique_call 3821} nVar4939 := proc131(4);
+ call {:si_unique_call 3822} nVar4939 := proc131(4);
+ call {:si_unique_call 3823} nVar4939 := proc131(8);
+ nVar2179 := nVar4939;
+ call {:si_unique_call 3824} nVar4939 := proc131(4);
+ call {:si_unique_call 3825} nVar4939 := proc131(4);
+ call {:si_unique_call 3826} nVar4939 := proc131(4);
+ call {:si_unique_call 3827} nVar4939 := proc131(16);
+ call {:si_unique_call 3828} nVar4939 := proc131(4);
+ call {:si_unique_call 3829} nVar4939 := proc131(4);
+ nVar2621 := nVar4939;
+ call {:si_unique_call 3830} nVar4939 := proc131(4);
+ nVar2632 := nVar4939;
+ call {:si_unique_call 3831} nVar4939 := proc131(4);
+ call {:si_unique_call 3832} nVar4939 := proc131(4);
+ call {:si_unique_call 3833} nVar4939 := proc131(4);
+ nVar2754 := nVar4939;
+ call {:si_unique_call 3834} nVar4939 := proc131(4);
+ call {:si_unique_call 3835} nVar4939 := proc131(4);
+ call {:si_unique_call 3836} nVar4939 := proc131(4);
+ call {:si_unique_call 3837} nVar4939 := proc131(4);
+ call {:si_unique_call 3838} nVar4939 := proc131(4);
+ call {:si_unique_call 3839} nVar4939 := proc131(4);
+ call {:si_unique_call 3840} nVar4939 := proc131(4);
+ call {:si_unique_call 3841} nVar4939 := proc131(4);
+ call {:si_unique_call 3842} nVar4939 := proc131(4);
+ call {:si_unique_call 3843} nVar4939 := proc131(4);
+ call {:si_unique_call 3844} nVar4939 := proc131(4);
+ call {:si_unique_call 3845} nVar4939 := proc131(4);
+ call {:si_unique_call 3846} nVar4939 := proc131(4);
+ nVar3048 := nVar4939;
+ call {:si_unique_call 3847} nVar4939 := proc131(4);
+ call {:si_unique_call 3848} nVar4939 := proc131(4);
+ nVar3062 := nVar4939;
+ call {:si_unique_call 3849} nVar4939 := proc131(4);
+ call {:si_unique_call 3850} nVar4939 := proc131(8);
+ nVar3139 := nVar4939;
+ call {:si_unique_call 3851} nVar4939 := proc131(4);
+ call {:si_unique_call 3852} nVar4939 := proc131(60);
+ call {:si_unique_call 3853} nVar4939 := proc131(4);
+ call {:si_unique_call 3854} nVar4939 := proc131(8);
+ call {:si_unique_call 3855} nVar4939 := proc131(4);
+ call {:si_unique_call 3856} nVar4939 := proc131(4);
+ call {:si_unique_call 3857} nVar4939 := proc131(4);
+ call {:si_unique_call 3858} nVar4939 := proc131(28);
+ call {:si_unique_call 3859} nVar4939 := proc131(4);
+ call {:si_unique_call 3860} nVar4939 := proc131(4);
+ call {:si_unique_call 3861} nVar4939 := proc131(8);
+ call {:si_unique_call 3862} nVar4939 := proc131(4);
+ call {:si_unique_call 3863} nVar4939 := proc131(4);
+ call {:si_unique_call 3864} nVar4938 := proc130(8);
+ call {:si_unique_call 3879} nVar4961 := proc130(16);
+ call {:si_unique_call 3880} nVar4962 := proc130(56);
+ call {:si_unique_call 3881} nVar4963 := proc130(72);
+ call {:si_unique_call 3882} nVar4964 := proc130(192);
+ call {:si_unique_call 3883} nVar4965 := proc130(56);
+ call {:si_unique_call 3884} nVar4966 := proc130(336);
+ call {:si_unique_call 3885} nVar4967 := proc130(76);
+ call {:si_unique_call 3886} nVar4968 := proc130(8);
+ call {:si_unique_call 3887} nVar4969 := proc130(112);
+ call {:si_unique_call 3888} nVar4970 := proc130(76);
+ call {:si_unique_call 3889} nVar4971 := proc130(164);
+ call {:si_unique_call 3890} nVar4972 := proc130(324);
+ call {:si_unique_call 3891} nVar4973 := proc130(140);
+ call {:si_unique_call 3892} nVar4974 := proc130(308);
+ call {:si_unique_call 3893} nVar4975 := proc130(8);
+ call {:si_unique_call 3894} nVar4976 := proc130(92);
+ call {:si_unique_call 3895} nVar4977 := proc130(124);
+ call {:si_unique_call 3896} nVar4978 := proc130(280);
+ call {:si_unique_call 3897} nVar4979 := proc130(252);
+ call {:si_unique_call 3898} nVar4980 := proc130(84);
+ call {:si_unique_call 3899} nVar4981 := proc130(32);
+ call {:si_unique_call 3900} nVar4982 := proc130(92);
+ call {:si_unique_call 3901} nVar4983 := proc130(104);
+ call {:si_unique_call 3902} nVar4984 := proc130(284);
+ call {:si_unique_call 3903} nVar4985 := proc130(340);
+ call {:si_unique_call 3904} nVar4986 := proc130(64);
+ call {:si_unique_call 3905} nVar4987 := proc130(368);
+ call {:si_unique_call 3906} nVar4988 := proc130(300);
+ call {:si_unique_call 3907} nVar4989 := proc130(176);
+ call {:si_unique_call 3908} nVar4990 := proc130(8);
+ call {:si_unique_call 3909} nVar4991 := proc130(96);
+ call {:si_unique_call 3910} nVar4992 := proc130(304);
+ call {:si_unique_call 3911} nVar4993 := proc130(272);
+ call {:si_unique_call 3912} nVar4994 := proc130(288);
+ call {:si_unique_call 3913} nVar4995 := proc130(180);
+ call {:si_unique_call 3914} nVar4996 := proc130(192);
+ call {:si_unique_call 3915} nVar4997 := proc130(136);
+ call {:si_unique_call 3916} nVar4998 := proc130(376);
+ call {:si_unique_call 3917} nVar4999 := proc130(140);
+ call {:si_unique_call 3918} nVar5000 := proc130(96);
+ call {:si_unique_call 3919} nVar5001 := proc130(172);
+ call {:si_unique_call 3920} nVar5002 := proc130(184);
+ call {:si_unique_call 3921} nVar5003 := proc130(252);
+ call {:si_unique_call 3922} nVar5004 := proc130(276);
+ call {:si_unique_call 3923} nVar5005 := proc130(104);
+ call {:si_unique_call 3924} nVar5006 := proc130(16);
+ call {:si_unique_call 3925} nVar5007 := proc130(296);
+ call {:si_unique_call 3926} nVar5008 := proc130(112);
+ call {:si_unique_call 3927} nVar5009 := proc130(4);
+ call {:si_unique_call 3928} nVar5010 := proc130(48);
+ call {:si_unique_call 3929} nVar5011 := proc130(44);
+ call {:si_unique_call 3930} nVar5012 := proc130(56);
+ call {:si_unique_call 3931} nVar5013 := proc130(312);
+ call {:si_unique_call 3932} nVar5014 := proc130(100);
+ call {:si_unique_call 3933} nVar5015 := proc130(104);
+ call {:si_unique_call 3934} nVar5016 := proc130(48);
+ call {:si_unique_call 3935} nVar5017 := proc130(104);
+ call {:si_unique_call 3936} nVar5018 := proc130(100);
+ call {:si_unique_call 3937} nVar5019 := proc130(292);
+ call {:si_unique_call 3938} nVar5020 := proc130(156);
+ call {:si_unique_call 3939} nVar5021 := proc130(96);
+ call {:si_unique_call 3940} nVar5022 := proc130(156);
+ call {:si_unique_call 3941} nVar5023 := proc130(288);
+ call {:si_unique_call 3942} nVar5024 := proc130(176);
+ call {:si_unique_call 3943} nVar5025 := proc130(80);
+ call {:si_unique_call 3944} nVar5026 := proc130(24);
+ call {:si_unique_call 3945} nVar5027 := proc130(360);
+ call {:si_unique_call 3946} nVar5028 := proc130(184);
+ call {:si_unique_call 3947} nVar5029 := proc130(132);
+ call {:si_unique_call 3948} nVar5030 := proc130(112);
+ call {:si_unique_call 3949} nVar5031 := proc130(132);
+ call {:si_unique_call 3950} nVar5032 := proc130(236);
+ call {:si_unique_call 3951} nVar5033 := proc130(56);
+ call {:si_unique_call 3952} nVar5034 := proc130(292);
+ call {:si_unique_call 3953} nVar347 := proc130(48);
+ call {:si_unique_call 3954} nVar5035 := proc130(312);
+ call {:si_unique_call 3955} nVar5036 := proc130(88);
+ call {:si_unique_call 3956} nVar5037 := proc130(184);
+ call {:si_unique_call 3957} nVar5038 := proc130(196);
+ call {:si_unique_call 3958} nVar5039 := proc130(24);
+ call {:si_unique_call 3959} nVar5040 := proc130(268);
+ call {:si_unique_call 3960} nVar5041 := proc130(168);
+ call {:si_unique_call 3961} nVar5042 := proc130(36);
+ call {:si_unique_call 3962} nVar5043 := proc130(184);
+ call {:si_unique_call 3963} nVar399 := proc130(20);
+ call {:si_unique_call 3964} nVar5044 := proc130(88);
+ call {:si_unique_call 3965} nVar5045 := proc130(8);
+ call {:si_unique_call 3966} nVar5046 := proc130(324);
+ call {:si_unique_call 3967} nVar5047 := proc130(96);
+ call {:si_unique_call 3968} nVar5048 := proc130(156);
+ call {:si_unique_call 3969} nVar5049 := proc130(404);
+ call {:si_unique_call 3970} nVar5050 := proc130(48);
+ call {:si_unique_call 3971} nVar5051 := proc130(112);
+ call {:si_unique_call 3972} nVar5052 := proc130(172);
+ call {:si_unique_call 3973} nVar5053 := proc130(152);
+ call {:si_unique_call 3974} nVar5054 := proc130(24);
+ call {:si_unique_call 3975} nVar5055 := proc130(124);
+ call {:si_unique_call 3976} nVar5056 := proc130(56);
+ call {:si_unique_call 3977} nVar5057 := proc130(60);
+ call {:si_unique_call 3978} nVar5058 := proc130(216);
+ call {:si_unique_call 3979} nVar5059 := proc130(196);
+ call {:si_unique_call 3980} nVar5060 := proc130(72);
+ call {:si_unique_call 3981} nVar5061 := proc130(44);
+ call {:si_unique_call 3982} nVar5062 := proc130(96);
+ call {:si_unique_call 3983} nVar4939 := proc130(8);
+ call {:si_unique_call 3984} nVar5063 := proc130(8);
+ call {:si_unique_call 3985} nVar5064 := proc130(152);
+ call {:si_unique_call 3986} nVar5065 := proc130(8);
+ call {:si_unique_call 3987} nVar5066 := proc130(272);
+ call {:si_unique_call 3988} nVar5067 := proc130(100);
+ call {:si_unique_call 3989} nVar5068 := proc130(100);
+ call {:si_unique_call 3990} nVar5069 := proc130(140);
+ call {:si_unique_call 3991} nVar5070 := proc130(328);
+ call {:si_unique_call 3992} nVar5071 := proc130(144);
+ call {:si_unique_call 3993} nVar5072 := proc130(324);
+ call {:si_unique_call 3994} nVar5073 := proc130(28);
+ call {:si_unique_call 3995} nVar5074 := proc130(236);
+ call {:si_unique_call 3996} nVar5075 := proc130(136);
+ call {:si_unique_call 3997} nVar5076 := proc130(176);
+ call {:si_unique_call 3998} nVar5077 := proc130(124);
+ call {:si_unique_call 3999} nVar5078 := proc130(48);
+ call {:si_unique_call 4000} nVar5079 := proc130(88);
+ call {:si_unique_call 4001} nVar5080 := proc130(136);
+ call {:si_unique_call 4002} nVar5081 := proc130(200);
+ call {:si_unique_call 4003} nVar5082 := proc130(8);
+ call {:si_unique_call 4004} nVar5083 := proc130(84);
+ call {:si_unique_call 4005} nVar5084 := proc130(164);
+ call {:si_unique_call 4006} nVar5085 := proc130(76);
+ call {:si_unique_call 4007} nVar5086 := proc130(8);
+ call {:si_unique_call 4008} nVar5087 := proc130(100);
+ call {:si_unique_call 4009} nVar5088 := proc130(8);
+ call {:si_unique_call 4010} nVar5089 := proc130(56);
+ call {:si_unique_call 4011} nVar5090 := proc130(288);
+ call {:si_unique_call 4012} nVar5091 := proc130(136);
+ call {:si_unique_call 4013} nVar5092 := proc130(24);
+ call {:si_unique_call 4014} nVar5093 := proc130(152);
+ call {:si_unique_call 4015} nVar5094 := proc130(312);
+ call {:si_unique_call 4016} nVar5095 := proc130(180);
+ call {:si_unique_call 4017} nVar5096 := proc130(164);
+ call {:si_unique_call 4018} nVar5097 := proc130(124);
+ call {:si_unique_call 4019} nVar5098 := proc130(300);
+ call {:si_unique_call 4020} nVar5099 := proc130(264);
+ call {:si_unique_call 4021} nVar5100 := proc130(184);
+ call {:si_unique_call 4022} nVar5101 := proc130(320);
+ call {:si_unique_call 4023} nVar5102 := proc130(64);
+ call {:si_unique_call 4024} nVar5103 := proc130(92);
+ call {:si_unique_call 4025} nVar5104 := proc130(96);
+ call {:si_unique_call 4026} nVar5105 := proc130(132);
+ call {:si_unique_call 4027} nVar5106 := proc130(336);
+ call {:si_unique_call 4028} nVar5107 := proc130(16);
+ call {:si_unique_call 4029} nVar5108 := proc130(180);
+ call {:si_unique_call 4030} nVar5109 := proc130(108);
+ call {:si_unique_call 4031} nVar5110 := proc130(60);
+ call {:si_unique_call 4032} nVar5111 := proc130(128);
+ call {:si_unique_call 4033} nVar5112 := proc130(212);
+ call {:si_unique_call 4034} nVar5113 := proc130(160);
+ call {:si_unique_call 4035} nVar5114 := proc130(84);
+ call {:si_unique_call 4036} nVar5115 := proc130(40);
+ call {:si_unique_call 4037} nVar5116 := proc130(44);
+ call {:si_unique_call 4038} nVar5117 := proc130(340);
+ call {:si_unique_call 4039} nVar5118 := proc130(340);
+ call {:si_unique_call 4040} nVar5119 := proc130(156);
+ call {:si_unique_call 4041} nVar5120 := proc130(308);
+ call {:si_unique_call 4042} nVar5121 := proc130(76);
+ call {:si_unique_call 4043} nVar5122 := proc130(324);
+ call {:si_unique_call 4044} nVar5123 := proc130(324);
+ call {:si_unique_call 4045} nVar5124 := proc130(72);
+ call {:si_unique_call 4046} nVar5125 := proc130(24);
+ call {:si_unique_call 4047} nVar5126 := proc130(68);
+ call {:si_unique_call 4048} nVar5127 := proc130(76);
+ call {:si_unique_call 4049} nVar5128 := proc130(76);
+ call {:si_unique_call 4050} nVar5129 := proc130(72);
+ call {:si_unique_call 4051} nVar5130 := proc130(52);
+ call {:si_unique_call 4052} nVar5131 := proc130(304);
+ call {:si_unique_call 4053} nVar5132 := proc130(180);
+ call {:si_unique_call 4054} nVar5133 := proc130(88);
+ call {:si_unique_call 4055} nVar5134 := proc130(296);
+ call {:si_unique_call 4056} nVar5135 := proc130(72);
+ call {:si_unique_call 4057} nVar5136 := proc130(120);
+ call {:si_unique_call 4058} nVar5137 := proc130(128);
+ call {:si_unique_call 4059} nVar5138 := proc130(108);
+ call {:si_unique_call 4060} nVar5139 := proc130(24);
+ call {:si_unique_call 4061} nVar5140 := proc130(260);
+ call {:si_unique_call 4062} nVar5141 := proc130(184);
+ call {:si_unique_call 4063} nVar5142 := proc130(60);
+ call {:si_unique_call 4064} nVar5143 := proc130(312);
+ call {:si_unique_call 4065} nVar5144 := proc130(276);
+ call {:si_unique_call 4066} nVar5145 := proc130(104);
+ call {:si_unique_call 4067} nVar5146 := proc130(84);
+ call {:si_unique_call 4068} nVar5147 := proc130(156);
+ call {:si_unique_call 4069} nVar5148 := proc130(28);
+ call {:si_unique_call 4070} nVar5149 := proc130(88);
+ call {:si_unique_call 4071} nVar5150 := proc130(8);
+ call {:si_unique_call 4072} nVar5151 := proc130(104);
+ call {:si_unique_call 4073} nVar5152 := proc130(168);
+ call {:si_unique_call 4074} nVar5153 := proc130(208);
+ call {:si_unique_call 4075} nVar5154 := proc130(216);
+ call {:si_unique_call 4076} nVar5155 := proc130(92);
+ call {:si_unique_call 4077} nVar5156 := proc130(116);
+ call {:si_unique_call 4078} nVar5157 := proc130(268);
+ call {:si_unique_call 4079} nVar5158 := proc130(324);
+ call {:si_unique_call 4080} nVar5159 := proc130(100);
+ call {:si_unique_call 4081} nVar5160 := proc130(116);
+ call {:si_unique_call 4082} nVar5161 := proc130(192);
+ call {:si_unique_call 4083} nVar5162 := proc130(68);
+ call {:si_unique_call 4084} nVar5163 := proc130(196);
+ call {:si_unique_call 4085} nVar5164 := proc130(20);
+ call {:si_unique_call 4086} nVar5165 := proc130(232);
+ call {:si_unique_call 4087} nVar5166 := proc130(224);
+ call {:si_unique_call 4088} nVar5167 := proc130(132);
+ call {:si_unique_call 4089} nVar5168 := proc130(152);
+ call {:si_unique_call 4090} nVar5169 := proc130(296);
+ call {:si_unique_call 4091} nVar5170 := proc130(108);
+ call {:si_unique_call 4092} nVar5171 := proc130(24);
+ call {:si_unique_call 4093} nVar5172 := proc130(80);
+ call {:si_unique_call 4094} nVar5173 := proc130(8);
+ call {:si_unique_call 4095} nVar5174 := proc130(244);
+ call {:si_unique_call 4096} nVar5175 := proc130(164);
+ call {:si_unique_call 4097} nVar5176 := proc130(84);
+ call {:si_unique_call 4098} nVar5177 := proc130(312);
+ call {:si_unique_call 4099} nVar5178 := proc130(268);
+ call {:si_unique_call 4100} nVar5179 := proc130(24);
+ call {:si_unique_call 4101} nVar5180 := proc130(144);
+ call {:si_unique_call 4102} nVar5181 := proc130(84);
+ call {:si_unique_call 4103} nVar5182 := proc130(112);
+ call {:si_unique_call 4104} nVar5183 := proc130(4);
+ call {:si_unique_call 4105} nVar5184 := proc130(332);
+ call {:si_unique_call 4106} nVar5185 := proc130(100);
+ call {:si_unique_call 4107} nVar5186 := proc130(96);
+ call {:si_unique_call 4108} nVar5187 := proc130(300);
+ call {:si_unique_call 4109} nVar5188 := proc130(176);
+ call {:si_unique_call 4110} nVar5189 := proc130(24);
+ call {:si_unique_call 4111} nVar5190 := proc130(60);
+ call {:si_unique_call 4112} nVar5191 := proc130(68);
+ call {:si_unique_call 4113} nVar5192 := proc130(16);
+ call {:si_unique_call 4114} nVar5193 := proc130(96);
+ call {:si_unique_call 4115} nVar5194 := proc130(152);
+ call {:si_unique_call 4116} nVar5195 := proc130(140);
+ call {:si_unique_call 4117} nVar5196 := proc130(328);
+ call {:si_unique_call 4118} nVar5197 := proc130(296);
+ call {:si_unique_call 4119} nVar5198 := proc130(56);
+ call {:si_unique_call 4120} nVar5199 := proc130(24);
+ call {:si_unique_call 4121} nVar5200 := proc130(276);
+ call {:si_unique_call 4122} nVar5201 := proc130(288);
+ call {:si_unique_call 4123} nVar5202 := proc130(88);
+ call {:si_unique_call 4124} nVar5203 := proc130(220);
+ call {:si_unique_call 4125} nVar5204 := proc130(100);
+ call {:si_unique_call 4126} nVar5205 := proc130(224);
+ call {:si_unique_call 4127} nVar5206 := proc130(60);
+ call {:si_unique_call 4128} nVar5207 := proc130(112);
+ call {:si_unique_call 4129} nVar1040 := proc130(24);
+ call {:si_unique_call 4130} nVar5208 := proc130(300);
+ call {:si_unique_call 4131} nVar5209 := proc130(132);
+ call {:si_unique_call 4132} nVar5210 := proc130(24);
+ call {:si_unique_call 4133} nVar5211 := proc130(124);
+ call {:si_unique_call 4134} nVar5212 := proc130(96);
+ call {:si_unique_call 4135} nVar5213 := proc130(176);
+ call {:si_unique_call 4136} nVar5214 := proc130(136);
+ call {:si_unique_call 4137} nVar5215 := proc130(48);
+ call {:si_unique_call 4138} nVar5216 := proc130(28);
+ call {:si_unique_call 4139} nVar5217 := proc130(296);
+ call {:si_unique_call 4140} nVar5218 := proc130(268);
+ call {:si_unique_call 4141} nVar5219 := proc130(144);
+ call {:si_unique_call 4142} nVar5220 := proc130(152);
+ call {:si_unique_call 4143} nVar5221 := proc130(292);
+ call {:si_unique_call 4144} nVar5222 := proc130(100);
+ call {:si_unique_call 4145} nVar5223 := proc130(252);
+ call {:si_unique_call 4146} nVar5224 := proc130(124);
+ call {:si_unique_call 4147} nVar5225 := proc130(220);
+ call {:si_unique_call 4148} nVar5226 := proc130(24);
+ call {:si_unique_call 4149} nVar5227 := proc130(16);
+ call {:si_unique_call 4150} nVar5228 := proc130(100);
+ call {:si_unique_call 4151} nVar5229 := proc130(116);
+ call {:si_unique_call 4152} nVar5230 := proc130(148);
+ call {:si_unique_call 4153} nVar5231 := proc130(144);
+ call {:si_unique_call 4154} nVar5232 := proc130(164);
+ call {:si_unique_call 4155} nVar5233 := proc130(344);
+ call {:si_unique_call 4156} nVar5234 := proc130(296);
+ call {:si_unique_call 4157} nVar5235 := proc130(16);
+ call {:si_unique_call 4158} nVar5236 := proc130(40);
+ call {:si_unique_call 4159} nVar5237 := proc130(60);
+ call {:si_unique_call 4160} nVar5238 := proc130(228);
+ call {:si_unique_call 4161} nVar5239 := proc130(348);
+ call {:si_unique_call 4162} nVar5240 := proc130(332);
+ call {:si_unique_call 4163} nVar5241 := proc130(160);
+ call {:si_unique_call 4164} nVar5242 := proc130(112);
+ call {:si_unique_call 4165} nVar5243 := proc130(80);
+ call {:si_unique_call 4166} nVar1175 := proc130(20);
+ call {:si_unique_call 4167} nVar5244 := proc130(20);
+ call {:si_unique_call 4168} nVar5245 := proc130(40);
+ call {:si_unique_call 4169} nVar5246 := proc130(64);
+ call {:si_unique_call 4170} nVar5247 := proc130(96);
+ call {:si_unique_call 4171} nVar5248 := proc130(192);
+ call {:si_unique_call 4172} nVar5249 := proc130(124);
+ call {:si_unique_call 4173} nVar5250 := proc130(72);
+ call {:si_unique_call 4174} nVar5251 := proc130(228);
+ call {:si_unique_call 4175} nVar5252 := proc130(48);
+ call {:si_unique_call 4176} nVar5253 := proc130(224);
+ call {:si_unique_call 4177} nVar5254 := proc130(152);
+ call {:si_unique_call 4178} nVar5255 := proc130(396);
+ call {:si_unique_call 4179} nVar5256 := proc130(136);
+ call {:si_unique_call 4180} nVar5257 := proc130(120);
+ call {:si_unique_call 4181} nVar5258 := proc130(196);
+ call {:si_unique_call 4182} nVar5259 := proc130(60);
+ call {:si_unique_call 4183} nVar5260 := proc130(260);
+ call {:si_unique_call 4184} nVar5261 := proc130(304);
+ call {:si_unique_call 4185} nVar5262 := proc130(136);
+ call {:si_unique_call 4186} nVar5263 := proc130(204);
+ call {:si_unique_call 4187} nVar5264 := proc130(328);
+ call {:si_unique_call 4188} nVar5265 := proc130(136);
+ call {:si_unique_call 4189} nVar5266 := proc130(184);
+ call {:si_unique_call 4190} nVar5267 := proc130(148);
+ call {:si_unique_call 4191} nVar5268 := proc130(324);
+ call {:si_unique_call 4192} nVar5269 := proc130(108);
+ call {:si_unique_call 4193} nVar5270 := proc130(44);
+ call {:si_unique_call 4194} nVar5271 := proc130(116);
+ call {:si_unique_call 4195} nVar5272 := proc130(60);
+ call {:si_unique_call 4196} nVar5273 := proc130(128);
+ call {:si_unique_call 4197} nVar5274 := proc130(344);
+ call {:si_unique_call 4198} nVar5275 := proc130(184);
+ call {:si_unique_call 4199} nVar5276 := proc130(144);
+ call {:si_unique_call 4200} nVar5277 := proc130(276);
+ call {:si_unique_call 4201} nVar5278 := proc130(264);
+ call {:si_unique_call 4202} nVar5279 := proc130(68);
+ call {:si_unique_call 4203} nVar5280 := proc130(96);
+ call {:si_unique_call 4204} nVar5281 := proc130(128);
+ call {:si_unique_call 4205} nVar5282 := proc130(172);
+ call {:si_unique_call 4206} nVar5283 := proc130(320);
+ call {:si_unique_call 4207} nVar5284 := proc130(128);
+ call {:si_unique_call 4208} nVar5285 := proc130(316);
+ call {:si_unique_call 4209} nVar5286 := proc130(68);
+ call {:si_unique_call 4210} nVar5287 := proc130(288);
+ call {:si_unique_call 4211} nVar5288 := proc130(260);
+ call {:si_unique_call 4212} nVar5289 := proc130(184);
+ call {:si_unique_call 4213} nVar5290 := proc130(140);
+ call {:si_unique_call 4214} nVar5291 := proc130(208);
+ call {:si_unique_call 4215} nVar5292 := proc130(68);
+ call {:si_unique_call 4216} nVar5293 := proc130(44);
+ call {:si_unique_call 4217} nVar5294 := proc130(128);
+ call {:si_unique_call 4218} nVar5295 := proc130(396);
+ call {:si_unique_call 4219} nVar5296 := proc130(144);
+ call {:si_unique_call 4220} nVar5297 := proc130(344);
+ call {:si_unique_call 4221} nVar5298 := proc130(300);
+ call {:si_unique_call 4222} nVar5299 := proc130(200);
+ call {:si_unique_call 4223} nVar5300 := proc130(232);
+ call {:si_unique_call 4224} nVar5301 := proc130(280);
+ call {:si_unique_call 4225} nVar5302 := proc130(104);
+ call {:si_unique_call 4226} nVar5303 := proc130(320);
+ call {:si_unique_call 4227} nVar5304 := proc130(20);
+ call {:si_unique_call 4228} nVar5305 := proc130(112);
+ call {:si_unique_call 4229} nVar5306 := proc130(92);
+ call {:si_unique_call 4230} nVar5307 := proc130(324);
+ call {:si_unique_call 4231} nVar5308 := proc130(24);
+ call {:si_unique_call 4232} nVar5309 := proc130(92);
+ call {:si_unique_call 4233} nVar5310 := proc130(56);
+ call {:si_unique_call 4234} nVar5311 := proc130(140);
+ call {:si_unique_call 4235} nVar5312 := proc130(24);
+ call {:si_unique_call 4236} nVar5313 := proc130(240);
+ call {:si_unique_call 4237} nVar5314 := proc130(116);
+ call {:si_unique_call 4238} nVar5315 := proc130(280);
+ call {:si_unique_call 4239} nVar5316 := proc130(164);
+ call {:si_unique_call 4240} nVar5317 := proc130(156);
+ call {:si_unique_call 4241} nVar5318 := proc130(24);
+ call {:si_unique_call 4242} nVar5319 := proc130(244);
+ call {:si_unique_call 4243} nVar5320 := proc130(64);
+ call {:si_unique_call 4244} nVar5321 := proc130(276);
+ call {:si_unique_call 4245} nVar5322 := proc130(320);
+ call {:si_unique_call 4246} nVar5323 := proc130(180);
+ call {:si_unique_call 4247} nVar5324 := proc130(96);
+ call {:si_unique_call 4248} nVar5325 := proc130(76);
+ call {:si_unique_call 4249} nVar5326 := proc130(152);
+ call {:si_unique_call 4250} nVar5327 := proc130(200);
+ call {:si_unique_call 4251} nVar5328 := proc130(192);
+ call {:si_unique_call 4252} nVar5329 := proc130(28);
+ call {:si_unique_call 4253} nVar5330 := proc130(132);
+ call {:si_unique_call 4254} nVar5331 := proc130(168);
+ call {:si_unique_call 4255} nVar5332 := proc130(28);
+ call {:si_unique_call 4256} nVar5333 := proc130(92);
+ call {:si_unique_call 4257} nVar5334 := proc130(296);
+ call {:si_unique_call 4258} nVar5335 := proc130(172);
+ call {:si_unique_call 4259} nVar5336 := proc130(304);
+ call {:si_unique_call 4260} nVar5337 := proc130(144);
+ call {:si_unique_call 4261} nVar5338 := proc130(136);
+ call {:si_unique_call 4262} nVar5339 := proc130(4);
+ call {:si_unique_call 4263} nVar5340 := proc130(184);
+ call {:si_unique_call 4264} nVar5341 := proc130(8);
+ call {:si_unique_call 4265} nVar5342 := proc130(76);
+ call {:si_unique_call 4266} nVar5343 := proc130(288);
+ call {:si_unique_call 4267} nVar5344 := proc130(8);
+ call {:si_unique_call 4268} nVar5345 := proc130(8);
+ call {:si_unique_call 4269} nVar5346 := proc130(168);
+ call {:si_unique_call 4270} nVar5347 := proc130(88);
+ call {:si_unique_call 4271} nVar5348 := proc130(4);
+ call {:si_unique_call 4272} nVar5349 := proc130(268);
+ call {:si_unique_call 4273} nVar5350 := proc130(96);
+ call {:si_unique_call 4274} nVar5351 := proc130(136);
+ call {:si_unique_call 4275} nVar5352 := proc130(76);
+ call {:si_unique_call 4276} nVar5353 := proc130(284);
+ call {:si_unique_call 4277} nVar5354 := proc130(24);
+ call {:si_unique_call 4278} nVar5355 := proc130(60);
+ call {:si_unique_call 4279} nVar5356 := proc130(72);
+ call {:si_unique_call 4280} nVar5357 := proc130(156);
+ call {:si_unique_call 4281} nVar5358 := proc130(168);
+ call {:si_unique_call 4282} nVar5359 := proc130(96);
+ call {:si_unique_call 4283} nVar5360 := proc130(100);
+ call {:si_unique_call 4284} nVar5361 := proc130(356);
+ call {:si_unique_call 4285} nVar5362 := proc130(140);
+ call {:si_unique_call 4286} nVar5363 := proc130(172);
+ call {:si_unique_call 4287} nVar5364 := proc130(128);
+ call {:si_unique_call 4288} nVar5365 := proc130(200);
+ call {:si_unique_call 4289} nVar5366 := proc130(20);
+ call {:si_unique_call 4290} nVar5367 := proc130(96);
+ call {:si_unique_call 4291} nVar5368 := proc130(332);
+ call {:si_unique_call 4292} nVar5369 := proc130(36);
+ call {:si_unique_call 4293} nVar5370 := proc130(128);
+ call {:si_unique_call 4294} nVar5371 := proc130(8);
+ call {:si_unique_call 4295} nVar5372 := proc130(104);
+ call {:si_unique_call 4296} nVar5373 := proc130(156);
+ call {:si_unique_call 4297} nVar5374 := proc130(332);
+ call {:si_unique_call 4298} nVar5375 := proc130(136);
+ call {:si_unique_call 4299} nVar5376 := proc130(296);
+ call {:si_unique_call 4300} nVar5377 := proc130(92);
+ call {:si_unique_call 4301} nVar5378 := proc130(100);
+ call {:si_unique_call 4302} nVar5379 := proc130(64);
+ call {:si_unique_call 4303} nVar5380 := proc130(68);
+ call {:si_unique_call 4304} nVar5381 := proc130(156);
+ call {:si_unique_call 4305} nVar5382 := proc130(296);
+ call {:si_unique_call 4306} nVar5383 := proc130(68);
+ call {:si_unique_call 4307} nVar5384 := proc130(8);
+ call {:si_unique_call 4308} nVar5385 := proc130(292);
+ call {:si_unique_call 4309} nVar5386 := proc130(212);
+ call {:si_unique_call 4310} nVar5387 := proc130(324);
+ call {:si_unique_call 4311} nVar5388 := proc130(220);
+ call {:si_unique_call 4312} nVar5389 := proc130(200);
+ call {:si_unique_call 4313} nVar5390 := proc130(152);
+ call {:si_unique_call 4314} nVar5391 := proc130(32);
+ call {:si_unique_call 4315} nVar5392 := proc130(424);
+ call {:si_unique_call 4316} nVar5393 := proc130(8);
+ call {:si_unique_call 4317} nVar5394 := proc130(128);
+ call {:si_unique_call 4318} nVar5395 := proc130(260);
+ call {:si_unique_call 4319} nVar5396 := proc130(152);
+ call {:si_unique_call 4320} nVar5397 := proc130(152);
+ call {:si_unique_call 4321} nVar5398 := proc130(204);
+ call {:si_unique_call 4322} nVar5399 := proc130(292);
+ call {:si_unique_call 4323} nVar5400 := proc130(72);
+ call {:si_unique_call 4324} nVar5401 := proc130(120);
+ call {:si_unique_call 4325} nVar5402 := proc130(188);
+ call {:si_unique_call 4326} nVar5403 := proc130(116);
+ call {:si_unique_call 4327} nVar5404 := proc130(316);
+ call {:si_unique_call 4328} nVar5405 := proc130(28);
+ call {:si_unique_call 4329} nVar5406 := proc130(300);
+ call {:si_unique_call 4330} nVar5407 := proc130(8);
+ call {:si_unique_call 4331} nVar5408 := proc130(100);
+ call {:si_unique_call 4332} nVar5409 := proc130(232);
+ call {:si_unique_call 4333} nVar5410 := proc130(88);
+ call {:si_unique_call 4334} nVar5411 := proc130(136);
+ call {:si_unique_call 4335} nVar5412 := proc130(316);
+ call {:si_unique_call 4336} nVar5413 := proc130(180);
+ call {:si_unique_call 4337} nVar5414 := proc130(288);
+ call {:si_unique_call 4338} nVar5415 := proc130(156);
+ call {:si_unique_call 4339} nVar5416 := proc130(76);
+ call {:si_unique_call 4340} nVar5417 := proc130(264);
+ call {:si_unique_call 4341} nVar5418 := proc130(324);
+ call {:si_unique_call 4342} nVar5419 := proc130(280);
+ call {:si_unique_call 4343} nVar5420 := proc130(120);
+ call {:si_unique_call 4344} nVar5421 := proc130(116);
+ call {:si_unique_call 4345} nVar5422 := proc130(188);
+ call {:si_unique_call 4346} nVar5423 := proc130(104);
+ call {:si_unique_call 4347} nVar5424 := proc130(24);
+ call {:si_unique_call 4348} nVar5425 := proc130(40);
+ call {:si_unique_call 4349} nVar5426 := proc130(108);
+ call {:si_unique_call 4350} nVar5427 := proc130(140);
+ call {:si_unique_call 4351} nVar5428 := proc130(184);
+ call {:si_unique_call 4352} nVar5429 := proc130(380);
+ call {:si_unique_call 4353} nVar5430 := proc130(132);
+ call {:si_unique_call 4354} nVar5431 := proc130(336);
+ call {:si_unique_call 4355} nVar5432 := proc130(72);
+ call {:si_unique_call 4356} nVar5433 := proc130(228);
+ call {:si_unique_call 4357} nVar5434 := proc130(8);
+ call {:si_unique_call 4358} nVar5435 := proc130(28);
+ call {:si_unique_call 4359} nVar5436 := proc130(96);
+ call {:si_unique_call 4360} nVar5437 := proc130(128);
+ call {:si_unique_call 4361} nVar5438 := proc130(124);
+ call {:si_unique_call 4362} nVar5439 := proc130(128);
+ call {:si_unique_call 4363} nVar5440 := proc130(92);
+ call {:si_unique_call 4364} nVar5441 := proc130(116);
+ call {:si_unique_call 4365} nVar5442 := proc130(28);
+ call {:si_unique_call 4366} nVar5443 := proc130(40);
+ call {:si_unique_call 4367} nVar5444 := proc130(264);
+ call {:si_unique_call 4368} nVar5445 := proc130(328);
+ call {:si_unique_call 4369} nVar5446 := proc130(296);
+ call {:si_unique_call 4370} nVar5447 := proc130(160);
+ call {:si_unique_call 4371} nVar5448 := proc130(332);
+ call {:si_unique_call 4372} nVar5449 := proc130(152);
+ call {:si_unique_call 4373} nVar5450 := proc130(112);
+ call {:si_unique_call 4374} nVar5451 := proc130(24);
+ call {:si_unique_call 4375} nVar5452 := proc130(72);
+ call {:si_unique_call 4376} nVar5453 := proc130(284);
+ call {:si_unique_call 4377} nVar5454 := proc130(148);
+ call {:si_unique_call 4378} nVar5455 := proc130(108);
+ call {:si_unique_call 4379} nVar5456 := proc130(268);
+ call {:si_unique_call 4380} nVar5457 := proc130(300);
+ call {:si_unique_call 4381} nVar5458 := proc130(28);
+ call {:si_unique_call 4382} nVar5459 := proc130(96);
+ call {:si_unique_call 4383} nVar2103 := proc130(20);
+ call {:si_unique_call 4384} nVar5460 := proc130(164);
+ call {:si_unique_call 4385} nVar5461 := proc130(36);
+ call {:si_unique_call 4386} nVar5462 := proc130(24);
+ call {:si_unique_call 4387} nVar5463 := proc130(332);
+ call {:si_unique_call 4388} nVar5464 := proc130(140);
+ call {:si_unique_call 4389} nVar5465 := proc130(80);
+ call {:si_unique_call 4390} nVar5466 := proc130(164);
+ call {:si_unique_call 4391} nVar5467 := proc130(80);
+ call {:si_unique_call 4392} nVar5468 := proc130(196);
+ call {:si_unique_call 4393} nVar2179 := proc130(16);
+ call {:si_unique_call 4394} nVar5469 := proc130(16);
+ call {:si_unique_call 4395} nVar5470 := proc130(76);
+ call {:si_unique_call 4396} nVar5471 := proc130(176);
+ call {:si_unique_call 4397} nVar5472 := proc130(152);
+ call {:si_unique_call 4398} nVar5473 := proc130(68);
+ call {:si_unique_call 4399} nVar5474 := proc130(32);
+ call {:si_unique_call 4400} nVar5475 := proc130(152);
+ call {:si_unique_call 4401} nVar5476 := proc130(100);
+ call {:si_unique_call 4402} nVar5477 := proc130(56);
+ call {:si_unique_call 4403} nVar5478 := proc130(124);
+ call {:si_unique_call 4404} nVar5479 := proc130(112);
+ call {:si_unique_call 4405} nVar5480 := proc130(48);
+ call {:si_unique_call 4406} nVar5481 := proc130(188);
+ call {:si_unique_call 4407} nVar5482 := proc130(84);
+ call {:si_unique_call 4408} nVar5483 := proc130(220);
+ call {:si_unique_call 4409} nVar5484 := proc130(136);
+ call {:si_unique_call 4410} nVar5485 := proc130(136);
+ call {:si_unique_call 4411} nVar5486 := proc130(104);
+ call {:si_unique_call 4412} nVar5487 := proc130(296);
+ call {:si_unique_call 4413} nVar5488 := proc130(112);
+ call {:si_unique_call 4414} nVar5489 := proc130(272);
+ call {:si_unique_call 4415} nVar5490 := proc130(104);
+ call {:si_unique_call 4416} nVar5491 := proc130(68);
+ call {:si_unique_call 4417} nVar5492 := proc130(104);
+ call {:si_unique_call 4418} nVar5493 := proc130(264);
+ call {:si_unique_call 4419} nVar5494 := proc130(220);
+ call {:si_unique_call 4420} nVar5495 := proc130(56);
+ call {:si_unique_call 4421} nVar5496 := proc130(68);
+ call {:si_unique_call 4422} nVar5497 := proc130(248);
+ call {:si_unique_call 4423} nVar5498 := proc130(128);
+ call {:si_unique_call 4424} nVar5499 := proc130(16);
+ call {:si_unique_call 4425} nVar5500 := proc130(116);
+ call {:si_unique_call 4426} nVar5501 := proc130(148);
+ call {:si_unique_call 4427} nVar5502 := proc130(152);
+ call {:si_unique_call 4428} nVar5503 := proc130(104);
+ call {:si_unique_call 4429} nVar5504 := proc130(100);
+ call {:si_unique_call 4430} nVar5505 := proc130(136);
+ call {:si_unique_call 4431} nVar5506 := proc130(88);
+ call {:si_unique_call 4432} nVar5507 := proc130(292);
+ call {:si_unique_call 4433} nVar5508 := proc130(128);
+ call {:si_unique_call 4434} nVar5509 := proc130(20);
+ call {:si_unique_call 4435} nVar5510 := proc130(260);
+ call {:si_unique_call 4436} nVar5511 := proc130(56);
+ call {:si_unique_call 4437} nVar5512 := proc130(296);
+ call {:si_unique_call 4438} nVar5513 := proc130(44);
+ call {:si_unique_call 4439} nVar5514 := proc130(40);
+ call {:si_unique_call 4440} nVar5515 := proc130(172);
+ call {:si_unique_call 4441} nVar5516 := proc130(80);
+ call {:si_unique_call 4442} nVar5517 := proc130(196);
+ call {:si_unique_call 4443} nVar5518 := proc130(60);
+ call {:si_unique_call 4444} nVar5519 := proc130(116);
+ call {:si_unique_call 4445} nVar5520 := proc130(120);
+ call {:si_unique_call 4446} nVar5521 := proc130(144);
+ call {:si_unique_call 4447} nVar5522 := proc130(4);
+ call {:si_unique_call 4448} nVar5523 := proc130(108);
+ call {:si_unique_call 4449} nVar5524 := proc130(124);
+ call {:si_unique_call 4450} nVar5525 := proc130(312);
+ call {:si_unique_call 4451} nVar5526 := proc130(56);
+ call {:si_unique_call 4452} nVar5527 := proc130(288);
+ call {:si_unique_call 4453} nVar5528 := proc130(116);
+ call {:si_unique_call 4454} nVar5529 := proc130(256);
+ call {:si_unique_call 4455} nVar5530 := proc130(80);
+ call {:si_unique_call 4456} nVar5531 := proc130(224);
+ call {:si_unique_call 4457} nVar5532 := proc130(204);
+ call {:si_unique_call 4458} nVar5533 := proc130(96);
+ call {:si_unique_call 4459} nVar5534 := proc130(216);
+ call {:si_unique_call 4460} nVar5535 := proc130(124);
+ call {:si_unique_call 4461} nVar5536 := proc130(136);
+ call {:si_unique_call 4462} nVar5537 := proc130(36);
+ call {:si_unique_call 4463} nVar5538 := proc130(148);
+ call {:si_unique_call 4464} nVar5539 := proc130(136);
+ call {:si_unique_call 4465} nVar5540 := proc130(180);
+ call {:si_unique_call 4466} nVar5541 := proc130(188);
+ call {:si_unique_call 4467} nVar5542 := proc130(280);
+ call {:si_unique_call 4468} nVar5543 := proc130(24);
+ call {:si_unique_call 4469} nVar5544 := proc130(228);
+ call {:si_unique_call 4470} nVar5545 := proc130(116);
+ call {:si_unique_call 4471} nVar5546 := proc130(108);
+ call {:si_unique_call 4472} nVar5547 := proc130(108);
+ call {:si_unique_call 4473} nVar5548 := proc130(96);
+ call {:si_unique_call 4474} nVar5549 := proc130(260);
+ call {:si_unique_call 4475} nVar5550 := proc130(276);
+ call {:si_unique_call 4476} nVar5551 := proc130(48);
+ call {:si_unique_call 4477} nVar5552 := proc130(208);
+ call {:si_unique_call 4478} nVar5553 := proc130(224);
+ call {:si_unique_call 4479} nVar5554 := proc130(272);
+ call {:si_unique_call 4480} nVar5555 := proc130(388);
+ call {:si_unique_call 4481} nVar5556 := proc130(180);
+ call {:si_unique_call 4482} nVar5557 := proc130(108);
+ call {:si_unique_call 4483} nVar5558 := proc130(156);
+ call {:si_unique_call 4484} nVar5559 := proc130(132);
+ call {:si_unique_call 4485} nVar5560 := proc130(152);
+ call {:si_unique_call 4486} nVar5561 := proc130(148);
+ call {:si_unique_call 4487} nVar5562 := proc130(84);
+ call {:si_unique_call 4488} nVar5563 := proc130(308);
+ call {:si_unique_call 4489} nVar5564 := proc130(144);
+ call {:si_unique_call 4490} nVar5565 := proc130(172);
+ call {:si_unique_call 4491} nVar2621 := proc130(36);
+ call {:si_unique_call 4492} nVar5566 := proc130(168);
+ call {:si_unique_call 4493} nVar5567 := proc130(116);
+ call {:si_unique_call 4494} nVar2632 := proc130(20);
+ call {:si_unique_call 4495} nVar5568 := proc130(336);
+ call {:si_unique_call 4496} nVar5569 := proc130(96);
+ call {:si_unique_call 4497} nVar5570 := proc130(100);
+ call {:si_unique_call 4498} nVar5571 := proc130(180);
+ call {:si_unique_call 4499} nVar5572 := proc130(8);
+ call {:si_unique_call 4500} nVar5573 := proc130(60);
+ call {:si_unique_call 4501} nVar5574 := proc130(16);
+ call {:si_unique_call 4502} nVar5575 := proc130(56);
+ call {:si_unique_call 4503} nVar5576 := proc130(264);
+ call {:si_unique_call 4504} nVar5577 := proc130(312);
+ call {:si_unique_call 4505} nVar5578 := proc130(148);
+ call {:si_unique_call 4506} nVar5579 := proc130(304);
+ call {:si_unique_call 4507} nVar5580 := proc130(208);
+ call {:si_unique_call 4508} nVar5581 := proc130(136);
+ call {:si_unique_call 4509} nVar5582 := proc130(336);
+ call {:si_unique_call 4510} nVar5583 := proc130(300);
+ call {:si_unique_call 4511} nVar5584 := proc130(108);
+ call {:si_unique_call 4512} nVar5585 := proc130(188);
+ call {:si_unique_call 4513} nVar5586 := proc130(288);
+ call {:si_unique_call 4514} nVar2754 := proc130(84);
+ call {:si_unique_call 4515} nVar5587 := proc130(296);
+ call {:si_unique_call 4516} nVar5588 := proc130(280);
+ call {:si_unique_call 4517} nVar5589 := proc130(100);
+ call {:si_unique_call 4518} nVar5590 := proc130(116);
+ call {:si_unique_call 4519} nVar5591 := proc130(128);
+ call {:si_unique_call 4520} nVar5592 := proc130(164);
+ call {:si_unique_call 4521} nVar5593 := proc130(24);
+ call {:si_unique_call 4522} nVar5594 := proc130(68);
+ call {:si_unique_call 4523} nVar5595 := proc130(116);
+ call {:si_unique_call 4524} nVar5596 := proc130(164);
+ call {:si_unique_call 4525} nVar5597 := proc130(32);
+ call {:si_unique_call 4526} nVar5598 := proc130(316);
+ call {:si_unique_call 4527} nVar5599 := proc130(328);
+ call {:si_unique_call 4528} nVar5600 := proc130(24);
+ call {:si_unique_call 4529} nVar5601 := proc130(40);
+ call {:si_unique_call 4530} nVar5602 := proc130(24);
+ call {:si_unique_call 4531} nVar5603 := proc130(24);
+ call {:si_unique_call 4532} nVar5604 := proc130(112);
+ call {:si_unique_call 4533} nVar5605 := proc130(112);
+ call {:si_unique_call 4534} nVar5606 := proc130(4);
+ call {:si_unique_call 4535} nVar5607 := proc130(64);
+ call {:si_unique_call 4536} nVar5608 := proc130(72);
+ call {:si_unique_call 4537} nVar5609 := proc130(40);
+ call {:si_unique_call 4538} nVar5610 := proc130(80);
+ call {:si_unique_call 4539} nVar5611 := proc130(40);
+ call {:si_unique_call 4540} nVar5612 := proc130(156);
+ call {:si_unique_call 4541} nVar5613 := proc130(80);
+ call {:si_unique_call 4542} nVar5614 := proc130(24);
+ call {:si_unique_call 4543} nVar5615 := proc130(28);
+ call {:si_unique_call 4544} nVar5616 := proc130(56);
+ call {:si_unique_call 4545} nVar5617 := proc130(220);
+ call {:si_unique_call 4546} nVar5618 := proc130(64);
+ call {:si_unique_call 4547} nVar5619 := proc130(144);
+ call {:si_unique_call 4548} nVar5620 := proc130(140);
+ call {:si_unique_call 4549} nVar5621 := proc130(296);
+ call {:si_unique_call 4550} nVar5622 := proc130(104);
+ call {:si_unique_call 4551} nVar5623 := proc130(204);
+ call {:si_unique_call 4552} nVar5624 := proc130(216);
+ call {:si_unique_call 4553} nVar5625 := proc130(348);
+ call {:si_unique_call 4554} nVar5626 := proc130(24);
+ call {:si_unique_call 4555} nVar5627 := proc130(108);
+ call {:si_unique_call 4556} nVar5628 := proc130(152);
+ call {:si_unique_call 4557} nVar5629 := proc130(172);
+ call {:si_unique_call 4558} nVar5630 := proc130(132);
+ call {:si_unique_call 4559} nVar5631 := proc130(56);
+ call {:si_unique_call 4560} nVar5632 := proc130(148);
+ call {:si_unique_call 4561} nVar5633 := proc130(228);
+ call {:si_unique_call 4562} nVar5634 := proc130(72);
+ call {:si_unique_call 4563} nVar5635 := proc130(120);
+ call {:si_unique_call 4564} nVar5636 := proc130(104);
+ call {:si_unique_call 4565} nVar5637 := proc130(164);
+ call {:si_unique_call 4566} nVar5638 := proc130(68);
+ call {:si_unique_call 4567} nVar5639 := proc130(332);
+ call {:si_unique_call 4568} nVar5640 := proc130(68);
+ call {:si_unique_call 4569} nVar5641 := proc130(4100);
+ call {:si_unique_call 4570} nVar5642 := proc130(4100);
+ call {:si_unique_call 4571} nVar5643 := proc130(24);
+ call {:si_unique_call 4572} nVar5644 := proc130(52);
+ call {:si_unique_call 4573} nVar5645 := proc130(68);
+ call {:si_unique_call 4574} nVar5646 := proc130(168);
+ call {:si_unique_call 4575} nVar5647 := proc130(72);
+ call {:si_unique_call 4576} nVar5648 := proc130(308);
+ call {:si_unique_call 4577} nVar5649 := proc130(8);
+ call {:si_unique_call 4578} nVar5650 := proc130(308);
+ call {:si_unique_call 4579} nVar5651 := proc130(236);
+ call {:si_unique_call 4580} nVar5652 := proc130(340);
+ call {:si_unique_call 4581} nVar5653 := proc130(300);
+ call {:si_unique_call 4582} nVar3048 := proc130(140);
+ call {:si_unique_call 4583} nVar5654 := proc130(124);
+ call {:si_unique_call 4584} nVar5655 := proc130(152);
+ call {:si_unique_call 4585} nVar5656 := proc130(268);
+ call {:si_unique_call 4586} nVar5657 := proc130(64);
+ call {:si_unique_call 4587} nVar3062 := proc130(16);
+ call {:si_unique_call 4588} nVar5658 := proc130(184);
+ call {:si_unique_call 4589} nVar5659 := proc130(288);
+ call {:si_unique_call 4590} nVar5660 := proc130(204);
+ call {:si_unique_call 4591} nVar5661 := proc130(104);
+ call {:si_unique_call 4592} nVar5662 := proc130(16);
+ call {:si_unique_call 4593} nVar5663 := proc130(188);
+ call {:si_unique_call 4594} nVar5664 := proc130(20);
+ call {:si_unique_call 4595} nVar5665 := proc130(200);
+ call {:si_unique_call 4596} nVar5666 := proc130(112);
+ call {:si_unique_call 4597} nVar5667 := proc130(4);
+ call {:si_unique_call 4598} nVar5668 := proc130(180);
+ call {:si_unique_call 4599} nVar5669 := proc130(168);
+ call {:si_unique_call 4600} nVar5670 := proc130(84);
+ call {:si_unique_call 4601} nVar5671 := proc130(284);
+ call {:si_unique_call 4602} nVar3139 := proc130(16);
+ call {:si_unique_call 4603} nVar5672 := proc130(324);
+ call {:si_unique_call 4604} nVar5673 := proc130(64);
+ call {:si_unique_call 4605} nVar5674 := proc130(124);
+ call {:si_unique_call 4606} nVar5675 := proc130(96);
+ call {:si_unique_call 4607} nVar5676 := proc130(40);
+ call {:si_unique_call 4608} nVar5677 := proc130(144);
+ call {:si_unique_call 4609} nVar5678 := proc130(116);
+ call {:si_unique_call 4610} nVar5679 := proc130(252);
+ call {:si_unique_call 4611} nVar5680 := proc130(32);
+ call {:si_unique_call 4612} nVar5681 := proc130(100);
+ call {:si_unique_call 4613} nVar5682 := proc130(68);
+ call {:si_unique_call 4614} nVar5683 := proc130(152);
+ call {:si_unique_call 4615} nVar5684 := proc130(84);
+ call {:si_unique_call 4616} nVar5685 := proc130(188);
+ call {:si_unique_call 4617} nVar5686 := proc130(20);
+ call {:si_unique_call 4618} nVar5687 := proc130(80);
+ call {:si_unique_call 4619} nVar5688 := proc130(344);
+ call {:si_unique_call 4620} nVar5689 := proc130(316);
+ call {:si_unique_call 4621} nVar5690 := proc130(308);
+ call {:si_unique_call 4622} nVar5691 := proc130(92);
+ call {:si_unique_call 4623} nVar5692 := proc130(352);
+ call {:si_unique_call 4624} nVar5693 := proc130(96);
+ call {:si_unique_call 4625} nVar5694 := proc130(336);
+ call {:si_unique_call 4626} nVar5695 := proc130(152);
+ call {:si_unique_call 4627} nVar5696 := proc130(380);
+ call {:si_unique_call 4628} nVar5697 := proc130(340);
+ call {:si_unique_call 4629} nVar5698 := proc130(120);
+ call {:si_unique_call 4630} nVar5699 := proc130(292);
+ call {:si_unique_call 4631} nVar5700 := proc130(432);
+ call {:si_unique_call 4632} nVar5701 := proc130(172);
+ call {:si_unique_call 4633} nVar5702 := proc130(300);
+ call {:si_unique_call 4634} nVar5703 := proc130(244);
+ call {:si_unique_call 4635} nVar5704 := proc130(88);
+ call {:si_unique_call 4636} nVar5705 := proc130(116);
+ call {:si_unique_call 4637} nVar5706 := proc130(40);
+ call {:si_unique_call 4638} nVar5707 := proc130(144);
+ call {:si_unique_call 4639} nVar5708 := proc130(316);
+ call {:si_unique_call 4640} nVar5709 := proc130(136);
+ call {:si_unique_call 4641} nVar5710 := proc130(136);
+ call {:si_unique_call 4642} nVar5711 := proc130(296);
+ call {:si_unique_call 4643} nVar5712 := proc130(204);
+ call {:si_unique_call 4644} nVar5713 := proc130(72);
+ call {:si_unique_call 4645} nVar5714 := proc130(96);
+ call {:si_unique_call 4646} nVar5715 := proc130(72);
+ call {:si_unique_call 4647} nVar5716 := proc130(100);
+ call {:si_unique_call 4648} nVar5717 := proc130(136);
+ call {:si_unique_call 4649} nVar5718 := proc130(80);
+ call {:si_unique_call 4650} nVar5719 := proc130(244);
+ call {:si_unique_call 4651} nVar5720 := proc130(88);
+ call {:si_unique_call 4652} nVar5721 := proc130(32);
+ call {:si_unique_call 4653} nVar5722 := proc130(112);
+ call {:si_unique_call 4654} nVar5723 := proc130(96);
+ call {:si_unique_call 4655} nVar5724 := proc130(292);
+ call {:si_unique_call 4656} nVar5725 := proc130(168);
+ call {:si_unique_call 4657} nVar5726 := proc130(336);
+ call {:si_unique_call 4658} nVar5727 := proc130(280);
+ call {:si_unique_call 4659} nVar5728 := proc130(48);
+ call {:si_unique_call 4660} nVar5729 := proc130(88);
+ call {:si_unique_call 4661} nVar5730 := proc130(24);
+ call {:si_unique_call 4662} nVar5731 := proc130(44);
+ call {:si_unique_call 4663} nVar5732 := proc130(16);
+ call {:si_unique_call 4664} nVar5733 := proc130(288);
+ call {:si_unique_call 4665} nVar5734 := proc130(240);
+ call {:si_unique_call 4666} nVar5735 := proc130(104);
+ call {:si_unique_call 4667} nVar5736 := proc130(268);
+ call {:si_unique_call 4668} nVar5737 := proc130(140);
+ call {:si_unique_call 4669} nVar5738 := proc130(8);
+ call {:si_unique_call 4670} nVar5739 := proc130(360);
+ call {:si_unique_call 4671} nVar5740 := proc130(332);
+ call {:si_unique_call 4672} nVar5741 := proc130(140);
+ call {:si_unique_call 4673} nVar5742 := proc130(140);
+ call {:si_unique_call 4674} nVar5743 := proc130(128);
+ call {:si_unique_call 4675} nVar5744 := proc130(272);
+ call {:si_unique_call 4676} nVar5745 := proc130(48);
+ call {:si_unique_call 4677} nVar5746 := proc130(136);
+ call {:si_unique_call 4678} nVar5747 := proc130(144);
+ call {:si_unique_call 4679} nVar5748 := proc130(208);
+ call {:si_unique_call 4680} nVar5749 := proc130(4);
+ call {:si_unique_call 4681} nVar5750 := proc130(8192);
+ call {:si_unique_call 4682} nVar5751 := proc130(156);
+ call {:si_unique_call 4683} nVar5752 := proc130(324);
+ call {:si_unique_call 4684} nVar5753 := proc130(304);
+ call {:si_unique_call 4685} nVar5754 := proc130(56);
+ call {:si_unique_call 4686} nVar5755 := proc130(92);
+ call {:si_unique_call 4687} nVar5756 := proc130(188);
+ call {:si_unique_call 4688} nVar5757 := proc130(244);
+ call {:si_unique_call 4689} nVar5758 := proc130(80);
+ call {:si_unique_call 4690} nVar5759 := proc130(240);
+ call {:si_unique_call 4691} nVar5760 := proc130(80);
+ call {:si_unique_call 4692} nVar5761 := proc130(52);
+ call {:si_unique_call 4693} nVar5762 := proc130(148);
+ call {:si_unique_call 4694} nVar5763 := proc130(304);
+ call {:si_unique_call 4695} nVar5764 := proc130(144);
+ call {:si_unique_call 4696} nVar5765 := proc130(164);
+ call {:si_unique_call 4697} nVar5766 := proc130(68);
+ call {:si_unique_call 4698} nVar5767 := proc130(172);
+ call {:si_unique_call 4699} nVar5768 := proc130(148);
+ call {:si_unique_call 4700} nVar5769 := proc130(196);
+ call {:si_unique_call 4701} nVar5770 := proc130(304);
+ call {:si_unique_call 4702} nVar5771 := proc130(16);
+ call {:si_unique_call 4703} nVar5772 := proc130(120);
+ call {:si_unique_call 4704} nVar5773 := proc130(32);
+ call {:si_unique_call 4705} nVar5774 := proc130(4);
+ call {:si_unique_call 4706} nVar5775 := proc130(140);
+ call {:si_unique_call 4707} nVar5776 := proc130(320);
+ call {:si_unique_call 4708} nVar5777 := proc130(16);
+ call {:si_unique_call 4709} nVar5778 := proc130(272);
+ call {:si_unique_call 4710} nVar5779 := proc130(312);
+ call {:si_unique_call 4711} nVar5780 := proc130(176);
+ call {:si_unique_call 4712} nVar5781 := proc130(164);
+ call {:si_unique_call 4713} nVar5782 := proc130(132);
+ call {:si_unique_call 4714} nVar5783 := proc130(300);
+ call {:si_unique_call 4715} nVar5784 := proc130(8);
+ call {:si_unique_call 4716} nVar5785 := proc130(292);
+ call {:si_unique_call 4717} nVar5786 := proc130(128);
+ call {:si_unique_call 4718} nVar5787 := proc130(124);
+ call {:si_unique_call 4719} nVar5788 := proc130(60);
+ call {:si_unique_call 4720} nVar5789 := proc130(352);
+ call {:si_unique_call 4721} nVar5790 := proc130(228);
+ call {:si_unique_call 4722} nVar5791 := proc130(72);
+ call {:si_unique_call 4723} nVar5792 := proc130(140);
+ call {:si_unique_call 4724} nVar5793 := proc130(352);
+ call {:si_unique_call 4725} nVar5794 := proc130(144);
+ call {:si_unique_call 4726} nVar5795 := proc130(388);
+ call {:si_unique_call 3877} proc65();
+ call {:si_unique_call 3878} proc66();
+ call {:si_unique_call 3876} proc67();
+ assume nVar4938 > 0;
+ call {:si_unique_call 4728} nVar4937 := proc116();
+ call {:si_unique_call 3867} proc123(nVar4938, nVar4937);
+ call {:si_unique_call 3869} nVar4936 := proc124(nVar4938);
+ call {:si_unique_call 3871} nVar4935 := proc125(nVar4936);
+ goto anon7_Then__unique__2;
+
+ anon7_Then__unique__2:
+ assume nVar4935 == 0;
+ call {:si_unique_call 3873} proc126();
+ goto anon9_Else__unique__3;
+
+ anon9_Else__unique__3:
+ assume nVar3711 == 1;
+ goto L31__unique__4;
+
+ L31__unique__4:
+ goto anon8_Else__unique__5;
+
+ anon8_Else__unique__5:
+ assume nVar3711 == 1;
+ nVar4934 := false;
+ goto L_BAF_0__unique__6;
+
+ L_BAF_0__unique__6:
+ assume !nVar4934;
+ return;
+}
+
+
+
+implementation {:entrypoint} proc63() returns (nVar5796: int, nVar5797: bool)
+{
+
+ start__unique__1:
+ call nVar5796, nVar5797 := proc64();
+ assume {:OldAssert} !nVar5797;
+ return;
+}
+
+
+
+function func0(a: int, b: int) : int;
+
+function func1(a: int, b: int) : int;
+
+function func2(a: int) : int;
+
+function func3(a: int, b: int) : int;
+
+function func4(a: int, b: int) : int;
+
+function {:inline true} func5(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func6(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func7(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func8(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func9(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func10(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func11(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func12(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func13(x: int) : int
+{
+ x + 24
+}
+
+function {:inline true} func14(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func15(x: int) : int
+{
+ x + 16
+}
+
+function {:inline true} func16(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func17(x: int) : int
+{
+ x + 20
+}
+
+function {:inline true} func18(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func19(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func20(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func21(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func22(x: int) : int
+{
+ x + 20
+}
+
+function {:inline true} func23(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func24(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func25(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func26(x: int) : int
+{
+ x + 24
+}
+
+function {:inline true} func27(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func28(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func29(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func30(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func31(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func32(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func33(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func34(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func35(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func36(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func37(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func38(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func39(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func40(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func41(x: int) : int
+{
+ x + 24
+}
+
+function {:inline true} func42(x: int) : int
+{
+ x + 16
+}
+
+function {:inline true} func43(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func44(x: int) : int
+{
+ x + 20
+}
+
+function {:inline true} func45(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func46(x: int) : int
+{
+ x + 12
+}
+
+function {:inline true} func47(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func48(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func49(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func50(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func51(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func52(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func53(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func54(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func55(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func56(x: int) : int
+{
+ x + 0
+}
+
+function {:inline true} func57(x: int) : int
+{
+ x + 20
+}
+
+function {:inline true} func58(x: int) : int
+{
+ x + 4
+}
+
+function {:inline true} func59(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func60(x: int) : int
+{
+ x + 8
+}
+
+function {:inline true} func61(x: int) : int
+{
+ x + 8
+}
+
+function func62(a: int) : bool;
+
+axiom (forall x: int :: { func62(x) } x == 0 || x == 1 || x == 2 || x == 4 || x == 8 || x == 16 || x == 32 || x == 64 || x == 128 || x == 256 || x == 512 || x == 1024 || x == 2048 || x == 4096 || x == 8192 || x == 16384 || x == 32768 || x == 65536 || x == 131072 || x == 262144 || x == 524288 || x == 1048576 || x == 2097152 || x == 4194304 || x == 8388608 || x == 16777216 || x == 33554432 || x == 67108864 || x == 134217728 || x == 268435456 || x == 536870912 || x == 1073741824 || x == 2147483648 || x == -2147483648 ==> func62(x));
+
+axiom (forall f: int :: { func0(0, f) } func0(0, f) == 0);
+
+axiom (forall f: int :: { func0(f, f) } func0(f, f) == f);
+
+axiom (forall f: int :: { func1(0, f) } func1(0, f) == f);
+
+axiom (forall f: int :: { func1(f, 0) } func1(f, 0) == f);
+
+axiom (forall x: int, f: int :: { func0(x, f) } func62(x) && func62(f) && x != f ==> func0(x, f) == 0);
+
+axiom (forall a: int, b: int, c: int :: { func1(a, func1(b, c)) } func1(a, func1(b, c)) == func1(func1(a, b), c));
+
+axiom (forall a: int, b: int, c: int :: { func0(a, func1(b, c)) } func0(a, func1(b, c)) == func0(func1(b, c), a));
+
+axiom (forall x: int, f1: int, f2: int :: { func0(func1(x, f1), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func1(x, f1), f2) == func0(x, f2)) && (f1 == f2 ==> func0(func1(x, f1), f2) == f1));
+
+axiom (forall x: int, f1: int, f2: int :: { func0(func0(x, func2(f1)), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func0(x, func2(f1)), f2) == func0(x, f2)) && (f1 == f2 && func62(f1) && func62(f2) ==> func0(func0(x, func2(f1)), f2) == 0));
+
+axiom (forall x: int, f1: int, f2: int :: { func0(func1(f1, x), f2) } (f1 != f2 && func62(f1) && func62(f2) ==> func0(func1(f1, x), f2) == func0(x, f2)) && (f1 == f2 ==> func0(func1(f1, x), f2) == f1));
+
+axiom (forall x: int, y: int, f2: int :: { func0(func0(x, y), f2) } func62(f2) ==> func0(func0(x, y), f2) == 0 || func0(func0(x, y), f2) == func0(x, f2));
+
+procedure proc130(nVar5798: int) returns (nVar5799: int);
+ free requires nVar5798 >= 0;
+ modifies nVar1;
+ free ensures nVar5799 == old(nVar1);
+ free ensures nVar1 >= old(nVar1) + nVar5798;
+
+
+
+procedure proc131(nVar5800: int) returns (nVar5801: int);
+ free requires nVar5800 >= 0;
+ modifies nVar1;
+ free ensures nVar5801 == old(nVar1) || nVar5801 == 0;
+ free ensures nVar1 >= old(nVar1) + nVar5800;
+
+
+
+procedure proc132() returns (nVar5802: int);
+
+
+
+var nVar1: int;
+
+var nVar2: int;
+
+var nVar3: int;
+
+var nVar4: int;
+
+var nVar5: int;
+
+var nVar6: int;
+
+var nVar7: int;
+
+var nVar8: int;
+
+var nVar9: int;
+
+var nVar10: int;
+
+var nVar11: int;
+
+var nVar12: int;
+
+var nVar13: int;
+
+var nVar14: int;
+
+var nVar15: int;
+
+var nVar16: int;
+
+var nVar17: int;
+
+var nVar18: int;
+
+var nVar19: int;
+
+var nVar20: int;
+
+var nVar21: int;
+
+var nVar22: int;
+
+var nVar23: int;
+
+var nVar24: int;
+
+var nVar25: int;
+
+var nVar26: int;
+
+var nVar27: int;
+
+var nVar28: int;
+
+var nVar29: int;
+
+var nVar30: int;
+
+var nVar31: int;
+
+var nVar32: int;
+
+var nVar33: int;
+
+var nVar34: int;
+
+var nVar35: int;
+
+var nVar36: int;
+
+var nVar37: int;
+
+var nVar38: int;
+
+var nVar39: int;
+
+var nVar40: int;
+
+var nVar41: int;
+
+var nVar42: int;
+
+var nVar43: int;
+
+var nVar44: int;
+
+var nVar45: int;
+
+var nVar46: int;
+
+var nVar47: int;
+
+var nVar48: int;
+
+var nVar49: int;
+
+var nVar50: int;
+
+var nVar51: int;
+
+var nVar52: int;
+
+var nVar53: int;
+
+var nVar54: int;
+
+var nVar55: int;
+
+var nVar56: int;
+
+var nVar57: int;
+
+var nVar58: int;
+
+var nVar59: int;
+
+var nVar60: int;
+
+var nVar61: int;
+
+var nVar62: int;
+
+var nVar63: int;
+
+var nVar64: int;
+
+var nVar65: int;
+
+var nVar66: int;
+
+var nVar67: int;
+
+var nVar68: int;
+
+var nVar69: int;
+
+var nVar70: int;
+
+var nVar71: int;
+
+var nVar72: int;
+
+var nVar73: int;
+
+var nVar74: int;
+
+var nVar75: int;
+
+var nVar76: int;
+
+var nVar77: int;
+
+var nVar78: int;
+
+var nVar79: int;
+
+var nVar80: int;
+
+var nVar81: int;
+
+var nVar82: int;
+
+var nVar83: int;
+
+var nVar84: int;
+
+var nVar85: int;
+
+var nVar86: int;
+
+var nVar87: int;
+
+var nVar88: int;
+
+var nVar89: int;
+
+var nVar90: int;
+
+var nVar91: int;
+
+var nVar92: int;
+
+var nVar93: int;
+
+var nVar94: int;
+
+var nVar95: int;
+
+var nVar96: int;
+
+var nVar97: int;
+
+var nVar98: int;
+
+var nVar99: int;
+
+var nVar100: int;
+
+var nVar101: int;
+
+var nVar102: int;
+
+var nVar103: int;
+
+var nVar104: int;
+
+var nVar105: int;
+
+var nVar106: int;
+
+var nVar107: int;
+
+var nVar108: int;
+
+var nVar109: int;
+
+var nVar110: int;
+
+var nVar111: int;
+
+var nVar112: int;
+
+var nVar113: int;
+
+var nVar114: int;
+
+var nVar115: int;
+
+var nVar116: int;
+
+var nVar117: int;
+
+var nVar118: int;
+
+var nVar119: int;
+
+var nVar120: int;
+
+var nVar121: int;
+
+var nVar122: int;
+
+var nVar123: int;
+
+var nVar124: int;
+
+var nVar125: int;
+
+var nVar126: int;
+
+var nVar127: int;
+
+var nVar128: int;
+
+var nVar129: int;
+
+var nVar130: int;
+
+var nVar131: int;
+
+var nVar132: int;
+
+var nVar133: int;
+
+var nVar134: int;
+
+var nVar135: int;
+
+var nVar136: int;
+
+var nVar137: int;
+
+var nVar138: int;
+
+var nVar139: int;
+
+var nVar140: int;
+
+var nVar141: int;
+
+var nVar142: int;
+
+var nVar143: int;
+
+var nVar144: int;
+
+var nVar145: int;
+
+var nVar146: int;
+
+var nVar147: int;
+
+var nVar148: int;
+
+var nVar149: int;
+
+var nVar150: int;
+
+var nVar151: int;
+
+var nVar152: int;
+
+var nVar153: int;
+
+var nVar154: int;
+
+var nVar155: int;
+
+var nVar156: int;
+
+var nVar157: int;
+
+var nVar158: int;
+
+var nVar159: int;
+
+var nVar160: int;
+
+var nVar161: int;
+
+var nVar162: int;
+
+var nVar163: int;
+
+var nVar164: int;
+
+var nVar165: int;
+
+var nVar166: int;
+
+var nVar167: int;
+
+var nVar168: int;
+
+var nVar169: int;
+
+var nVar170: int;
+
+var nVar171: int;
+
+var nVar172: int;
+
+var nVar173: int;
+
+var nVar174: int;
+
+var nVar175: int;
+
+var nVar176: int;
+
+var nVar177: int;
+
+var nVar178: int;
+
+var nVar179: int;
+
+var nVar180: int;
+
+var nVar181: int;
+
+var nVar182: int;
+
+var nVar183: int;
+
+var nVar184: int;
+
+var nVar185: int;
+
+var nVar186: int;
+
+var nVar187: int;
+
+var nVar188: int;
+
+var nVar189: int;
+
+var nVar190: int;
+
+var nVar191: int;
+
+var nVar192: int;
+
+var nVar193: int;
+
+var nVar194: int;
+
+var nVar195: int;
+
+var nVar196: int;
+
+var nVar197: int;
+
+var nVar198: int;
+
+var nVar199: int;
+
+var nVar200: int;
+
+var nVar201: int;
+
+var nVar202: int;
+
+var nVar203: int;
+
+var nVar204: int;
+
+var nVar205: int;
+
+var nVar206: int;
+
+var nVar207: int;
+
+var nVar208: int;
+
+var nVar209: int;
+
+var nVar210: int;
+
+var nVar211: int;
+
+var nVar212: int;
+
+var nVar213: int;
+
+var nVar214: int;
+
+var nVar215: int;
+
+var nVar216: int;
+
+var nVar217: int;
+
+var nVar218: int;
+
+var nVar219: int;
+
+var nVar220: int;
+
+var nVar221: int;
+
+var nVar222: int;
+
+var nVar223: int;
+
+var nVar224: int;
+
+var nVar225: int;
+
+var nVar226: int;
+
+var nVar227: int;
+
+var nVar228: int;
+
+var nVar229: int;
+
+var nVar230: int;
+
+var nVar231: int;
+
+var nVar232: int;
+
+var nVar233: int;
+
+var nVar234: int;
+
+var nVar235: int;
+
+var nVar236: int;
+
+var nVar237: int;
+
+var nVar238: int;
+
+var nVar239: int;
+
+var nVar240: int;
+
+var nVar241: int;
+
+var nVar242: int;
+
+var nVar243: int;
+
+var nVar244: int;
+
+var nVar245: int;
+
+var nVar246: int;
+
+var nVar247: int;
+
+var nVar248: int;
+
+var nVar249: int;
+
+var nVar250: int;
+
+var nVar251: int;
+
+var nVar252: int;
+
+var nVar253: int;
+
+var nVar254: int;
+
+var nVar255: int;
+
+var nVar256: int;
+
+var nVar257: int;
+
+var nVar258: int;
+
+var nVar259: int;
+
+var nVar260: int;
+
+var nVar261: int;
+
+var nVar262: int;
+
+var nVar263: int;
+
+var nVar264: int;
+
+var nVar265: int;
+
+var nVar266: int;
+
+var nVar267: int;
+
+var nVar268: int;
+
+var nVar269: int;
+
+var nVar270: int;
+
+var nVar271: int;
+
+var nVar272: int;
+
+var nVar273: int;
+
+var nVar274: int;
+
+var nVar275: int;
+
+var nVar276: int;
+
+var nVar277: int;
+
+var nVar278: int;
+
+var nVar279: int;
+
+var nVar280: int;
+
+var nVar281: int;
+
+var nVar282: int;
+
+var nVar283: int;
+
+var nVar284: int;
+
+var nVar285: int;
+
+var nVar286: int;
+
+var nVar287: int;
+
+var nVar288: int;
+
+var nVar289: int;
+
+var nVar290: int;
+
+var nVar291: int;
+
+var nVar292: int;
+
+var nVar293: int;
+
+var nVar294: int;
+
+var nVar295: int;
+
+var nVar296: int;
+
+var nVar297: int;
+
+var nVar298: int;
+
+var nVar299: int;
+
+var nVar300: int;
+
+var nVar301: int;
+
+var nVar302: int;
+
+var nVar303: int;
+
+var nVar304: int;
+
+var nVar305: int;
+
+var nVar306: int;
+
+var nVar307: int;
+
+var nVar308: int;
+
+var nVar309: int;
+
+var nVar310: int;
+
+var nVar311: int;
+
+var nVar312: int;
+
+var nVar313: int;
+
+var nVar314: int;
+
+var nVar315: int;
+
+var nVar316: int;
+
+var nVar317: int;
+
+var nVar318: int;
+
+var nVar319: int;
+
+var nVar320: int;
+
+var nVar321: int;
+
+var nVar322: int;
+
+var nVar323: int;
+
+var nVar324: int;
+
+var nVar325: int;
+
+var nVar326: int;
+
+var nVar327: int;
+
+var nVar328: int;
+
+var nVar329: int;
+
+var nVar330: int;
+
+var nVar331: int;
+
+var nVar332: int;
+
+var nVar333: int;
+
+var nVar334: int;
+
+var nVar335: int;
+
+var nVar336: int;
+
+var nVar337: int;
+
+var nVar338: int;
+
+var nVar339: int;
+
+var nVar340: int;
+
+var nVar341: int;
+
+var nVar342: int;
+
+var nVar343: int;
+
+var nVar344: int;
+
+var nVar345: int;
+
+var nVar346: int;
+
+var nVar347: int;
+
+var nVar348: int;
+
+var nVar349: int;
+
+var nVar350: int;
+
+var nVar351: int;
+
+var nVar352: int;
+
+var nVar353: int;
+
+var nVar354: int;
+
+var nVar355: int;
+
+var nVar356: int;
+
+var nVar357: int;
+
+var nVar358: int;
+
+var nVar359: int;
+
+var nVar360: int;
+
+var nVar361: int;
+
+var nVar362: int;
+
+var nVar363: int;
+
+var nVar364: int;
+
+var nVar365: int;
+
+var nVar366: int;
+
+var nVar367: int;
+
+var nVar368: int;
+
+var nVar369: int;
+
+var nVar370: int;
+
+var nVar371: int;
+
+var nVar372: int;
+
+var nVar373: int;
+
+var nVar374: int;
+
+var nVar375: int;
+
+var nVar376: int;
+
+var nVar377: int;
+
+var nVar378: int;
+
+var nVar379: int;
+
+var nVar380: int;
+
+var nVar381: int;
+
+var nVar382: int;
+
+var nVar383: int;
+
+var nVar384: int;
+
+var nVar385: int;
+
+var nVar386: int;
+
+var nVar387: int;
+
+var nVar388: int;
+
+var nVar389: int;
+
+var nVar390: int;
+
+var nVar391: int;
+
+var nVar392: int;
+
+var nVar393: int;
+
+var nVar394: int;
+
+var nVar395: int;
+
+var nVar396: int;
+
+var nVar397: int;
+
+var nVar398: int;
+
+var nVar399: int;
+
+var nVar400: int;
+
+var nVar401: int;
+
+var nVar402: int;
+
+var nVar403: int;
+
+var nVar404: int;
+
+var nVar405: int;
+
+var nVar406: int;
+
+var nVar407: int;
+
+var nVar408: int;
+
+var nVar409: int;
+
+var nVar410: int;
+
+var nVar411: int;
+
+var nVar412: int;
+
+var nVar413: int;
+
+var nVar414: int;
+
+var nVar415: int;
+
+var nVar416: int;
+
+var nVar417: int;
+
+var nVar418: int;
+
+var nVar419: int;
+
+var nVar420: int;
+
+var nVar421: int;
+
+var nVar422: int;
+
+var nVar423: int;
+
+var nVar424: int;
+
+var nVar425: int;
+
+var nVar426: int;
+
+var nVar427: int;
+
+var nVar428: int;
+
+var nVar429: int;
+
+var nVar430: int;
+
+var nVar431: int;
+
+var nVar432: int;
+
+var nVar433: int;
+
+var nVar434: int;
+
+var nVar435: int;
+
+var nVar436: int;
+
+var nVar437: int;
+
+var nVar438: int;
+
+var nVar439: int;
+
+var nVar440: int;
+
+var nVar441: int;
+
+var nVar442: int;
+
+var nVar443: int;
+
+var nVar444: int;
+
+var nVar445: int;
+
+var nVar446: int;
+
+var nVar447: int;
+
+var nVar448: int;
+
+var nVar449: int;
+
+var nVar450: int;
+
+var nVar451: int;
+
+var nVar452: int;
+
+var nVar453: int;
+
+var nVar454: int;
+
+var nVar455: int;
+
+var nVar456: int;
+
+var nVar457: int;
+
+var nVar458: int;
+
+var nVar459: int;
+
+var nVar460: int;
+
+var nVar461: int;
+
+var nVar462: int;
+
+var nVar463: int;
+
+var nVar464: int;
+
+var nVar465: int;
+
+var nVar466: int;
+
+var nVar467: int;
+
+var nVar468: int;
+
+var nVar469: int;
+
+var nVar470: int;
+
+var nVar471: int;
+
+var nVar472: int;
+
+var nVar473: int;
+
+var nVar474: int;
+
+var nVar475: int;
+
+var nVar476: int;
+
+var nVar477: int;
+
+var nVar478: int;
+
+var nVar479: int;
+
+var nVar480: int;
+
+var nVar481: int;
+
+var nVar482: int;
+
+var nVar483: int;
+
+var nVar484: int;
+
+var nVar485: int;
+
+var nVar486: int;
+
+var nVar487: int;
+
+var nVar488: int;
+
+var nVar489: int;
+
+var nVar490: int;
+
+var nVar491: int;
+
+var nVar492: int;
+
+var nVar493: int;
+
+var nVar494: int;
+
+var nVar495: int;
+
+var nVar496: int;
+
+var nVar497: int;
+
+var nVar498: int;
+
+var nVar499: int;
+
+var nVar500: int;
+
+var nVar501: int;
+
+var nVar502: int;
+
+var nVar503: int;
+
+var nVar504: int;
+
+var nVar505: int;
+
+var nVar506: int;
+
+var nVar507: int;
+
+var nVar508: int;
+
+var nVar509: int;
+
+var nVar510: int;
+
+var nVar511: int;
+
+var nVar512: int;
+
+var nVar513: int;
+
+var nVar514: int;
+
+var nVar515: int;
+
+var nVar516: int;
+
+var nVar517: int;
+
+var nVar518: int;
+
+var nVar519: int;
+
+var nVar520: int;
+
+var nVar521: int;
+
+var nVar522: int;
+
+var nVar523: int;
+
+var nVar524: int;
+
+var nVar525: int;
+
+var nVar526: int;
+
+var nVar527: int;
+
+var nVar528: int;
+
+var nVar529: int;
+
+var nVar530: int;
+
+var nVar531: int;
+
+var nVar532: int;
+
+var nVar533: int;
+
+var nVar534: int;
+
+var nVar535: int;
+
+var nVar536: int;
+
+var nVar537: int;
+
+var nVar538: int;
+
+var nVar539: int;
+
+var nVar540: int;
+
+var nVar541: int;
+
+var nVar542: int;
+
+var nVar543: int;
+
+var nVar544: int;
+
+var nVar545: int;
+
+var nVar546: int;
+
+var nVar547: int;
+
+var nVar548: int;
+
+var nVar549: int;
+
+var nVar550: int;
+
+var nVar551: int;
+
+var nVar552: int;
+
+var nVar553: int;
+
+var nVar554: int;
+
+var nVar555: int;
+
+var nVar556: int;
+
+var nVar557: int;
+
+var nVar558: int;
+
+var nVar559: int;
+
+var nVar560: int;
+
+var nVar561: int;
+
+var nVar562: int;
+
+var nVar563: int;
+
+var nVar564: int;
+
+var nVar565: int;
+
+var nVar566: int;
+
+var nVar567: int;
+
+var nVar568: int;
+
+var nVar569: int;
+
+var nVar570: int;
+
+var nVar571: int;
+
+var nVar572: int;
+
+var nVar573: int;
+
+var nVar574: int;
+
+var nVar575: int;
+
+var nVar576: int;
+
+var nVar577: int;
+
+var nVar578: int;
+
+var nVar579: int;
+
+var nVar580: int;
+
+var nVar581: int;
+
+var nVar582: int;
+
+var nVar583: int;
+
+var nVar584: int;
+
+var nVar585: int;
+
+var nVar586: int;
+
+var nVar587: int;
+
+var nVar588: int;
+
+var nVar589: int;
+
+var nVar590: int;
+
+var nVar591: int;
+
+var nVar592: int;
+
+var nVar593: int;
+
+var nVar594: int;
+
+var nVar595: int;
+
+var nVar596: int;
+
+var nVar597: int;
+
+var nVar598: int;
+
+var nVar599: int;
+
+var nVar600: int;
+
+var nVar601: int;
+
+var nVar602: int;
+
+var nVar603: int;
+
+var nVar604: int;
+
+var nVar605: int;
+
+var nVar606: int;
+
+var nVar607: int;
+
+var nVar608: int;
+
+var nVar609: int;
+
+var nVar610: int;
+
+var nVar611: int;
+
+var nVar612: int;
+
+var nVar613: int;
+
+var nVar614: int;
+
+var nVar615: int;
+
+var nVar616: int;
+
+var nVar617: int;
+
+var nVar618: int;
+
+var nVar619: int;
+
+var nVar620: int;
+
+var nVar621: int;
+
+var nVar622: int;
+
+var nVar623: int;
+
+var nVar624: int;
+
+var nVar625: int;
+
+var nVar626: int;
+
+var nVar627: int;
+
+var nVar628: int;
+
+var nVar629: int;
+
+var nVar630: int;
+
+var nVar631: int;
+
+var nVar632: int;
+
+var nVar633: int;
+
+var nVar634: int;
+
+var nVar635: int;
+
+var nVar636: int;
+
+var nVar637: int;
+
+var nVar638: int;
+
+var nVar639: int;
+
+var nVar640: int;
+
+var nVar641: int;
+
+var nVar642: int;
+
+var nVar643: int;
+
+var nVar644: int;
+
+var nVar645: int;
+
+var nVar646: int;
+
+var nVar647: int;
+
+var nVar648: int;
+
+var nVar649: int;
+
+var nVar650: int;
+
+var nVar651: int;
+
+var nVar652: int;
+
+var nVar653: int;
+
+var nVar654: int;
+
+var nVar655: int;
+
+var nVar656: int;
+
+var nVar657: int;
+
+var nVar658: int;
+
+var nVar659: int;
+
+var nVar660: int;
+
+var nVar661: int;
+
+var nVar662: int;
+
+var nVar663: int;
+
+var nVar664: int;
+
+var nVar665: int;
+
+var nVar666: int;
+
+var nVar667: int;
+
+var nVar668: int;
+
+var nVar669: int;
+
+var nVar670: int;
+
+var nVar671: int;
+
+var nVar672: int;
+
+var nVar673: int;
+
+var nVar674: int;
+
+var nVar675: int;
+
+var nVar676: int;
+
+var nVar677: int;
+
+var nVar678: int;
+
+var nVar679: int;
+
+var nVar680: int;
+
+var nVar681: int;
+
+var nVar682: int;
+
+var nVar683: int;
+
+var nVar684: int;
+
+var nVar685: int;
+
+var nVar686: int;
+
+var nVar687: int;
+
+var nVar688: int;
+
+var nVar689: int;
+
+var nVar690: int;
+
+var nVar691: int;
+
+var nVar692: int;
+
+var nVar693: int;
+
+var nVar694: int;
+
+var nVar695: int;
+
+var nVar696: int;
+
+var nVar697: int;
+
+var nVar698: int;
+
+var nVar699: int;
+
+var nVar700: int;
+
+var nVar701: int;
+
+var nVar702: int;
+
+var nVar703: int;
+
+var nVar704: int;
+
+var nVar705: int;
+
+var nVar706: int;
+
+var nVar707: int;
+
+var nVar708: int;
+
+var nVar709: int;
+
+var nVar710: int;
+
+var nVar711: int;
+
+var nVar712: int;
+
+var nVar713: int;
+
+var nVar714: int;
+
+var nVar715: int;
+
+var nVar716: int;
+
+var nVar717: int;
+
+var nVar718: int;
+
+var nVar719: int;
+
+var nVar720: int;
+
+var nVar721: int;
+
+var nVar722: int;
+
+var nVar723: int;
+
+var nVar724: int;
+
+var nVar725: int;
+
+var nVar726: int;
+
+var nVar727: int;
+
+var nVar728: int;
+
+var nVar729: int;
+
+var nVar730: int;
+
+var nVar731: int;
+
+var nVar732: int;
+
+var nVar733: int;
+
+var nVar734: int;
+
+var nVar735: int;
+
+var nVar736: int;
+
+var nVar737: int;
+
+var nVar738: int;
+
+var nVar739: int;
+
+var nVar740: int;
+
+var nVar741: int;
+
+var nVar742: int;
+
+var nVar743: int;
+
+var nVar744: int;
+
+var nVar745: int;
+
+var nVar746: int;
+
+var nVar747: int;
+
+var nVar748: int;
+
+var nVar749: int;
+
+var nVar750: int;
+
+var nVar751: int;
+
+var nVar752: int;
+
+var nVar753: int;
+
+var nVar754: int;
+
+var nVar755: int;
+
+var nVar756: int;
+
+var nVar757: int;
+
+var nVar758: int;
+
+var nVar759: int;
+
+var nVar760: int;
+
+var nVar761: int;
+
+var nVar762: int;
+
+var nVar763: int;
+
+var nVar764: int;
+
+var nVar765: int;
+
+var nVar766: int;
+
+var nVar767: int;
+
+var nVar768: int;
+
+var nVar769: int;
+
+var nVar770: int;
+
+var nVar771: int;
+
+var nVar772: int;
+
+var nVar773: int;
+
+var nVar774: int;
+
+var nVar775: int;
+
+var nVar776: int;
+
+var nVar777: int;
+
+var nVar778: int;
+
+var nVar779: int;
+
+var nVar780: int;
+
+var nVar781: int;
+
+var nVar782: int;
+
+var nVar783: int;
+
+var nVar784: int;
+
+var nVar785: int;
+
+var nVar786: int;
+
+var nVar787: int;
+
+var nVar788: int;
+
+var nVar789: int;
+
+var nVar790: int;
+
+var nVar791: int;
+
+var nVar792: int;
+
+var nVar793: int;
+
+var nVar794: int;
+
+var nVar795: int;
+
+var nVar796: int;
+
+var nVar797: int;
+
+var nVar798: int;
+
+var nVar799: int;
+
+var nVar800: int;
+
+var nVar801: int;
+
+var nVar802: int;
+
+var nVar803: int;
+
+var nVar804: int;
+
+var nVar805: int;
+
+var nVar806: int;
+
+var nVar807: int;
+
+var nVar808: int;
+
+var nVar809: int;
+
+var nVar810: int;
+
+var nVar811: int;
+
+var nVar812: int;
+
+var nVar813: int;
+
+var nVar814: int;
+
+var nVar815: int;
+
+var nVar816: int;
+
+var nVar817: int;
+
+var nVar818: int;
+
+var nVar819: int;
+
+var nVar820: int;
+
+var nVar821: int;
+
+var nVar822: int;
+
+var nVar823: int;
+
+var nVar824: int;
+
+var nVar825: int;
+
+var nVar826: int;
+
+var nVar827: int;
+
+var nVar828: int;
+
+var nVar829: int;
+
+var nVar830: int;
+
+var nVar831: int;
+
+var nVar832: int;
+
+var nVar833: int;
+
+var nVar834: int;
+
+var nVar835: int;
+
+var nVar836: int;
+
+var nVar837: int;
+
+var nVar838: int;
+
+var nVar839: int;
+
+var nVar840: int;
+
+var nVar841: int;
+
+var nVar842: int;
+
+var nVar843: int;
+
+var nVar844: int;
+
+var nVar845: int;
+
+var nVar846: int;
+
+var nVar847: int;
+
+var nVar848: int;
+
+var nVar849: int;
+
+var nVar850: int;
+
+var nVar851: int;
+
+var nVar852: int;
+
+var nVar853: int;
+
+var nVar854: int;
+
+var nVar855: int;
+
+var nVar856: int;
+
+var nVar857: int;
+
+var nVar858: int;
+
+var nVar859: int;
+
+var nVar860: int;
+
+var nVar861: int;
+
+var nVar862: int;
+
+var nVar863: int;
+
+var nVar864: int;
+
+var nVar865: int;
+
+var nVar866: int;
+
+var nVar867: int;
+
+var nVar868: int;
+
+var nVar869: int;
+
+var nVar870: int;
+
+var nVar871: int;
+
+var nVar872: int;
+
+var nVar873: int;
+
+var nVar874: int;
+
+var nVar875: int;
+
+var nVar876: int;
+
+var nVar877: int;
+
+var nVar878: int;
+
+var nVar879: int;
+
+var nVar880: int;
+
+var nVar881: int;
+
+var nVar882: int;
+
+var nVar883: int;
+
+var nVar884: int;
+
+var nVar885: int;
+
+var nVar886: int;
+
+var nVar887: int;
+
+var nVar888: int;
+
+var nVar889: int;
+
+var nVar890: int;
+
+var nVar891: int;
+
+var nVar892: int;
+
+var nVar893: int;
+
+var nVar894: int;
+
+var nVar895: int;
+
+var nVar896: int;
+
+var nVar897: int;
+
+var nVar898: int;
+
+var nVar899: int;
+
+var nVar900: int;
+
+var nVar901: int;
+
+var nVar902: int;
+
+var nVar903: int;
+
+var nVar904: int;
+
+var nVar905: int;
+
+var nVar906: int;
+
+var nVar907: int;
+
+var nVar908: int;
+
+var nVar909: int;
+
+var nVar910: int;
+
+var nVar911: int;
+
+var nVar912: int;
+
+var nVar913: int;
+
+var nVar914: int;
+
+var nVar915: int;
+
+var nVar916: int;
+
+var nVar917: int;
+
+var nVar918: int;
+
+var nVar919: int;
+
+var nVar920: int;
+
+var nVar921: int;
+
+var nVar922: int;
+
+var nVar923: int;
+
+var nVar924: int;
+
+var nVar925: int;
+
+var nVar926: int;
+
+var nVar927: int;
+
+var nVar928: int;
+
+var nVar929: int;
+
+var nVar930: int;
+
+var nVar931: int;
+
+var nVar932: int;
+
+var nVar933: int;
+
+var nVar934: int;
+
+var nVar935: int;
+
+var nVar936: int;
+
+var nVar937: int;
+
+var nVar938: int;
+
+var nVar939: int;
+
+var nVar940: int;
+
+var nVar941: int;
+
+var nVar942: int;
+
+var nVar943: int;
+
+var nVar944: int;
+
+var nVar945: int;
+
+var nVar946: int;
+
+var nVar947: int;
+
+var nVar948: int;
+
+var nVar949: int;
+
+var nVar950: int;
+
+var nVar951: int;
+
+var nVar952: int;
+
+var nVar953: int;
+
+var nVar954: int;
+
+var nVar955: int;
+
+var nVar956: int;
+
+var nVar957: int;
+
+var nVar958: int;
+
+var nVar959: int;
+
+var nVar960: int;
+
+var nVar961: int;
+
+var nVar962: int;
+
+var nVar963: int;
+
+var nVar964: int;
+
+var nVar965: int;
+
+var nVar966: int;
+
+var nVar967: int;
+
+var nVar968: int;
+
+var nVar969: int;
+
+var nVar970: int;
+
+var nVar971: int;
+
+var nVar972: int;
+
+var nVar973: int;
+
+var nVar974: int;
+
+var nVar975: int;
+
+var nVar976: int;
+
+var nVar977: int;
+
+var nVar978: int;
+
+var nVar979: int;
+
+var nVar980: int;
+
+var nVar981: int;
+
+var nVar982: int;
+
+var nVar983: int;
+
+var nVar984: int;
+
+var nVar985: int;
+
+var nVar986: int;
+
+var nVar987: int;
+
+var nVar988: int;
+
+var nVar989: int;
+
+var nVar990: int;
+
+var nVar991: int;
+
+var nVar992: int;
+
+var nVar993: int;
+
+var nVar994: int;
+
+var nVar995: int;
+
+var nVar996: int;
+
+var nVar997: int;
+
+var nVar998: int;
+
+var nVar999: int;
+
+var nVar1000: int;
+
+var nVar1001: int;
+
+var nVar1002: int;
+
+var nVar1003: int;
+
+var nVar1004: int;
+
+var nVar1005: int;
+
+var nVar1006: int;
+
+var nVar1007: int;
+
+var nVar1008: int;
+
+var nVar1009: int;
+
+var nVar1010: int;
+
+var nVar1011: int;
+
+var nVar1012: int;
+
+var nVar1013: int;
+
+var nVar1014: int;
+
+var nVar1015: int;
+
+var nVar1016: int;
+
+var nVar1017: int;
+
+var nVar1018: int;
+
+var nVar1019: int;
+
+var nVar1020: int;
+
+var nVar1021: int;
+
+var nVar1022: int;
+
+var nVar1023: int;
+
+var nVar1024: int;
+
+var nVar1025: int;
+
+var nVar1026: int;
+
+var nVar1027: int;
+
+var nVar1028: int;
+
+var nVar1029: int;
+
+var nVar1030: int;
+
+var nVar1031: int;
+
+var nVar1032: int;
+
+var nVar1033: int;
+
+var nVar1034: int;
+
+var nVar1035: int;
+
+var nVar1036: int;
+
+var nVar1037: int;
+
+var nVar1038: int;
+
+var nVar1039: int;
+
+var nVar1040: int;
+
+var nVar1041: int;
+
+var nVar1042: int;
+
+var nVar1043: int;
+
+var nVar1044: int;
+
+var nVar1045: int;
+
+var nVar1046: int;
+
+var nVar1047: int;
+
+var nVar1048: int;
+
+var nVar1049: int;
+
+var nVar1050: int;
+
+var nVar1051: int;
+
+var nVar1052: int;
+
+var nVar1053: int;
+
+var nVar1054: int;
+
+var nVar1055: int;
+
+var nVar1056: int;
+
+var nVar1057: int;
+
+var nVar1058: int;
+
+var nVar1059: int;
+
+var nVar1060: int;
+
+var nVar1061: int;
+
+var nVar1062: int;
+
+var nVar1063: int;
+
+var nVar1064: int;
+
+var nVar1065: int;
+
+var nVar1066: int;
+
+var nVar1067: int;
+
+var nVar1068: int;
+
+var nVar1069: int;
+
+var nVar1070: int;
+
+var nVar1071: int;
+
+var nVar1072: int;
+
+var nVar1073: int;
+
+var nVar1074: int;
+
+var nVar1075: int;
+
+var nVar1076: int;
+
+var nVar1077: int;
+
+var nVar1078: int;
+
+var nVar1079: int;
+
+var nVar1080: int;
+
+var nVar1081: int;
+
+var nVar1082: int;
+
+var nVar1083: int;
+
+var nVar1084: int;
+
+var nVar1085: int;
+
+var nVar1086: int;
+
+var nVar1087: int;
+
+var nVar1088: int;
+
+var nVar1089: int;
+
+var nVar1090: int;
+
+var nVar1091: int;
+
+var nVar1092: int;
+
+var nVar1093: int;
+
+var nVar1094: int;
+
+var nVar1095: int;
+
+var nVar1096: int;
+
+var nVar1097: int;
+
+var nVar1098: int;
+
+var nVar1099: int;
+
+var nVar1100: int;
+
+var nVar1101: int;
+
+var nVar1102: int;
+
+var nVar1103: int;
+
+var nVar1104: int;
+
+var nVar1105: int;
+
+var nVar1106: int;
+
+var nVar1107: int;
+
+var nVar1108: int;
+
+var nVar1109: int;
+
+var nVar1110: int;
+
+var nVar1111: int;
+
+var nVar1112: int;
+
+var nVar1113: int;
+
+var nVar1114: int;
+
+var nVar1115: int;
+
+var nVar1116: int;
+
+var nVar1117: int;
+
+var nVar1118: int;
+
+var nVar1119: int;
+
+var nVar1120: int;
+
+var nVar1121: int;
+
+var nVar1122: int;
+
+var nVar1123: int;
+
+var nVar1124: int;
+
+var nVar1125: int;
+
+var nVar1126: int;
+
+var nVar1127: int;
+
+var nVar1128: int;
+
+var nVar1129: int;
+
+var nVar1130: int;
+
+var nVar1131: int;
+
+var nVar1132: int;
+
+var nVar1133: int;
+
+var nVar1134: int;
+
+var nVar1135: int;
+
+var nVar1136: int;
+
+var nVar1137: int;
+
+var nVar1138: int;
+
+var nVar1139: int;
+
+var nVar1140: int;
+
+var nVar1141: int;
+
+var nVar1142: int;
+
+var nVar1143: int;
+
+var nVar1144: int;
+
+var nVar1145: int;
+
+var nVar1146: int;
+
+var nVar1147: int;
+
+var nVar1148: int;
+
+var nVar1149: int;
+
+var nVar1150: int;
+
+var nVar1151: int;
+
+var nVar1152: int;
+
+var nVar1153: int;
+
+var nVar1154: int;
+
+var nVar1155: int;
+
+var nVar1156: int;
+
+var nVar1157: int;
+
+var nVar1158: int;
+
+var nVar1159: int;
+
+var nVar1160: int;
+
+var nVar1161: int;
+
+var nVar1162: int;
+
+var nVar1163: int;
+
+var nVar1164: int;
+
+var nVar1165: int;
+
+var nVar1166: int;
+
+var nVar1167: int;
+
+var nVar1168: int;
+
+var nVar1169: int;
+
+var nVar1170: int;
+
+var nVar1171: int;
+
+var nVar1172: int;
+
+var nVar1173: int;
+
+var nVar1174: int;
+
+var nVar1175: int;
+
+var nVar1176: int;
+
+var nVar1177: int;
+
+var nVar1178: int;
+
+var nVar1179: int;
+
+var nVar1180: int;
+
+var nVar1181: int;
+
+var nVar1182: int;
+
+var nVar1183: int;
+
+var nVar1184: int;
+
+var nVar1185: int;
+
+var nVar1186: int;
+
+var nVar1187: int;
+
+var nVar1188: int;
+
+var nVar1189: int;
+
+var nVar1190: int;
+
+var nVar1191: int;
+
+var nVar1192: int;
+
+var nVar1193: int;
+
+var nVar1194: int;
+
+var nVar1195: int;
+
+var nVar1196: int;
+
+var nVar1197: int;
+
+var nVar1198: int;
+
+var nVar1199: int;
+
+var nVar1200: int;
+
+var nVar1201: int;
+
+var nVar1202: int;
+
+var nVar1203: int;
+
+var nVar1204: int;
+
+var nVar1205: int;
+
+var nVar1206: int;
+
+var nVar1207: int;
+
+var nVar1208: int;
+
+var nVar1209: int;
+
+var nVar1210: int;
+
+var nVar1211: int;
+
+var nVar1212: int;
+
+var nVar1213: int;
+
+var nVar1214: int;
+
+var nVar1215: int;
+
+var nVar1216: int;
+
+var nVar1217: int;
+
+var nVar1218: int;
+
+var nVar1219: int;
+
+var nVar1220: int;
+
+var nVar1221: int;
+
+var nVar1222: int;
+
+var nVar1223: int;
+
+var nVar1224: int;
+
+var nVar1225: int;
+
+var nVar1226: int;
+
+var nVar1227: int;
+
+var nVar1228: int;
+
+var nVar1229: int;
+
+var nVar1230: int;
+
+var nVar1231: int;
+
+var nVar1232: int;
+
+var nVar1233: int;
+
+var nVar1234: int;
+
+var nVar1235: int;
+
+var nVar1236: int;
+
+var nVar1237: int;
+
+var nVar1238: int;
+
+var nVar1239: int;
+
+var nVar1240: int;
+
+var nVar1241: int;
+
+var nVar1242: int;
+
+var nVar1243: int;
+
+var nVar1244: int;
+
+var nVar1245: int;
+
+var nVar1246: int;
+
+var nVar1247: int;
+
+var nVar1248: int;
+
+var nVar1249: int;
+
+var nVar1250: int;
+
+var nVar1251: int;
+
+var nVar1252: int;
+
+var nVar1253: int;
+
+var nVar1254: int;
+
+var nVar1255: int;
+
+var nVar1256: int;
+
+var nVar1257: int;
+
+var nVar1258: int;
+
+var nVar1259: int;
+
+var nVar1260: int;
+
+var nVar1261: int;
+
+var nVar1262: int;
+
+var nVar1263: int;
+
+var nVar1264: int;
+
+var nVar1265: int;
+
+var nVar1266: int;
+
+var nVar1267: int;
+
+var nVar1268: int;
+
+var nVar1269: int;
+
+var nVar1270: int;
+
+var nVar1271: int;
+
+var nVar1272: int;
+
+var nVar1273: int;
+
+var nVar1274: int;
+
+var nVar1275: int;
+
+var nVar1276: int;
+
+var nVar1277: int;
+
+var nVar1278: int;
+
+var nVar1279: int;
+
+var nVar1280: int;
+
+var nVar1281: int;
+
+var nVar1282: int;
+
+var nVar1283: int;
+
+var nVar1284: int;
+
+var nVar1285: int;
+
+var nVar1286: int;
+
+var nVar1287: int;
+
+var nVar1288: int;
+
+var nVar1289: int;
+
+var nVar1290: int;
+
+var nVar1291: int;
+
+var nVar1292: int;
+
+var nVar1293: int;
+
+var nVar1294: int;
+
+var nVar1295: int;
+
+var nVar1296: int;
+
+var nVar1297: int;
+
+var nVar1298: int;
+
+var nVar1299: int;
+
+var nVar1300: int;
+
+var nVar1301: int;
+
+var nVar1302: int;
+
+var nVar1303: int;
+
+var nVar1304: int;
+
+var nVar1305: int;
+
+var nVar1306: int;
+
+var nVar1307: int;
+
+var nVar1308: int;
+
+var nVar1309: int;
+
+var nVar1310: int;
+
+var nVar1311: int;
+
+var nVar1312: int;
+
+var nVar1313: int;
+
+var nVar1314: int;
+
+var nVar1315: int;
+
+var nVar1316: int;
+
+var nVar1317: int;
+
+var nVar1318: int;
+
+var nVar1319: int;
+
+var nVar1320: int;
+
+var nVar1321: int;
+
+var nVar1322: int;
+
+var nVar1323: int;
+
+var nVar1324: int;
+
+var nVar1325: int;
+
+var nVar1326: int;
+
+var nVar1327: int;
+
+var nVar1328: int;
+
+var nVar1329: int;
+
+var nVar1330: int;
+
+var nVar1331: int;
+
+var nVar1332: int;
+
+var nVar1333: int;
+
+var nVar1334: int;
+
+var nVar1335: int;
+
+var nVar1336: int;
+
+var nVar1337: int;
+
+var nVar1338: int;
+
+var nVar1339: int;
+
+var nVar1340: int;
+
+var nVar1341: int;
+
+var nVar1342: int;
+
+var nVar1343: int;
+
+var nVar1344: int;
+
+var nVar1345: int;
+
+var nVar1346: int;
+
+var nVar1347: int;
+
+var nVar1348: int;
+
+var nVar1349: int;
+
+var nVar1350: int;
+
+var nVar1351: int;
+
+var nVar1352: int;
+
+var nVar1353: int;
+
+var nVar1354: int;
+
+var nVar1355: int;
+
+var nVar1356: int;
+
+var nVar1357: int;
+
+var nVar1358: int;
+
+var nVar1359: int;
+
+var nVar1360: int;
+
+var nVar1361: int;
+
+var nVar1362: int;
+
+var nVar1363: int;
+
+var nVar1364: int;
+
+var nVar1365: int;
+
+var nVar1366: int;
+
+var nVar1367: int;
+
+var nVar1368: int;
+
+var nVar1369: int;
+
+var nVar1370: int;
+
+var nVar1371: int;
+
+var nVar1372: int;
+
+var nVar1373: int;
+
+var nVar1374: int;
+
+var nVar1375: int;
+
+var nVar1376: int;
+
+var nVar1377: int;
+
+var nVar1378: int;
+
+var nVar1379: int;
+
+var nVar1380: int;
+
+var nVar1381: int;
+
+var nVar1382: int;
+
+var nVar1383: int;
+
+var nVar1384: int;
+
+var nVar1385: int;
+
+var nVar1386: int;
+
+var nVar1387: int;
+
+var nVar1388: int;
+
+var nVar1389: int;
+
+var nVar1390: int;
+
+var nVar1391: int;
+
+var nVar1392: int;
+
+var nVar1393: int;
+
+var nVar1394: int;
+
+var nVar1395: int;
+
+var nVar1396: int;
+
+var nVar1397: int;
+
+var nVar1398: int;
+
+var nVar1399: int;
+
+var nVar1400: int;
+
+var nVar1401: int;
+
+var nVar1402: int;
+
+var nVar1403: int;
+
+var nVar1404: int;
+
+var nVar1405: int;
+
+var nVar1406: int;
+
+var nVar1407: int;
+
+var nVar1408: int;
+
+var nVar1409: int;
+
+var nVar1410: int;
+
+var nVar1411: int;
+
+var nVar1412: int;
+
+var nVar1413: int;
+
+var nVar1414: int;
+
+var nVar1415: int;
+
+var nVar1416: int;
+
+var nVar1417: int;
+
+var nVar1418: int;
+
+var nVar1419: int;
+
+var nVar1420: int;
+
+var nVar1421: int;
+
+var nVar1422: int;
+
+var nVar1423: int;
+
+var nVar1424: int;
+
+var nVar1425: int;
+
+var nVar1426: int;
+
+var nVar1427: int;
+
+var nVar1428: int;
+
+var nVar1429: int;
+
+var nVar1430: int;
+
+var nVar1431: int;
+
+var nVar1432: int;
+
+var nVar1433: int;
+
+var nVar1434: int;
+
+var nVar1435: int;
+
+var nVar1436: int;
+
+var nVar1437: int;
+
+var nVar1438: int;
+
+var nVar1439: int;
+
+var nVar1440: int;
+
+var nVar1441: int;
+
+var nVar1442: int;
+
+var nVar1443: int;
+
+var nVar1444: int;
+
+var nVar1445: int;
+
+var nVar1446: int;
+
+var nVar1447: int;
+
+var nVar1448: int;
+
+var nVar1449: int;
+
+var nVar1450: int;
+
+var nVar1451: int;
+
+var nVar1452: int;
+
+var nVar1453: int;
+
+var nVar1454: int;
+
+var nVar1455: int;
+
+var nVar1456: int;
+
+var nVar1457: int;
+
+var nVar1458: int;
+
+var nVar1459: int;
+
+var nVar1460: int;
+
+var nVar1461: int;
+
+var nVar1462: int;
+
+var nVar1463: int;
+
+var nVar1464: int;
+
+var nVar1465: int;
+
+var nVar1466: int;
+
+var nVar1467: int;
+
+var nVar1468: int;
+
+var nVar1469: int;
+
+var nVar1470: int;
+
+var nVar1471: int;
+
+var nVar1472: int;
+
+var nVar1473: int;
+
+var nVar1474: int;
+
+var nVar1475: int;
+
+var nVar1476: int;
+
+var nVar1477: int;
+
+var nVar1478: int;
+
+var nVar1479: int;
+
+var nVar1480: int;
+
+var nVar1481: int;
+
+var nVar1482: int;
+
+var nVar1483: int;
+
+var nVar1484: int;
+
+var nVar1485: int;
+
+var nVar1486: int;
+
+var nVar1487: int;
+
+var nVar1488: int;
+
+var nVar1489: int;
+
+var nVar1490: int;
+
+var nVar1491: int;
+
+var nVar1492: int;
+
+var nVar1493: int;
+
+var nVar1494: int;
+
+var nVar1495: int;
+
+var nVar1496: int;
+
+var nVar1497: int;
+
+var nVar1498: int;
+
+var nVar1499: int;
+
+var nVar1500: int;
+
+var nVar1501: int;
+
+var nVar1502: int;
+
+var nVar1503: int;
+
+var nVar1504: int;
+
+var nVar1505: int;
+
+var nVar1506: int;
+
+var nVar1507: int;
+
+var nVar1508: int;
+
+var nVar1509: int;
+
+var nVar1510: int;
+
+var nVar1511: int;
+
+var nVar1512: int;
+
+var nVar1513: int;
+
+var nVar1514: int;
+
+var nVar1515: int;
+
+var nVar1516: int;
+
+var nVar1517: int;
+
+var nVar1518: int;
+
+var nVar1519: int;
+
+var nVar1520: int;
+
+var nVar1521: int;
+
+var nVar1522: int;
+
+var nVar1523: int;
+
+var nVar1524: int;
+
+var nVar1525: int;
+
+var nVar1526: int;
+
+var nVar1527: int;
+
+var nVar1528: int;
+
+var nVar1529: int;
+
+var nVar1530: int;
+
+var nVar1531: int;
+
+var nVar1532: int;
+
+var nVar1533: int;
+
+var nVar1534: int;
+
+var nVar1535: int;
+
+var nVar1536: int;
+
+var nVar1537: int;
+
+var nVar1538: int;
+
+var nVar1539: int;
+
+var nVar1540: int;
+
+var nVar1541: int;
+
+var nVar1542: int;
+
+var nVar1543: int;
+
+var nVar1544: int;
+
+var nVar1545: int;
+
+var nVar1546: int;
+
+var nVar1547: int;
+
+var nVar1548: int;
+
+var nVar1549: int;
+
+var nVar1550: int;
+
+var nVar1551: int;
+
+var nVar1552: int;
+
+var nVar1553: int;
+
+var nVar1554: int;
+
+var nVar1555: int;
+
+var nVar1556: int;
+
+var nVar1557: int;
+
+var nVar1558: int;
+
+var nVar1559: int;
+
+var nVar1560: int;
+
+var nVar1561: int;
+
+var nVar1562: int;
+
+var nVar1563: int;
+
+var nVar1564: int;
+
+var nVar1565: int;
+
+var nVar1566: int;
+
+var nVar1567: int;
+
+var nVar1568: int;
+
+var nVar1569: int;
+
+var nVar1570: int;
+
+var nVar1571: int;
+
+var nVar1572: int;
+
+var nVar1573: int;
+
+var nVar1574: int;
+
+var nVar1575: int;
+
+var nVar1576: int;
+
+var nVar1577: int;
+
+var nVar1578: int;
+
+var nVar1579: int;
+
+var nVar1580: int;
+
+var nVar1581: int;
+
+var nVar1582: int;
+
+var nVar1583: int;
+
+var nVar1584: int;
+
+var nVar1585: int;
+
+var nVar1586: int;
+
+var nVar1587: int;
+
+var nVar1588: int;
+
+var nVar1589: int;
+
+var nVar1590: int;
+
+var nVar1591: int;
+
+var nVar1592: int;
+
+var nVar1593: int;
+
+var nVar1594: int;
+
+var nVar1595: int;
+
+var nVar1596: int;
+
+var nVar1597: int;
+
+var nVar1598: int;
+
+var nVar1599: int;
+
+var nVar1600: int;
+
+var nVar1601: int;
+
+var nVar1602: int;
+
+var nVar1603: int;
+
+var nVar1604: int;
+
+var nVar1605: int;
+
+var nVar1606: int;
+
+var nVar1607: int;
+
+var nVar1608: int;
+
+var nVar1609: int;
+
+var nVar1610: int;
+
+var nVar1611: int;
+
+var nVar1612: int;
+
+var nVar1613: int;
+
+var nVar1614: int;
+
+var nVar1615: int;
+
+var nVar1616: int;
+
+var nVar1617: int;
+
+var nVar1618: int;
+
+var nVar1619: int;
+
+var nVar1620: int;
+
+var nVar1621: int;
+
+var nVar1622: int;
+
+var nVar1623: int;
+
+var nVar1624: int;
+
+var nVar1625: int;
+
+var nVar1626: int;
+
+var nVar1627: int;
+
+var nVar1628: int;
+
+var nVar1629: int;
+
+var nVar1630: int;
+
+var nVar1631: int;
+
+var nVar1632: int;
+
+var nVar1633: int;
+
+var nVar1634: int;
+
+var nVar1635: int;
+
+var nVar1636: int;
+
+var nVar1637: int;
+
+var nVar1638: int;
+
+var nVar1639: int;
+
+var nVar1640: int;
+
+var nVar1641: int;
+
+var nVar1642: int;
+
+var nVar1643: int;
+
+var nVar1644: int;
+
+var nVar1645: int;
+
+var nVar1646: int;
+
+var nVar1647: int;
+
+var nVar1648: int;
+
+var nVar1649: int;
+
+var nVar1650: int;
+
+var nVar1651: int;
+
+var nVar1652: int;
+
+var nVar1653: int;
+
+var nVar1654: int;
+
+var nVar1655: int;
+
+var nVar1656: int;
+
+var nVar1657: int;
+
+var nVar1658: int;
+
+var nVar1659: int;
+
+var nVar1660: int;
+
+var nVar1661: int;
+
+var nVar1662: int;
+
+var nVar1663: int;
+
+var nVar1664: int;
+
+var nVar1665: int;
+
+var nVar1666: int;
+
+var nVar1667: int;
+
+var nVar1668: int;
+
+var nVar1669: int;
+
+var nVar1670: int;
+
+var nVar1671: int;
+
+var nVar1672: int;
+
+var nVar1673: int;
+
+var nVar1674: int;
+
+var nVar1675: int;
+
+var nVar1676: int;
+
+var nVar1677: int;
+
+var nVar1678: int;
+
+var nVar1679: int;
+
+var nVar1680: int;
+
+var nVar1681: int;
+
+var nVar1682: int;
+
+var nVar1683: int;
+
+var nVar1684: int;
+
+var nVar1685: int;
+
+var nVar1686: int;
+
+var nVar1687: int;
+
+var nVar1688: int;
+
+var nVar1689: int;
+
+var nVar1690: int;
+
+var nVar1691: int;
+
+var nVar1692: int;
+
+var nVar1693: int;
+
+var nVar1694: int;
+
+var nVar1695: int;
+
+var nVar1696: int;
+
+var nVar1697: int;
+
+var nVar1698: int;
+
+var nVar1699: int;
+
+var nVar1700: int;
+
+var nVar1701: int;
+
+var nVar1702: int;
+
+var nVar1703: int;
+
+var nVar1704: int;
+
+var nVar1705: int;
+
+var nVar1706: int;
+
+var nVar1707: int;
+
+var nVar1708: int;
+
+var nVar1709: int;
+
+var nVar1710: int;
+
+var nVar1711: int;
+
+var nVar1712: int;
+
+var nVar1713: int;
+
+var nVar1714: int;
+
+var nVar1715: int;
+
+var nVar1716: int;
+
+var nVar1717: int;
+
+var nVar1718: int;
+
+var nVar1719: int;
+
+var nVar1720: int;
+
+var nVar1721: int;
+
+var nVar1722: int;
+
+var nVar1723: int;
+
+var nVar1724: int;
+
+var nVar1725: int;
+
+var nVar1726: int;
+
+var nVar1727: int;
+
+var nVar1728: int;
+
+var nVar1729: int;
+
+var nVar1730: int;
+
+var nVar1731: int;
+
+var nVar1732: int;
+
+var nVar1733: int;
+
+var nVar1734: int;
+
+var nVar1735: int;
+
+var nVar1736: int;
+
+var nVar1737: int;
+
+var nVar1738: int;
+
+var nVar1739: int;
+
+var nVar1740: int;
+
+var nVar1741: int;
+
+var nVar1742: int;
+
+var nVar1743: int;
+
+var nVar1744: int;
+
+var nVar1745: int;
+
+var nVar1746: int;
+
+var nVar1747: int;
+
+var nVar1748: int;
+
+var nVar1749: int;
+
+var nVar1750: int;
+
+var nVar1751: int;
+
+var nVar1752: int;
+
+var nVar1753: int;
+
+var nVar1754: int;
+
+var nVar1755: int;
+
+var nVar1756: int;
+
+var nVar1757: int;
+
+var nVar1758: int;
+
+var nVar1759: int;
+
+var nVar1760: int;
+
+var nVar1761: int;
+
+var nVar1762: int;
+
+var nVar1763: int;
+
+var nVar1764: int;
+
+var nVar1765: int;
+
+var nVar1766: int;
+
+var nVar1767: int;
+
+var nVar1768: int;
+
+var nVar1769: int;
+
+var nVar1770: int;
+
+var nVar1771: int;
+
+var nVar1772: int;
+
+var nVar1773: int;
+
+var nVar1774: int;
+
+var nVar1775: int;
+
+var nVar1776: int;
+
+var nVar1777: int;
+
+var nVar1778: int;
+
+var nVar1779: int;
+
+var nVar1780: int;
+
+var nVar1781: int;
+
+var nVar1782: int;
+
+var nVar1783: int;
+
+var nVar1784: int;
+
+var nVar1785: int;
+
+var nVar1786: int;
+
+var nVar1787: int;
+
+var nVar1788: int;
+
+var nVar1789: int;
+
+var nVar1790: int;
+
+var nVar1791: int;
+
+var nVar1792: int;
+
+var nVar1793: int;
+
+var nVar1794: int;
+
+var nVar1795: int;
+
+var nVar1796: int;
+
+var nVar1797: int;
+
+var nVar1798: int;
+
+var nVar1799: int;
+
+var nVar1800: int;
+
+var nVar1801: int;
+
+var nVar1802: int;
+
+var nVar1803: int;
+
+var nVar1804: int;
+
+var nVar1805: int;
+
+var nVar1806: int;
+
+var nVar1807: int;
+
+var nVar1808: int;
+
+var nVar1809: int;
+
+var nVar1810: int;
+
+var nVar1811: int;
+
+var nVar1812: int;
+
+var nVar1813: int;
+
+var nVar1814: int;
+
+var nVar1815: int;
+
+var nVar1816: int;
+
+var nVar1817: int;
+
+var nVar1818: int;
+
+var nVar1819: int;
+
+var nVar1820: int;
+
+var nVar1821: int;
+
+var nVar1822: int;
+
+var nVar1823: int;
+
+var nVar1824: int;
+
+var nVar1825: int;
+
+var nVar1826: int;
+
+var nVar1827: int;
+
+var nVar1828: int;
+
+var nVar1829: int;
+
+var nVar1830: int;
+
+var nVar1831: int;
+
+var nVar1832: int;
+
+var nVar1833: int;
+
+var nVar1834: int;
+
+var nVar1835: int;
+
+var nVar1836: int;
+
+var nVar1837: int;
+
+var nVar1838: int;
+
+var nVar1839: int;
+
+var nVar1840: int;
+
+var nVar1841: int;
+
+var nVar1842: int;
+
+var nVar1843: int;
+
+var nVar1844: int;
+
+var nVar1845: int;
+
+var nVar1846: int;
+
+var nVar1847: int;
+
+var nVar1848: int;
+
+var nVar1849: int;
+
+var nVar1850: int;
+
+var nVar1851: int;
+
+var nVar1852: int;
+
+var nVar1853: int;
+
+var nVar1854: int;
+
+var nVar1855: int;
+
+var nVar1856: int;
+
+var nVar1857: int;
+
+var nVar1858: int;
+
+var nVar1859: int;
+
+var nVar1860: int;
+
+var nVar1861: int;
+
+var nVar1862: int;
+
+var nVar1863: int;
+
+var nVar1864: int;
+
+var nVar1865: int;
+
+var nVar1866: int;
+
+var nVar1867: int;
+
+var nVar1868: int;
+
+var nVar1869: int;
+
+var nVar1870: int;
+
+var nVar1871: int;
+
+var nVar1872: int;
+
+var nVar1873: int;
+
+var nVar1874: int;
+
+var nVar1875: int;
+
+var nVar1876: int;
+
+var nVar1877: int;
+
+var nVar1878: int;
+
+var nVar1879: int;
+
+var nVar1880: int;
+
+var nVar1881: int;
+
+var nVar1882: int;
+
+var nVar1883: int;
+
+var nVar1884: int;
+
+var nVar1885: int;
+
+var nVar1886: int;
+
+var nVar1887: int;
+
+var nVar1888: int;
+
+var nVar1889: int;
+
+var nVar1890: int;
+
+var nVar1891: int;
+
+var nVar1892: int;
+
+var nVar1893: int;
+
+var nVar1894: int;
+
+var nVar1895: int;
+
+var nVar1896: int;
+
+var nVar1897: int;
+
+var nVar1898: int;
+
+var nVar1899: int;
+
+var nVar1900: int;
+
+var nVar1901: int;
+
+var nVar1902: int;
+
+var nVar1903: int;
+
+var nVar1904: int;
+
+var nVar1905: int;
+
+var nVar1906: int;
+
+var nVar1907: int;
+
+var nVar1908: int;
+
+var nVar1909: int;
+
+var nVar1910: int;
+
+var nVar1911: int;
+
+var nVar1912: int;
+
+var nVar1913: int;
+
+var nVar1914: int;
+
+var nVar1915: int;
+
+var nVar1916: int;
+
+var nVar1917: int;
+
+var nVar1918: int;
+
+var nVar1919: int;
+
+var nVar1920: int;
+
+var nVar1921: int;
+
+var nVar1922: int;
+
+var nVar1923: int;
+
+var nVar1924: int;
+
+var nVar1925: int;
+
+var nVar1926: int;
+
+var nVar1927: int;
+
+var nVar1928: int;
+
+var nVar1929: int;
+
+var nVar1930: int;
+
+var nVar1931: int;
+
+var nVar1932: int;
+
+var nVar1933: int;
+
+var nVar1934: int;
+
+var nVar1935: int;
+
+var nVar1936: int;
+
+var nVar1937: int;
+
+var nVar1938: int;
+
+var nVar1939: int;
+
+var nVar1940: int;
+
+var nVar1941: int;
+
+var nVar1942: int;
+
+var nVar1943: int;
+
+var nVar1944: int;
+
+var nVar1945: int;
+
+var nVar1946: int;
+
+var nVar1947: int;
+
+var nVar1948: int;
+
+var nVar1949: int;
+
+var nVar1950: int;
+
+var nVar1951: int;
+
+var nVar1952: int;
+
+var nVar1953: int;
+
+var nVar1954: int;
+
+var nVar1955: int;
+
+var nVar1956: int;
+
+var nVar1957: int;
+
+var nVar1958: int;
+
+var nVar1959: int;
+
+var nVar1960: int;
+
+var nVar1961: int;
+
+var nVar1962: int;
+
+var nVar1963: int;
+
+var nVar1964: int;
+
+var nVar1965: int;
+
+var nVar1966: int;
+
+var nVar1967: int;
+
+var nVar1968: int;
+
+var nVar1969: int;
+
+var nVar1970: int;
+
+var nVar1971: int;
+
+var nVar1972: int;
+
+var nVar1973: int;
+
+var nVar1974: int;
+
+var nVar1975: int;
+
+var nVar1976: int;
+
+var nVar1977: int;
+
+var nVar1978: int;
+
+var nVar1979: int;
+
+var nVar1980: int;
+
+var nVar1981: int;
+
+var nVar1982: int;
+
+var nVar1983: int;
+
+var nVar1984: int;
+
+var nVar1985: int;
+
+var nVar1986: int;
+
+var nVar1987: int;
+
+var nVar1988: int;
+
+var nVar1989: int;
+
+var nVar1990: int;
+
+var nVar1991: int;
+
+var nVar1992: int;
+
+var nVar1993: int;
+
+var nVar1994: int;
+
+var nVar1995: int;
+
+var nVar1996: int;
+
+var nVar1997: int;
+
+var nVar1998: int;
+
+var nVar1999: int;
+
+var nVar2000: int;
+
+var nVar2001: int;
+
+var nVar2002: int;
+
+var nVar2003: int;
+
+var nVar2004: int;
+
+var nVar2005: int;
+
+var nVar2006: int;
+
+var nVar2007: int;
+
+var nVar2008: int;
+
+var nVar2009: int;
+
+var nVar2010: int;
+
+var nVar2011: int;
+
+var nVar2012: int;
+
+var nVar2013: int;
+
+var nVar2014: int;
+
+var nVar2015: int;
+
+var nVar2016: int;
+
+var nVar2017: int;
+
+var nVar2018: int;
+
+var nVar2019: int;
+
+var nVar2020: int;
+
+var nVar2021: int;
+
+var nVar2022: int;
+
+var nVar2023: int;
+
+var nVar2024: int;
+
+var nVar2025: int;
+
+var nVar2026: int;
+
+var nVar2027: int;
+
+var nVar2028: int;
+
+var nVar2029: int;
+
+var nVar2030: int;
+
+var nVar2031: int;
+
+var nVar2032: int;
+
+var nVar2033: int;
+
+var nVar2034: int;
+
+var nVar2035: int;
+
+var nVar2036: int;
+
+var nVar2037: int;
+
+var nVar2038: int;
+
+var nVar2039: int;
+
+var nVar2040: int;
+
+var nVar2041: int;
+
+var nVar2042: int;
+
+var nVar2043: int;
+
+var nVar2044: int;
+
+var nVar2045: int;
+
+var nVar2046: int;
+
+var nVar2047: int;
+
+var nVar2048: int;
+
+var nVar2049: int;
+
+var nVar2050: int;
+
+var nVar2051: int;
+
+var nVar2052: int;
+
+var nVar2053: int;
+
+var nVar2054: int;
+
+var nVar2055: int;
+
+var nVar2056: int;
+
+var nVar2057: int;
+
+var nVar2058: int;
+
+var nVar2059: int;
+
+var nVar2060: int;
+
+var nVar2061: int;
+
+var nVar2062: int;
+
+var nVar2063: int;
+
+var nVar2064: int;
+
+var nVar2065: int;
+
+var nVar2066: int;
+
+var nVar2067: int;
+
+var nVar2068: int;
+
+var nVar2069: int;
+
+var nVar2070: int;
+
+var nVar2071: int;
+
+var nVar2072: int;
+
+var nVar2073: int;
+
+var nVar2074: int;
+
+var nVar2075: int;
+
+var nVar2076: int;
+
+var nVar2077: int;
+
+var nVar2078: int;
+
+var nVar2079: int;
+
+var nVar2080: int;
+
+var nVar2081: int;
+
+var nVar2082: int;
+
+var nVar2083: int;
+
+var nVar2084: int;
+
+var nVar2085: int;
+
+var nVar2086: int;
+
+var nVar2087: int;
+
+var nVar2088: int;
+
+var nVar2089: int;
+
+var nVar2090: int;
+
+var nVar2091: int;
+
+var nVar2092: int;
+
+var nVar2093: int;
+
+var nVar2094: int;
+
+var nVar2095: int;
+
+var nVar2096: int;
+
+var nVar2097: int;
+
+var nVar2098: int;
+
+var nVar2099: int;
+
+var nVar2100: int;
+
+var nVar2101: int;
+
+var nVar2102: int;
+
+var nVar2103: int;
+
+var nVar2104: int;
+
+var nVar2105: int;
+
+var nVar2106: int;
+
+var nVar2107: int;
+
+var nVar2108: int;
+
+var nVar2109: int;
+
+var nVar2110: int;
+
+var nVar2111: int;
+
+var nVar2112: int;
+
+var nVar2113: int;
+
+var nVar2114: int;
+
+var nVar2115: int;
+
+var nVar2116: int;
+
+var nVar2117: int;
+
+var nVar2118: int;
+
+var nVar2119: int;
+
+var nVar2120: int;
+
+var nVar2121: int;
+
+var nVar2122: int;
+
+var nVar2123: int;
+
+var nVar2124: int;
+
+var nVar2125: int;
+
+var nVar2126: int;
+
+var nVar2127: int;
+
+var nVar2128: int;
+
+var nVar2129: int;
+
+var nVar2130: int;
+
+var nVar2131: int;
+
+var nVar2132: int;
+
+var nVar2133: int;
+
+var nVar2134: int;
+
+var nVar2135: int;
+
+var nVar2136: int;
+
+var nVar2137: int;
+
+var nVar2138: int;
+
+var nVar2139: int;
+
+var nVar2140: int;
+
+var nVar2141: int;
+
+var nVar2142: int;
+
+var nVar2143: int;
+
+var nVar2144: int;
+
+var nVar2145: int;
+
+var nVar2146: int;
+
+var nVar2147: int;
+
+var nVar2148: int;
+
+var nVar2149: int;
+
+var nVar2150: int;
+
+var nVar2151: int;
+
+var nVar2152: int;
+
+var nVar2153: int;
+
+var nVar2154: int;
+
+var nVar2155: int;
+
+var nVar2156: int;
+
+var nVar2157: int;
+
+var nVar2158: int;
+
+var nVar2159: int;
+
+var nVar2160: int;
+
+var nVar2161: int;
+
+var nVar2162: int;
+
+var nVar2163: int;
+
+var nVar2164: int;
+
+var nVar2165: int;
+
+var nVar2166: int;
+
+var nVar2167: int;
+
+var nVar2168: int;
+
+var nVar2169: int;
+
+var nVar2170: int;
+
+var nVar2171: int;
+
+var nVar2172: int;
+
+var nVar2173: int;
+
+var nVar2174: int;
+
+var nVar2175: int;
+
+var nVar2176: int;
+
+var nVar2177: int;
+
+var nVar2178: int;
+
+var nVar2179: int;
+
+var nVar2180: int;
+
+var nVar2181: int;
+
+var nVar2182: int;
+
+var nVar2183: int;
+
+var nVar2184: int;
+
+var nVar2185: int;
+
+var nVar2186: int;
+
+var nVar2187: int;
+
+var nVar2188: int;
+
+var nVar2189: int;
+
+var nVar2190: int;
+
+var nVar2191: int;
+
+var nVar2192: int;
+
+var nVar2193: int;
+
+var nVar2194: int;
+
+var nVar2195: int;
+
+var nVar2196: int;
+
+var nVar2197: int;
+
+var nVar2198: int;
+
+var nVar2199: int;
+
+var nVar2200: int;
+
+var nVar2201: int;
+
+var nVar2202: int;
+
+var nVar2203: int;
+
+var nVar2204: int;
+
+var nVar2205: int;
+
+var nVar2206: int;
+
+var nVar2207: int;
+
+var nVar2208: int;
+
+var nVar2209: int;
+
+var nVar2210: int;
+
+var nVar2211: int;
+
+var nVar2212: int;
+
+var nVar2213: int;
+
+var nVar2214: int;
+
+var nVar2215: int;
+
+var nVar2216: int;
+
+var nVar2217: int;
+
+var nVar2218: int;
+
+var nVar2219: int;
+
+var nVar2220: int;
+
+var nVar2221: int;
+
+var nVar2222: int;
+
+var nVar2223: int;
+
+var nVar2224: int;
+
+var nVar2225: int;
+
+var nVar2226: int;
+
+var nVar2227: int;
+
+var nVar2228: int;
+
+var nVar2229: int;
+
+var nVar2230: int;
+
+var nVar2231: int;
+
+var nVar2232: int;
+
+var nVar2233: int;
+
+var nVar2234: int;
+
+var nVar2235: int;
+
+var nVar2236: int;
+
+var nVar2237: int;
+
+var nVar2238: int;
+
+var nVar2239: int;
+
+var nVar2240: int;
+
+var nVar2241: int;
+
+var nVar2242: int;
+
+var nVar2243: int;
+
+var nVar2244: int;
+
+var nVar2245: int;
+
+var nVar2246: int;
+
+var nVar2247: int;
+
+var nVar2248: int;
+
+var nVar2249: int;
+
+var nVar2250: int;
+
+var nVar2251: int;
+
+var nVar2252: int;
+
+var nVar2253: int;
+
+var nVar2254: int;
+
+var nVar2255: int;
+
+var nVar2256: int;
+
+var nVar2257: int;
+
+var nVar2258: int;
+
+var nVar2259: int;
+
+var nVar2260: int;
+
+var nVar2261: int;
+
+var nVar2262: int;
+
+var nVar2263: int;
+
+var nVar2264: int;
+
+var nVar2265: int;
+
+var nVar2266: int;
+
+var nVar2267: int;
+
+var nVar2268: int;
+
+var nVar2269: int;
+
+var nVar2270: int;
+
+var nVar2271: int;
+
+var nVar2272: int;
+
+var nVar2273: int;
+
+var nVar2274: int;
+
+var nVar2275: int;
+
+var nVar2276: int;
+
+var nVar2277: int;
+
+var nVar2278: int;
+
+var nVar2279: int;
+
+var nVar2280: int;
+
+var nVar2281: int;
+
+var nVar2282: int;
+
+var nVar2283: int;
+
+var nVar2284: int;
+
+var nVar2285: int;
+
+var nVar2286: int;
+
+var nVar2287: int;
+
+var nVar2288: int;
+
+var nVar2289: int;
+
+var nVar2290: int;
+
+var nVar2291: int;
+
+var nVar2292: int;
+
+var nVar2293: int;
+
+var nVar2294: int;
+
+var nVar2295: int;
+
+var nVar2296: int;
+
+var nVar2297: int;
+
+var nVar2298: int;
+
+var nVar2299: int;
+
+var nVar2300: int;
+
+var nVar2301: int;
+
+var nVar2302: int;
+
+var nVar2303: int;
+
+var nVar2304: int;
+
+var nVar2305: int;
+
+var nVar2306: int;
+
+var nVar2307: int;
+
+var nVar2308: int;
+
+var nVar2309: int;
+
+var nVar2310: int;
+
+var nVar2311: int;
+
+var nVar2312: int;
+
+var nVar2313: int;
+
+var nVar2314: int;
+
+var nVar2315: int;
+
+var nVar2316: int;
+
+var nVar2317: int;
+
+var nVar2318: int;
+
+var nVar2319: int;
+
+var nVar2320: int;
+
+var nVar2321: int;
+
+var nVar2322: int;
+
+var nVar2323: int;
+
+var nVar2324: int;
+
+var nVar2325: int;
+
+var nVar2326: int;
+
+var nVar2327: int;
+
+var nVar2328: int;
+
+var nVar2329: int;
+
+var nVar2330: int;
+
+var nVar2331: int;
+
+var nVar2332: int;
+
+var nVar2333: int;
+
+var nVar2334: int;
+
+var nVar2335: int;
+
+var nVar2336: int;
+
+var nVar2337: int;
+
+var nVar2338: int;
+
+var nVar2339: int;
+
+var nVar2340: int;
+
+var nVar2341: int;
+
+var nVar2342: int;
+
+var nVar2343: int;
+
+var nVar2344: int;
+
+var nVar2345: int;
+
+var nVar2346: int;
+
+var nVar2347: int;
+
+var nVar2348: int;
+
+var nVar2349: int;
+
+var nVar2350: int;
+
+var nVar2351: int;
+
+var nVar2352: int;
+
+var nVar2353: int;
+
+var nVar2354: int;
+
+var nVar2355: int;
+
+var nVar2356: int;
+
+var nVar2357: int;
+
+var nVar2358: int;
+
+var nVar2359: int;
+
+var nVar2360: int;
+
+var nVar2361: int;
+
+var nVar2362: int;
+
+var nVar2363: int;
+
+var nVar2364: int;
+
+var nVar2365: int;
+
+var nVar2366: int;
+
+var nVar2367: int;
+
+var nVar2368: int;
+
+var nVar2369: int;
+
+var nVar2370: int;
+
+var nVar2371: int;
+
+var nVar2372: int;
+
+var nVar2373: int;
+
+var nVar2374: int;
+
+var nVar2375: int;
+
+var nVar2376: int;
+
+var nVar2377: int;
+
+var nVar2378: int;
+
+var nVar2379: int;
+
+var nVar2380: int;
+
+var nVar2381: int;
+
+var nVar2382: int;
+
+var nVar2383: int;
+
+var nVar2384: int;
+
+var nVar2385: int;
+
+var nVar2386: int;
+
+var nVar2387: int;
+
+var nVar2388: int;
+
+var nVar2389: int;
+
+var nVar2390: int;
+
+var nVar2391: int;
+
+var nVar2392: int;
+
+var nVar2393: int;
+
+var nVar2394: int;
+
+var nVar2395: int;
+
+var nVar2396: int;
+
+var nVar2397: int;
+
+var nVar2398: int;
+
+var nVar2399: int;
+
+var nVar2400: int;
+
+var nVar2401: int;
+
+var nVar2402: int;
+
+var nVar2403: int;
+
+var nVar2404: int;
+
+var nVar2405: int;
+
+var nVar2406: int;
+
+var nVar2407: int;
+
+var nVar2408: int;
+
+var nVar2409: int;
+
+var nVar2410: int;
+
+var nVar2411: int;
+
+var nVar2412: int;
+
+var nVar2413: int;
+
+var nVar2414: int;
+
+var nVar2415: int;
+
+var nVar2416: int;
+
+var nVar2417: int;
+
+var nVar2418: int;
+
+var nVar2419: int;
+
+var nVar2420: int;
+
+var nVar2421: int;
+
+var nVar2422: int;
+
+var nVar2423: int;
+
+var nVar2424: int;
+
+var nVar2425: int;
+
+var nVar2426: int;
+
+var nVar2427: int;
+
+var nVar2428: int;
+
+var nVar2429: int;
+
+var nVar2430: int;
+
+var nVar2431: int;
+
+var nVar2432: int;
+
+var nVar2433: int;
+
+var nVar2434: int;
+
+var nVar2435: int;
+
+var nVar2436: int;
+
+var nVar2437: int;
+
+var nVar2438: int;
+
+var nVar2439: int;
+
+var nVar2440: int;
+
+var nVar2441: int;
+
+var nVar2442: int;
+
+var nVar2443: int;
+
+var nVar2444: int;
+
+var nVar2445: int;
+
+var nVar2446: int;
+
+var nVar2447: int;
+
+var nVar2448: int;
+
+var nVar2449: int;
+
+var nVar2450: int;
+
+var nVar2451: int;
+
+var nVar2452: int;
+
+var nVar2453: int;
+
+var nVar2454: int;
+
+var nVar2455: int;
+
+var nVar2456: int;
+
+var nVar2457: int;
+
+var nVar2458: int;
+
+var nVar2459: int;
+
+var nVar2460: int;
+
+var nVar2461: int;
+
+var nVar2462: int;
+
+var nVar2463: int;
+
+var nVar2464: int;
+
+var nVar2465: int;
+
+var nVar2466: int;
+
+var nVar2467: int;
+
+var nVar2468: int;
+
+var nVar2469: int;
+
+var nVar2470: int;
+
+var nVar2471: int;
+
+var nVar2472: int;
+
+var nVar2473: int;
+
+var nVar2474: int;
+
+var nVar2475: int;
+
+var nVar2476: int;
+
+var nVar2477: int;
+
+var nVar2478: int;
+
+var nVar2479: int;
+
+var nVar2480: int;
+
+var nVar2481: int;
+
+var nVar2482: int;
+
+var nVar2483: int;
+
+var nVar2484: int;
+
+var nVar2485: int;
+
+var nVar2486: int;
+
+var nVar2487: int;
+
+var nVar2488: int;
+
+var nVar2489: int;
+
+var nVar2490: int;
+
+var nVar2491: int;
+
+var nVar2492: int;
+
+var nVar2493: int;
+
+var nVar2494: int;
+
+var nVar2495: int;
+
+var nVar2496: int;
+
+var nVar2497: int;
+
+var nVar2498: int;
+
+var nVar2499: int;
+
+var nVar2500: int;
+
+var nVar2501: int;
+
+var nVar2502: int;
+
+var nVar2503: int;
+
+var nVar2504: int;
+
+var nVar2505: int;
+
+var nVar2506: int;
+
+var nVar2507: int;
+
+var nVar2508: int;
+
+var nVar2509: int;
+
+var nVar2510: int;
+
+var nVar2511: int;
+
+var nVar2512: int;
+
+var nVar2513: int;
+
+var nVar2514: int;
+
+var nVar2515: int;
+
+var nVar2516: int;
+
+var nVar2517: int;
+
+var nVar2518: int;
+
+var nVar2519: int;
+
+var nVar2520: int;
+
+var nVar2521: int;
+
+var nVar2522: int;
+
+var nVar2523: int;
+
+var nVar2524: int;
+
+var nVar2525: int;
+
+var nVar2526: int;
+
+var nVar2527: int;
+
+var nVar2528: int;
+
+var nVar2529: int;
+
+var nVar2530: int;
+
+var nVar2531: int;
+
+var nVar2532: int;
+
+var nVar2533: int;
+
+var nVar2534: int;
+
+var nVar2535: int;
+
+var nVar2536: int;
+
+var nVar2537: int;
+
+var nVar2538: int;
+
+var nVar2539: int;
+
+var nVar2540: int;
+
+var nVar2541: int;
+
+var nVar2542: int;
+
+var nVar2543: int;
+
+var nVar2544: int;
+
+var nVar2545: int;
+
+var nVar2546: int;
+
+var nVar2547: int;
+
+var nVar2548: int;
+
+var nVar2549: int;
+
+var nVar2550: int;
+
+var nVar2551: int;
+
+var nVar2552: int;
+
+var nVar2553: int;
+
+var nVar2554: int;
+
+var nVar2555: int;
+
+var nVar2556: int;
+
+var nVar2557: int;
+
+var nVar2558: int;
+
+var nVar2559: int;
+
+var nVar2560: int;
+
+var nVar2561: int;
+
+var nVar2562: int;
+
+var nVar2563: int;
+
+var nVar2564: int;
+
+var nVar2565: int;
+
+var nVar2566: int;
+
+var nVar2567: int;
+
+var nVar2568: int;
+
+var nVar2569: int;
+
+var nVar2570: int;
+
+var nVar2571: int;
+
+var nVar2572: int;
+
+var nVar2573: int;
+
+var nVar2574: int;
+
+var nVar2575: int;
+
+var nVar2576: int;
+
+var nVar2577: int;
+
+var nVar2578: int;
+
+var nVar2579: int;
+
+var nVar2580: int;
+
+var nVar2581: int;
+
+var nVar2582: int;
+
+var nVar2583: int;
+
+var nVar2584: int;
+
+var nVar2585: int;
+
+var nVar2586: int;
+
+var nVar2587: int;
+
+var nVar2588: int;
+
+var nVar2589: int;
+
+var nVar2590: int;
+
+var nVar2591: int;
+
+var nVar2592: int;
+
+var nVar2593: int;
+
+var nVar2594: int;
+
+var nVar2595: int;
+
+var nVar2596: int;
+
+var nVar2597: int;
+
+var nVar2598: int;
+
+var nVar2599: int;
+
+var nVar2600: int;
+
+var nVar2601: int;
+
+var nVar2602: int;
+
+var nVar2603: int;
+
+var nVar2604: int;
+
+var nVar2605: int;
+
+var nVar2606: int;
+
+var nVar2607: int;
+
+var nVar2608: int;
+
+var nVar2609: int;
+
+var nVar2610: int;
+
+var nVar2611: int;
+
+var nVar2612: int;
+
+var nVar2613: int;
+
+var nVar2614: int;
+
+var nVar2615: int;
+
+var nVar2616: int;
+
+var nVar2617: int;
+
+var nVar2618: int;
+
+var nVar2619: int;
+
+var nVar2620: int;
+
+var nVar2621: int;
+
+var nVar2622: int;
+
+var nVar2623: int;
+
+var nVar2624: int;
+
+var nVar2625: int;
+
+var nVar2626: int;
+
+var nVar2627: int;
+
+var nVar2628: int;
+
+var nVar2629: int;
+
+var nVar2630: int;
+
+var nVar2631: int;
+
+var nVar2632: int;
+
+var nVar2633: int;
+
+var nVar2634: int;
+
+var nVar2635: int;
+
+var nVar2636: int;
+
+var nVar2637: int;
+
+var nVar2638: int;
+
+var nVar2639: int;
+
+var nVar2640: int;
+
+var nVar2641: int;
+
+var nVar2642: int;
+
+var nVar2643: int;
+
+var nVar2644: int;
+
+var nVar2645: int;
+
+var nVar2646: int;
+
+var nVar2647: int;
+
+var nVar2648: int;
+
+var nVar2649: int;
+
+var nVar2650: int;
+
+var nVar2651: int;
+
+var nVar2652: int;
+
+var nVar2653: int;
+
+var nVar2654: int;
+
+var nVar2655: int;
+
+var nVar2656: int;
+
+var nVar2657: int;
+
+var nVar2658: int;
+
+var nVar2659: int;
+
+var nVar2660: int;
+
+var nVar2661: int;
+
+var nVar2662: int;
+
+var nVar2663: int;
+
+var nVar2664: int;
+
+var nVar2665: int;
+
+var nVar2666: int;
+
+var nVar2667: int;
+
+var nVar2668: int;
+
+var nVar2669: int;
+
+var nVar2670: int;
+
+var nVar2671: int;
+
+var nVar2672: int;
+
+var nVar2673: int;
+
+var nVar2674: int;
+
+var nVar2675: int;
+
+var nVar2676: int;
+
+var nVar2677: int;
+
+var nVar2678: int;
+
+var nVar2679: int;
+
+var nVar2680: int;
+
+var nVar2681: int;
+
+var nVar2682: int;
+
+var nVar2683: int;
+
+var nVar2684: int;
+
+var nVar2685: int;
+
+var nVar2686: int;
+
+var nVar2687: int;
+
+var nVar2688: int;
+
+var nVar2689: int;
+
+var nVar2690: int;
+
+var nVar2691: int;
+
+var nVar2692: int;
+
+var nVar2693: int;
+
+var nVar2694: int;
+
+var nVar2695: int;
+
+var nVar2696: int;
+
+var nVar2697: int;
+
+var nVar2698: int;
+
+var nVar2699: int;
+
+var nVar2700: int;
+
+var nVar2701: int;
+
+var nVar2702: int;
+
+var nVar2703: int;
+
+var nVar2704: int;
+
+var nVar2705: int;
+
+var nVar2706: int;
+
+var nVar2707: int;
+
+var nVar2708: int;
+
+var nVar2709: int;
+
+var nVar2710: int;
+
+var nVar2711: int;
+
+var nVar2712: int;
+
+var nVar2713: int;
+
+var nVar2714: int;
+
+var nVar2715: int;
+
+var nVar2716: int;
+
+var nVar2717: int;
+
+var nVar2718: int;
+
+var nVar2719: int;
+
+var nVar2720: int;
+
+var nVar2721: int;
+
+var nVar2722: int;
+
+var nVar2723: int;
+
+var nVar2724: int;
+
+var nVar2725: int;
+
+var nVar2726: int;
+
+var nVar2727: int;
+
+var nVar2728: int;
+
+var nVar2729: int;
+
+var nVar2730: int;
+
+var nVar2731: int;
+
+var nVar2732: int;
+
+var nVar2733: int;
+
+var nVar2734: int;
+
+var nVar2735: int;
+
+var nVar2736: int;
+
+var nVar2737: int;
+
+var nVar2738: int;
+
+var nVar2739: int;
+
+var nVar2740: int;
+
+var nVar2741: int;
+
+var nVar2742: int;
+
+var nVar2743: int;
+
+var nVar2744: int;
+
+var nVar2745: int;
+
+var nVar2746: int;
+
+var nVar2747: int;
+
+var nVar2748: int;
+
+var nVar2749: int;
+
+var nVar2750: int;
+
+var nVar2751: int;
+
+var nVar2752: int;
+
+var nVar2753: int;
+
+var nVar2754: int;
+
+var nVar2755: int;
+
+var nVar2756: int;
+
+var nVar2757: int;
+
+var nVar2758: int;
+
+var nVar2759: int;
+
+var nVar2760: int;
+
+var nVar2761: int;
+
+var nVar2762: int;
+
+var nVar2763: int;
+
+var nVar2764: int;
+
+var nVar2765: int;
+
+var nVar2766: int;
+
+var nVar2767: int;
+
+var nVar2768: int;
+
+var nVar2769: int;
+
+var nVar2770: int;
+
+var nVar2771: int;
+
+var nVar2772: int;
+
+var nVar2773: int;
+
+var nVar2774: int;
+
+var nVar2775: int;
+
+var nVar2776: int;
+
+var nVar2777: int;
+
+var nVar2778: int;
+
+var nVar2779: int;
+
+var nVar2780: int;
+
+var nVar2781: int;
+
+var nVar2782: int;
+
+var nVar2783: int;
+
+var nVar2784: int;
+
+var nVar2785: int;
+
+var nVar2786: int;
+
+var nVar2787: int;
+
+var nVar2788: int;
+
+var nVar2789: int;
+
+var nVar2790: int;
+
+var nVar2791: int;
+
+var nVar2792: int;
+
+var nVar2793: int;
+
+var nVar2794: int;
+
+var nVar2795: int;
+
+var nVar2796: int;
+
+var nVar2797: int;
+
+var nVar2798: int;
+
+var nVar2799: int;
+
+var nVar2800: int;
+
+var nVar2801: int;
+
+var nVar2802: int;
+
+var nVar2803: int;
+
+var nVar2804: int;
+
+var nVar2805: int;
+
+var nVar2806: int;
+
+var nVar2807: int;
+
+var nVar2808: int;
+
+var nVar2809: int;
+
+var nVar2810: int;
+
+var nVar2811: int;
+
+var nVar2812: int;
+
+var nVar2813: int;
+
+var nVar2814: int;
+
+var nVar2815: int;
+
+var nVar2816: int;
+
+var nVar2817: int;
+
+var nVar2818: int;
+
+var nVar2819: int;
+
+var nVar2820: int;
+
+var nVar2821: int;
+
+var nVar2822: int;
+
+var nVar2823: int;
+
+var nVar2824: int;
+
+var nVar2825: int;
+
+var nVar2826: int;
+
+var nVar2827: int;
+
+var nVar2828: int;
+
+var nVar2829: int;
+
+var nVar2830: int;
+
+var nVar2831: int;
+
+var nVar2832: int;
+
+var nVar2833: int;
+
+var nVar2834: int;
+
+var nVar2835: int;
+
+var nVar2836: int;
+
+var nVar2837: int;
+
+var nVar2838: int;
+
+var nVar2839: int;
+
+var nVar2840: int;
+
+var nVar2841: int;
+
+var nVar2842: int;
+
+var nVar2843: int;
+
+var nVar2844: int;
+
+var nVar2845: int;
+
+var nVar2846: int;
+
+var nVar2847: int;
+
+var nVar2848: int;
+
+var nVar2849: int;
+
+var nVar2850: int;
+
+var nVar2851: int;
+
+var nVar2852: int;
+
+var nVar2853: int;
+
+var nVar2854: int;
+
+var nVar2855: int;
+
+var nVar2856: int;
+
+var nVar2857: int;
+
+var nVar2858: int;
+
+var nVar2859: int;
+
+var nVar2860: int;
+
+var nVar2861: int;
+
+var nVar2862: int;
+
+var nVar2863: int;
+
+var nVar2864: int;
+
+var nVar2865: int;
+
+var nVar2866: int;
+
+var nVar2867: int;
+
+var nVar2868: int;
+
+var nVar2869: int;
+
+var nVar2870: int;
+
+var nVar2871: int;
+
+var nVar2872: int;
+
+var nVar2873: int;
+
+var nVar2874: int;
+
+var nVar2875: int;
+
+var nVar2876: int;
+
+var nVar2877: int;
+
+var nVar2878: int;
+
+var nVar2879: int;
+
+var nVar2880: int;
+
+var nVar2881: int;
+
+var nVar2882: int;
+
+var nVar2883: int;
+
+var nVar2884: int;
+
+var nVar2885: int;
+
+var nVar2886: int;
+
+var nVar2887: int;
+
+var nVar2888: int;
+
+var nVar2889: int;
+
+var nVar2890: int;
+
+var nVar2891: int;
+
+var nVar2892: int;
+
+var nVar2893: int;
+
+var nVar2894: int;
+
+var nVar2895: int;
+
+var nVar2896: int;
+
+var nVar2897: int;
+
+var nVar2898: int;
+
+var nVar2899: int;
+
+var nVar2900: int;
+
+var nVar2901: int;
+
+var nVar2902: int;
+
+var nVar2903: int;
+
+var nVar2904: int;
+
+var nVar2905: int;
+
+var nVar2906: int;
+
+var nVar2907: int;
+
+var nVar2908: int;
+
+var nVar2909: int;
+
+var nVar2910: int;
+
+var nVar2911: int;
+
+var nVar2912: int;
+
+var nVar2913: int;
+
+var nVar2914: int;
+
+var nVar2915: int;
+
+var nVar2916: int;
+
+var nVar2917: int;
+
+var nVar2918: int;
+
+var nVar2919: int;
+
+var nVar2920: int;
+
+var nVar2921: int;
+
+var nVar2922: int;
+
+var nVar2923: int;
+
+var nVar2924: int;
+
+var nVar2925: int;
+
+var nVar2926: int;
+
+var nVar2927: int;
+
+var nVar2928: int;
+
+var nVar2929: int;
+
+var nVar2930: int;
+
+var nVar2931: int;
+
+var nVar2932: int;
+
+var nVar2933: int;
+
+var nVar2934: int;
+
+var nVar2935: int;
+
+var nVar2936: int;
+
+var nVar2937: int;
+
+var nVar2938: int;
+
+var nVar2939: int;
+
+var nVar2940: int;
+
+var nVar2941: int;
+
+var nVar2942: int;
+
+var nVar2943: int;
+
+var nVar2944: int;
+
+var nVar2945: int;
+
+var nVar2946: int;
+
+var nVar2947: int;
+
+var nVar2948: int;
+
+var nVar2949: int;
+
+var nVar2950: int;
+
+var nVar2951: int;
+
+var nVar2952: int;
+
+var nVar2953: int;
+
+var nVar2954: int;
+
+var nVar2955: int;
+
+var nVar2956: int;
+
+var nVar2957: int;
+
+var nVar2958: int;
+
+var nVar2959: int;
+
+var nVar2960: int;
+
+var nVar2961: int;
+
+var nVar2962: int;
+
+var nVar2963: int;
+
+var nVar2964: int;
+
+var nVar2965: int;
+
+var nVar2966: int;
+
+var nVar2967: int;
+
+var nVar2968: int;
+
+var nVar2969: int;
+
+var nVar2970: int;
+
+var nVar2971: int;
+
+var nVar2972: int;
+
+var nVar2973: int;
+
+var nVar2974: int;
+
+var nVar2975: int;
+
+var nVar2976: int;
+
+var nVar2977: int;
+
+var nVar2978: int;
+
+var nVar2979: int;
+
+var nVar2980: int;
+
+var nVar2981: int;
+
+var nVar2982: int;
+
+var nVar2983: int;
+
+var nVar2984: int;
+
+var nVar2985: int;
+
+var nVar2986: int;
+
+var nVar2987: int;
+
+var nVar2988: int;
+
+var nVar2989: int;
+
+var nVar2990: int;
+
+var nVar2991: int;
+
+var nVar2992: int;
+
+var nVar2993: int;
+
+var nVar2994: int;
+
+var nVar2995: int;
+
+var nVar2996: int;
+
+var nVar2997: int;
+
+var nVar2998: int;
+
+var nVar2999: int;
+
+var nVar3000: int;
+
+var nVar3001: int;
+
+var nVar3002: int;
+
+var nVar3003: int;
+
+var nVar3004: int;
+
+var nVar3005: int;
+
+var nVar3006: int;
+
+var nVar3007: int;
+
+var nVar3008: int;
+
+var nVar3009: int;
+
+var nVar3010: int;
+
+var nVar3011: int;
+
+var nVar3012: int;
+
+var nVar3013: int;
+
+var nVar3014: int;
+
+var nVar3015: int;
+
+var nVar3016: int;
+
+var nVar3017: int;
+
+var nVar3018: int;
+
+var nVar3019: int;
+
+var nVar3020: int;
+
+var nVar3021: int;
+
+var nVar3022: int;
+
+var nVar3023: int;
+
+var nVar3024: int;
+
+var nVar3025: int;
+
+var nVar3026: int;
+
+var nVar3027: int;
+
+var nVar3028: int;
+
+var nVar3029: int;
+
+var nVar3030: int;
+
+var nVar3031: int;
+
+var nVar3032: int;
+
+var nVar3033: int;
+
+var nVar3034: int;
+
+var nVar3035: int;
+
+var nVar3036: int;
+
+var nVar3037: int;
+
+var nVar3038: int;
+
+var nVar3039: int;
+
+var nVar3040: int;
+
+var nVar3041: int;
+
+var nVar3042: int;
+
+var nVar3043: int;
+
+var nVar3044: int;
+
+var nVar3045: int;
+
+var nVar3046: int;
+
+var nVar3047: int;
+
+var nVar3048: int;
+
+var nVar3049: int;
+
+var nVar3050: int;
+
+var nVar3051: int;
+
+var nVar3052: int;
+
+var nVar3053: int;
+
+var nVar3054: int;
+
+var nVar3055: int;
+
+var nVar3056: int;
+
+var nVar3057: int;
+
+var nVar3058: int;
+
+var nVar3059: int;
+
+var nVar3060: int;
+
+var nVar3061: int;
+
+var nVar3062: int;
+
+var nVar3063: int;
+
+var nVar3064: int;
+
+var nVar3065: int;
+
+var nVar3066: int;
+
+var nVar3067: int;
+
+var nVar3068: int;
+
+var nVar3069: int;
+
+var nVar3070: int;
+
+var nVar3071: int;
+
+var nVar3072: int;
+
+var nVar3073: int;
+
+var nVar3074: int;
+
+var nVar3075: int;
+
+var nVar3076: int;
+
+var nVar3077: int;
+
+var nVar3078: int;
+
+var nVar3079: int;
+
+var nVar3080: int;
+
+var nVar3081: int;
+
+var nVar3082: int;
+
+var nVar3083: int;
+
+var nVar3084: int;
+
+var nVar3085: int;
+
+var nVar3086: int;
+
+var nVar3087: int;
+
+var nVar3088: int;
+
+var nVar3089: int;
+
+var nVar3090: int;
+
+var nVar3091: int;
+
+var nVar3092: int;
+
+var nVar3093: int;
+
+var nVar3094: int;
+
+var nVar3095: int;
+
+var nVar3096: int;
+
+var nVar3097: int;
+
+var nVar3098: int;
+
+var nVar3099: int;
+
+var nVar3100: int;
+
+var nVar3101: int;
+
+var nVar3102: int;
+
+var nVar3103: int;
+
+var nVar3104: int;
+
+var nVar3105: int;
+
+var nVar3106: int;
+
+var nVar3107: int;
+
+var nVar3108: int;
+
+var nVar3109: int;
+
+var nVar3110: int;
+
+var nVar3111: int;
+
+var nVar3112: int;
+
+var nVar3113: int;
+
+var nVar3114: int;
+
+var nVar3115: int;
+
+var nVar3116: int;
+
+var nVar3117: int;
+
+var nVar3118: int;
+
+var nVar3119: int;
+
+var nVar3120: int;
+
+var nVar3121: int;
+
+var nVar3122: int;
+
+var nVar3123: int;
+
+var nVar3124: int;
+
+var nVar3125: int;
+
+var nVar3126: int;
+
+var nVar3127: int;
+
+var nVar3128: int;
+
+var nVar3129: int;
+
+var nVar3130: int;
+
+var nVar3131: int;
+
+var nVar3132: int;
+
+var nVar3133: int;
+
+var nVar3134: int;
+
+var nVar3135: int;
+
+var nVar3136: int;
+
+var nVar3137: int;
+
+var nVar3138: int;
+
+var nVar3139: int;
+
+var nVar3140: int;
+
+var nVar3141: int;
+
+var nVar3142: int;
+
+var nVar3143: int;
+
+var nVar3144: int;
+
+var nVar3145: int;
+
+var nVar3146: int;
+
+var nVar3147: int;
+
+var nVar3148: int;
+
+var nVar3149: int;
+
+var nVar3150: int;
+
+var nVar3151: int;
+
+var nVar3152: int;
+
+var nVar3153: int;
+
+var nVar3154: int;
+
+var nVar3155: int;
+
+var nVar3156: int;
+
+var nVar3157: int;
+
+var nVar3158: int;
+
+var nVar3159: int;
+
+var nVar3160: int;
+
+var nVar3161: int;
+
+var nVar3162: int;
+
+var nVar3163: int;
+
+var nVar3164: int;
+
+var nVar3165: int;
+
+var nVar3166: int;
+
+var nVar3167: int;
+
+var nVar3168: int;
+
+var nVar3169: int;
+
+var nVar3170: int;
+
+var nVar3171: int;
+
+var nVar3172: int;
+
+var nVar3173: int;
+
+var nVar3174: int;
+
+var nVar3175: int;
+
+var nVar3176: int;
+
+var nVar3177: int;
+
+var nVar3178: int;
+
+var nVar3179: int;
+
+var nVar3180: int;
+
+var nVar3181: int;
+
+var nVar3182: int;
+
+var nVar3183: int;
+
+var nVar3184: int;
+
+var nVar3185: int;
+
+var nVar3186: int;
+
+var nVar3187: int;
+
+var nVar3188: int;
+
+var nVar3189: int;
+
+var nVar3190: int;
+
+var nVar3191: int;
+
+var nVar3192: int;
+
+var nVar3193: int;
+
+var nVar3194: int;
+
+var nVar3195: int;
+
+var nVar3196: int;
+
+var nVar3197: int;
+
+var nVar3198: int;
+
+var nVar3199: int;
+
+var nVar3200: int;
+
+var nVar3201: int;
+
+var nVar3202: int;
+
+var nVar3203: int;
+
+var nVar3204: int;
+
+var nVar3205: int;
+
+var nVar3206: int;
+
+var nVar3207: int;
+
+var nVar3208: int;
+
+var nVar3209: int;
+
+var nVar3210: int;
+
+var nVar3211: int;
+
+var nVar3212: int;
+
+var nVar3213: int;
+
+var nVar3214: int;
+
+var nVar3215: int;
+
+var nVar3216: int;
+
+var nVar3217: int;
+
+var nVar3218: int;
+
+var nVar3219: int;
+
+var nVar3220: int;
+
+var nVar3221: int;
+
+var nVar3222: int;
+
+var nVar3223: int;
+
+var nVar3224: int;
+
+var nVar3225: int;
+
+var nVar3226: int;
+
+var nVar3227: int;
+
+var nVar3228: int;
+
+var nVar3229: int;
+
+var nVar3230: int;
+
+var nVar3231: int;
+
+var nVar3232: int;
+
+var nVar3233: int;
+
+var nVar3234: int;
+
+var nVar3235: int;
+
+var nVar3236: int;
+
+var nVar3237: int;
+
+var nVar3238: int;
+
+var nVar3239: int;
+
+var nVar3240: int;
+
+var nVar3241: int;
+
+var nVar3242: int;
+
+var nVar3243: int;
+
+var nVar3244: int;
+
+var nVar3245: int;
+
+var nVar3246: int;
+
+var nVar3247: int;
+
+var nVar3248: int;
+
+var nVar3249: int;
+
+var nVar3250: int;
+
+var nVar3251: int;
+
+var nVar3252: int;
+
+var nVar3253: int;
+
+var nVar3254: int;
+
+var nVar3255: int;
+
+var nVar3256: int;
+
+var nVar3257: int;
+
+var nVar3258: int;
+
+var nVar3259: int;
+
+var nVar3260: int;
+
+var nVar3261: int;
+
+var nVar3262: int;
+
+var nVar3263: int;
+
+var nVar3264: int;
+
+var nVar3265: int;
+
+var nVar3266: int;
+
+var nVar3267: int;
+
+var nVar3268: int;
+
+var nVar3269: int;
+
+var nVar3270: int;
+
+var nVar3271: int;
+
+var nVar3272: int;
+
+var nVar3273: int;
+
+var nVar3274: int;
+
+var nVar3275: int;
+
+var nVar3276: int;
+
+var nVar3277: int;
+
+var nVar3278: int;
+
+var nVar3279: int;
+
+var nVar3280: int;
+
+var nVar3281: int;
+
+var nVar3282: int;
+
+var nVar3283: int;
+
+var nVar3284: int;
+
+var nVar3285: int;
+
+var nVar3286: int;
+
+var nVar3287: int;
+
+var nVar3288: int;
+
+var nVar3289: int;
+
+var nVar3290: int;
+
+var nVar3291: int;
+
+var nVar3292: int;
+
+var nVar3293: int;
+
+var nVar3294: int;
+
+var nVar3295: int;
+
+var nVar3296: int;
+
+var nVar3297: int;
+
+var nVar3298: int;
+
+var nVar3299: int;
+
+var nVar3300: int;
+
+var nVar3301: int;
+
+var nVar3302: int;
+
+var nVar3303: int;
+
+var nVar3304: int;
+
+var nVar3305: int;
+
+var nVar3306: int;
+
+var nVar3307: int;
+
+var nVar3308: int;
+
+var nVar3309: int;
+
+var nVar3310: int;
+
+var nVar3311: int;
+
+var nVar3312: int;
+
+var nVar3313: int;
+
+var nVar3314: int;
+
+var nVar3315: int;
+
+var nVar3316: int;
+
+var nVar3317: int;
+
+var nVar3318: int;
+
+var nVar3319: int;
+
+var nVar3320: int;
+
+var nVar3321: int;
+
+var nVar3322: int;
+
+var nVar3323: int;
+
+var nVar3324: int;
+
+var nVar3325: int;
+
+var nVar3326: int;
+
+var nVar3327: int;
+
+var nVar3328: int;
+
+var nVar3329: int;
+
+var nVar3330: int;
+
+var nVar3331: int;
+
+var nVar3332: int;
+
+var nVar3333: int;
+
+var nVar3334: int;
+
+var nVar3335: int;
+
+var nVar3336: int;
+
+var nVar3337: int;
+
+var nVar3338: int;
+
+var nVar3339: int;
+
+var nVar3340: int;
+
+var nVar3341: int;
+
+var nVar3342: int;
+
+var nVar3343: int;
+
+var nVar3344: int;
+
+var nVar3345: int;
+
+var nVar3346: int;
+
+var nVar3347: int;
+
+var nVar3348: int;
+
+var nVar3349: int;
+
+var nVar3350: int;
+
+var nVar3351: int;
+
+var nVar3352: int;
+
+var nVar3353: int;
+
+var nVar3354: int;
+
+var nVar3355: int;
+
+var nVar3356: int;
+
+var nVar3357: int;
+
+var nVar3358: int;
+
+var nVar3359: int;
+
+var nVar3360: int;
+
+var nVar3361: int;
+
+var nVar3362: int;
+
+var nVar3363: int;
+
+var nVar3364: int;
+
+var nVar3365: int;
+
+var nVar3366: int;
+
+var nVar3367: int;
+
+var nVar3368: int;
+
+var nVar3369: int;
+
+var nVar3370: int;
+
+var nVar3371: int;
+
+var nVar3372: int;
+
+var nVar3373: int;
+
+var nVar3374: int;
+
+var nVar3375: int;
+
+var nVar3376: int;
+
+var nVar3377: int;
+
+var nVar3378: int;
+
+var nVar3379: int;
+
+var nVar3380: int;
+
+var nVar3381: int;
+
+var nVar3382: int;
+
+var nVar3383: int;
+
+var nVar3384: int;
+
+var nVar3385: int;
+
+var nVar3386: int;
+
+var nVar3387: int;
+
+var nVar3388: int;
+
+var nVar3389: int;
+
+var nVar3390: int;
+
+var nVar3391: int;
+
+var nVar3392: int;
+
+var nVar3393: int;
+
+var nVar3394: int;
+
+var nVar3395: int;
+
+var nVar3396: int;
+
+var nVar3397: int;
+
+var nVar3398: int;
+
+var nVar3399: int;
+
+var nVar3400: int;
+
+var nVar3401: int;
+
+var nVar3402: int;
+
+var nVar3403: int;
+
+var nVar3404: int;
+
+var nVar3405: int;
+
+var nVar3406: int;
+
+var nVar3407: int;
+
+var nVar3408: int;
+
+var nVar3409: int;
+
+var nVar3410: int;
+
+var nVar3411: int;
+
+var nVar3412: int;
+
+var nVar3413: int;
+
+var nVar3414: int;
+
+var nVar3415: int;
+
+var nVar3416: int;
+
+var nVar3417: int;
+
+var nVar3418: int;
+
+var nVar3419: int;
+
+var nVar3420: int;
+
+var nVar3421: int;
+
+var nVar3422: int;
+
+var nVar3423: int;
+
+var nVar3424: int;
+
+var nVar3425: int;
+
+var nVar3426: int;
+
+var nVar3427: int;
+
+var nVar3428: int;
+
+var nVar3429: int;
+
+var nVar3430: int;
+
+var nVar3431: int;
+
+var nVar3432: int;
+
+var nVar3433: int;
+
+var nVar3434: int;
+
+var nVar3435: int;
+
+var nVar3436: int;
+
+var nVar3437: int;
+
+var nVar3438: int;
+
+var nVar3439: int;
+
+var nVar3440: int;
+
+var nVar3441: int;
+
+var nVar3442: int;
+
+var nVar3443: int;
+
+var nVar3444: int;
+
+var nVar3445: int;
+
+var nVar3446: int;
+
+var nVar3447: int;
+
+var nVar3448: int;
+
+var nVar3449: int;
+
+var nVar3450: int;
+
+var nVar3451: int;
+
+var nVar3452: int;
+
+var nVar3453: int;
+
+var nVar3454: int;
+
+var nVar3455: int;
+
+var nVar3456: int;
+
+var nVar3457: int;
+
+var nVar3458: int;
+
+var nVar3459: int;
+
+var nVar3460: int;
+
+var nVar3461: int;
+
+var nVar3462: int;
+
+var nVar3463: int;
+
+var nVar3464: int;
+
+var nVar3465: int;
+
+var nVar3466: int;
+
+var nVar3467: int;
+
+var nVar3468: int;
+
+var nVar3469: int;
+
+var nVar3470: int;
+
+var nVar3471: int;
+
+var nVar3472: int;
+
+var nVar3473: int;
+
+var nVar3474: int;
+
+var nVar3475: int;
+
+var nVar3476: int;
+
+var nVar3477: int;
+
+var nVar3478: int;
+
+var nVar3479: int;
+
+var nVar3480: int;
+
+var nVar3481: int;
+
+var nVar3482: int;
+
+var nVar3483: int;
+
+var nVar3484: int;
+
+var nVar3485: int;
+
+var nVar3486: int;
+
+var nVar3487: int;
+
+var nVar3488: int;
+
+var nVar3489: int;
+
+var nVar3490: int;
+
+var nVar3491: int;
+
+var nVar3492: int;
+
+var nVar3493: int;
+
+var nVar3494: int;
+
+var nVar3495: int;
+
+var nVar3496: int;
+
+var nVar3497: int;
+
+var nVar3498: int;
+
+var nVar3499: int;
+
+var nVar3500: int;
+
+var nVar3501: int;
+
+var nVar3502: int;
+
+var nVar3503: int;
+
+var nVar3504: int;
+
+var nVar3505: int;
+
+var nVar3506: int;
+
+var nVar3507: int;
+
+var nVar3508: int;
+
+var nVar3509: int;
+
+var nVar3510: int;
+
+var nVar3511: int;
+
+var nVar3512: int;
+
+var nVar3513: int;
+
+var nVar3514: int;
+
+var nVar3515: int;
+
+var nVar3516: int;
+
+var nVar3517: int;
+
+var nVar3518: int;
+
+var nVar3519: int;
+
+var nVar3520: int;
+
+var nVar3521: int;
+
+var nVar3522: int;
+
+var nVar3523: int;
+
+var nVar3524: int;
+
+var nVar3525: int;
+
+var nVar3526: int;
+
+var nVar3527: int;
+
+var nVar3528: int;
+
+var nVar3529: int;
+
+var nVar3530: int;
+
+var nVar3531: int;
+
+var nVar3532: int;
+
+var nVar3533: int;
+
+var nVar3534: int;
+
+var nVar3535: int;
+
+var nVar3536: int;
+
+var nVar3537: int;
+
+var nVar3538: int;
+
+var nVar3539: int;
+
+var nVar3540: int;
+
+var nVar3541: int;
+
+var nVar3542: int;
+
+var nVar3543: int;
+
+var nVar3544: int;
+
+var nVar3545: int;
+
+var nVar3546: int;
+
+var nVar3547: int;
+
+var nVar3548: int;
+
+var nVar3549: int;
+
+var nVar3550: int;
+
+var nVar3551: int;
+
+var nVar3552: int;
+
+var nVar3553: int;
+
+var nVar3554: int;
+
+var nVar3555: int;
+
+var nVar3556: int;
+
+var nVar3557: int;
+
+var nVar3558: int;
+
+var nVar3559: int;
+
+var nVar3560: int;
+
+var nVar3561: int;
+
+var nVar3562: int;
+
+var nVar3563: int;
+
+var nVar3564: int;
+
+var nVar3565: int;
+
+var nVar3566: int;
+
+var nVar3567: int;
+
+var nVar3568: int;
+
+var nVar3569: int;
+
+var nVar3570: int;
+
+var nVar3571: int;
+
+var nVar3572: int;
+
+var nVar3573: int;
+
+var nVar3574: int;
+
+var nVar3575: int;
+
+var nVar3576: int;
+
+var nVar3577: int;
+
+var nVar3578: int;
+
+var nVar3579: int;
+
+var nVar3580: int;
+
+var nVar3581: int;
+
+var nVar3582: int;
+
+var nVar3583: int;
+
+var nVar3584: int;
+
+var nVar3585: int;
+
+var nVar3586: int;
+
+var nVar3587: int;
+
+var nVar3588: int;
+
+var nVar3589: int;
+
+var nVar3590: int;
+
+var nVar3591: int;
+
+var nVar3592: int;
+
+var nVar3593: int;
+
+var nVar3594: int;
+
+var nVar3595: int;
+
+var nVar3596: int;
+
+var nVar3597: int;
+
+var nVar3598: int;
+
+var nVar3599: int;
+
+var nVar3600: int;
+
+var nVar3601: int;
+
+var nVar3602: int;
+
+var nVar3603: int;
+
+var nVar3604: int;
+
+var nVar3605: int;
+
+var nVar3606: int;
+
+var nVar3607: int;
+
+var nVar3608: int;
+
+var nVar3609: int;
+
+var nVar3610: int;
+
+var nVar3611: int;
+
+var nVar3612: int;
+
+var nVar3613: int;
+
+var nVar3614: int;
+
+var nVar3615: int;
+
+var nVar3616: int;
+
+var nVar3617: int;
+
+var nVar3618: int;
+
+var nVar3619: int;
+
+var nVar3620: int;
+
+var nVar3621: int;
+
+var nVar3622: int;
+
+var nVar3623: int;
+
+var nVar3624: int;
+
+var nVar3625: int;
+
+var nVar3626: int;
+
+var nVar3627: int;
+
+var nVar3628: int;
+
+var nVar3629: int;
+
+var nVar3630: int;
+
+var nVar3631: int;
+
+var nVar3632: int;
+
+var nVar3633: int;
+
+var nVar3634: int;
+
+var nVar3635: int;
+
+var nVar3636: int;
+
+var nVar3637: int;
+
+var nVar3638: int;
+
+var nVar3639: int;
+
+var nVar3640: int;
+
+var nVar3641: int;
+
+var nVar3642: int;
+
+var nVar3643: int;
+
+var nVar3644: int;
+
+var nVar3645: int;
+
+var nVar3646: int;
+
+var nVar3647: int;
+
+var nVar3648: int;
+
+var nVar3649: int;
+
+var nVar3650: int;
+
+var nVar3651: int;
+
+var nVar3652: int;
+
+var nVar3653: int;
+
+var nVar3654: int;
+
+var nVar3655: int;
+
+var nVar3656: int;
+
+var nVar3657: int;
+
+var nVar3658: int;
+
+var nVar3659: int;
+
+var nVar3660: int;
+
+var nVar3661: int;
+
+var nVar3662: int;
+
+var nVar3663: int;
+
+var nVar3664: int;
+
+var nVar3665: int;
+
+var nVar3666: int;
+
+var nVar3667: int;
+
+var nVar3668: int;
+
+var nVar3669: int;
+
+var nVar3670: int;
+
+var nVar3671: int;
+
+var nVar3672: int;
+
+var nVar3673: int;
+
+var nVar3674: int;
+
+var nVar3675: int;
+
+var nVar3676: int;
+
+var nVar3677: int;
+
+var nVar3678: int;
+
+var nVar3679: int;
+
+var nVar3680: int;
+
+var nVar3681: int;
+
+var nVar3682: int;
+
+var nVar3683: int;
+
+var nVar3684: int;
+
+var nVar3685: int;
+
+var nVar3686: int;
+
+var nVar3687: int;
+
+var nVar3688: int;
+
+var nVar3689: int;
+
+var nVar3690: int;
+
+var nVar3691: int;
+
+var nVar3692: int;
+
+var nVar3693: int;
+
+var nVar3694: int;
+
+var nVar3695: int;
+
+var nVar3696: int;
+
+var nVar3697: int;
+
+var nVar3698: int;
+
+var nVar3699: int;
+
+var nVar3700: int;
+
+var nVar3701: int;
+
+var nVar3702: int;
+
+var nVar3703: int;
+
+var nVar3704: int;
+
+var nVar3705: int;
+
+var nVar3706: int;
+
+var nVar3707: int;
+
+var nVar3708: int;
+
+var nVar3709: int;
+
+var nVar3710: int;
+
+var nVar3711: int;
+
+var nVar3712: [int]int;
+
+var nVar3713: [int]int;
+
+var nVar3714: [int]int;
+
+var nVar3715: [int]int;
+
+var nVar3716: [int]int;
+
+var nVar3717: [int]int;
+
+var nVar3718: [int]int;
+
+var nVar3719: [int]int;
+
+var nVar3720: [int]int;
+
+var nVar3721: [int]int;
+
+var nVar3722: [int]int;
+
+const unique nVar3723: int;
+
+const unique nVar3724: int;
+
+const unique nVar3725: int;
+
+const unique nVar3726: int;
+
+const unique nVar3727: int;
+
+const unique nVar3728: int;
+
+const unique nVar3729: int;
+
+const unique nVar3730: int;
+
+const unique nVar3731: int;
+
+const unique nVar3732: int;
+
+const unique nVar3733: int;
+
+const unique nVar3734: int;
+
+const unique nVar3735: int;
+
+const unique nVar3736: int;
+
+const unique nVar3737: int;
+
+const unique nVar3738: int;
+
+const unique nVar3739: int;
+
+const unique nVar3740: int;
+
+const unique nVar3741: int;
+
+const unique nVar3742: int;
+
+const unique nVar3743: int;
+
+const unique nVar3744: int;
+
+const unique nVar3745: int;
+
+const unique nVar3746: int;
+
+const unique nVar3747: int;
+
+const unique nVar3748: int;
+
+const unique nVar3749: int;
+
+const unique nVar3750: int;
+
+const unique nVar3751: int;
+
+const unique nVar3752: int;
+
+const unique nVar3753: int;
+
+const unique nVar3754: int;
+
+const unique nVar3755: int;
+
+const unique nVar3756: int;
+
+const unique nVar3757: int;
+
+const unique nVar3758: int;
+
+const unique nVar3759: int;
+
+const unique nVar3760: int;
+
+const unique nVar3761: int;
+
+const unique nVar3762: int;
+
+const unique nVar3763: int;
+
+const unique nVar3764: int;
+
+const unique nVar3765: int;
+
+const unique nVar3766: int;
+
+const unique nVar3767: int;
+
+const unique nVar3768: int;
+
+const unique nVar3769: int;
+
+const unique nVar3770: int;
+
+const unique nVar3771: int;
+
+const unique nVar3772: int;
+
+const unique nVar3773: int;
+
+const unique nVar3774: int;
+
+const unique nVar3775: int;
+
+const unique nVar3776: int;
+
+const unique nVar3777: int;
+
+const unique nVar3778: int;
+
+const unique nVar3779: int;
+
+const unique nVar3780: int;
+
+const unique nVar3781: int;
+
+const unique nVar3782: int;
+
+const unique nVar3783: int;
+
+const unique nVar3784: int;
+
+const unique nVar3785: int;
+
+const unique nVar3786: int;
+
+const unique nVar3787: int;
+
+const unique nVar3788: int;
+
+const unique nVar3789: int;
+
+const unique nVar3790: int;
+
+const unique nVar3791: int;
+
+const unique nVar3792: int;
+
+const unique nVar3793: int;
+
+const unique nVar3794: int;
+
+const unique nVar3795: int;
+
+const unique nVar3796: int;
+
+const unique nVar3797: int;
+
+const unique nVar3798: int;
+
+const unique nVar3799: int;
+
+const unique nVar3800: int;
+
+const unique nVar3801: int;
+
+const unique nVar3802: int;
+
+const unique nVar3803: int;
+
+const unique nVar3804: int;
+
+const unique nVar3805: int;
+
+const unique nVar3806: int;
+
+const unique nVar3807: int;
+
+const unique nVar3808: int;
+
+const unique nVar3809: int;
+
+const unique nVar3810: int;
+
+const unique nVar3811: int;
+
+const unique nVar3812: int;
+
+const unique nVar3813: int;
+
+const unique nVar3814: int;
+
+const unique nVar3815: int;
+
+const unique nVar3816: int;
+
+const unique nVar3817: int;
+
+const unique nVar3818: int;
+
+const unique nVar3819: int;
+
+const unique nVar3820: int;
+
+const unique nVar3821: int;
+
+const unique nVar3822: int;
+
+const unique nVar3823: int;
+
+const unique nVar3824: int;
+
+const unique nVar3825: int;
+
+const unique nVar3826: int;
+
+const unique nVar3827: int;
+
+const unique nVar3828: int;
+
+const unique nVar3829: int;
+
+const unique nVar3830: int;
+
+const unique nVar3831: int;
+
+const unique nVar3832: int;
+
+const unique nVar3833: int;
+
+const unique nVar3834: int;
+
+const unique nVar3835: int;
+
+const unique nVar3836: int;
+
+const unique nVar3837: int;
+
+const unique nVar3838: int;
+
+const unique nVar3839: int;
+
+const unique nVar3840: int;
+
+const unique nVar3841: int;
+
+const unique nVar3842: int;
+
+const unique nVar3843: int;
+
+const unique nVar3844: int;
+
+const unique nVar3845: int;
+
+const unique nVar3846: int;
+
+const unique nVar3847: int;
+
+const unique nVar3848: int;
+
+const unique nVar3849: int;
+
+const unique nVar3850: int;
+
+const unique nVar3851: int;
+
+const unique nVar3852: int;
+
+const unique nVar3853: int;
+
+const unique nVar3854: int;
+
+const unique nVar3855: int;
+
+const unique nVar3856: int;
+
+const unique nVar3857: int;
+
+const unique nVar3858: int;
+
+const unique nVar3859: int;
+
+const unique nVar3860: int;
+
+const unique nVar3861: int;
+
+const unique nVar3862: int;
+
+const unique nVar3863: int;
+
+const unique nVar3864: int;
+
+const unique nVar3865: int;
+
+const unique nVar3866: int;
+
+const unique nVar3867: int;
+
+const unique nVar3868: int;
+
+const unique nVar3869: int;
+
+const unique nVar3870: int;
+
+const unique nVar3871: int;
+
+const unique nVar3872: int;
+
+const unique nVar3873: int;
+
+const unique nVar3874: int;
+
+const unique nVar3875: int;
+
+const unique nVar3876: int;
+
+const unique nVar3877: int;
+
+const unique nVar3878: int;
+
+const unique nVar3879: int;
+
+const unique nVar3880: int;
+
+const unique nVar3881: int;
+
+const unique nVar3882: int;
+
+const unique nVar3883: int;
+
+const unique nVar3884: int;
+
+const unique nVar3885: int;
+
+const unique nVar3886: int;
+
+const unique nVar3887: int;
+
+const unique nVar3888: int;
+
+const unique nVar3889: int;
+
+const unique nVar3890: int;
+
+const unique nVar3891: int;
+
+const unique nVar3892: int;
+
+const unique nVar3893: int;
+
+const unique nVar3894: int;
+
+const unique nVar3895: int;
+
+const unique nVar3896: int;
+
+const unique nVar3897: int;
+
+const unique nVar3898: int;
+
+const unique nVar3899: int;
+
+const unique nVar3900: int;
+
+const unique nVar3901: int;
+
+const unique nVar3902: int;
+
+const unique nVar3903: int;
+
+const unique nVar3904: int;
+
+const unique nVar3905: int;
+
+const unique nVar3906: int;
+
+const unique nVar3907: int;
+
+const unique nVar3908: int;
+
+const unique nVar3909: int;
+
+const unique nVar3910: int;
+
+const unique nVar3911: int;
+
+const unique nVar3912: int;
+
+const unique nVar3913: int;
+
+const unique nVar3914: int;
+
+const unique nVar3915: int;
+
+const unique nVar3916: int;
+
+const unique nVar3917: int;
+
+const unique nVar3918: int;
+
+const unique nVar3919: int;
+
+const unique nVar3920: int;
+
+const unique nVar3921: int;
+
+const unique nVar3922: int;
+
+const unique nVar3923: int;
+
+const unique nVar3924: int;
+
+const unique nVar3925: int;
+
+const unique nVar3926: int;
+
+const unique nVar3927: int;
+
+const unique nVar3928: int;
+
+const unique nVar3929: int;
+
+const unique nVar3930: int;
+
+const unique nVar3931: int;
+
+const unique nVar3932: int;
+
+const unique nVar3933: int;
+
+const unique nVar3934: int;
+
+const unique nVar3935: int;
+
+const unique nVar3936: int;
+
+const unique nVar3937: int;
+
+const unique nVar3938: int;
+
+const unique nVar3939: int;
+
+const unique nVar3940: int;
+
+const unique nVar3941: int;
+
+const unique nVar3942: int;
+
+const unique nVar3943: int;
+
+const unique nVar3944: int;
+
+const unique nVar3945: int;
+
+const unique nVar3946: int;
+
+const unique nVar3947: int;
+
+const unique nVar3948: int;
+
+const unique nVar3949: int;
+
+const unique nVar3950: int;
+
+const unique nVar3951: int;
+
+const unique nVar3952: int;
+
+const unique nVar3953: int;
+
+const unique nVar3954: int;
+
+const unique nVar3955: int;
+
+const unique nVar3956: int;
+
+const unique nVar3957: int;
+
+const unique nVar3958: int;
+
+const unique nVar3959: int;
+
+const unique nVar3960: int;
+
+const unique nVar3961: int;
+
+const unique nVar3962: int;
+
+const unique nVar3963: int;
+
+const unique nVar3964: int;
+
+const unique nVar3965: int;
+
+const unique nVar3966: int;
+
+const unique nVar3967: int;
+
+const unique nVar3968: int;
+
+const unique nVar3969: int;
+
+const unique nVar3970: int;
+
+const unique nVar3971: int;
+
+const unique nVar3972: int;
+
+const unique nVar3973: int;
+
+const unique nVar3974: int;
+
+const unique nVar3975: int;
+
+const unique nVar3976: int;
+
+const unique nVar3977: int;
+
+const unique nVar3978: int;
+
+const unique nVar3979: int;
+
+const unique nVar3980: int;
+
+const unique nVar3981: int;
+
+const unique nVar3982: int;
+
+const unique nVar3983: int;
+
+const unique nVar3984: int;
+
+const unique nVar3985: int;
+
+const unique nVar3986: int;
+
+const unique nVar3987: int;
+
+const unique nVar3988: int;
+
+const unique nVar3989: int;
+
+const unique nVar3990: int;
+
+const unique nVar3991: int;
+
+const unique nVar3992: int;
+
+const unique nVar3993: int;
+
+const unique nVar3994: int;
+
+const unique nVar3995: int;
+
+const unique nVar3996: int;
+
+const unique nVar3997: int;
+
+const unique nVar3998: int;
+
+const unique nVar3999: int;
+
+const unique nVar4000: int;
+
+const unique nVar4001: int;
+
+const unique nVar4002: int;
+
+const unique nVar4003: int;
+
+const unique nVar4004: int;
+
+const unique nVar4005: int;
+
+const unique nVar4006: int;
+
+const unique nVar4007: int;
+
+const unique nVar4008: int;
+
+const unique nVar4009: int;
+
+const unique nVar4010: int;
+
+const unique nVar4011: int;
+
+const unique nVar4012: int;
+
+const unique nVar4013: int;
+
+const unique nVar4014: int;
+
+const unique nVar4015: int;
+
+const unique nVar4016: int;
+
+const unique nVar4017: int;
+
+const unique nVar4018: int;
+
+const unique nVar4019: int;
+
+const unique nVar4020: int;
+
+const unique nVar4021: int;
+
+const unique nVar4022: int;
+
+const unique nVar4023: int;
+
+const unique nVar4024: int;
+
+const unique nVar4025: int;
+
+const unique nVar4026: int;
+
+const unique nVar4027: int;
+
+const unique nVar4028: int;
+
+const unique nVar4029: int;
+
+const unique nVar4030: int;
+
+const unique nVar4031: int;
+
+const unique nVar4032: int;
+
+const unique nVar4033: int;
+
+const unique nVar4034: int;
+
+const unique nVar4035: int;
+
+const unique nVar4036: int;
+
+const unique nVar4037: int;
+
+const unique nVar4038: int;
+
+const unique nVar4039: int;
+
+const unique nVar4040: int;
+
+const unique nVar4041: int;
+
+const unique nVar4042: int;
+
+const unique nVar4043: int;
+
+const unique nVar4044: int;
+
+const unique nVar4045: int;
+
+const unique nVar4046: int;
+
+const unique nVar4047: int;
+
+const unique nVar4048: int;
+
+const unique nVar4049: int;
+
+const unique nVar4050: int;
+
+const unique nVar4051: int;
+
+const unique nVar4052: int;
+
+const unique nVar4053: int;
+
+const unique nVar4054: int;
+
+const unique nVar4055: int;
+
+const unique nVar4056: int;
+
+const unique nVar4057: int;
+
+const unique nVar4058: int;
+
+const unique nVar4059: int;
+
+const unique nVar4060: int;
+
+const unique nVar4061: int;
+
+const unique nVar4062: int;
+
+const unique nVar4063: int;
+
+const unique nVar4064: int;
+
+const unique nVar4065: int;
+
+const unique nVar4066: int;
+
+const unique nVar4067: int;
+
+const unique nVar4068: int;
+
+const unique nVar4069: int;
+
+const unique nVar4070: int;
+
+const unique nVar4071: int;
+
+const unique nVar4072: int;
+
+const unique nVar4073: int;
+
+const unique nVar4074: int;
+
+const unique nVar4075: int;
+
+const unique nVar4076: int;
+
+const unique nVar4077: int;
+
+const unique nVar4078: int;
+
+const unique nVar4079: int;
+
+const unique nVar4080: int;
+
+const unique nVar4081: int;
+
+const unique nVar4082: int;
+
+const unique nVar4083: int;
+
+const unique nVar4084: int;
+
+const unique nVar4085: int;
+
+const unique nVar4086: int;
+
+const unique nVar4087: int;
+
+const unique nVar4088: int;
+
+const unique nVar4089: int;
+
+const unique nVar4090: int;
+
+const unique nVar4091: int;
+
+const unique nVar4092: int;
+
+const unique nVar4093: int;
+
+const unique nVar4094: int;
+
+const unique nVar4095: int;
+
+const unique nVar4096: int;
+
+const unique nVar4097: int;
+
+const unique nVar4098: int;
+
+const unique nVar4099: int;
+
+const unique nVar4100: int;
+
+const unique nVar4101: int;
+
+const unique nVar4102: int;
+
+const unique nVar4103: int;
+
+const unique nVar4104: int;
+
+const unique nVar4105: int;
+
+const unique nVar4106: int;
+
+const unique nVar4107: int;
+
+const unique nVar4108: int;
+
+const unique nVar4109: int;
+
+const unique nVar4110: int;
+
+const unique nVar4111: int;
+
+const unique nVar4112: int;
+
+const unique nVar4113: int;
+
+const unique nVar4114: int;
+
+const unique nVar4115: int;
+
+const unique nVar4116: int;
+
+const unique nVar4117: int;
+
+const unique nVar4118: int;
+
+const unique nVar4119: int;
+
+const unique nVar4120: int;
+
+const unique nVar4121: int;
+
+const unique nVar4122: int;
+
+const unique nVar4123: int;
+
+const unique nVar4124: int;
+
+const unique nVar4125: int;
+
+const unique nVar4126: int;
+
+const unique nVar4127: int;
+
+const unique nVar4128: int;
+
+const unique nVar4129: int;
+
+const unique nVar4130: int;
+
+const unique nVar4131: int;
+
+const unique nVar4132: int;
+
+const unique nVar4133: int;
+
+const unique nVar4134: int;
+
+const unique nVar4135: int;
+
+const unique nVar4136: int;
+
+const unique nVar4137: int;
+
+const unique nVar4138: int;
+
+const unique nVar4139: int;
+
+const unique nVar4140: int;
+
+const unique nVar4141: int;
+
+const unique nVar4142: int;
+
+const unique nVar4143: int;
+
+const unique nVar4144: int;
+
+const unique nVar4145: int;
+
+const unique nVar4146: int;
+
+const unique nVar4147: int;
+
+const unique nVar4148: int;
+
+const unique nVar4149: int;
+
+const unique nVar4150: int;
+
+const unique nVar4151: int;
+
+const unique nVar4152: int;
+
+const unique nVar4153: int;
+
+const unique nVar4154: int;
+
+const unique nVar4155: int;
+
+const unique nVar4156: int;
+
+const unique nVar4157: int;
+
+const unique nVar4158: int;
+
+const unique nVar4159: int;
+
+const unique nVar4160: int;
+
+const unique nVar4161: int;
+
+const unique nVar4162: int;
+
+const unique nVar4163: int;
+
+const unique nVar4164: int;
+
+const unique nVar4165: int;
+
+const unique nVar4166: int;
+
+const unique nVar4167: int;
+
+const unique nVar4168: int;
+
+const unique nVar4169: int;
+
+const unique nVar4170: int;
+
+const unique nVar4171: int;
+
+const unique nVar4172: int;
+
+const unique nVar4173: int;
+
+const unique nVar4174: int;
+
+const unique nVar4175: int;
+
+const unique nVar4176: int;
+
+const unique nVar4177: int;
+
+const unique nVar4178: int;
+
+const unique nVar4179: int;
+
+const unique nVar4180: int;
+
+const unique nVar4181: int;
+
+const unique nVar4182: int;
+
+const unique nVar4183: int;
+
+const unique nVar4184: int;
+
+const unique nVar4185: int;
+
+const unique nVar4186: int;
+
+const unique nVar4187: int;
+
+const unique nVar4188: int;
+
+const unique nVar4189: int;
+
+const unique nVar4190: int;
+
+const unique nVar4191: int;
+
+const unique nVar4192: int;
+
+const unique nVar4193: int;
+
+const unique nVar4194: int;
+
+const unique nVar4195: int;
+
+const unique nVar4196: int;
+
+const unique nVar4197: int;
+
+const unique nVar4198: int;
+
+const unique nVar4199: int;
+
+const unique nVar4200: int;
+
+const unique nVar4201: int;
+
+const unique nVar4202: int;
+
+const unique nVar4203: int;
+
+const unique nVar4204: int;
+
+const unique nVar4205: int;
+
+const unique nVar4206: int;
+
+const unique nVar4207: int;
+
+const unique nVar4208: int;
+
+const unique nVar4209: int;
+
+const unique nVar4210: int;
+
+const unique nVar4211: int;
+
+const unique nVar4212: int;
+
+const unique nVar4213: int;
+
+const unique nVar4214: int;
+
+const unique nVar4215: int;
+
+const unique nVar4216: int;
+
+const unique nVar4217: int;
+
+const unique nVar4218: int;
+
+const unique nVar4219: int;
+
+const unique nVar4220: int;
+
+const unique nVar4221: int;
+
+const unique nVar4222: int;
+
+const unique nVar4223: int;
+
+const unique nVar4224: int;
+
+const unique nVar4225: int;
+
+const unique nVar4226: int;
+
+const unique nVar4227: int;
+
+const unique nVar4228: int;
+
+const unique nVar4229: int;
+
+const unique nVar4230: int;
+
+const unique nVar4231: int;
+
+const unique nVar4232: int;
+
+const unique nVar4233: int;
+
+const unique nVar4234: int;
+
+const unique nVar4235: int;
+
+const unique nVar4236: int;
+
+const unique nVar4237: int;
+
+const unique nVar4238: int;
+
+const unique nVar4239: int;
+
+const unique nVar4240: int;
+
+const unique nVar4241: int;
+
+const unique nVar4242: int;
+
+const unique nVar4243: int;
+
+const unique nVar4244: int;
+
+const unique nVar4245: int;
+
+const unique nVar4246: int;
+
+const unique nVar4247: int;
+
+const unique nVar4248: int;
+
+const unique nVar4249: int;
+
+const unique nVar4250: int;
+
+const unique nVar4251: int;
+
+const unique nVar4252: int;
+
+const unique nVar4253: int;
+
+const unique nVar4254: int;
+
+const unique nVar4255: int;
+
+const unique nVar4256: int;
+
+const unique nVar4257: int;
+
+const unique nVar4258: int;
+
+const unique nVar4259: int;
+
+const unique nVar4260: int;
+
+const unique nVar4261: int;
+
+const unique nVar4262: int;
+
+const unique nVar4263: int;
+
+const unique nVar4264: int;
+
+const unique nVar4265: int;
+
+const unique nVar4266: int;
+
+const unique nVar4267: int;
+
+const unique nVar4268: int;
+
+const unique nVar4269: int;
+
+const unique nVar4270: int;
+
+const unique nVar4271: int;
+
+const unique nVar4272: int;
+
+const unique nVar4273: int;
+
+const unique nVar4274: int;
+
+const unique nVar4275: int;
+
+const unique nVar4276: int;
+
+const unique nVar4277: int;
+
+const unique nVar4278: int;
+
+const unique nVar4279: int;
+
+const unique nVar4280: int;
+
+const unique nVar4281: int;
+
+const unique nVar4282: int;
+
+const unique nVar4283: int;
+
+const unique nVar4284: int;
+
+const unique nVar4285: int;
+
+const unique nVar4286: int;
+
+const unique nVar4287: int;
+
+const unique nVar4288: int;
+
+const unique nVar4289: int;
+
+const unique nVar4290: int;
+
+const unique nVar4291: int;
+
+const unique nVar4292: int;
+
+const unique nVar4293: int;
+
+const unique nVar4294: int;
+
+const unique nVar4295: int;
+
+const unique nVar4296: int;
+
+const unique nVar4297: int;
+
+const unique nVar4298: int;
+
+const unique nVar4299: int;
+
+const unique nVar4300: int;
+
+const unique nVar4301: int;
+
+const unique nVar4302: int;
+
+const unique nVar4303: int;
+
+const unique nVar4304: int;
+
+const unique nVar4305: int;
+
+const unique nVar4306: int;
+
+const unique nVar4307: int;
+
+const unique nVar4308: int;
+
+const unique nVar4309: int;
+
+const unique nVar4310: int;
+
+const unique nVar4311: int;
+
+const unique nVar4312: int;
+
+const unique nVar4313: int;
+
+const unique nVar4314: int;
+
+const unique nVar4315: int;
+
+const unique nVar4316: int;
+
+const unique nVar4317: int;
+
+const unique nVar4318: int;
+
+const unique nVar4319: int;
+
+const unique nVar4320: int;
+
+const unique nVar4321: int;
+
+const unique nVar4322: int;
+
+const unique nVar4323: int;
+
+const unique nVar4324: int;
+
+const unique nVar4325: int;
+
+const unique nVar4326: int;
+
+const unique nVar4327: int;
+
+const unique nVar4328: int;
+
+const unique nVar4329: int;
+
+const unique nVar4330: int;
+
+const unique nVar4331: int;
+
+const unique nVar4332: int;
+
+const unique nVar4333: int;
+
+const unique nVar4334: int;
+
+const unique nVar4335: int;
+
+const unique nVar4336: int;
+
+const unique nVar4337: int;
+
+const unique nVar4338: int;
+
+const unique nVar4339: int;
+
+const unique nVar4340: int;
+
+const unique nVar4341: int;
+
+const unique nVar4342: int;
+
+const unique nVar4343: int;
+
+const unique nVar4344: int;
+
+const unique nVar4345: int;
+
+const unique nVar4346: int;
+
+const unique nVar4347: int;
+
+const unique nVar4348: int;
+
+const unique nVar4349: int;
+
+const unique nVar4350: int;
+
+const unique nVar4351: int;
+
+const unique nVar4352: int;
+
+const unique nVar4353: int;
+
+const unique nVar4354: int;
+
+const unique nVar4355: int;
+
+const unique nVar4356: int;
+
+const unique nVar4357: int;
+
+const unique nVar4358: int;
+
+const unique nVar4359: int;
+
+const unique nVar4360: int;
+
+const unique nVar4361: int;
+
+const unique nVar4362: int;
+
+const unique nVar4363: int;
+
+const unique nVar4364: int;
+
+const unique nVar4365: int;
+
+const unique nVar4366: int;
+
+const unique nVar4367: int;
+
+const unique nVar4368: int;
+
+const unique nVar4369: int;
+
+const unique nVar4370: int;
+
+const unique nVar4371: int;
+
+const unique nVar4372: int;
+
+const unique nVar4373: int;
+
+const unique nVar4374: int;
+
+const unique nVar4375: int;
+
+const unique nVar4376: int;
+
+const unique nVar4377: int;
+
+const unique nVar4378: int;
+
+const unique nVar4379: int;
+
+const unique nVar4380: int;
+
+const unique nVar4381: int;
+
+const unique nVar4382: int;
+
+const unique nVar4383: int;
+
+const unique nVar4384: int;
+
+const unique nVar4385: int;
+
+const unique nVar4386: int;
+
+const unique nVar4387: int;
+
+const unique nVar4388: int;
+
+const unique nVar4389: int;
+
+const unique nVar4390: int;
+
+const unique nVar4391: int;
+
+const unique nVar4392: int;
+
+const unique nVar4393: int;
+
+const unique nVar4394: int;
+
+const unique nVar4395: int;
+
+const unique nVar4396: int;
+
+const unique nVar4397: int;
+
+const unique nVar4398: int;
+
+const unique nVar4399: int;
+
+const unique nVar4400: int;
+
+const unique nVar4401: int;
+
+const unique nVar4402: int;
+
+const unique nVar4403: int;
+
+const unique nVar4404: int;
+
+const unique nVar4405: int;
+
+const unique nVar4406: int;
+
+const unique nVar4407: int;
+
+const unique nVar4408: int;
+
+const unique nVar4409: int;
+
+const unique nVar4410: int;
+
+const unique nVar4411: int;
+
+const unique nVar4412: int;
+
+const unique nVar4413: int;
+
+const unique nVar4414: int;
+
+const unique nVar4415: int;
+
+const unique nVar4416: int;
+
+const unique nVar4417: int;
+
+const unique nVar4418: int;
+
+const unique nVar4419: int;
+
+const unique nVar4420: int;
+
+const unique nVar4421: int;
+
+const unique nVar4422: int;
+
+const unique nVar4423: int;
+
+const unique nVar4424: int;
+
+const unique nVar4425: int;
+
+const unique nVar4426: int;
+
+const unique nVar4427: int;
+
+const unique nVar4428: int;
+
+const unique nVar4429: int;
+
+const unique nVar4430: int;
+
+const unique nVar4431: int;
+
+const unique nVar4432: int;
+
+const unique nVar4433: int;
+
+const unique nVar4434: int;
+
+const unique nVar4435: int;
+
+const unique nVar4436: int;
+
+const unique nVar4437: int;
+
+const unique nVar4438: int;
+
+const unique nVar4439: int;
+
+const unique nVar4440: int;
+
+const unique nVar4441: int;
+
+const unique nVar4442: int;
+
+const unique nVar4443: int;
+
+const unique nVar4444: int;
+
+const unique nVar4445: int;
+
+const unique nVar4446: int;
+
+const unique nVar4447: int;
+
+const unique nVar4448: int;
+
+const unique nVar4449: int;
+
+const unique nVar4450: int;
+
+const unique nVar4451: int;
+
+const unique nVar4452: int;
+
+const unique nVar4453: int;
+
+const unique nVar4454: int;
+
+const unique nVar4455: int;
+
+const unique nVar4456: int;
+
+const unique nVar4457: int;
+
+const unique nVar4458: int;
+
+const unique nVar4459: int;
+
+const unique nVar4460: int;
+
+const unique nVar4461: int;
+
+const unique nVar4462: int;
+
+const unique nVar4463: int;
+
+const unique nVar4464: int;
+
+const unique nVar4465: int;
+
+const unique nVar4466: int;
+
+const unique nVar4467: int;
+
+const unique nVar4468: int;
+
+const unique nVar4469: int;
+
+const unique nVar4470: int;
+
+const unique nVar4471: int;
+
+const unique nVar4472: int;
+
+const unique nVar4473: int;
+
+const unique nVar4474: int;
+
+const unique nVar4475: int;
+
+const unique nVar4476: int;
+
+const unique nVar4477: int;
+
+const unique nVar4478: int;
+
+const unique nVar4479: int;
+
+const unique nVar4480: int;
+
+const unique nVar4481: int;
+
+const unique nVar4482: int;
+
+const unique nVar4483: int;
+
+const unique nVar4484: int;
+
+const unique nVar4485: int;
+
+const unique nVar4486: int;
+
+const unique nVar4487: int;
+
+const unique nVar4488: int;
+
+const unique nVar4489: int;
+
+const unique nVar4490: int;
+
+const unique nVar4491: int;
+
+const unique nVar4492: int;
+
+const unique nVar4493: int;
+
+const unique nVar4494: int;
+
+const unique nVar4495: int;
+
+const unique nVar4496: int;
+
+const unique nVar4497: int;
+
+const unique nVar4498: int;
+
+const unique nVar4499: int;
+
+const unique nVar4500: int;
+
+const unique nVar4501: int;
+
+const unique nVar4502: int;
+
+const unique nVar4503: int;
+
+const unique nVar4504: int;
+
+const unique nVar4505: int;
+
+const unique nVar4506: int;
+
+const unique nVar4507: int;
+
+const unique nVar4508: int;
+
+const unique nVar4509: int;
+
+const unique nVar4510: int;
+
+const unique nVar4511: int;
+
+const unique nVar4512: int;
+
+const unique nVar4513: int;
+
+const unique nVar4514: int;
+
+const unique nVar4515: int;
+
+const unique nVar4516: int;
+
+const unique nVar4517: int;
+
+const unique nVar4518: int;
+
+const unique nVar4519: int;
+
+const unique nVar4520: int;
+
+const unique nVar4521: int;
+
+const unique nVar4522: int;
+
+const unique nVar4523: int;
+
+const unique nVar4524: int;
+
+const unique nVar4525: int;
+
+const unique nVar4526: int;
+
+const unique nVar4527: int;
+
+const unique nVar4528: int;
+
+const unique nVar4529: int;
+
+const unique nVar4530: int;
+
+const unique nVar4531: int;
+
+const unique nVar4532: int;
+
+const unique nVar4533: int;
+
+const unique nVar4534: int;
+
+const unique nVar4535: int;
+
+const unique nVar4536: int;
+
+const unique nVar4537: int;
+
+const unique nVar4538: int;
+
+const unique nVar4539: int;
+
+const unique nVar4540: int;
+
+const unique nVar4541: int;
+
+const unique nVar4542: int;
+
+const unique nVar4543: int;
+
+const unique nVar4544: int;
+
+const unique nVar4545: int;
+
+const unique nVar4546: int;
+
+const unique nVar4547: int;
+
+const unique nVar4548: int;
+
+const unique nVar4549: int;
+
+const unique nVar4550: int;
+
+const unique nVar4551: int;
+
+const unique nVar4552: int;
+
+const unique nVar4553: int;
+
+const unique nVar4554: int;
+
+const unique nVar4555: int;
+
+const unique nVar4556: int;
+
+const unique nVar4557: int;
+
+const unique nVar4558: int;
+
+const unique nVar4559: int;
+
+const unique nVar4560: int;
+
+const unique nVar4561: int;
+
+const unique nVar4562: int;
+
+const unique nVar4563: int;
+
+const unique nVar4564: int;
+
+const unique nVar4565: int;
+
+const unique nVar4566: int;
+
+const unique nVar4567: int;
+
+const unique nVar4568: int;
+
+const unique nVar4569: int;
+
+const unique nVar4570: int;
+
+const unique nVar4571: int;
+
+const unique nVar4572: int;
+
+const unique nVar4573: int;
+
+const unique nVar4574: int;
+
+const unique nVar4575: int;
+
+const unique nVar4576: int;
+
+const unique nVar4577: int;
+
+const unique nVar4578: int;
+
+const unique nVar4579: int;
+
+const unique nVar4580: int;
+
+const unique nVar4581: int;
+
+const unique nVar4582: int;
+
+const unique nVar4583: int;
+
+const unique nVar4584: int;
+
+const unique nVar4585: int;
+
+const unique nVar4586: int;
+
+const unique nVar4587: int;
+
+const unique nVar4588: int;
+
+const unique nVar4589: int;
+
+const unique nVar4590: int;
+
+const unique nVar4591: int;
+
+const unique nVar4592: int;
+
+const unique nVar4593: int;
+
+const unique nVar4594: int;
+
+const unique nVar4595: int;
+
+const unique nVar4596: int;
+
+const unique nVar4597: int;
+
+const unique nVar4598: int;
+
+const unique nVar4599: int;
+
+const unique nVar4600: int;
+
+const unique nVar4601: int;
+
+const unique nVar4602: int;
+
+const unique nVar4603: int;
+
+const unique nVar4604: int;
+
+const unique nVar4605: int;
+
+const unique nVar4606: int;
+
+const unique nVar4607: int;
+
+const unique nVar4608: int;
+
+const unique nVar4609: int;
+
+const unique nVar4610: int;
+
+const unique nVar4611: int;
+
+const unique nVar4612: int;
+
+const unique nVar4613: int;
+
+const unique nVar4614: int;
+
+const unique nVar4615: int;
+
+const unique nVar4616: int;
+
+const unique nVar4617: int;
+
+const unique nVar4618: int;
+
+const unique nVar4619: int;
+
+const unique nVar4620: int;
+
+const unique nVar4621: int;
+
+const unique nVar4622: int;
+
+const unique nVar4623: int;
+
+const unique nVar4624: int;
+
+const unique nVar4625: int;
+
+const unique nVar4626: int;
+
+const unique nVar4627: int;
+
+const unique nVar4628: int;
+
+const unique nVar4629: int;
+
+const unique nVar4630: int;
+
+const unique nVar4631: int;
+
+const unique nVar4632: int;
+
+const unique nVar4633: int;
+
+const unique nVar4634: int;
+
+const unique nVar4635: int;
+
+const unique nVar4636: int;
+
+const unique nVar4637: int;
+
+const unique nVar4638: int;
+
+const unique nVar4639: int;
+
+const unique nVar4640: int;
+
+const unique nVar4641: int;
+
+const unique nVar4642: int;
+
+const unique nVar4643: int;
+
+const unique nVar4644: int;
+
+const unique nVar4645: int;
+
+const unique nVar4646: int;
+
+const unique nVar4647: int;
+
+const unique nVar4648: int;
+
+const unique nVar4649: int;
+
+const unique nVar4650: int;
+
+const unique nVar4651: int;
+
+const unique nVar4652: int;
+
+const unique nVar4653: int;
+
+const unique nVar4654: int;
+
+const unique nVar4655: int;
+
+const unique nVar4656: int;
+
+const unique nVar4657: int;
diff --git a/Test/symdiff/foo.bpl b/Test/symdiff/foo.bpl
index 85d025d0..9d13ecd1 100644
--- a/Test/symdiff/foo.bpl
+++ b/Test/symdiff/foo.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -z3multipleErrors -errorTrace:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Foo(x:int)
-{
- var ok:bool;
-
- ok := true;
-
- if (x == 1) {
- ok := false;
- } else if (x == 2) {
- ok := false;
- } else if (x == 3) {
- ok := false;
- }
-
- assert ok;
-
-}
+// RUN: %boogie -z3multipleErrors -errorTrace:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Foo(x:int)
+{
+ var ok:bool;
+
+ ok := true;
+
+ if (x == 1) {
+ ok := false;
+ } else if (x == 2) {
+ ok := false;
+ } else if (x == 3) {
+ ok := false;
+ }
+
+ assert ok;
+
+}
diff --git a/Test/test0/Arrays0.bpl b/Test/test0/Arrays0.bpl
index 6c208b1e..3f365d8f 100644
--- a/Test/test0/Arrays0.bpl
+++ b/Test/test0/Arrays0.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-var one: [int]int;
-var two: [int,int]int;
-var three: [int,int,int]int; // three's a crowd
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+var one: [int]int;
+var two: [int,int]int;
+var three: [int,int,int]int; // three's a crowd
diff --git a/Test/test0/Arrays1.bpl b/Test/test0/Arrays1.bpl
index 4e031cb8..0c9f6044 100644
--- a/Test/test0/Arrays1.bpl
+++ b/Test/test0/Arrays1.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noVerify "%s" | %OutputCheck "%s"
-var Q: [int,int][int]int;
-
-procedure P()
-{
- var q: [int]int;
-
- start:
- // here's how to do it:
- q := Q[5,8];
- q[13] := 21;
-
- // CHECK-L: ${CHECKFILE_NAME}(${LINE:+1},11): Error: command assigns to a global variable that is not in the enclosing procedure's modifies clause: Q
- Q[5,8] := q;
-
- // not like this:
- // CHECK-L: ${CHECKFILE_NAME}(${LINE:+1},15): Error: command assigns to a global variable that is not in the enclosing procedure's modifies clause: Q
- Q[5,8][13] := 21; // error: the updated array must be an identifier
- return;
-}
-
-// CHECK-L: 2 type checking errors detected in ${CHECKFILE_NAME}
+// RUN: %boogie -noVerify "%s" | %OutputCheck "%s"
+var Q: [int,int][int]int;
+
+procedure P()
+{
+ var q: [int]int;
+
+ start:
+ // here's how to do it:
+ q := Q[5,8];
+ q[13] := 21;
+
+ // CHECK-L: ${CHECKFILE_NAME}(${LINE:+1},11): Error: command assigns to a global variable that is not in the enclosing procedure's modifies clause: Q
+ Q[5,8] := q;
+
+ // not like this:
+ // CHECK-L: ${CHECKFILE_NAME}(${LINE:+1},15): Error: command assigns to a global variable that is not in the enclosing procedure's modifies clause: Q
+ Q[5,8][13] := 21; // error: the updated array must be an identifier
+ return;
+}
+
+// CHECK-L: 2 type checking errors detected in ${CHECKFILE_NAME}
diff --git a/Test/test0/AssertVerifiedUnder0.bpl b/Test/test0/AssertVerifiedUnder0.bpl
new file mode 100644
index 00000000..1b054f68
--- /dev/null
+++ b/Test/test0/AssertVerifiedUnder0.bpl
@@ -0,0 +1,8 @@
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0()
+{
+ assert {:verified_under} true;
+ assert {:verified_under true, false} true;
+}
diff --git a/Test/test0/AssertVerifiedUnder0.bpl.expect b/Test/test0/AssertVerifiedUnder0.bpl.expect
new file mode 100644
index 00000000..b3d8177d
--- /dev/null
+++ b/Test/test0/AssertVerifiedUnder0.bpl.expect
@@ -0,0 +1,3 @@
+AssertVerifiedUnder0.bpl(6,11): Error: attribute :verified_under accepts only one argument
+AssertVerifiedUnder0.bpl(7,11): Error: attribute :verified_under accepts only one argument
+2 name resolution errors detected in AssertVerifiedUnder0.bpl
diff --git a/Test/test0/AttributeParsing.bpl b/Test/test0/AttributeParsing.bpl
index afc0a88d..7372fcc4 100644
--- a/Test/test0/AttributeParsing.bpl
+++ b/Test/test0/AttributeParsing.bpl
@@ -1,40 +1,40 @@
-// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type {:sourcefile "test.ssc"} T;
-
-function {:source "test.scc"} f(int) returns (int);
-
-const {:description "The largest integer value"} unique MAXINT: int;
-
-axiom {:naming "MyFavoriteAxiom"} (forall i: int :: {f(i)} f(i) == i+1);
-
-var {:description "memory"} $Heap: [ref, name]any;
-
-var {:sort_of_like_a_trigger (forall i: int :: true)} Bla: [ref, name]any;
-
-procedure {:use_impl 1} foo(x : int) returns(n : int);
-
-implementation {:id 1} foo(x : int) returns(n : int)
-{
- block1: return;
-}
-
-implementation {:id 2} foo(x : int) returns(n : int)
-{
- block1: return;
-}
-
-type ref, any, name;
-
-
-// allow \" and other backslashes rather liberally:
-
-procedure
- {:myAttribute
- "h\n\"ello\"",
- "again",
- "and\\" a\"gain\"",
- again}
-P();
-
-const again: int;
+// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type {:sourcefile "test.ssc"} T;
+
+function {:source "test.scc"} f(int) returns (int);
+
+const {:description "The largest integer value"} unique MAXINT: int;
+
+axiom {:naming "MyFavoriteAxiom"} (forall i: int :: {f(i)} f(i) == i+1);
+
+var {:description "memory"} $Heap: [ref, name]any;
+
+var {:sort_of_like_a_trigger (forall i: int :: true)} Bla: [ref, name]any;
+
+procedure {:use_impl 1} foo(x : int) returns(n : int);
+
+implementation {:id 1} foo(x : int) returns(n : int)
+{
+ block1: return;
+}
+
+implementation {:id 2} foo(x : int) returns(n : int)
+{
+ block1: return;
+}
+
+type ref, any, name;
+
+
+// allow \" and other backslashes rather liberally:
+
+procedure
+ {:myAttribute
+ "h\n\"ello\"",
+ "again",
+ "and\\" a\"gain\"",
+ again}
+P();
+
+const again: int;
diff --git a/Test/test0/AttributeParsingErr.bpl b/Test/test0/AttributeParsingErr.bpl
index 438f674d..9498daf1 100644
--- a/Test/test0/AttributeParsingErr.bpl
+++ b/Test/test0/AttributeParsingErr.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type {:sourcefile "test.ssc"} {1} T;
-
-function {:source "test.scc"} {1} f(int) returns (int);
-
-const {:description "The largest integer value"} {1} unique MAXINT: int;
-
-axiom {:naming "MyFavoriteAxiom"} {1} (forall i: int :: {f(i)} f(i) == i+1);
-
-var {:description "memory"} {1} $Heap: [ref, name]any;
-
-var {(forall i: int :: true)} Bla: [ref, name]any;
-
-procedure {1} {:use_impl 1} foo(x : int) returns(n : int);
-
-implementation {1} {:id 1} foo(x : int) returns(n : int)
-{
- block1: return;
-}
-
-implementation {:id 2} {1} foo(x : int) returns(n : int)
-{
- block1: return;
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type {:sourcefile "test.ssc"} {1} T;
+
+function {:source "test.scc"} {1} f(int) returns (int);
+
+const {:description "The largest integer value"} {1} unique MAXINT: int;
+
+axiom {:naming "MyFavoriteAxiom"} {1} (forall i: int :: {f(i)} f(i) == i+1);
+
+var {:description "memory"} {1} $Heap: [ref, name]any;
+
+var {(forall i: int :: true)} Bla: [ref, name]any;
+
+procedure {1} {:use_impl 1} foo(x : int) returns(n : int);
+
+implementation {1} {:id 1} foo(x : int) returns(n : int)
+{
+ block1: return;
+}
+
+implementation {:id 2} {1} foo(x : int) returns(n : int)
+{
+ block1: return;
+}
diff --git a/Test/test0/AttributeResolution.bpl b/Test/test0/AttributeResolution.bpl
index e5094932..17d79727 100644
--- a/Test/test0/AttributeResolution.bpl
+++ b/Test/test0/AttributeResolution.bpl
@@ -1,40 +1,40 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type {:sourcefile foo} T;
-
-function {:source bar} f(int) returns (int);
-
-const {:description baz} unique MAXINT: int;
-
-axiom {:naming qux} (forall i: int :: {f(ij)} f(i) == i+1);
-
-var {:description mux} $Heap: [ref, int]bool;
-
-var {:sort_of_like_a_trigger fux} Bla: [ref, int]bool;
-
-procedure {:use_impl bzzt} foo(x : int) returns(n : int);
-
-implementation {:id blt} foo(x : int) returns(n : int)
-{
- block1: return;
-}
-
-// ------ and here are various correct things
-
-
-
-const {:Correct hux0 + F(hux1)} hux0: int;
-
-function {:Correct F(hux0) + hux1} F(int) returns (int);
-
-axiom {:Correct F(hux0 + hux1)} true;
-
-var {:Correct hux0*hux1} hux1: int;
-
-procedure {:Correct hux0 - hux1} P();
-
-implementation {:Correct hux0 + hux1} {:AlsoCorrect "hello"} P()
-{
-}
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type {:sourcefile foo} T;
+
+function {:source bar} f(int) returns (int);
+
+const {:description baz} unique MAXINT: int;
+
+axiom {:naming qux} (forall i: int :: {f(ij)} f(i) == i+1);
+
+var {:description mux} $Heap: [ref, int]bool;
+
+var {:sort_of_like_a_trigger fux} Bla: [ref, int]bool;
+
+procedure {:use_impl bzzt} foo(x : int) returns(n : int);
+
+implementation {:id blt} foo(x : int) returns(n : int)
+{
+ block1: return;
+}
+
+// ------ and here are various correct things
+
+
+
+const {:Correct hux0 + F(hux1)} hux0: int;
+
+function {:Correct F(hux0) + hux1} F(int) returns (int);
+
+axiom {:Correct F(hux0 + hux1)} true;
+
+var {:Correct hux0*hux1} hux1: int;
+
+procedure {:Correct hux0 - hux1} P();
+
+implementation {:Correct hux0 + hux1} {:AlsoCorrect "hello"} P()
+{
+}
+
+type ref;
diff --git a/Test/test0/BadLabels0.bpl b/Test/test0/BadLabels0.bpl
index b3f6f896..6b02a2d1 100644
--- a/Test/test0/BadLabels0.bpl
+++ b/Test/test0/BadLabels0.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Dup(y: int)
-{
- X:
- X: // error: duplicate label
- while (y < 100)
- {
- Y:
- }
- while (y < 1000)
- {
- Y: // error: duplicate label (labels must be unique in entire procedure body)
- }
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Dup(y: int)
+{
+ X:
+ X: // error: duplicate label
+ while (y < 100)
+ {
+ Y:
+ }
+ while (y < 1000)
+ {
+ Y: // error: duplicate label (labels must be unique in entire procedure body)
+ }
+}
diff --git a/Test/test0/BadLabels1.bpl b/Test/test0/BadLabels1.bpl
index d0b4e396..dca59b57 100644
--- a/Test/test0/BadLabels1.bpl
+++ b/Test/test0/BadLabels1.bpl
@@ -1,83 +1,83 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P0()
-{
- // these labels don't exist at all
- goto X; // error: undefined label
- goto Y; // error: undefined label
-}
-
-procedure P1(y: int)
-{
- goto X;
- while (y < 100)
- {
- X:
- }
-
- Q:
- if (y == 102) {
- A:
- goto Q;
- } else if (y == 104) {
- B:
- } else {
- C:
- goto K;
- }
-
- while (y < 1000)
- {
- K:
- goto A;
- if (y mod 2 == 0) {
- goto L;
- M:
- }
- goto K, L;
- L:
- if (*) {
- goto M;
- }
- }
- goto B;
-}
-
-
-procedure Break(n: int)
-{
- break; // error: break not inside a loop
- if (*) {
- break; // error: label-less break not inside a loop
- }
-
- A:
- if (*) {
- break A; // this is fine, since the break statement uses a label
- }
-
- B:
- assert 2 <= n;
- while (*) {
- break B; // error: B does not label a loop
- break;
- C: while (*) { assert n < 100; }
- break A; // error: A does not label a loop
- break C; // error: A does not label an enclosing loop
- F: break F; // error: F does not label an enclosing loop
- }
-
- D:
- while (*) {
- E:
- while (*) {
- if (*) {
- break;
- } else if (*) {
- if (*) { break E; }
- } else {
- break D;
- }
- }
- }
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P0()
+{
+ // these labels don't exist at all
+ goto X; // error: undefined label
+ goto Y; // error: undefined label
+}
+
+procedure P1(y: int)
+{
+ goto X;
+ while (y < 100)
+ {
+ X:
+ }
+
+ Q:
+ if (y == 102) {
+ A:
+ goto Q;
+ } else if (y == 104) {
+ B:
+ } else {
+ C:
+ goto K;
+ }
+
+ while (y < 1000)
+ {
+ K:
+ goto A;
+ if (y mod 2 == 0) {
+ goto L;
+ M:
+ }
+ goto K, L;
+ L:
+ if (*) {
+ goto M;
+ }
+ }
+ goto B;
+}
+
+
+procedure Break(n: int)
+{
+ break; // error: break not inside a loop
+ if (*) {
+ break; // error: label-less break not inside a loop
+ }
+
+ A:
+ if (*) {
+ break A; // this is fine, since the break statement uses a label
+ }
+
+ B:
+ assert 2 <= n;
+ while (*) {
+ break B; // error: B does not label a loop
+ break;
+ C: while (*) { assert n < 100; }
+ break A; // error: A does not label a loop
+ break C; // error: A does not label an enclosing loop
+ F: break F; // error: F does not label an enclosing loop
+ }
+
+ D:
+ while (*) {
+ E:
+ while (*) {
+ if (*) {
+ break;
+ } else if (*) {
+ if (*) { break E; }
+ } else {
+ break D;
+ }
+ }
+ }
+}
diff --git a/Test/test0/BadQuantifier.bpl b/Test/test0/BadQuantifier.bpl
index db704a6e..9b020642 100644
--- a/Test/test0/BadQuantifier.bpl
+++ b/Test/test0/BadQuantifier.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-function f(int) returns (bool);
-axiom (forall int x :: f(x) <== x >= 0);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function f(int) returns (bool);
+axiom (forall int x :: f(x) <== x >= 0);
diff --git a/Test/test0/EmptyCallArgs.bpl b/Test/test0/EmptyCallArgs.bpl
index 54b374d7..062dbcdf 100644
--- a/Test/test0/EmptyCallArgs.bpl
+++ b/Test/test0/EmptyCallArgs.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-type C;
-
-procedure P(x:int, y:bool) returns (z:C);
-procedure Q<a>(x:int, y:a) returns (z:a);
-
-procedure CallP() {
- var x:int;
- var y:bool;
- var z:C;
-
- call z := P(x, y);
-}
-
-procedure CallQ() {
- var x:int;
- var y:bool;
- var z:bool;
-
- call z := Q(x, y);
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+type C;
+
+procedure P(x:int, y:bool) returns (z:C);
+procedure Q<a>(x:int, y:a) returns (z:a);
+
+procedure CallP() {
+ var x:int;
+ var y:bool;
+ var z:C;
+
+ call z := P(x, y);
+}
+
+procedure CallQ() {
+ var x:int;
+ var y:bool;
+ var z:bool;
+
+ call z := Q(x, y);
+}
diff --git a/Test/test0/LargeLiterals0.bpl b/Test/test0/LargeLiterals0.bpl
index af9c02b4..2db94141 100644
--- a/Test/test0/LargeLiterals0.bpl
+++ b/Test/test0/LargeLiterals0.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-// Test to parse large integer literals
-
-axiom 1234567890987654321 == 1234567890987654321;
-
-function f(int) returns (int);
-
-axiom f(1234567890987654321) == 0;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+// Test to parse large integer literals
+
+axiom 1234567890987654321 == 1234567890987654321;
+
+function f(int) returns (int);
+
+axiom f(1234567890987654321) == 0;
diff --git a/Test/test0/LineParse.bpl b/Test/test0/LineParse.bpl
index 76149ff6..8de34149 100644
--- a/Test/test0/LineParse.bpl
+++ b/Test/test0/LineParse.bpl
@@ -1,14 +1,14 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-#line
-#line
-#line 0
-#line 0
-
-#dontknow what this is No, I don't well, it's an error is what it is
-
-#define ASSERT(x) {if (!(x)) { crash(); }} // error: A B C . txt(12,0)
-
-// this is line 5; an error occurs on line 6:
- #line 10 // this is not even scanned like a pragma, because the # is not in column 0
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+#line
+#line
+#line 0
+#line 0
+
+#dontknow what this is No, I don't well, it's an error is what it is
+
+#define ASSERT(x) {if (!(x)) { crash(); }} // error: A B C . txt(12,0)
+
+// this is line 5; an error occurs on line 6:
+ #line 10 // this is not even scanned like a pragma, because the # is not in column 0
+
diff --git a/Test/test0/LineResolve.bpl b/Test/test0/LineResolve.bpl
index 39bf9983..cca5c4a5 100644
--- a/Test/test0/LineResolve.bpl
+++ b/Test/test0/LineResolve.bpl
@@ -1,45 +1,45 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P() {
-var x: int;
-x :=
-
- a+ // error: LineResolve.bpl(5,1)
-
- b+ // error: LineResolve.bpl(7,2)
-#line 12
-c+ // error: LineResolve.bpl(12,0)
- d+ // error: LineResolve.bpl(13,10)
-#line 12
-e+ // error: LineResolve.bpl(12,0)
-#line 2
-f+ // error: LineResolve.bpl(2,0)
-#line 1000
-#line 900
-g+ // error: LineResolve.bpl(900,0)
-
-#line 10 Abc.txt
-
- h+ // error: Abc.txt(11,3)
-
-i+ // error: Abc.txt(13,0)
-#line 98
-
-j+ // error: Abc.txt(99,0)
-
-#line 103 c:\Users\leino\Documents\Programs\MyClass.ssc
-
-k+ // error: c:\Users\leino\Documents\Programs\MyClass.ssc(104,0)
-
-#line -58
-
-#line 12 A B C . txt
-l+ // error: A B C . txt(12,0)
-
-0;
-}
-
-#line 100 LineResolve.bpl
-procedure ResolutionTest() {
- x := 0; // error: undeclared identifier (once upon a time, this used to crash Boogie)
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P() {
+var x: int;
+x :=
+
+ a+ // error: LineResolve.bpl(5,1)
+
+ b+ // error: LineResolve.bpl(7,2)
+#line 12
+c+ // error: LineResolve.bpl(12,0)
+ d+ // error: LineResolve.bpl(13,10)
+#line 12
+e+ // error: LineResolve.bpl(12,0)
+#line 2
+f+ // error: LineResolve.bpl(2,0)
+#line 1000
+#line 900
+g+ // error: LineResolve.bpl(900,0)
+
+#line 10 Abc.txt
+
+ h+ // error: Abc.txt(11,3)
+
+i+ // error: Abc.txt(13,0)
+#line 98
+
+j+ // error: Abc.txt(99,0)
+
+#line 103 c:\Users\leino\Documents\Programs\MyClass.ssc
+
+k+ // error: c:\Users\leino\Documents\Programs\MyClass.ssc(104,0)
+
+#line -58
+
+#line 12 A B C . txt
+l+ // error: A B C . txt(12,0)
+
+0;
+}
+
+#line 100 LineResolve.bpl
+procedure ResolutionTest() {
+ x := 0; // error: undeclared identifier (once upon a time, this used to crash Boogie)
+}
diff --git a/Test/test0/MapsResolutionErrors.bpl b/Test/test0/MapsResolutionErrors.bpl
index 4cd5ff19..204cb7b0 100644
--- a/Test/test0/MapsResolutionErrors.bpl
+++ b/Test/test0/MapsResolutionErrors.bpl
@@ -1,30 +1,30 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-var m: []int;
-var p: <a>[]a;
-
-type C _;
-var bad: <a,b>[]C a; // error: b is not used
-
-function F<a>(a, int) returns (bool) { true }
-
-type Set _;
-function EmptySet<a>() returns (Set a);
-function G<a>(a, int) returns (Set a) { EmptySet() }
-
-function H<a>(int) returns (Set a);
-
-function {:inline true} K<a>(int) returns (Set a)
-{ EmptySet() }
-
-
-procedure P<a>(x: int, y: bool) returns (z: int, w: bool); // error: "a" is not used
-
-procedure Q<a>(x: int, y: bool) returns (z: int, w: a);
-procedure R<a>(x: int, y: bool) returns (z: int, w: Set a);
-procedure S<a>(x: a, y: bool) returns (z: int, w: Set a);
-
-
-function K2<a>(int) returns (Set a) // now ok
-{ EmptySet() }
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+var m: []int;
+var p: <a>[]a;
+
+type C _;
+var bad: <a,b>[]C a; // error: b is not used
+
+function F<a>(a, int) returns (bool) { true }
+
+type Set _;
+function EmptySet<a>() returns (Set a);
+function G<a>(a, int) returns (Set a) { EmptySet() }
+
+function H<a>(int) returns (Set a);
+
+function {:inline true} K<a>(int) returns (Set a)
+{ EmptySet() }
+
+
+procedure P<a>(x: int, y: bool) returns (z: int, w: bool); // error: "a" is not used
+
+procedure Q<a>(x: int, y: bool) returns (z: int, w: a);
+procedure R<a>(x: int, y: bool) returns (z: int, w: Set a);
+procedure S<a>(x: a, y: bool) returns (z: int, w: Set a);
+
+
+function K2<a>(int) returns (Set a) // now ok
+{ EmptySet() }
diff --git a/Test/test0/ModifiedBag.bpl b/Test/test0/ModifiedBag.bpl
index b3677621..5d9f2aaa 100644
--- a/Test/test0/ModifiedBag.bpl
+++ b/Test/test0/ModifiedBag.bpl
@@ -1,373 +1,373 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-// ----------- BEGIN PRELUDE
-
-
-type elements;
-
-type name;
-
-const $CALL: name;
-
-const $REQ: name;
-
-const $ENS: name;
-
-const $PACK: name;
-
-const $UNPACK: name;
-
-const $HEAD: name;
-
-const $THROW: name;
-
-var $RefHeap: [ref, name]ref;
-
-var $IntHeap: [ref, name]int;
-
-var $RealHeap: [ref, name]real;
-
-var $BoolHeap: [ref, name]bool;
-
-var $ArrayHeap: [ref, name]elements;
-
-const $allocated: name;
-
-const $elements: name;
-
-function $ArrayLength(ref) returns (int);
-
-function $RefArrayGet(elements, int) returns (ref);
-
-function $RefArraySet(elements, int, ref) returns (elements);
-
-function $IntArrayGet(elements, int) returns (value: int);
-
-function $IntArraySet(elements, int, int) returns (elements);
-
-function $RealArrayGet(elements, int) returns (value: real);
-
-function $RealArraySet(elements, int, real) returns (elements);
-
-function $BoolArrayGet(elements, int) returns (value: bool);
-
-function $BoolArraySet(elements, int, bool) returns (elements);
-
-function $ArrayArrayGet(elements, int) returns (value: elements);
-
-function $ArrayArraySet(elements, int, elements) returns (elements);
-
-axiom (forall A: elements, i: int, x: ref :: $RefArrayGet($RefArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> $RefArrayGet($RefArraySet(A, i, x), j) == $RefArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: int :: $IntArrayGet($IntArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: int :: i != j ==> $IntArrayGet($IntArraySet(A, i, x), j) == $IntArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: real :: $RealArrayGet($RealArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: real :: i != j ==> $RealArrayGet($RealArraySet(A, i, x), j) == $RealArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: bool :: $BoolArrayGet($BoolArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: bool :: i != j ==> $BoolArrayGet($BoolArraySet(A, i, x), j) == $BoolArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: elements :: $ArrayArrayGet($ArrayArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: elements :: i != j ==> $ArrayArrayGet($ArrayArraySet(A, i, x), j) == $ArrayArrayGet(A, j));
-
-axiom (forall a: ref :: 0 <= $ArrayLength(a));
-
-function $typeof(ref) returns (name);
-
-function $BoolIs(bool, name) returns (bool);
-
-function $RealIs(real, name) returns (bool);
-
-function $IntIs(int, name) returns (bool);
-
-const System.Int16: name;
-
-const System.Int32: name;
-
-const System.Int64: name;
-
-const System.Int16.MinValue: int;
-
-const System.Int16.MaxValue: int;
-
-const System.Int32.MinValue: int;
-
-const System.Int32.MaxValue: int;
-
-const System.Int64.MinValue: int;
-
-const System.Int64.MaxValue: int;
-
-axiom System.Int64.MinValue < System.Int32.MinValue;
-
-axiom System.Int32.MinValue < System.Int16.MinValue;
-
-axiom System.Int16.MinValue < System.Int16.MaxValue;
-
-axiom System.Int16.MaxValue < System.Int32.MaxValue;
-
-axiom System.Int32.MaxValue < System.Int64.MaxValue;
-
-axiom (forall i: int :: $IntIs(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
-
-axiom (forall i: int :: $IntIs(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
-
-axiom (forall i: int :: $IntIs(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
-
-function $RefIs(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: $RefIs(o, T) <==> o == null || $typeof(o) <: T);
-
-axiom (forall o: ref, T: name :: $RefIs(o, $NotNull(T)) <==> o != null && $RefIs(o, T));
-
-axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $IntArray(T)) && a != null ==> $IntIs($IntArrayGet($ArrayHeap[a, $elements], i), T));
-
-axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $RealArray(T)) && a != null ==> $RealIs($RealArrayGet($ArrayHeap[a, $elements], i), T));
-
-axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $BoolArray(T)) && a != null ==> $BoolIs($BoolArrayGet($ArrayHeap[a, $elements], i), T));
-
-axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $RefArray(T)) && a != null ==> $RefIs($RefArrayGet($ArrayHeap[a, $elements], i), T));
-
-function $NotNull(name) returns (name);
-
-function $IntArray(name) returns (name);
-
-function $BoolArray(name) returns (name);
-
-function $RealArray(name) returns (name);
-
-function $RefArray(name) returns (name);
-// ----------- END PRELUDE
-const Bag.a: name;
-
-const Bag.n: name;
-
-const Bag: name;
-
-
-
-
-
-procedure Bag..ctor$(this: ref, initialElements$in: ref);
-
-
-
-
-
-
-procedure System.Object..ctor(this: ref);
-
-
-
-procedure System.Array.CopyTo$System.Array$System.Int32(this: ref, array$in: ref, index$in: int);
-
-
-
-procedure Bag..ctor$$System.Int32$System.Int32(this: ref, initialElements$in: ref, start$in: int, howMany$in: int);
- requires 0 <= howMany$in;
- requires start$in + howMany$in <= $ArrayLength(initialElements$in);
- modifies $IntHeap, $RefHeap;
-
-
-
-implementation Bag..ctor$$System.Int32$System.Int32(this: ref, initialElements$in: ref, start$in: int, howMany$in: int)
-{
- var initialElements: ref, start: int, howMany: int, stack0i: int, stack0o: ref, stack1i: int, stack2i: int;
-
- entry:
- assume $RefIs(this, $NotNull(Bag));
- initialElements := initialElements$in;
- assume $RefIs(initialElements, $NotNull($IntArray(System.Int32)));
- start := start$in;
- assume $IntIs(start, System.Int32);
- howMany := howMany$in;
- assume $IntIs(howMany, System.Int32);
- goto block165;
-
- block165:
- call System.Object..ctor(this);
- $IntHeap[this, Bag.n] := howMany;
- stack0i := howMany;
- havoc stack0o;
- assume $BoolHeap[stack0o, $allocated] == true && $ArrayLength(stack0o) == stack0i;
- $RefHeap[this, Bag.a] := stack0o;
- stack0o := $RefHeap[this, Bag.a];
- stack1i := 0;
- stack2i := start + howMany;
- call System.Array.Copy$System.Array$System.Int32$System.Array$System.Int32$System.Int32(initialElements, start, stack0o, stack1i, stack2i);
- assert this != null;
- assert 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
- return;
-}
-
-
-
-procedure System.Array.Copy$System.Array$System.Int32$System.Array$System.Int32$System.Int32(sourceArray$in: ref, sourceIndex$in: int, destinationArray$in: ref, destinationIndex$in: int, length$in: int);
-
-
-
-procedure Bag.Add$System.Int32(this: ref, x$in: int);
- modifies $ArrayHeap, $IntHeap;
-
-
-
-implementation Bag.Add$System.Int32(this: ref, x$in: int)
-{
- var x: int, stack0i: int, stack1o: ref, stack1i: int, stack0b: bool, stack0o: ref, stack2i: int, b: ref;
-
- entry:
- assume $RefIs(this, $NotNull(Bag));
- x := x$in;
- assume $IntIs(x, System.Int32);
- assert this != null;
- assume 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
- goto block205;
-
- block205:
- stack0i := $IntHeap[this, Bag.n];
- stack1o := $RefHeap[this, Bag.a];
- stack1i := $ArrayLength(stack1o);
- stack1i := stack1i;
- stack0b := stack0i != stack1i;
- goto trueblock208, falseblock206;
-
- trueblock208:
- assume stack0b == true;
-assume false;
-// goto block208;
-return;
-
- falseblock206:
- assume stack0b == false;
- goto block206;
-
- block206:
-// assert label-([$PACK@0:3:4425:0], $IntHeap[this, Bag.n] <= 2 * $ArrayLength($RefHeap[this, Bag.a]));
- stack0i := 2;
- stack1o := $RefHeap[this, Bag.a];
- stack1i := $ArrayLength(stack1o);
- stack1i := stack1i;
- stack0i := stack0i * stack1i;
- stack0i := stack0i;
- assert $IntHeap[this, Bag.n] <= stack0i;
-// havoc b;
-// assume $BoolHeap[b, $allocated] == true && $ArrayLength(b) == stack0i;
-// assert label-([$PACK@0:3:4427:0], $IntHeap[this, Bag.n] <= $ArrayLength(b));
-// stack0o := $RefHeap[this, Bag.a];
-// stack1i := 0;
-// call [$CALL@0:7:39:0] System.Array.CopyTo$System.Array$System.Int32(stack0o, b, stack1i);
-// $RefHeap[this, Bag.a] := b;
-// assert label-([$PACK@0:3:4428:0], $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]));
-// goto block208;
- return;
-
- block208:
- stack0o := $RefHeap[this, Bag.a];
- stack1i := $IntHeap[this, Bag.n];
- $ArrayHeap[stack0o, $elements] := $IntArraySet($ArrayHeap[stack0o, $elements], stack1i, x);
- stack0o := this;
- stack1o := stack0o;
- stack1i := $IntHeap[stack1o, Bag.n];
- stack2i := 1;
- stack1i := stack1i + stack2i;
- $IntHeap[stack0o, Bag.n] := stack1i;
- assert this != null;
- assert 0 <= $IntHeap[this, Bag.n];
- assert $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
- return;
-
-}
-
-
-
-procedure Bag.ExtractMin(this: ref) returns ($result: int);
- modifies $IntHeap, $ArrayHeap;
-
-
-
-implementation Bag.ExtractMin(this: ref) returns ($result: int)
-{
- var m: int, mindex: int, i: int, stack0i: int, stack0b: bool, stack0o: ref, stack1o: ref, stack1i: int, stack2i: int, CS$00000003$00000000: int;
-
- entry:
- assume $RefIs(this, $NotNull(Bag));
- assert this != null;
- assume 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
- goto block282;
-
- block282:
- m := 2147483647;
- mindex := 0;
- i := 1;
- goto block286;
-
- block285:
- stack0i := 1;
- stack0i := i + stack0i;
- i := stack0i;
- goto block286;
-
- block286:
- stack0i := $IntHeap[this, Bag.n];
- stack0b := i <= stack0i;
- goto trueblock283, falseblock287;
-
- trueblock283:
- assume stack0b == true;
- goto block283;
-
- falseblock287:
- assume stack0b == false;
- goto block287;
-
- block283:
- stack0o := $RefHeap[this, Bag.a];
- stack0i := $IntArrayGet($ArrayHeap[stack0o, $elements], i);
- stack0b := stack0i >= m;
- goto trueblock285, falseblock284;
-
- block287:
- stack0o := this;
- stack1o := stack0o;
- stack1i := $IntHeap[stack1o, Bag.n];
- stack2i := 1;
- stack1i := stack1i - stack2i;
- $IntHeap[stack0o, Bag.n] := stack1i;
- stack0o := $RefHeap[this, Bag.a];
- stack1o := $RefHeap[this, Bag.a];
- stack2i := $IntHeap[this, Bag.n];
- stack1i := $IntArrayGet($ArrayHeap[stack1o, $elements], stack2i);
- $ArrayHeap[stack0o, $elements] := $IntArraySet($ArrayHeap[stack0o, $elements], mindex, stack1i);
- CS$00000003$00000000 := m;
- goto block289;
-
- trueblock285:
- assume stack0b == true;
- goto block285;
-
- falseblock284:
- assume stack0b == false;
- goto block284;
-
- block284:
- mindex := i;
- stack0o := $RefHeap[this, Bag.a];
- m := $IntArrayGet($ArrayHeap[stack0o, $elements], i);
- goto block285;
-
- block289:
- $result := CS$00000003$00000000;
- assert this != null;
- assert 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
- return;
-}
-
-type ref;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+// ----------- BEGIN PRELUDE
+
+
+type elements;
+
+type name;
+
+const $CALL: name;
+
+const $REQ: name;
+
+const $ENS: name;
+
+const $PACK: name;
+
+const $UNPACK: name;
+
+const $HEAD: name;
+
+const $THROW: name;
+
+var $RefHeap: [ref, name]ref;
+
+var $IntHeap: [ref, name]int;
+
+var $RealHeap: [ref, name]real;
+
+var $BoolHeap: [ref, name]bool;
+
+var $ArrayHeap: [ref, name]elements;
+
+const $allocated: name;
+
+const $elements: name;
+
+function $ArrayLength(ref) returns (int);
+
+function $RefArrayGet(elements, int) returns (ref);
+
+function $RefArraySet(elements, int, ref) returns (elements);
+
+function $IntArrayGet(elements, int) returns (value: int);
+
+function $IntArraySet(elements, int, int) returns (elements);
+
+function $RealArrayGet(elements, int) returns (value: real);
+
+function $RealArraySet(elements, int, real) returns (elements);
+
+function $BoolArrayGet(elements, int) returns (value: bool);
+
+function $BoolArraySet(elements, int, bool) returns (elements);
+
+function $ArrayArrayGet(elements, int) returns (value: elements);
+
+function $ArrayArraySet(elements, int, elements) returns (elements);
+
+axiom (forall A: elements, i: int, x: ref :: $RefArrayGet($RefArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> $RefArrayGet($RefArraySet(A, i, x), j) == $RefArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: int :: $IntArrayGet($IntArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: int :: i != j ==> $IntArrayGet($IntArraySet(A, i, x), j) == $IntArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: real :: $RealArrayGet($RealArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: real :: i != j ==> $RealArrayGet($RealArraySet(A, i, x), j) == $RealArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: bool :: $BoolArrayGet($BoolArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: bool :: i != j ==> $BoolArrayGet($BoolArraySet(A, i, x), j) == $BoolArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: elements :: $ArrayArrayGet($ArrayArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: elements :: i != j ==> $ArrayArrayGet($ArrayArraySet(A, i, x), j) == $ArrayArrayGet(A, j));
+
+axiom (forall a: ref :: 0 <= $ArrayLength(a));
+
+function $typeof(ref) returns (name);
+
+function $BoolIs(bool, name) returns (bool);
+
+function $RealIs(real, name) returns (bool);
+
+function $IntIs(int, name) returns (bool);
+
+const System.Int16: name;
+
+const System.Int32: name;
+
+const System.Int64: name;
+
+const System.Int16.MinValue: int;
+
+const System.Int16.MaxValue: int;
+
+const System.Int32.MinValue: int;
+
+const System.Int32.MaxValue: int;
+
+const System.Int64.MinValue: int;
+
+const System.Int64.MaxValue: int;
+
+axiom System.Int64.MinValue < System.Int32.MinValue;
+
+axiom System.Int32.MinValue < System.Int16.MinValue;
+
+axiom System.Int16.MinValue < System.Int16.MaxValue;
+
+axiom System.Int16.MaxValue < System.Int32.MaxValue;
+
+axiom System.Int32.MaxValue < System.Int64.MaxValue;
+
+axiom (forall i: int :: $IntIs(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
+
+axiom (forall i: int :: $IntIs(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
+
+axiom (forall i: int :: $IntIs(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
+
+function $RefIs(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: $RefIs(o, T) <==> o == null || $typeof(o) <: T);
+
+axiom (forall o: ref, T: name :: $RefIs(o, $NotNull(T)) <==> o != null && $RefIs(o, T));
+
+axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $IntArray(T)) && a != null ==> $IntIs($IntArrayGet($ArrayHeap[a, $elements], i), T));
+
+axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $RealArray(T)) && a != null ==> $RealIs($RealArrayGet($ArrayHeap[a, $elements], i), T));
+
+axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $BoolArray(T)) && a != null ==> $BoolIs($BoolArrayGet($ArrayHeap[a, $elements], i), T));
+
+axiom (forall a: ref, T: name, i: int, $ArrayHeap: [ref, name]elements :: $RefIs(a, $RefArray(T)) && a != null ==> $RefIs($RefArrayGet($ArrayHeap[a, $elements], i), T));
+
+function $NotNull(name) returns (name);
+
+function $IntArray(name) returns (name);
+
+function $BoolArray(name) returns (name);
+
+function $RealArray(name) returns (name);
+
+function $RefArray(name) returns (name);
+// ----------- END PRELUDE
+const Bag.a: name;
+
+const Bag.n: name;
+
+const Bag: name;
+
+
+
+
+
+procedure Bag..ctor$(this: ref, initialElements$in: ref);
+
+
+
+
+
+
+procedure System.Object..ctor(this: ref);
+
+
+
+procedure System.Array.CopyTo$System.Array$System.Int32(this: ref, array$in: ref, index$in: int);
+
+
+
+procedure Bag..ctor$$System.Int32$System.Int32(this: ref, initialElements$in: ref, start$in: int, howMany$in: int);
+ requires 0 <= howMany$in;
+ requires start$in + howMany$in <= $ArrayLength(initialElements$in);
+ modifies $IntHeap, $RefHeap;
+
+
+
+implementation Bag..ctor$$System.Int32$System.Int32(this: ref, initialElements$in: ref, start$in: int, howMany$in: int)
+{
+ var initialElements: ref, start: int, howMany: int, stack0i: int, stack0o: ref, stack1i: int, stack2i: int;
+
+ entry:
+ assume $RefIs(this, $NotNull(Bag));
+ initialElements := initialElements$in;
+ assume $RefIs(initialElements, $NotNull($IntArray(System.Int32)));
+ start := start$in;
+ assume $IntIs(start, System.Int32);
+ howMany := howMany$in;
+ assume $IntIs(howMany, System.Int32);
+ goto block165;
+
+ block165:
+ call System.Object..ctor(this);
+ $IntHeap[this, Bag.n] := howMany;
+ stack0i := howMany;
+ havoc stack0o;
+ assume $BoolHeap[stack0o, $allocated] == true && $ArrayLength(stack0o) == stack0i;
+ $RefHeap[this, Bag.a] := stack0o;
+ stack0o := $RefHeap[this, Bag.a];
+ stack1i := 0;
+ stack2i := start + howMany;
+ call System.Array.Copy$System.Array$System.Int32$System.Array$System.Int32$System.Int32(initialElements, start, stack0o, stack1i, stack2i);
+ assert this != null;
+ assert 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
+ return;
+}
+
+
+
+procedure System.Array.Copy$System.Array$System.Int32$System.Array$System.Int32$System.Int32(sourceArray$in: ref, sourceIndex$in: int, destinationArray$in: ref, destinationIndex$in: int, length$in: int);
+
+
+
+procedure Bag.Add$System.Int32(this: ref, x$in: int);
+ modifies $ArrayHeap, $IntHeap;
+
+
+
+implementation Bag.Add$System.Int32(this: ref, x$in: int)
+{
+ var x: int, stack0i: int, stack1o: ref, stack1i: int, stack0b: bool, stack0o: ref, stack2i: int, b: ref;
+
+ entry:
+ assume $RefIs(this, $NotNull(Bag));
+ x := x$in;
+ assume $IntIs(x, System.Int32);
+ assert this != null;
+ assume 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
+ goto block205;
+
+ block205:
+ stack0i := $IntHeap[this, Bag.n];
+ stack1o := $RefHeap[this, Bag.a];
+ stack1i := $ArrayLength(stack1o);
+ stack1i := stack1i;
+ stack0b := stack0i != stack1i;
+ goto trueblock208, falseblock206;
+
+ trueblock208:
+ assume stack0b == true;
+assume false;
+// goto block208;
+return;
+
+ falseblock206:
+ assume stack0b == false;
+ goto block206;
+
+ block206:
+// assert label-([$PACK@0:3:4425:0], $IntHeap[this, Bag.n] <= 2 * $ArrayLength($RefHeap[this, Bag.a]));
+ stack0i := 2;
+ stack1o := $RefHeap[this, Bag.a];
+ stack1i := $ArrayLength(stack1o);
+ stack1i := stack1i;
+ stack0i := stack0i * stack1i;
+ stack0i := stack0i;
+ assert $IntHeap[this, Bag.n] <= stack0i;
+// havoc b;
+// assume $BoolHeap[b, $allocated] == true && $ArrayLength(b) == stack0i;
+// assert label-([$PACK@0:3:4427:0], $IntHeap[this, Bag.n] <= $ArrayLength(b));
+// stack0o := $RefHeap[this, Bag.a];
+// stack1i := 0;
+// call [$CALL@0:7:39:0] System.Array.CopyTo$System.Array$System.Int32(stack0o, b, stack1i);
+// $RefHeap[this, Bag.a] := b;
+// assert label-([$PACK@0:3:4428:0], $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]));
+// goto block208;
+ return;
+
+ block208:
+ stack0o := $RefHeap[this, Bag.a];
+ stack1i := $IntHeap[this, Bag.n];
+ $ArrayHeap[stack0o, $elements] := $IntArraySet($ArrayHeap[stack0o, $elements], stack1i, x);
+ stack0o := this;
+ stack1o := stack0o;
+ stack1i := $IntHeap[stack1o, Bag.n];
+ stack2i := 1;
+ stack1i := stack1i + stack2i;
+ $IntHeap[stack0o, Bag.n] := stack1i;
+ assert this != null;
+ assert 0 <= $IntHeap[this, Bag.n];
+ assert $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
+ return;
+
+}
+
+
+
+procedure Bag.ExtractMin(this: ref) returns ($result: int);
+ modifies $IntHeap, $ArrayHeap;
+
+
+
+implementation Bag.ExtractMin(this: ref) returns ($result: int)
+{
+ var m: int, mindex: int, i: int, stack0i: int, stack0b: bool, stack0o: ref, stack1o: ref, stack1i: int, stack2i: int, CS$00000003$00000000: int;
+
+ entry:
+ assume $RefIs(this, $NotNull(Bag));
+ assert this != null;
+ assume 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
+ goto block282;
+
+ block282:
+ m := 2147483647;
+ mindex := 0;
+ i := 1;
+ goto block286;
+
+ block285:
+ stack0i := 1;
+ stack0i := i + stack0i;
+ i := stack0i;
+ goto block286;
+
+ block286:
+ stack0i := $IntHeap[this, Bag.n];
+ stack0b := i <= stack0i;
+ goto trueblock283, falseblock287;
+
+ trueblock283:
+ assume stack0b == true;
+ goto block283;
+
+ falseblock287:
+ assume stack0b == false;
+ goto block287;
+
+ block283:
+ stack0o := $RefHeap[this, Bag.a];
+ stack0i := $IntArrayGet($ArrayHeap[stack0o, $elements], i);
+ stack0b := stack0i >= m;
+ goto trueblock285, falseblock284;
+
+ block287:
+ stack0o := this;
+ stack1o := stack0o;
+ stack1i := $IntHeap[stack1o, Bag.n];
+ stack2i := 1;
+ stack1i := stack1i - stack2i;
+ $IntHeap[stack0o, Bag.n] := stack1i;
+ stack0o := $RefHeap[this, Bag.a];
+ stack1o := $RefHeap[this, Bag.a];
+ stack2i := $IntHeap[this, Bag.n];
+ stack1i := $IntArrayGet($ArrayHeap[stack1o, $elements], stack2i);
+ $ArrayHeap[stack0o, $elements] := $IntArraySet($ArrayHeap[stack0o, $elements], mindex, stack1i);
+ CS$00000003$00000000 := m;
+ goto block289;
+
+ trueblock285:
+ assume stack0b == true;
+ goto block285;
+
+ falseblock284:
+ assume stack0b == false;
+ goto block284;
+
+ block284:
+ mindex := i;
+ stack0o := $RefHeap[this, Bag.a];
+ m := $IntArrayGet($ArrayHeap[stack0o, $elements], i);
+ goto block285;
+
+ block289:
+ $result := CS$00000003$00000000;
+ assert this != null;
+ assert 0 <= $IntHeap[this, Bag.n] && $IntHeap[this, Bag.n] <= $ArrayLength($RefHeap[this, Bag.a]);
+ return;
+}
+
+type ref;
+const null : ref;
diff --git a/Test/test0/Orderings.bpl b/Test/test0/Orderings.bpl
index 0d55ed27..1cf900b6 100644
--- a/Test/test0/Orderings.bpl
+++ b/Test/test0/Orderings.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-type C;
-
-const c:int extends a;
-const d:int extends a complete;
-const e:int extends unique a, b;
-const f:int extends complete;
-
-const a:int;
-const b:int;
-
-const g:int extends x; // error: undeclared parent
-
-const c0:C;
-const c1:C extends c0, c0; // error: parent mentioned twice
-const c2:C extends c2; // error: constant as its own parent
-
-const h:int extends y; // error: variable cannot be parent
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+type C;
+
+const c:int extends a;
+const d:int extends a complete;
+const e:int extends unique a, b;
+const f:int extends complete;
+
+const a:int;
+const b:int;
+
+const g:int extends x; // error: undeclared parent
+
+const c0:C;
+const c1:C extends c0, c0; // error: parent mentioned twice
+const c2:C extends c2; // error: constant as its own parent
+
+const h:int extends y; // error: variable cannot be parent
+
var y:int; \ No newline at end of file
diff --git a/Test/test0/PrettyPrint.bpl b/Test/test0/PrettyPrint.bpl
index c79eff80..faa6bfd1 100644
--- a/Test/test0/PrettyPrint.bpl
+++ b/Test/test0/PrettyPrint.bpl
@@ -1,67 +1,67 @@
-// RUN: %boogie -pretty:0 -noVerify -printInstrumented "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const x: int;
-const y: int;
-const z: int;
-const r: real;
-const s: real;
-const t: real;
-const P: bool;
-const Q: bool;
-const R: bool;
-
-axiom x * (y + z) == x + (y * z);
-axiom (x * y) + z == (x + y) * z;
-
-axiom x * y * z == (x * (y * z));
-axiom (x * y) * (z * x) == (x * y) * z;
-
-axiom x div y div z == (x div (y div z));
-axiom (x div y) div (z div x) == (x div y) div z;
-
-axiom x + y mod z == ((y mod z) + x);
-axiom (x + y) mod z == (x mod z) + (y mod z);
-
-axiom x / y / z == (x / (y / z));
-axiom (x / y) / (z / x) == (x / y) / z;
-axiom x / s / z == (x / (s / z));
-axiom (x / s) / (z / x) == (x / s) / z;
-axiom r / s / t == (r / (s / t));
-axiom (r / s) / (t / r) == (r / s) / t;
-
-axiom ((r * s) / t) == r * s / t;
-axiom ((r / s) * t) == (r / s) * t;
-
-axiom (r * s) ** t == (r ** t) * (s ** t);
-axiom r ** (s + t) == r ** s * r ** t;
-
-axiom int(real(x)) == x;
-axiom r >= 0.0 ==> real(int(r)) <= r;
-axiom int(0e-3 - 0.02) == 0;
-axiom int(0e2 - 3.5e1) == -35;
-axiom int(27e-1) == 2;
-
-axiom x - y - z == (x - (y - z));
-axiom (x - y) - (z - x) == (x - y) - z;
-
-axiom x + y - z - x + y == 0;
-axiom ((((x + y) - z) - x) + y) == (x + (y - (z - (x + y))));
-
-axiom P ==> Q ==> R <==> (P ==> (Q ==> R));
-axiom ((P ==> Q) ==> (R ==> P)) == ((P ==> Q) ==> R);
-
-axiom P <==> Q <==> R;
-axiom P ==> Q <==> Q ==> R <==> R ==> P;
-
-axiom (P && Q) || (Q && R);
-axiom (P || Q) && (Q || R);
-axiom (P || Q) || (Q || R);
-axiom (P && Q) && (Q && R);
-
-// -------------- quantifier key-value decorations
-
-function f(int) returns (int);
-
-axiom (forall x: int :: {:xname "hello"}
- { :weight 5} {f(x+x)} {:ValueFunc f(x+1) } {f(x)*f(x)} {:nopats f(x+x+x)}
- f(f(x)) < 200);
+// RUN: %boogie -pretty:0 -noVerify -printInstrumented "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const x: int;
+const y: int;
+const z: int;
+const r: real;
+const s: real;
+const t: real;
+const P: bool;
+const Q: bool;
+const R: bool;
+
+axiom x * (y + z) == x + (y * z);
+axiom (x * y) + z == (x + y) * z;
+
+axiom x * y * z == (x * (y * z));
+axiom (x * y) * (z * x) == (x * y) * z;
+
+axiom x div y div z == (x div (y div z));
+axiom (x div y) div (z div x) == (x div y) div z;
+
+axiom x + y mod z == ((y mod z) + x);
+axiom (x + y) mod z == (x mod z) + (y mod z);
+
+axiom x / y / z == (x / (y / z));
+axiom (x / y) / (z / x) == (x / y) / z;
+axiom x / s / z == (x / (s / z));
+axiom (x / s) / (z / x) == (x / s) / z;
+axiom r / s / t == (r / (s / t));
+axiom (r / s) / (t / r) == (r / s) / t;
+
+axiom ((r * s) / t) == r * s / t;
+axiom ((r / s) * t) == (r / s) * t;
+
+axiom (r * s) ** t == (r ** t) * (s ** t);
+axiom r ** (s + t) == r ** s * r ** t;
+
+axiom int(real(x)) == x;
+axiom r >= 0.0 ==> real(int(r)) <= r;
+axiom int(0e-3 - 0.02) == 0;
+axiom int(0e2 - 3.5e1) == -35;
+axiom int(27e-1) == 2;
+
+axiom x - y - z == (x - (y - z));
+axiom (x - y) - (z - x) == (x - y) - z;
+
+axiom x + y - z - x + y == 0;
+axiom ((((x + y) - z) - x) + y) == (x + (y - (z - (x + y))));
+
+axiom P ==> Q ==> R <==> (P ==> (Q ==> R));
+axiom ((P ==> Q) ==> (R ==> P)) == ((P ==> Q) ==> R);
+
+axiom P <==> Q <==> R;
+axiom P ==> Q <==> Q ==> R <==> R ==> P;
+
+axiom (P && Q) || (Q && R);
+axiom (P || Q) && (Q || R);
+axiom (P || Q) || (Q || R);
+axiom (P && Q) && (Q && R);
+
+// -------------- quantifier key-value decorations
+
+function f(int) returns (int);
+
+axiom (forall x: int :: {:xname "hello"}
+ { :weight 5} {f(x+x)} {:ValueFunc f(x+1) } {f(x)*f(x)} {:nopats f(x+x+x)}
+ f(f(x)) < 200);
diff --git a/Test/test0/Prog0.bpl b/Test/test0/Prog0.bpl
index d9e467ec..51383660 100644
--- a/Test/test0/Prog0.bpl
+++ b/Test/test0/Prog0.bpl
@@ -1,53 +1,53 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-// BoogiePL Examples
-
-type elements;
-
-var x:int; var y:real; var z:ref; // Variables
-var x.3:bool; var $ar:ref; // Names can have glyphs
-
-const a, b, c:int; // Consts
-
-function f (int, int) returns (int); // Function with arity 2
-function g ( int , int) returns (int); // Function with arity 2
-function h(int,int) returns (int); // Function with arity 2
-
-function m (int) returns (int); // Function with arity 1
-function k(int) returns (int); // Function with arity 1
-
-
-axiom
- (forall x : int :: f(g(h(a,b),c),x) > 100) ;
-
-procedure p (x:int, y:ref) returns (z:int, w:[int,ref]ref, q:int);
-
-
-procedure q(x:int, y:ref) returns (z:int) // Procedure with output params
- requires x > 0; // as many req/ens/mod you want
- ensures z > 3;
- ensures old(x) == 1; // old only in ensures..
- modifies z,y,$ar;
-{
- var t, s: int;
- var r: [int,ref]ref;
-
- start: // one label per block
- t := x;
- s := t;
- z := x + t;
- call s, r,z := p(t,r[3,null]); // procedure call with mutiple returns
- goto continue, end ; // as many labels as you like
-
- continue:
- return; // ends control flow
-
- end:
- goto start;
-}
-
-procedure s(e: elements) { L: return; }
-procedure r (x:int, y:ref) returns (z:int);
-
-type ref;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+// BoogiePL Examples
+
+type elements;
+
+var x:int; var y:real; var z:ref; // Variables
+var x.3:bool; var $ar:ref; // Names can have glyphs
+
+const a, b, c:int; // Consts
+
+function f (int, int) returns (int); // Function with arity 2
+function g ( int , int) returns (int); // Function with arity 2
+function h(int,int) returns (int); // Function with arity 2
+
+function m (int) returns (int); // Function with arity 1
+function k(int) returns (int); // Function with arity 1
+
+
+axiom
+ (forall x : int :: f(g(h(a,b),c),x) > 100) ;
+
+procedure p (x:int, y:ref) returns (z:int, w:[int,ref]ref, q:int);
+
+
+procedure q(x:int, y:ref) returns (z:int) // Procedure with output params
+ requires x > 0; // as many req/ens/mod you want
+ ensures z > 3;
+ ensures old(x) == 1; // old only in ensures..
+ modifies z,y,$ar;
+{
+ var t, s: int;
+ var r: [int,ref]ref;
+
+ start: // one label per block
+ t := x;
+ s := t;
+ z := x + t;
+ call s, r,z := p(t,r[3,null]); // procedure call with mutiple returns
+ goto continue, end ; // as many labels as you like
+
+ continue:
+ return; // ends control flow
+
+ end:
+ goto start;
+}
+
+procedure s(e: elements) { L: return; }
+procedure r (x:int, y:ref) returns (z:int);
+
+type ref;
+const null : ref;
diff --git a/Test/test0/Quoting.bpl b/Test/test0/Quoting.bpl
index bf1f268e..db8ccf92 100644
--- a/Test/test0/Quoting.bpl
+++ b/Test/test0/Quoting.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function \true() returns(bool);
-
-type \procedure;
-procedure \old(\any : \procedure) returns(\var : \procedure)
-{
- var \modifies : \procedure;
- \modifies := \any;
- \var := \modifies;
-}
-
-procedure qux(a : \procedure)
-{
- var \var : \procedure; var x : bool;
- call \var := \old(a);
- x := \true();
-}
+// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function \true() returns(bool);
+
+type \procedure;
+procedure \old(\any : \procedure) returns(\var : \procedure)
+{
+ var \modifies : \procedure;
+ \modifies := \any;
+ \var := \modifies;
+}
+
+procedure qux(a : \procedure)
+{
+ var \var : \procedure; var x : bool;
+ call \var := \old(a);
+ x := \true();
+}
diff --git a/Test/test0/SeparateVerification0.bpl b/Test/test0/SeparateVerification0.bpl
index a5c3962a..93324437 100644
--- a/Test/test0/SeparateVerification0.bpl
+++ b/Test/test0/SeparateVerification0.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// RUN: %boogie -noVerify "%s" "%s" > "%t"
-// RUN: %diff NoErrors.expect "%t"
-// RUN: %boogie -noVerify "%s" "%s" SeparateVerification1.bpl > "%t"
-// RUN: %diff NoErrors.expect "%t"
-// need to include this file twice for it to include all necessary declarations
-
-#if FILE_0
-const x: int;
-#else
-const y: int;
-#endif
-
-#if FILE_1
-axiom x == 12;
-procedure Q();
-#else
-axiom y == 7;
-#endif
-
-// duplicates of :extern's are fine (Boogie keeps the non-:extern or chooses arbitrarily among the :extern's)
-type {:extern} T;
-const {:extern} C: int;
-function {:extern} F(): int;
-var {:extern} n: int;
-procedure {:extern} P(inconsistentParameterButThatIsOkayBecauseTheExternIsIgnored: int);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noVerify "%s" "%s" > "%t"
+// RUN: %diff NoErrors.expect "%t"
+// RUN: %boogie -noVerify "%s" "%s" SeparateVerification1.bpl > "%t"
+// RUN: %diff NoErrors.expect "%t"
+// need to include this file twice for it to include all necessary declarations
+
+#if FILE_0
+const x: int;
+#else
+const y: int;
+#endif
+
+#if FILE_1
+axiom x == 12;
+procedure Q();
+#else
+axiom y == 7;
+#endif
+
+// duplicates of :extern's are fine (Boogie keeps the non-:extern or chooses arbitrarily among the :extern's)
+type {:extern} T;
+const {:extern} C: int;
+function {:extern} F(): int;
+var {:extern} n: int;
+procedure {:extern} P(inconsistentParameterButThatIsOkayBecauseTheExternIsIgnored: int);
diff --git a/Test/test0/SeparateVerification1.bpl b/Test/test0/SeparateVerification1.bpl
index 5956828f..d06aa043 100644
--- a/Test/test0/SeparateVerification1.bpl
+++ b/Test/test0/SeparateVerification1.bpl
@@ -1,21 +1,21 @@
-// RUN: %boogie -noVerify "%s" SeparateVerification0.bpl > "%t"
-// RUN: %diff "%s.expect" "%t"
-// to be used with SeparateVerification0.bpl
-
-// x and y are declared in SeparateVerification0.bpl
-axiom x + y <= 100;
-
-// these are declared as :extern as SeparateVerification0.bpl
-type T;
-const C: int;
-function F(): int;
-var n: int;
-procedure P();
-procedure {:extern} Q(x: int);
-
-procedure Main() {
- call P(); // note, calling the parameter-less non-extern P (an extern and a non-extern
- // declaration of the same name are usually mostly identical declarations,
- // but Boogie allows them to be different, because it ignores the extern ones)
- call Q(); // ditto
-}
+// RUN: %boogie -noVerify "%s" SeparateVerification0.bpl > "%t"
+// RUN: %diff "%s.expect" "%t"
+// to be used with SeparateVerification0.bpl
+
+// x and y are declared in SeparateVerification0.bpl
+axiom x + y <= 100;
+
+// these are declared as :extern as SeparateVerification0.bpl
+type T;
+const C: int;
+function F(): int;
+var n: int;
+procedure P();
+procedure {:extern} Q(x: int);
+
+procedure Main() {
+ call P(); // note, calling the parameter-less non-extern P (an extern and a non-extern
+ // declaration of the same name are usually mostly identical declarations,
+ // but Boogie allows them to be different, because it ignores the extern ones)
+ call Q(); // ditto
+}
diff --git a/Test/test0/Triggers0.bpl b/Test/test0/Triggers0.bpl
index 0113b992..34e89727 100644
--- a/Test/test0/Triggers0.bpl
+++ b/Test/test0/Triggers0.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Trigger errors
-
-function f(int, int) returns (int);
-function P(int, int) returns (bool);
-
-// -------------- tests specific to pattern exclusions
-
-axiom (forall x: int ::
- {:nopats f(x,10) }
- { : nopats f(x,10) }
- f(x,10) == 3);
-
-axiom (forall x: int ::
- {:nopats f(x,10), f(x,x) } // error: a pattern exclusion can only mention one expression
- f(x,10) == 3);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Trigger errors
+
+function f(int, int) returns (int);
+function P(int, int) returns (bool);
+
+// -------------- tests specific to pattern exclusions
+
+axiom (forall x: int ::
+ {:nopats f(x,10) }
+ { : nopats f(x,10) }
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ {:nopats f(x,10), f(x,x) } // error: a pattern exclusion can only mention one expression
+ f(x,10) == 3);
diff --git a/Test/test0/Triggers1.bpl b/Test/test0/Triggers1.bpl
index 12d734be..7ab1c191 100644
--- a/Test/test0/Triggers1.bpl
+++ b/Test/test0/Triggers1.bpl
@@ -1,129 +1,129 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Trigger errors
-
-function f(int, int) returns (int);
-function P(int, int) returns (bool);
-
-axiom (forall x: int ::
- { f(x,10) && f(x,9) } // error: && not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { ((((f(x,10) || f(x,9))))) } // error: || not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { !f(x,10) } // error: ! not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { (!f(x,10)) } // error: ! not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { P(x,10) ==> P(20,x) } // error: ==> not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { P(x,10) <==> P(20,x) } // error: <==> not allowed
- f(x,10) == 3);
-
-
-axiom (forall x: int ::
- { f(x,10) == 3 } // error: == not allowed
- f(x,10) == 3);
-
-axiom (forall x: int ::
- { f(x,10) < 3 } // error: < not allowed
- f(x,10) == 3);
-
-
-axiom (forall x: int ::
- { f(x,10) + f(x,x) != 3 } // yes, != is allowed
- f(x,10) == 3);
-
-axiom (forall b: bool ::
- { (forall y: int :: b) } // error: quantifiers not allowed
- b);
-
-// -------------- tests of free variables
-
-const g: int;
-
-axiom (forall x: int ::
- { false, 6 } // error: does not mention "x"
- x < x + 1);
-
-axiom (forall x: int ::
- { false, x+1, 6 } // allowed
- x < x + 1);
-
-axiom (forall x: int, y: int ::
- { x+1 } // error: does not mention "y"
- { y+1 } // error: does not mention "x"
- x < y + 1);
-
-axiom (forall x: int ::
- { g+x != 65 } // allowed
- x < x + 1);
-
-axiom (forall x: int ::
- { x } // "x" by itself is not a good trigger
- x < x + 1);
-
-//axiom (forall x: any :: // PR: removed for the time being
-// { cast(x,int) } // can't fool me, still not allowed
-// x == x );
-
-// --- multiple triggers
-
-axiom (forall x: int, y: int, z: int ::
- { x+y+z } // good
- { x+y, y+z } // also good
- { f(f(x,y),y) } // error: does not mention z
- x == x );
-
-// --- multi-triggers
-
-axiom (forall x: int, y: int, z: int ::
- { f(x,x), f(y,y), f(z,z) } // good
- f(x,y) < f(y,z) );
-
-// --- pattern exclusion
-
-axiom (forall x: int, y: int ::
- {:nopats x } // error: "x" by itself is not allowed here either
- {:nopats g } // error: "g" by itself is not allowed here either
- x < y);
-
-axiom (forall x: int, y: int ::
- {:nopats f(g,g) } // but it is okay not to mention the bound variables (in a pattern exclusion)
- x < y);
-
-// --- merging of nested quantifiers (disabled unless both have no triggers)
-
-axiom (forall x:int :: (forall y:int :: { f(x,y) } f(x,y) > 0)); // OK, but no merging - outer quantifier has no trigger
-axiom (forall x:int :: (forall y:int :: { f(x,x) } f(x,x) > 0)); // error
-axiom (forall x:int :: (forall y:int :: { f(y,y) } f(y,y) > 0)); // OK - no merging
-
-// three levels
-axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,y) } f(y,y) > 0))); // error - z not mentioned
-axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,z) } f(y,y) > 0))); // OK - only outer two quantifiers are merged
-//axiom (forall x:int :: (forall y:int :: (forall z:int :: f(y,y) > 0))); // OK - all three are merged
-axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,y), f(y,z) } f(y,y) > 0))); // OK - but not a trigger for outer x,y (which get merged)
-
-// --- no free variables
-
-var h0: int;
-var h1: [ref,ref]int;
-
-axiom (forall o: ref, f: ref :: h1[o,f] // error: cannot mention free variable "h1"
- < h0); // error: cannot mention free variable "h0"
-
-const c0: int;
-const c1: [ref,ref]int;
-
-axiom (forall o: ref, f: ref :: c1[o,f] < c0);
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Trigger errors
+
+function f(int, int) returns (int);
+function P(int, int) returns (bool);
+
+axiom (forall x: int ::
+ { f(x,10) && f(x,9) } // error: && not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { ((((f(x,10) || f(x,9))))) } // error: || not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { !f(x,10) } // error: ! not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { (!f(x,10)) } // error: ! not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { P(x,10) ==> P(20,x) } // error: ==> not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { P(x,10) <==> P(20,x) } // error: <==> not allowed
+ f(x,10) == 3);
+
+
+axiom (forall x: int ::
+ { f(x,10) == 3 } // error: == not allowed
+ f(x,10) == 3);
+
+axiom (forall x: int ::
+ { f(x,10) < 3 } // error: < not allowed
+ f(x,10) == 3);
+
+
+axiom (forall x: int ::
+ { f(x,10) + f(x,x) != 3 } // yes, != is allowed
+ f(x,10) == 3);
+
+axiom (forall b: bool ::
+ { (forall y: int :: b) } // error: quantifiers not allowed
+ b);
+
+// -------------- tests of free variables
+
+const g: int;
+
+axiom (forall x: int ::
+ { false, 6 } // error: does not mention "x"
+ x < x + 1);
+
+axiom (forall x: int ::
+ { false, x+1, 6 } // allowed
+ x < x + 1);
+
+axiom (forall x: int, y: int ::
+ { x+1 } // error: does not mention "y"
+ { y+1 } // error: does not mention "x"
+ x < y + 1);
+
+axiom (forall x: int ::
+ { g+x != 65 } // allowed
+ x < x + 1);
+
+axiom (forall x: int ::
+ { x } // "x" by itself is not a good trigger
+ x < x + 1);
+
+//axiom (forall x: any :: // PR: removed for the time being
+// { cast(x,int) } // can't fool me, still not allowed
+// x == x );
+
+// --- multiple triggers
+
+axiom (forall x: int, y: int, z: int ::
+ { x+y+z } // good
+ { x+y, y+z } // also good
+ { f(f(x,y),y) } // error: does not mention z
+ x == x );
+
+// --- multi-triggers
+
+axiom (forall x: int, y: int, z: int ::
+ { f(x,x), f(y,y), f(z,z) } // good
+ f(x,y) < f(y,z) );
+
+// --- pattern exclusion
+
+axiom (forall x: int, y: int ::
+ {:nopats x } // error: "x" by itself is not allowed here either
+ {:nopats g } // error: "g" by itself is not allowed here either
+ x < y);
+
+axiom (forall x: int, y: int ::
+ {:nopats f(g,g) } // but it is okay not to mention the bound variables (in a pattern exclusion)
+ x < y);
+
+// --- merging of nested quantifiers (disabled unless both have no triggers)
+
+axiom (forall x:int :: (forall y:int :: { f(x,y) } f(x,y) > 0)); // OK, but no merging - outer quantifier has no trigger
+axiom (forall x:int :: (forall y:int :: { f(x,x) } f(x,x) > 0)); // error
+axiom (forall x:int :: (forall y:int :: { f(y,y) } f(y,y) > 0)); // OK - no merging
+
+// three levels
+axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,y) } f(y,y) > 0))); // error - z not mentioned
+axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,z) } f(y,y) > 0))); // OK - only outer two quantifiers are merged
+//axiom (forall x:int :: (forall y:int :: (forall z:int :: f(y,y) > 0))); // OK - all three are merged
+axiom (forall x:int :: (forall y:int :: (forall z:int :: { f(x,y), f(y,z) } f(y,y) > 0))); // OK - but not a trigger for outer x,y (which get merged)
+
+// --- no free variables
+
+var h0: int;
+var h1: [ref,ref]int;
+
+axiom (forall o: ref, f: ref :: h1[o,f] // error: cannot mention free variable "h1"
+ < h0); // error: cannot mention free variable "h0"
+
+const c0: int;
+const c1: [ref,ref]int;
+
+axiom (forall o: ref, f: ref :: c1[o,f] < c0);
+
+type ref;
diff --git a/Test/test0/Types0.bpl b/Test/test0/Types0.bpl
index 62385acf..d606951b 100644
--- a/Test/test0/Types0.bpl
+++ b/Test/test0/Types0.bpl
@@ -1,10 +1,10 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type T, U;
-type V;
-
-function f([U,V]T, int) returns (U);
-function g(x: [U,V]T, y: int) returns (z: U);
-function h([U,V]T: int, y: int) returns (z: U); // parse error
-function k(T: int, y: int) returns (U: [any]int);
-function l(x) returns (int); // resolve error
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type T, U;
+type V;
+
+function f([U,V]T, int) returns (U);
+function g(x: [U,V]T, y: int) returns (z: U);
+function h([U,V]T: int, y: int) returns (z: U); // parse error
+function k(T: int, y: int) returns (U: [any]int);
+function l(x) returns (int); // resolve error
diff --git a/Test/test0/Types1.bpl b/Test/test0/Types1.bpl
index 75bb6178..2580fe40 100644
--- a/Test/test0/Types1.bpl
+++ b/Test/test0/Types1.bpl
@@ -1,9 +1,9 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type T, U;
-type V;
-
-function h(T) returns (int);
-function k(x:T) returns (int);
-function l(x) returns (int); // resolve error
-function m(x, x) returns (bool); // resolve error
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type T, U;
+type V;
+
+function h(T) returns (int);
+function k(x:T) returns (int);
+function l(x) returns (int); // resolve error
+function m(x, x) returns (bool); // resolve error
diff --git a/Test/test0/WhereParsing.bpl b/Test/test0/WhereParsing.bpl
index e75a1c81..e6a0ab4e 100644
--- a/Test/test0/WhereParsing.bpl
+++ b/Test/test0/WhereParsing.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const x: int;
-
-function R(int) returns (bool);
-function Even(int) returns (bool);
-
-var y: int where R(y);
-var g: int where g == 12;
-
-procedure P(x: int where x > 0) returns (y: int where y < 0);
- requires x < 100;
- modifies g;
- ensures -100 < y;
-
-implementation P(xx: int where xx > 0) // error: where not allowed in implementation decl
- returns (yy: int where yy < 0) // error: where not allowed in implementation decl
-{
- var a: int where a == b; // b is not allowed to be mentioned here, but this test is only
- var b: int; // for parsing, so no complaint will be issued
-
- start:
- a := xx;
- call b := P(a);
- yy := b;
- return;
-}
-
-procedure {:myProcAttr} Attr(x: int, {:myParamAttr x, y} y: bool) returns (z: int, {:retAttr x} w: bool)
-{
-}
-
-procedure BadAttrs(x: int);
-implementation BadAttrs({:myParamAttr} x: int) // error: attributes not allowed in implementation decl
-{
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const x: int;
+
+function R(int) returns (bool);
+function Even(int) returns (bool);
+
+var y: int where R(y);
+var g: int where g == 12;
+
+procedure P(x: int where x > 0) returns (y: int where y < 0);
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+
+implementation P(xx: int where xx > 0) // error: where not allowed in implementation decl
+ returns (yy: int where yy < 0) // error: where not allowed in implementation decl
+{
+ var a: int where a == b; // b is not allowed to be mentioned here, but this test is only
+ var b: int; // for parsing, so no complaint will be issued
+
+ start:
+ a := xx;
+ call b := P(a);
+ yy := b;
+ return;
+}
+
+procedure {:myProcAttr} Attr(x: int, {:myParamAttr x, y} y: bool) returns (z: int, {:retAttr x} w: bool)
+{
+}
+
+procedure BadAttrs(x: int);
+implementation BadAttrs({:myParamAttr} x: int) // error: attributes not allowed in implementation decl
+{
+}
diff --git a/Test/test0/WhereParsing0.bpl b/Test/test0/WhereParsing0.bpl
index da26bc5e..9e9579df 100644
--- a/Test/test0/WhereParsing0.bpl
+++ b/Test/test0/WhereParsing0.bpl
@@ -1,34 +1,34 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const x: int;
-
-function R(int) returns (bool);
-function Even(int) returns (bool);
-
-var y: int where R(y);
-var g: int where g == 12;
-
-procedure P(x: int where x > 0) returns (y: int where y < 0);
- requires x < 100;
- modifies g;
- ensures -100 < y;
-
-procedure Q(x: int where x > 0) returns (y: int where y < 0)
- requires x < 100;
- modifies g;
- ensures (forall t: int where Even(t) :: -100 < y + t) || // error: where not allowed in quant
- (exists t: int where Even(t) :: -100 < y + t); // error: where not allowed in quant
-{
- var a: int;
- var b: int;
-
- start:
- a := x;
- call b := P(a);
- y := b;
- return;
-}
-
-axiom (forall yu: bool, {:myAttr} x: int :: x < 100);
-axiom (forall {:myAttr} x: int :: x < 100);
-axiom (forall <T> {:myAttr} x: T :: x == x);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const x: int;
+
+function R(int) returns (bool);
+function Even(int) returns (bool);
+
+var y: int where R(y);
+var g: int where g == 12;
+
+procedure P(x: int where x > 0) returns (y: int where y < 0);
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+
+procedure Q(x: int where x > 0) returns (y: int where y < 0)
+ requires x < 100;
+ modifies g;
+ ensures (forall t: int where Even(t) :: -100 < y + t) || // error: where not allowed in quant
+ (exists t: int where Even(t) :: -100 < y + t); // error: where not allowed in quant
+{
+ var a: int;
+ var b: int;
+
+ start:
+ a := x;
+ call b := P(a);
+ y := b;
+ return;
+}
+
+axiom (forall yu: bool, {:myAttr} x: int :: x < 100);
+axiom (forall {:myAttr} x: int :: x < 100);
+axiom (forall <T> {:myAttr} x: T :: x == x);
diff --git a/Test/test0/WhereParsing1.bpl b/Test/test0/WhereParsing1.bpl
index b65f7ce9..2e9d4b37 100644
--- a/Test/test0/WhereParsing1.bpl
+++ b/Test/test0/WhereParsing1.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const x: int;
-
-function R(int) returns (bool);
-function Even(int) returns (bool);
-
-var y: int where R(y);
-var g: int where g == 12;
-
-procedure P(x: int where x > 0) returns (y: int where y < 0);
- requires x < 100;
- modifies g;
- ensures -100 < y;
-
-function f(a: int, b: int where b < 0) // error: where not allowed among function parameters
- returns (bool);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const x: int;
+
+function R(int) returns (bool);
+function Even(int) returns (bool);
+
+var y: int where R(y);
+var g: int where g == 12;
+
+procedure P(x: int where x > 0) returns (y: int where y < 0);
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+
+function f(a: int, b: int where b < 0) // error: where not allowed among function parameters
+ returns (bool);
diff --git a/Test/test0/WhereParsing2.bpl b/Test/test0/WhereParsing2.bpl
index e7a0bd62..192b1720 100644
--- a/Test/test0/WhereParsing2.bpl
+++ b/Test/test0/WhereParsing2.bpl
@@ -1,4 +1,4 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const x: int where x < 0; // error: where clauses not allowed on constants
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const x: int where x < 0; // error: where clauses not allowed on constants
+
diff --git a/Test/test0/WhereResolution.bpl b/Test/test0/WhereResolution.bpl
index fac91dc8..9083d1fa 100644
--- a/Test/test0/WhereResolution.bpl
+++ b/Test/test0/WhereResolution.bpl
@@ -1,64 +1,64 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type double;
-
-function R(int) returns (bool);
-function K(double, bool) returns (bool);
-
-var y: int where R(y);
-var g: int where h ==> g == 12;
-var h: bool where g < 100;
-var k: double where K(k, h);
-
-procedure P(x: int where x > 0) returns (y: int where y < 0);
- requires x < 100;
- modifies g;
- ensures -100 < y;
-
-implementation P(xx: int) returns (yy: int)
-{
- var a: int where a == 10;
- var b: int where a == b && b < g;
-
- start:
- a := xx;
- call b := P(a);
- yy := b;
- return;
-}
-
-procedure Q(w: int where x < w || x > alpha/*error: out-parameter alpha is not in scope*/, x: int where x + w > 0)
- returns (v: bool where v,
- y: int where x + y + z < 0,
- z: int where g == 12,
- alpha: ref where old(alpha) != null, // error: cannot use old in where clause
- beta: ref where (forall r: ref :: r == beta ==> beta == null))
- requires x < 100;
- modifies g;
- ensures -100 < y;
-{
- var a: int;
- var b: int;
-
- start:
- a := x;
- call b := P(a);
- y := b;
- return;
-}
-
-const SomeConstant: ref;
-
-procedure Cnst(n: ref where n != SomeConstant) returns (SomeConstant: int)
-{
- var m: ref where m != SomeConstant;
- var k: int where k != SomeConstant;
- var r: ref where (forall abc: ref :: abc == SomeConstant);
- var b: bool;
- start:
- b := (forall l: ref :: l == SomeConstant);
- return;
-}
-
-type ref;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type double;
+
+function R(int) returns (bool);
+function K(double, bool) returns (bool);
+
+var y: int where R(y);
+var g: int where h ==> g == 12;
+var h: bool where g < 100;
+var k: double where K(k, h);
+
+procedure P(x: int where x > 0) returns (y: int where y < 0);
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+
+implementation P(xx: int) returns (yy: int)
+{
+ var a: int where a == 10;
+ var b: int where a == b && b < g;
+
+ start:
+ a := xx;
+ call b := P(a);
+ yy := b;
+ return;
+}
+
+procedure Q(w: int where x < w || x > alpha/*error: out-parameter alpha is not in scope*/, x: int where x + w > 0)
+ returns (v: bool where v,
+ y: int where x + y + z < 0,
+ z: int where g == 12,
+ alpha: ref where old(alpha) != null, // error: cannot use old in where clause
+ beta: ref where (forall r: ref :: r == beta ==> beta == null))
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+{
+ var a: int;
+ var b: int;
+
+ start:
+ a := x;
+ call b := P(a);
+ y := b;
+ return;
+}
+
+const SomeConstant: ref;
+
+procedure Cnst(n: ref where n != SomeConstant) returns (SomeConstant: int)
+{
+ var m: ref where m != SomeConstant;
+ var k: int where k != SomeConstant;
+ var r: ref where (forall abc: ref :: abc == SomeConstant);
+ var b: bool;
+ start:
+ b := (forall l: ref :: l == SomeConstant);
+ return;
+}
+
+type ref;
+const null : ref;
diff --git a/Test/test1/Arrays.bpl b/Test/test1/Arrays.bpl
index cb21e4ed..2013a69c 100644
--- a/Test/test1/Arrays.bpl
+++ b/Test/test1/Arrays.bpl
@@ -1,226 +1,226 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var one: [int]int;
-var two: [int, int]int;
-
-procedure Good0(x: int, y: int)
- requires one[x] == two[x,y];
- modifies one, two;
-{
- start:
- one[x] := two[x,y];
- two[x,y] := one[x];
- return;
-}
-
-procedure Bad0(x: int, y: int)
- requires one[x,y] == 7;
- requires two[x] == 8;
- modifies one, two;
-{
- start:
- one[x,y] := 10;
- two[x] := 11;
- return;
-}
-
-var A: [int]bool;
-var B: [bool, ref]int;
-
-procedure Good1(x: int, b: bool, o: ref)
- requires A[x] && B[b,o] == 18;
- modifies A, B;
-{
- start:
- A[x] := true;
- B[b,o] := 19;
- A[100] := false;
- B[false,null] := 70;
- return;
-}
-
-procedure Bad1(x: int, b: bool, o: ref)
- requires A[b];
- requires A[x] == 7;
- requires B[x,x] < 12;
- requires B[b,b] == B[o,o];
- requires B[null,5];
- requires B[7,7] == A[7];
- modifies A, B;
-{
- start:
- A[b] := true;
- B[3,14] := null;
- A[A[x]] := 9;
- B[false,false] := 70;
- return;
-}
-
-var M: [ [int,int]bool, [name]name ]int;
-var Q: [int,int][int]int;
-var R: [int][int,int]int;
-
-procedure Good2(k: [int,int]bool, l: [name]name) returns (n: int)
- modifies M, Q, R;
-{
- var m: [ [int,int]bool, [name]name ]int;
- var p: [int,int]bool;
- var q: [int]int;
- var qq: [int,int][int]int;
- var r: [int,int]int;
-
- start:
- n := M[k,l];
- m := M;
- p := k;
- p[5,8] := true;
- m[p,l] := 13;
- M := m;
- goto next;
-
- next:
- qq := Q;
- q := Q[13,21];
- n := n + Q[34,55][89];
- R[1] := R[2];
- n := n + R[1][2,3];
- Q[144,233] := q;
- goto deepUpdate;
-
- deepUpdate:
- // To effectively do:
- // Q[5,8][13] := 21;
- // do:
- q := Q[5,8];
- q[13] := 21;
- Q[5,8] := q;
- return;
-}
-
-const Sven: name;
-const Mia: name;
-const Tryggve: name;
-const Gunnel: name;
-
-procedure Bad2(k: [int,int]bool, l: [name]name) returns (n: int)
- modifies M, Q, R;
-{
- var m: [ [int,int]bool, [name]name ]int;
- var p: [int,int]bool;
- var q: [int]int;
- var qq: [int,int][int]int;
- var qqx: [int,int][name]int;
-
- start:
- n := M[Sven,l];
- m := p;
- p := l[Mia];
- p[5,8] := Tryggve;
- m[p,Gunnel] := 13;
- M := qq;
- goto next;
-
- next:
- qq := Q; // okay
- q := Q[13];
- n := n - Q[89][34,55];
- Q[true,233] := q;
- qqx := qq;
- Q := qqx;
- qqx := qqx; // okay
- Q := Q; // okay
- n := n + Q[34,55][144,169];
- R[1,2] := 0;
- R[1] := R[2,3];
- n := n + R[1][2];
- n := n + R[1,2];
- return;
-}
-
-type MyType;
-var S0: bool;
-var S1: [ref]bool;
-var S2: [ref,int]bool;
-var S3: [[ref,int]bool,MyType]MyType;
-var S4: <a>[int,a]bool;
-var S5: [int,int]bool;
-var S6: [any,any]bool;
-var S7: [int,any]any;
-var S8: [any]bool;
-
-function Sf(any) returns (bool);
-
-procedure SubtypesGood(a: any)
- modifies S0;
-{
- var t: MyType;
- var b: bool;
-
- start:
- S0 := S1[null]; // bool := bool
- S0 := S2[null,0]; // bool := bool
- t := S3[S2,t];
- goto next;
- next:
- b := S4[4,a];
- b := S4[5,null]; // any := ref
- b := S4[6,S4]; // any := [int,any]bool
- b := Sf(S5);
- return;
-}
-
-procedure SubtypesBad()
- modifies S4,S5,S6;
- modifies S8;
-{
- start:
- S4 := S4;
- S4 := S5; // no
- S5 := S4; // no
- S4 := S6; // no
- S6 := S4; // no
- S8 := S1; // no
- return;
-}
-
-// ----------------------------------------------------
-
-var ArrayA: [int]bool;
-var ArrayB: <a>[a]bool;
-
-procedure ArrayP(x: int, y: any)
- requires ArrayA[x];
- requires ArrayA[y]; // error
- requires ArrayB[x];
- requires ArrayB[y];
- modifies ArrayA, ArrayB;
-{
- start:
- ArrayA[x] := true;
- ArrayA[y] := true; // error
- ArrayB[x] := true;
- ArrayB[y] := true;
- return;
-}
-
-// ----------------------------------------------------
-
-procedure IntMethod(p: any) returns (r: int);
-procedure AnyMethod(p: int) returns (r: any);
-
-procedure IntMethodCaller()
-{
- var x: any, y: int;
- entry:
- call x := AnyMethod(y); // types are exact
- call x := IntMethod(y); // error: type mismatch for out-parameter
- x := y;
- y := x; // error: cannot assign any to int
- call y := IntMethod(x); // types are exact
- call y := AnyMethod(x); // type error on both in-parameter and out-parameter
- return;
-}
-
-
-type ref, any, name;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var one: [int]int;
+var two: [int, int]int;
+
+procedure Good0(x: int, y: int)
+ requires one[x] == two[x,y];
+ modifies one, two;
+{
+ start:
+ one[x] := two[x,y];
+ two[x,y] := one[x];
+ return;
+}
+
+procedure Bad0(x: int, y: int)
+ requires one[x,y] == 7;
+ requires two[x] == 8;
+ modifies one, two;
+{
+ start:
+ one[x,y] := 10;
+ two[x] := 11;
+ return;
+}
+
+var A: [int]bool;
+var B: [bool, ref]int;
+
+procedure Good1(x: int, b: bool, o: ref)
+ requires A[x] && B[b,o] == 18;
+ modifies A, B;
+{
+ start:
+ A[x] := true;
+ B[b,o] := 19;
+ A[100] := false;
+ B[false,null] := 70;
+ return;
+}
+
+procedure Bad1(x: int, b: bool, o: ref)
+ requires A[b];
+ requires A[x] == 7;
+ requires B[x,x] < 12;
+ requires B[b,b] == B[o,o];
+ requires B[null,5];
+ requires B[7,7] == A[7];
+ modifies A, B;
+{
+ start:
+ A[b] := true;
+ B[3,14] := null;
+ A[A[x]] := 9;
+ B[false,false] := 70;
+ return;
+}
+
+var M: [ [int,int]bool, [name]name ]int;
+var Q: [int,int][int]int;
+var R: [int][int,int]int;
+
+procedure Good2(k: [int,int]bool, l: [name]name) returns (n: int)
+ modifies M, Q, R;
+{
+ var m: [ [int,int]bool, [name]name ]int;
+ var p: [int,int]bool;
+ var q: [int]int;
+ var qq: [int,int][int]int;
+ var r: [int,int]int;
+
+ start:
+ n := M[k,l];
+ m := M;
+ p := k;
+ p[5,8] := true;
+ m[p,l] := 13;
+ M := m;
+ goto next;
+
+ next:
+ qq := Q;
+ q := Q[13,21];
+ n := n + Q[34,55][89];
+ R[1] := R[2];
+ n := n + R[1][2,3];
+ Q[144,233] := q;
+ goto deepUpdate;
+
+ deepUpdate:
+ // To effectively do:
+ // Q[5,8][13] := 21;
+ // do:
+ q := Q[5,8];
+ q[13] := 21;
+ Q[5,8] := q;
+ return;
+}
+
+const Sven: name;
+const Mia: name;
+const Tryggve: name;
+const Gunnel: name;
+
+procedure Bad2(k: [int,int]bool, l: [name]name) returns (n: int)
+ modifies M, Q, R;
+{
+ var m: [ [int,int]bool, [name]name ]int;
+ var p: [int,int]bool;
+ var q: [int]int;
+ var qq: [int,int][int]int;
+ var qqx: [int,int][name]int;
+
+ start:
+ n := M[Sven,l];
+ m := p;
+ p := l[Mia];
+ p[5,8] := Tryggve;
+ m[p,Gunnel] := 13;
+ M := qq;
+ goto next;
+
+ next:
+ qq := Q; // okay
+ q := Q[13];
+ n := n - Q[89][34,55];
+ Q[true,233] := q;
+ qqx := qq;
+ Q := qqx;
+ qqx := qqx; // okay
+ Q := Q; // okay
+ n := n + Q[34,55][144,169];
+ R[1,2] := 0;
+ R[1] := R[2,3];
+ n := n + R[1][2];
+ n := n + R[1,2];
+ return;
+}
+
+type MyType;
+var S0: bool;
+var S1: [ref]bool;
+var S2: [ref,int]bool;
+var S3: [[ref,int]bool,MyType]MyType;
+var S4: <a>[int,a]bool;
+var S5: [int,int]bool;
+var S6: [any,any]bool;
+var S7: [int,any]any;
+var S8: [any]bool;
+
+function Sf(any) returns (bool);
+
+procedure SubtypesGood(a: any)
+ modifies S0;
+{
+ var t: MyType;
+ var b: bool;
+
+ start:
+ S0 := S1[null]; // bool := bool
+ S0 := S2[null,0]; // bool := bool
+ t := S3[S2,t];
+ goto next;
+ next:
+ b := S4[4,a];
+ b := S4[5,null]; // any := ref
+ b := S4[6,S4]; // any := [int,any]bool
+ b := Sf(S5);
+ return;
+}
+
+procedure SubtypesBad()
+ modifies S4,S5,S6;
+ modifies S8;
+{
+ start:
+ S4 := S4;
+ S4 := S5; // no
+ S5 := S4; // no
+ S4 := S6; // no
+ S6 := S4; // no
+ S8 := S1; // no
+ return;
+}
+
+// ----------------------------------------------------
+
+var ArrayA: [int]bool;
+var ArrayB: <a>[a]bool;
+
+procedure ArrayP(x: int, y: any)
+ requires ArrayA[x];
+ requires ArrayA[y]; // error
+ requires ArrayB[x];
+ requires ArrayB[y];
+ modifies ArrayA, ArrayB;
+{
+ start:
+ ArrayA[x] := true;
+ ArrayA[y] := true; // error
+ ArrayB[x] := true;
+ ArrayB[y] := true;
+ return;
+}
+
+// ----------------------------------------------------
+
+procedure IntMethod(p: any) returns (r: int);
+procedure AnyMethod(p: int) returns (r: any);
+
+procedure IntMethodCaller()
+{
+ var x: any, y: int;
+ entry:
+ call x := AnyMethod(y); // types are exact
+ call x := IntMethod(y); // error: type mismatch for out-parameter
+ x := y;
+ y := x; // error: cannot assign any to int
+ call y := IntMethod(x); // types are exact
+ call y := AnyMethod(x); // type error on both in-parameter and out-parameter
+ return;
+}
+
+
+type ref, any, name;
+const null : ref;
diff --git a/Test/test1/AssertVerifiedUnder0.bpl b/Test/test1/AssertVerifiedUnder0.bpl
new file mode 100644
index 00000000..e419a5ef
--- /dev/null
+++ b/Test/test1/AssertVerifiedUnder0.bpl
@@ -0,0 +1,8 @@
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0()
+{
+ assert {:verified_under 4} true;
+ assert {:verified_under 3.0} true;
+}
diff --git a/Test/test1/AssertVerifiedUnder0.bpl.expect b/Test/test1/AssertVerifiedUnder0.bpl.expect
new file mode 100644
index 00000000..6d3c04cd
--- /dev/null
+++ b/Test/test1/AssertVerifiedUnder0.bpl.expect
@@ -0,0 +1,3 @@
+AssertVerifiedUnder0.bpl(6,11): Error: attribute :verified_under accepts only one argument of type bool
+AssertVerifiedUnder0.bpl(7,11): Error: attribute :verified_under accepts only one argument of type bool
+2 type checking errors detected in AssertVerifiedUnder0.bpl
diff --git a/Test/test1/AssumptionVariables0.bpl b/Test/test1/AssumptionVariables0.bpl
index 7046ea59..7ebd3d24 100644
--- a/Test/test1/AssumptionVariables0.bpl
+++ b/Test/test1/AssumptionVariables0.bpl
@@ -1,57 +1,57 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Test0()
-{
- var {:assumption} a0: bool where a0; // error
-}
-
-
-procedure Test1()
-{
- var {:assumption} a0: bool;
-
- a0 := a0 && true;
-}
-
-
-procedure Test2()
-{
- var {:assumption} a0: bool;
-
- a0 := true; // error
-}
-
-
-procedure Test3()
-{
- var {:assumption} a0: bool;
- var {:assumption} a1: bool;
-
- a0 := a1 && true; // error
-}
-
-
-procedure Test4()
-{
- var {:assumption} a0: bool;
-
- a0 := a0 && true;
- a0 := a0 && true; // error
-}
-
-
-procedure Test5()
- modifies a0;
-{
- a0 := a0 && true;
-}
-
-
-var {:assumption} a0: bool;
-
-
-procedure Test6()
- modifies a0;
-{
- a0 := a0 && true; // error
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Test0()
+{
+ var {:assumption} a0: bool where a0; // error
+}
+
+
+procedure Test1()
+{
+ var {:assumption} a0: bool;
+
+ a0 := a0 && true;
+}
+
+
+procedure Test2()
+{
+ var {:assumption} a0: bool;
+
+ a0 := true; // error
+}
+
+
+procedure Test3()
+{
+ var {:assumption} a0: bool;
+ var {:assumption} a1: bool;
+
+ a0 := a1 && true; // error
+}
+
+
+procedure Test4()
+{
+ var {:assumption} a0: bool;
+
+ a0 := a0 && true;
+ a0 := a0 && true; // error
+}
+
+
+procedure Test5()
+ modifies a0;
+{
+ a0 := a0 && true;
+}
+
+
+var {:assumption} a0: bool;
+
+
+procedure Test6()
+ modifies a0;
+{
+ a0 := a0 && true; // error
+}
diff --git a/Test/test1/AssumptionVariables1.bpl b/Test/test1/AssumptionVariables1.bpl
index 3125650c..918a9b63 100644
--- a/Test/test1/AssumptionVariables1.bpl
+++ b/Test/test1/AssumptionVariables1.bpl
@@ -1,6 +1,6 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Test0()
-{
- var {:assumption} a0: int; // error
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Test0()
+{
+ var {:assumption} a0: int; // error
+}
diff --git a/Test/test1/AssumptionVariables1.bpl.expect b/Test/test1/AssumptionVariables1.bpl.expect
index 9e894bf9..badc18b3 100644
--- a/Test/test1/AssumptionVariables1.bpl.expect
+++ b/Test/test1/AssumptionVariables1.bpl.expect
@@ -1,2 +1,2 @@
-AssumptionVariables1.bpl(5,22): Error: assumption variable must be of type 'bool'
-1 type checking errors detected in AssumptionVariables1.bpl
+AssumptionVariables1.bpl(5,22): Error: assumption variable must be of type 'bool'
+1 type checking errors detected in AssumptionVariables1.bpl
diff --git a/Test/test1/AttributeTyping.bpl b/Test/test1/AttributeTyping.bpl
index bcd98feb..713f464c 100644
--- a/Test/test1/AttributeTyping.bpl
+++ b/Test/test1/AttributeTyping.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-const {:Incorrect pux0 ++ F0(pux1)} pux0: int;
-
-function {:Incorrect F0(pux0 < 0) + pux1} F0(int) returns (int);
-
-axiom {:Incorrect F0(pux0 == pux1)} true;
-
-var {:Incorrect pux0 && pux1} pux1: int;
-
-procedure {:Incorrect !(pux0 - pux1)} P();
-
-implementation {:Incorrect pux0 ==> pux1} P()
-{
-}
-
-// ------ and here are various correct things
-
-
-
-const {:Correct hux0 + F1(hux1)} hux0: int;
-
-function {:Correct F1(hux0) + hux1} F1(int) returns (int);
-
-axiom {:Correct F1(hux0 + hux1)} true;
-
-var {:Correct hux0*hux1} hux1: int;
-
-procedure {:Correct hux0 - hux1} H();
-
-implementation {:Correct hux0 + hux1} {:AlsoCorrect "hello"} H()
-{
-}
-
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+const {:Incorrect pux0 ++ F0(pux1)} pux0: int;
+
+function {:Incorrect F0(pux0 < 0) + pux1} F0(int) returns (int);
+
+axiom {:Incorrect F0(pux0 == pux1)} true;
+
+var {:Incorrect pux0 && pux1} pux1: int;
+
+procedure {:Incorrect !(pux0 - pux1)} P();
+
+implementation {:Incorrect pux0 ==> pux1} P()
+{
+}
+
+// ------ and here are various correct things
+
+
+
+const {:Correct hux0 + F1(hux1)} hux0: int;
+
+function {:Correct F1(hux0) + hux1} F1(int) returns (int);
+
+axiom {:Correct F1(hux0 + hux1)} true;
+
+var {:Correct hux0*hux1} hux1: int;
+
+procedure {:Correct hux0 - hux1} H();
+
+implementation {:Correct hux0 + hux1} {:AlsoCorrect "hello"} H()
+{
+}
+
+
type any; \ No newline at end of file
diff --git a/Test/test1/EmptyCallArgs.bpl b/Test/test1/EmptyCallArgs.bpl
index 8f5ae31f..3c2aa177 100644
--- a/Test/test1/EmptyCallArgs.bpl
+++ b/Test/test1/EmptyCallArgs.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type C;
-
-procedure P(x:int, y:bool) returns (z:C);
-procedure Q<a>(x:int, y:a) returns (z:a);
-
-procedure CallP() {
- var x:int;
- var y:bool;
- var z:C;
-
- call z := P(x, y);
-}
-
-procedure CallQ() {
- var x:int;
- var y:bool;
- var z:bool;
-
- call x := Q(x, y); // type error
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type C;
+
+procedure P(x:int, y:bool) returns (z:C);
+procedure Q<a>(x:int, y:a) returns (z:a);
+
+procedure CallP() {
+ var x:int;
+ var y:bool;
+ var z:C;
+
+ call z := P(x, y);
+}
+
+procedure CallQ() {
+ var x:int;
+ var y:bool;
+ var z:bool;
+
+ call x := Q(x, y); // type error
} \ No newline at end of file
diff --git a/Test/test1/Family.bpl b/Test/test1/Family.bpl
index fec96332..0ec5fb20 100644
--- a/Test/test1/Family.bpl
+++ b/Test/test1/Family.bpl
@@ -1,49 +1,49 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type T;
-
-var Heap: <x>[ref,Field x]x;
-
-function IsHeap(<x>[ref,Field x]x) returns (bool);
-
-const alloc: Field bool;
-const C.x: Field int;
-
-axiom (forall h: <x>[ref,Field x]x, f: Field ref, o: ref :: IsHeap(h) && o != null ==> h[h[o,f], alloc]);
-
-procedure P(this: ref) returns (r: int)
- modifies Heap;
-{
- start:
- r := Heap[this, C.x];
- Heap[this, C.x] := r;
- return;
-}
-
-// -----------------
-
-procedure R(this: ref)
- modifies Heap;
-{
- var field: any, refField: Field ref, yField: Field y, anyField: Field any;
- var b: bool, a: any;
-
- start:
- b := Heap[this, C.x]; // type error
- Heap[this, C.x] := true; // type error
- Heap[this, refField] := this;
- Heap[this, yField] := 2; // type error
- Heap[this, field] := a; // type error
- Heap[this, field] := b; // type error
- Heap[this, anyField] := a;
- Heap[this, anyField] := b;
- Heap[this, anyField] := anyField;
- Heap[this, anyField] := yField;
- Heap[this, anyField] := field;
- return;
-}
-
-type Field a;
-type y;
-type ref, any;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type T;
+
+var Heap: <x>[ref,Field x]x;
+
+function IsHeap(<x>[ref,Field x]x) returns (bool);
+
+const alloc: Field bool;
+const C.x: Field int;
+
+axiom (forall h: <x>[ref,Field x]x, f: Field ref, o: ref :: IsHeap(h) && o != null ==> h[h[o,f], alloc]);
+
+procedure P(this: ref) returns (r: int)
+ modifies Heap;
+{
+ start:
+ r := Heap[this, C.x];
+ Heap[this, C.x] := r;
+ return;
+}
+
+// -----------------
+
+procedure R(this: ref)
+ modifies Heap;
+{
+ var field: any, refField: Field ref, yField: Field y, anyField: Field any;
+ var b: bool, a: any;
+
+ start:
+ b := Heap[this, C.x]; // type error
+ Heap[this, C.x] := true; // type error
+ Heap[this, refField] := this;
+ Heap[this, yField] := 2; // type error
+ Heap[this, field] := a; // type error
+ Heap[this, field] := b; // type error
+ Heap[this, anyField] := a;
+ Heap[this, anyField] := b;
+ Heap[this, anyField] := anyField;
+ Heap[this, anyField] := yField;
+ Heap[this, anyField] := field;
+ return;
+}
+
+type Field a;
+type y;
+type ref, any;
+const null : ref;
diff --git a/Test/test1/Frame0.bpl b/Test/test1/Frame0.bpl
index 6155fc27..a3ef6138 100644
--- a/Test/test1/Frame0.bpl
+++ b/Test/test1/Frame0.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g0: int;
-var g1: int;
-
-var h0: [ref, name]any;
-var h1: [ref, name]any;
-
-const X: name;
-
-procedure P(a: ref, hh: [ref, name]any) returns (b: int, hout: [ref, name]any);
- modifies a; // in-parameters are not mutable
- modifies h1, g0;
- modifies b; // out-parameters are not allowed explicitly in modifies clause
-
-
-type ref, name, any;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g0: int;
+var g1: int;
+
+var h0: [ref, name]any;
+var h1: [ref, name]any;
+
+const X: name;
+
+procedure P(a: ref, hh: [ref, name]any) returns (b: int, hout: [ref, name]any);
+ modifies a; // in-parameters are not mutable
+ modifies h1, g0;
+ modifies b; // out-parameters are not allowed explicitly in modifies clause
+
+
+type ref, name, any;
diff --git a/Test/test1/Frame1.bpl b/Test/test1/Frame1.bpl
index 2ec70270..5e637660 100644
--- a/Test/test1/Frame1.bpl
+++ b/Test/test1/Frame1.bpl
@@ -1,99 +1,99 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g0: int;
-var g1: int;
-
-var h0: [ref, name]int;
-var h1: [ref, name]int;
-
-const X: name;
-
-procedure P(a: ref, hh: [ref, name]int) returns (b: int, hout: [ref, name]any);
- modifies h1, g0;
-
-implementation P(a: ref, hh: [ref, name]int)
- returns (b: int, hout: [ref, name]any) {
- start:
- g0 := 5;
- g1 := 6; // error: g1 is not in modifies clause
- a := null; // error: in-parameters are not mutable
- b := 12;
- goto next;
- next:
- havoc g0;
- havoc g1; // error: g1 is not in modifies clause
- havoc a; // error: in-parameters are not mutable
- havoc b;
- goto more;
- more:
- hh[a,X] := 101; // error: in-parameter (hh) is not mutable
- h0[a,X] := 102; // error: h0 is not in modifies clause
- h1[a,X] := 103;
- hh := h0; // error: in-parameter is not mutable
- h0 := h1; // error: h0 is not in modifies clause
- h1 := hh;
- havoc hh; // error: in-parameter is not mutable
- havoc h0; // error: h0 is not in modifies clause
- havoc h1;
- return;
-}
-
-procedure PX();
- modifies h1, g0;
-
-procedure PY()
- modifies h1, g0;
-{
- start:
- call PX();
- call PY();
- return;
-}
-
-procedure PZ()
- modifies h1;
-{
- start:
- call PX(); // error: PX has larger frame than PZ
- return;
-}
-
-procedure Q() returns (x: int, y: int, h: [ref, name]int)
-{
- start:
- return;
-}
-
-procedure QCallerBad()
-{
- start:
- call g0, g1, h0 := Q();
- return;
-}
-
-procedure QCallerGood()
- modifies g0, h0;
-{
- var t: int;
-
- start:
- call t, g0, h0 := Q();
- return;
-}
-
-procedure MismatchedTypes(x: int);
-
-implementation MismatchedTypes(x: bool) // error
-{
- start:
- return;
-}
-implementation MismatchedTypes(y: bool) // error (this time with a different name for the formal)
-{
- start:
- return;
-}
-
-
-type ref, name, any;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g0: int;
+var g1: int;
+
+var h0: [ref, name]int;
+var h1: [ref, name]int;
+
+const X: name;
+
+procedure P(a: ref, hh: [ref, name]int) returns (b: int, hout: [ref, name]any);
+ modifies h1, g0;
+
+implementation P(a: ref, hh: [ref, name]int)
+ returns (b: int, hout: [ref, name]any) {
+ start:
+ g0 := 5;
+ g1 := 6; // error: g1 is not in modifies clause
+ a := null; // error: in-parameters are not mutable
+ b := 12;
+ goto next;
+ next:
+ havoc g0;
+ havoc g1; // error: g1 is not in modifies clause
+ havoc a; // error: in-parameters are not mutable
+ havoc b;
+ goto more;
+ more:
+ hh[a,X] := 101; // error: in-parameter (hh) is not mutable
+ h0[a,X] := 102; // error: h0 is not in modifies clause
+ h1[a,X] := 103;
+ hh := h0; // error: in-parameter is not mutable
+ h0 := h1; // error: h0 is not in modifies clause
+ h1 := hh;
+ havoc hh; // error: in-parameter is not mutable
+ havoc h0; // error: h0 is not in modifies clause
+ havoc h1;
+ return;
+}
+
+procedure PX();
+ modifies h1, g0;
+
+procedure PY()
+ modifies h1, g0;
+{
+ start:
+ call PX();
+ call PY();
+ return;
+}
+
+procedure PZ()
+ modifies h1;
+{
+ start:
+ call PX(); // error: PX has larger frame than PZ
+ return;
+}
+
+procedure Q() returns (x: int, y: int, h: [ref, name]int)
+{
+ start:
+ return;
+}
+
+procedure QCallerBad()
+{
+ start:
+ call g0, g1, h0 := Q();
+ return;
+}
+
+procedure QCallerGood()
+ modifies g0, h0;
+{
+ var t: int;
+
+ start:
+ call t, g0, h0 := Q();
+ return;
+}
+
+procedure MismatchedTypes(x: int);
+
+implementation MismatchedTypes(x: bool) // error
+{
+ start:
+ return;
+}
+implementation MismatchedTypes(y: bool) // error (this time with a different name for the formal)
+{
+ start:
+ return;
+}
+
+
+type ref, name, any;
+const null : ref;
diff --git a/Test/test1/FunBody.bpl b/Test/test1/FunBody.bpl
index fc566681..caaf06f5 100644
--- a/Test/test1/FunBody.bpl
+++ b/Test/test1/FunBody.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-function g0<beta>(x:beta) returns (beta);
-function g1<beta>() returns (beta);
-
-function {:inline true} f1() returns (int) { 13 }
-function {:inline true} f2() returns (int) { true } // wrong type
-function {:inline true} f3<alpha>(x:alpha) returns (alpha) { g0(x) }
-function {:inline true} f4<alpha>(x:alpha) returns (alpha) { g0(5) } // wrong type
-function {:inline true} f5<alpha>() returns (alpha) { g1() }
-function {:inline true} f6<alpha>() returns (alpha) { g1():int } // wrong type
-
-
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function g0<beta>(x:beta) returns (beta);
+function g1<beta>() returns (beta);
+
+function {:inline true} f1() returns (int) { 13 }
+function {:inline true} f2() returns (int) { true } // wrong type
+function {:inline true} f3<alpha>(x:alpha) returns (alpha) { g0(x) }
+function {:inline true} f4<alpha>(x:alpha) returns (alpha) { g0(5) } // wrong type
+function {:inline true} f5<alpha>() returns (alpha) { g1() }
+function {:inline true} f6<alpha>() returns (alpha) { g1():int } // wrong type
+
+
+
diff --git a/Test/test1/IfThenElse0.bpl b/Test/test1/IfThenElse0.bpl
index 19918827..65ae65f6 100644
--- a/Test/test1/IfThenElse0.bpl
+++ b/Test/test1/IfThenElse0.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
procedure foo()
{
var b:bool, i:int;
diff --git a/Test/test1/IntReal.bpl b/Test/test1/IntReal.bpl
index 962aadf3..7b3d77e5 100644
--- a/Test/test1/IntReal.bpl
+++ b/Test/test1/IntReal.bpl
@@ -1,50 +1,50 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const i: int;
-const r: real;
-
-axiom i == 0;
-axiom i >= 0.0; // type error
-axiom i <= 0.0e0; // type error
-axiom i < 0.0e-0; // type error
-axiom i > 0.0e20; // type error
-
-axiom -i == r; // type error
-axiom i + r == 0.0; // type error
-axiom i - r == 0.0; // type error
-axiom i * r == 0.0; // type error
-axiom i div r == 0; // type error
-axiom i mod r == 0; // type error
-
-axiom i / i == 0; // type error
-axiom i / i == 0.0;
-axiom i / r == 0.0;
-axiom r / i == 0.0;
-axiom r / r == 0.0;
-
-axiom i ** r == 0.0; // type error
-axiom r ** r == 0.0;
-
-axiom real(i) == 0.0;
-axiom real(i) == i; // type error
-axiom int(r) == 0;
-axiom int(r) == r; // type error
-axiom int(real(i)) == i;
-axiom real(int(r)) == r;
-axiom int(int(r)) == i; // type error
-axiom real(real(i)) == r; // type error
-
-axiom i == 0;
-axiom real(i) >= 0.0;
-axiom real(i) <= 0.0e0;
-axiom r < 0.0e-0;
-axiom r > 0.0e20;
-
-axiom -r == real(i);
-axiom real(i) + r == 0.0;
-axiom r - real(0) == 0.0;
-axiom r * r == 0.0;
-axiom r div 0 == 0; // type error
-axiom r mod 0 == 0; // type error
-
-axiom r ** r == 0.0;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const i: int;
+const r: real;
+
+axiom i == 0;
+axiom i >= 0.0; // type error
+axiom i <= 0.0e0; // type error
+axiom i < 0.0e-0; // type error
+axiom i > 0.0e20; // type error
+
+axiom -i == r; // type error
+axiom i + r == 0.0; // type error
+axiom i - r == 0.0; // type error
+axiom i * r == 0.0; // type error
+axiom i div r == 0; // type error
+axiom i mod r == 0; // type error
+
+axiom i / i == 0; // type error
+axiom i / i == 0.0;
+axiom i / r == 0.0;
+axiom r / i == 0.0;
+axiom r / r == 0.0;
+
+axiom i ** r == 0.0; // type error
+axiom r ** r == 0.0;
+
+axiom real(i) == 0.0;
+axiom real(i) == i; // type error
+axiom int(r) == 0;
+axiom int(r) == r; // type error
+axiom int(real(i)) == i;
+axiom real(int(r)) == r;
+axiom int(int(r)) == i; // type error
+axiom real(real(i)) == r; // type error
+
+axiom i == 0;
+axiom real(i) >= 0.0;
+axiom real(i) <= 0.0e0;
+axiom r < 0.0e-0;
+axiom r > 0.0e20;
+
+axiom -r == real(i);
+axiom real(i) + r == 0.0;
+axiom r - real(0) == 0.0;
+axiom r * r == 0.0;
+axiom r div 0 == 0; // type error
+axiom r mod 0 == 0; // type error
+
+axiom r ** r == 0.0;
diff --git a/Test/test1/Lambda.bpl b/Test/test1/Lambda.bpl
index 016bece7..5136a1e5 100644
--- a/Test/test1/Lambda.bpl
+++ b/Test/test1/Lambda.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
procedure foo()
{
var a: [int,int]int;
diff --git a/Test/test1/LogicalExprs.bpl b/Test/test1/LogicalExprs.bpl
index 02174a32..a5d3f987 100644
--- a/Test/test1/LogicalExprs.bpl
+++ b/Test/test1/LogicalExprs.bpl
@@ -1,8 +1,8 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const P: bool;
-const Q: bool;
-
-axiom (forall x: int :: x < 0);
-axiom Q ==> P;
-axiom (forall x: int :: x < 0) ==> P;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const P: bool;
+const Q: bool;
+
+axiom (forall x: int :: x < 0);
+axiom Q ==> P;
+axiom (forall x: int :: x < 0) ==> P;
diff --git a/Test/test1/MapsTypeErrors.bpl b/Test/test1/MapsTypeErrors.bpl
index bedb02ec..4b1bfec6 100644
--- a/Test/test1/MapsTypeErrors.bpl
+++ b/Test/test1/MapsTypeErrors.bpl
@@ -1,129 +1,129 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var m: []int;
-var p: <a>[]a;
-
-type ref;
-const null: ref;
-
-procedure P()
- requires m[] == 5;
- modifies m;
- modifies p;
- ensures m[] == 30;
- ensures p[] + p[] == 24;
- ensures p[] == null;
-{
- m[] := 12;
- p[] := 12;
- p[] := true;
- assert p[] == m[];
- assert p[];
- m := m[:= 30];
- p := p[:=null];
-}
-
-procedure A()
-{
- m[] := 12; // error: illegal assignment, because m is not in modifies clause
-}
-
-procedure B()
- modifies m;
-{
- m := m[]; // type error
- m[] := m; // type error
-}
-
-procedure C()
- modifies m;
-{
- m[] := true; // type error
-}
-
-// -----
-
-procedure Genrc<T>(x: int) returns (t: T);
-
-procedure Caller() returns (b: bool)
-{
- var y: ref;
- call y := Genrc(5);
- b := y == null;
-}
-
-// ----
-
-type Field a;
-type HeapType = <a>[ref, Field a]a;
-const F0: Field int;
-const F1: Field bool;
-const alloc: Field bool;
-var Heap: HeapType;
-
-function LiberalEqual<a,b>(a, b) returns (bool);
-function StrictEqual<a>(a,a) returns (bool);
-function IntEqual(Field int,Field int) returns (bool);
-
-procedure FrameCondition(this: ref)
- requires F0 == F1; // error
- requires LiberalEqual(F0, F1);
- requires StrictEqual(F0, F0);
- requires StrictEqual(F0, F1); // error
- modifies Heap;
- ensures (forall<alpha> o: ref, f: Field alpha ::
- Heap[o,f] == old(Heap)[o,f] ||
- !old(Heap)[o,alloc] ||
- (o == this && StrictEqual(f, F0)) || // error: f and F0 don't have the same type
- (o == this && LiberalEqual(f, f)) ||
- (o == this && IntEqual(F0, f)) // error: f and F0 don't have the same type
- );
-{
-}
-
-// ---- bitvector inference ----
-
-function Gimmie<T>() returns (T);
-function Same<T>(T,T) returns (T);
-procedure ConsumeAnything<T>(t: T);
-
-procedure Bvs(x: bv31, y: int) returns (a: bv32)
-{
- a := x[50 : 18]; // error
- a := y[50 : 18]; // error
-
- a := Gimmie(); // fine, this can be made to have at least 32 bits
- a := Gimmie()[50 : 18]; // fine, result is always 32 bits and Gimmie() can be made to have at least 50 bits
- a := Gimmie()[50 : 17]; // error, result is 33 bits (but there's nothing wrong with Gimmie())
-
- a := Gimmie() ++ Gimmie() ++ Gimmie();
- a := Gimmie() ++ Gimmie()[20:0];
- a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie() ++ Gimmie()[27:0];
- a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie() ++ Gimmie()[22:0];
- a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie()[22:0] ++ Gimmie();
- a := Gimmie() ++ 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie()[22:0];
- a := Same(Gimmie(), Gimmie());
- a := Same(Gimmie()[20:0], Gimmie()); // error, have only bv20, need bv32
-
- a := Same(Gimmie() ++ Gimmie()[20:0], 0bv32);
- a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie());
- a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie() ++ Gimmie());
- a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie()[40:30] ++ Gimmie());
- call ConsumeAnything(Same(Gimmie() ++ Gimmie()[20:0], 0bv18)); // error, can't make things smaller
-}
-
-// ---- maps again ----
-
-procedure Mmm() returns (a: [int,int]bool, b: HeapType, c: int)
-{
- if (Gimmie()[null] == Gimmie()) {
- a := Same(Gimmie()[Gimmie(), Gimmie() := Gimmie()], Gimmie());
- b := Same(Gimmie()[Gimmie(), Gimmie() := Gimmie()], Gimmie());
- a := Same(Gimmie()[Gimmie(), Gimmie() := 4], Gimmie()); // error
- b := Same(Gimmie()[Gimmie(), Gimmie() := 5], Gimmie());
- b := Same(Gimmie()[Gimmie(), 6 := Gimmie()], Gimmie()); // error
- }
- c := Gimmie()[Gimmie() := 10][null];
- c := Gimmie()[Gimmie() := Gimmie()][null];
- c := Gimmie()[Gimmie() := false][null];
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var m: []int;
+var p: <a>[]a;
+
+type ref;
+const null: ref;
+
+procedure P()
+ requires m[] == 5;
+ modifies m;
+ modifies p;
+ ensures m[] == 30;
+ ensures p[] + p[] == 24;
+ ensures p[] == null;
+{
+ m[] := 12;
+ p[] := 12;
+ p[] := true;
+ assert p[] == m[];
+ assert p[];
+ m := m[:= 30];
+ p := p[:=null];
+}
+
+procedure A()
+{
+ m[] := 12; // error: illegal assignment, because m is not in modifies clause
+}
+
+procedure B()
+ modifies m;
+{
+ m := m[]; // type error
+ m[] := m; // type error
+}
+
+procedure C()
+ modifies m;
+{
+ m[] := true; // type error
+}
+
+// -----
+
+procedure Genrc<T>(x: int) returns (t: T);
+
+procedure Caller() returns (b: bool)
+{
+ var y: ref;
+ call y := Genrc(5);
+ b := y == null;
+}
+
+// ----
+
+type Field a;
+type HeapType = <a>[ref, Field a]a;
+const F0: Field int;
+const F1: Field bool;
+const alloc: Field bool;
+var Heap: HeapType;
+
+function LiberalEqual<a,b>(a, b) returns (bool);
+function StrictEqual<a>(a,a) returns (bool);
+function IntEqual(Field int,Field int) returns (bool);
+
+procedure FrameCondition(this: ref)
+ requires F0 == F1; // error
+ requires LiberalEqual(F0, F1);
+ requires StrictEqual(F0, F0);
+ requires StrictEqual(F0, F1); // error
+ modifies Heap;
+ ensures (forall<alpha> o: ref, f: Field alpha ::
+ Heap[o,f] == old(Heap)[o,f] ||
+ !old(Heap)[o,alloc] ||
+ (o == this && StrictEqual(f, F0)) || // error: f and F0 don't have the same type
+ (o == this && LiberalEqual(f, f)) ||
+ (o == this && IntEqual(F0, f)) // error: f and F0 don't have the same type
+ );
+{
+}
+
+// ---- bitvector inference ----
+
+function Gimmie<T>() returns (T);
+function Same<T>(T,T) returns (T);
+procedure ConsumeAnything<T>(t: T);
+
+procedure Bvs(x: bv31, y: int) returns (a: bv32)
+{
+ a := x[50 : 18]; // error
+ a := y[50 : 18]; // error
+
+ a := Gimmie(); // fine, this can be made to have at least 32 bits
+ a := Gimmie()[50 : 18]; // fine, result is always 32 bits and Gimmie() can be made to have at least 50 bits
+ a := Gimmie()[50 : 17]; // error, result is 33 bits (but there's nothing wrong with Gimmie())
+
+ a := Gimmie() ++ Gimmie() ++ Gimmie();
+ a := Gimmie() ++ Gimmie()[20:0];
+ a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie() ++ Gimmie()[27:0];
+ a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie() ++ Gimmie()[22:0];
+ a := 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie()[22:0] ++ Gimmie();
+ a := Gimmie() ++ 0bv0 ++ Gimmie()[6:6] ++ Gimmie()[17:12] ++ Gimmie() ++ Gimmie()[22:0];
+ a := Same(Gimmie(), Gimmie());
+ a := Same(Gimmie()[20:0], Gimmie()); // error, have only bv20, need bv32
+
+ a := Same(Gimmie() ++ Gimmie()[20:0], 0bv32);
+ a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie());
+ a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie() ++ Gimmie());
+ a := Same(Gimmie() ++ Gimmie()[20:0], Gimmie()[40:30] ++ Gimmie());
+ call ConsumeAnything(Same(Gimmie() ++ Gimmie()[20:0], 0bv18)); // error, can't make things smaller
+}
+
+// ---- maps again ----
+
+procedure Mmm() returns (a: [int,int]bool, b: HeapType, c: int)
+{
+ if (Gimmie()[null] == Gimmie()) {
+ a := Same(Gimmie()[Gimmie(), Gimmie() := Gimmie()], Gimmie());
+ b := Same(Gimmie()[Gimmie(), Gimmie() := Gimmie()], Gimmie());
+ a := Same(Gimmie()[Gimmie(), Gimmie() := 4], Gimmie()); // error
+ b := Same(Gimmie()[Gimmie(), Gimmie() := 5], Gimmie());
+ b := Same(Gimmie()[Gimmie(), 6 := Gimmie()], Gimmie()); // error
+ }
+ c := Gimmie()[Gimmie() := 10][null];
+ c := Gimmie()[Gimmie() := Gimmie()][null];
+ c := Gimmie()[Gimmie() := false][null];
+}
diff --git a/Test/test1/Orderings.bpl b/Test/test1/Orderings.bpl
index 4ab28a48..bad7c2ad 100644
--- a/Test/test1/Orderings.bpl
+++ b/Test/test1/Orderings.bpl
@@ -1,10 +1,10 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-type C;
-
-const c1:C;
-const c2:C extends c1;
-const c0:C extends a; // error: parent of wrong type
-
-const a:int;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+type C;
+
+const c1:C;
+const c2:C extends c1;
+const c0:C extends a; // error: parent of wrong type
+
+const a:int;
diff --git a/Test/test1/StatementIds0.bpl b/Test/test1/StatementIds0.bpl
new file mode 100644
index 00000000..abf26159
--- /dev/null
+++ b/Test/test1/StatementIds0.bpl
@@ -0,0 +1,24 @@
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0(n: int)
+{
+ assume {:id "s0"} true;
+ assert {:id "s0"} true;
+}
+
+procedure test1()
+{
+ call {:id "s0"} P();
+}
+
+procedure test2(n: int)
+{
+ while (*)
+ invariant {:id "s0"} true;
+ invariant {:id "s0"} true;
+ {
+ }
+}
+
+procedure P();
diff --git a/Test/test1/StatementIds0.bpl.expect b/Test/test1/StatementIds0.bpl.expect
new file mode 100644
index 00000000..4783d912
--- /dev/null
+++ b/Test/test1/StatementIds0.bpl.expect
@@ -0,0 +1,5 @@
+StatementIds0.bpl(7,4): Error: more than one statement with same id: s0
+StatementIds0.bpl(12,4): Error: more than one statement with same id: s0
+StatementIds0.bpl(18,6): Error: more than one statement with same id: s0
+StatementIds0.bpl(19,6): Error: more than one statement with same id: s0
+4 name resolution errors detected in StatementIds0.bpl
diff --git a/Test/test1/UpdateExprTyping.bpl b/Test/test1/UpdateExprTyping.bpl
index bf8fd47c..41b88a3c 100644
--- a/Test/test1/UpdateExprTyping.bpl
+++ b/Test/test1/UpdateExprTyping.bpl
@@ -1,45 +1,45 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P(a: [int]bool, b: [int]ref, c: [bool]bool)
-{
- assert a == b; // type error
- assert a == c; // type error
-
- assert a == a[5 := true];
- assert a == a[true := true]; // type error
- assert a == a[5 := 5]; // type error in RHS
- assert a == b[5 := null]; // type error
-}
-
-procedure Q(aa: [int,ref]bool)
-{
- assert aa[5,null := true] != aa[2,null := false];
- assert aa == aa[null,null := true]; // type error, index 0
- assert aa == aa[5,true := true]; // type error, index 1
- assert aa == aa[5,null := null]; // type error, RHS
-}
-
-type Field a;
-const unique IntField: Field int;
-const unique RefField: Field ref;
-const unique SomeField: Field any;
-
-procedure R(H: <x>[ref,Field x]x, this: ref)
-{
- var i: int, r: ref, y: any;
- var K: <wz>[ref,Field wz]wz;
-
- i := H[this, IntField];
- r := H[this, RefField];
- y := H[this, IntField]; // type error, wrong LHS
- y := H[this, SomeField];
-
- K := H[this, IntField := i][this, RefField := r][this, SomeField := y];
- K := H[this, SomeField := r]; // type error, wrong RHS
-
- K := K[this, IntField := r]; // RHS has wrong type (ref, expecting int)
- K := K[this, RefField := i]; // RHS has wrong type (int, expecting ref)
-}
-
-type ref, any;
-const null : ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P(a: [int]bool, b: [int]ref, c: [bool]bool)
+{
+ assert a == b; // type error
+ assert a == c; // type error
+
+ assert a == a[5 := true];
+ assert a == a[true := true]; // type error
+ assert a == a[5 := 5]; // type error in RHS
+ assert a == b[5 := null]; // type error
+}
+
+procedure Q(aa: [int,ref]bool)
+{
+ assert aa[5,null := true] != aa[2,null := false];
+ assert aa == aa[null,null := true]; // type error, index 0
+ assert aa == aa[5,true := true]; // type error, index 1
+ assert aa == aa[5,null := null]; // type error, RHS
+}
+
+type Field a;
+const unique IntField: Field int;
+const unique RefField: Field ref;
+const unique SomeField: Field any;
+
+procedure R(H: <x>[ref,Field x]x, this: ref)
+{
+ var i: int, r: ref, y: any;
+ var K: <wz>[ref,Field wz]wz;
+
+ i := H[this, IntField];
+ r := H[this, RefField];
+ y := H[this, IntField]; // type error, wrong LHS
+ y := H[this, SomeField];
+
+ K := H[this, IntField := i][this, RefField := r][this, SomeField := y];
+ K := H[this, SomeField := r]; // type error, wrong RHS
+
+ K := K[this, IntField := r]; // RHS has wrong type (ref, expecting int)
+ K := K[this, RefField := i]; // RHS has wrong type (int, expecting ref)
+}
+
+type ref, any;
+const null : ref;
diff --git a/Test/test1/WhereTyping.bpl b/Test/test1/WhereTyping.bpl
index 0884e8ef..b3675f45 100644
--- a/Test/test1/WhereTyping.bpl
+++ b/Test/test1/WhereTyping.bpl
@@ -1,47 +1,47 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int where g == 12;
-
-procedure P(x: int where x > 0) returns (y: int where y < 0);
- requires x < 100;
- modifies g;
- ensures -100 < y;
-
-implementation P(xx: int) returns (yy: int)
-{
- var a: int;
- var b: int;
-
- start:
- a := xx;
- call b := P(a);
- yy := b;
- return;
-}
-
-type double;
-function F(double) returns (double);
-function G(double) returns (bool);
-
-procedure Q(omega: double where omega == F(omega),
- psi: double where psi + 1 == 0, // error: psi doesn't have right type for +
- pi: double where F(pi), // error: F has wrong return type
- sigma: double where G(sigma));
-
-
-const SomeConstant: name;
-function fgh(int) returns (int);
-
-procedure Cnst(n: name where n <: SomeConstant /*this SomeConstant refers to the const*/) returns (SomeConstant: int)
-{
- var k: int where k != SomeConstant; // fine, since SomeConstants refers to the out parameter
- var m: name where m != SomeConstant; // error: types don't match up
- var r: ref where (forall abc: int :: abc == SomeConstant);
- var b: bool;
- start:
- b := (forall x: int :: fgh(x) < SomeConstant);
- b := (forall l: name :: l == SomeConstant); // error: SomeConstant here refers to the out parameter
- return;
-}
-
-type ref, name;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int where g == 12;
+
+procedure P(x: int where x > 0) returns (y: int where y < 0);
+ requires x < 100;
+ modifies g;
+ ensures -100 < y;
+
+implementation P(xx: int) returns (yy: int)
+{
+ var a: int;
+ var b: int;
+
+ start:
+ a := xx;
+ call b := P(a);
+ yy := b;
+ return;
+}
+
+type double;
+function F(double) returns (double);
+function G(double) returns (bool);
+
+procedure Q(omega: double where omega == F(omega),
+ psi: double where psi + 1 == 0, // error: psi doesn't have right type for +
+ pi: double where F(pi), // error: F has wrong return type
+ sigma: double where G(sigma));
+
+
+const SomeConstant: name;
+function fgh(int) returns (int);
+
+procedure Cnst(n: name where n <: SomeConstant /*this SomeConstant refers to the const*/) returns (SomeConstant: int)
+{
+ var k: int where k != SomeConstant; // fine, since SomeConstants refers to the out parameter
+ var m: name where m != SomeConstant; // error: types don't match up
+ var r: ref where (forall abc: int :: abc == SomeConstant);
+ var b: bool;
+ start:
+ b := (forall x: int :: fgh(x) < SomeConstant);
+ b := (forall l: name :: l == SomeConstant); // error: SomeConstant here refers to the out parameter
+ return;
+}
+
+type ref, name;
diff --git a/Test/test13/ErrorTraceTestLoopInvViolationBPL.bpl b/Test/test13/ErrorTraceTestLoopInvViolationBPL.bpl
index 1c27c258..d9723426 100644
--- a/Test/test13/ErrorTraceTestLoopInvViolationBPL.bpl
+++ b/Test/test13/ErrorTraceTestLoopInvViolationBPL.bpl
@@ -1,32 +1,32 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// simple assert
-procedure asserting() {
- var x: int;
-
- x := 0;
-
- assert x == 1;
-}
-
-// invariant failing initially
-procedure loopInvInitiallyViolated(y: int) {
- var x: int;
-
- x := y;
-
- while (true) invariant (x == 1); {
- x := 1;
- }
-}
-
-// invariant failing after iteration
-procedure loopInvMaintenanceViolated() {
- var x: int;
-
- x := 0;
-
- while (true) invariant x == 0; {
- x := 1;
- }
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// simple assert
+procedure asserting() {
+ var x: int;
+
+ x := 0;
+
+ assert x == 1;
+}
+
+// invariant failing initially
+procedure loopInvInitiallyViolated(y: int) {
+ var x: int;
+
+ x := y;
+
+ while (true) invariant (x == 1); {
+ x := 1;
+ }
+}
+
+// invariant failing after iteration
+procedure loopInvMaintenanceViolated() {
+ var x: int;
+
+ x := 0;
+
+ while (true) invariant x == 0; {
+ x := 1;
+ }
+}
diff --git a/Test/test15/CaptureState.bpl b/Test/test15/CaptureState.bpl
index 113e0b6a..ba3345f5 100644
--- a/Test/test15/CaptureState.bpl
+++ b/Test/test15/CaptureState.bpl
@@ -1,29 +1,29 @@
-// RUN: %boogie "%s" -mv:- > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Ref;
-type FieldName;
-var Heap: [Ref,FieldName]int;
-
-const unique F: FieldName;
-
-procedure P(this: Ref, x: int, y: int) returns (r: int)
- ensures 0 <= r;
-{
- var m: int;
-
- assume {:captureState "top"} true;
-
- m := Heap[this, F];
- if (0 <= x) {
- assume {:captureState "then"} true;
- m := m + 1;
- assume {:captureState "postUpdate0"} true;
- } else {
- assume {:captureState "else"} true;
- m := (m + y) * (m + y);
- assume {:captureState "postUpdate1"} true;
- }
- r := m + m;
- m := 7;
- assume {:captureState "end"} true;
-}
+// RUN: %boogie "%s" -mv:- > "%t"
+// RUN: %diff "%s.expect" "%t"
+type Ref;
+type FieldName;
+var Heap: [Ref,FieldName]int;
+
+const unique F: FieldName;
+
+procedure P(this: Ref, x: int, y: int) returns (r: int)
+ ensures 0 <= r;
+{
+ var m: int;
+
+ assume {:captureState "top"} true;
+
+ m := Heap[this, F];
+ if (0 <= x) {
+ assume {:captureState "then"} true;
+ m := m + 1;
+ assume {:captureState "postUpdate0"} true;
+ } else {
+ assume {:captureState "else"} true;
+ m := (m + y) * (m + y);
+ assume {:captureState "postUpdate1"} true;
+ }
+ r := m + m;
+ m := 7;
+ assume {:captureState "end"} true;
+}
diff --git a/Test/test15/CaptureState.bpl.expect b/Test/test15/CaptureState.bpl.expect
index 5d9d41c5..6939fee4 100644
--- a/Test/test15/CaptureState.bpl.expect
+++ b/Test/test15/CaptureState.bpl.expect
@@ -14,17 +14,17 @@ $mv_state_const -> 3
F -> T@FieldName!val!0
Heap -> |T@[Ref,FieldName]Int!val!0|
m -> **m
-m@0 -> (- 276)
-m@1 -> (- 275)
-m@3 -> (- 275)
+m@0 -> (- 2)
+m@1 -> (- 1)
+m@3 -> (- 1)
r -> **r
-r@0 -> (- 550)
+r@0 -> (- 2)
this -> T@Ref!val!0
x -> 719
y -> **y
Select_[Ref,FieldName]$int -> {
- |T@[Ref,FieldName]Int!val!0| T@Ref!val!0 T@FieldName!val!0 -> (- 276)
- else -> (- 276)
+ |T@[Ref,FieldName]Int!val!0| T@Ref!val!0 T@FieldName!val!0 -> (- 2)
+ else -> (- 2)
}
$mv_state -> {
3 0 -> true
@@ -49,13 +49,13 @@ tickleBool -> {
*** STATE top
*** END_STATE
*** STATE then
- m -> (- 276)
+ m -> (- 2)
*** END_STATE
*** STATE postUpdate0
- m -> (- 275)
+ m -> (- 1)
*** END_STATE
*** STATE end
- r -> (- 550)
+ r -> (- 2)
m -> 7
*** END_STATE
*** END_MODEL
diff --git a/Test/test15/IntInModel.bpl b/Test/test15/IntInModel.bpl
index 55bc14d9..8fa2e2bb 100644
--- a/Test/test15/IntInModel.bpl
+++ b/Test/test15/IntInModel.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -printModel:2 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure M (i: int) {
- assert i != 0;
-}
+// RUN: %boogie -printModel:2 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure M (i: int) {
+ assert i != 0;
+}
diff --git a/Test/test15/InterpretedFunctionTests.bpl b/Test/test15/InterpretedFunctionTests.bpl
index 33db52ef..5712595e 100644
--- a/Test/test15/InterpretedFunctionTests.bpl
+++ b/Test/test15/InterpretedFunctionTests.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure addition(x: int, y: int) {
- assume x == 1;
- assume y == 2;
- assert x + y == 4;
-}
-
-procedure subtraction(x: int, y: int) {
- assume x == 1;
- assume y == 2;
- assert x - y == 4; //only shows x-y == -1 when run with /method:subtraction, WHY???
-}
-
-procedure multiplication(x: int, y: int) {
- assume x == 1;
- assume y == 2;
- assert x * y == 4;
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure addition(x: int, y: int) {
+ assume x == 1;
+ assume y == 2;
+ assert x + y == 4;
+}
+
+procedure subtraction(x: int, y: int) {
+ assume x == 1;
+ assume y == 2;
+ assert x - y == 4; //only shows x-y == -1 when run with /method:subtraction, WHY???
+}
+
+procedure multiplication(x: int, y: int) {
+ assume x == 1;
+ assume y == 2;
+ assert x * y == 4;
+}
diff --git a/Test/test15/ModelTest.bpl b/Test/test15/ModelTest.bpl
index 7764cb41..31e21de0 100644
--- a/Test/test15/ModelTest.bpl
+++ b/Test/test15/ModelTest.bpl
@@ -1,12 +1,12 @@
-// RUN: %boogie -printModel:2 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure M (s : ref, r : ref) {
- var i : int, j : int;
- i := 0 + 1;
- j := i + 1;
- j := j + 1;
- j := j + 1;
- assert i == j;
- assert s == r;
-}
-type ref;
+// RUN: %boogie -printModel:2 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure M (s : ref, r : ref) {
+ var i : int, j : int;
+ i := 0 + 1;
+ j := i + 1;
+ j := j + 1;
+ j := j + 1;
+ assert i == j;
+ assert s == r;
+}
+type ref;
diff --git a/Test/test15/NullInModel.bpl b/Test/test15/NullInModel.bpl
index aa68b763..560f2952 100644
--- a/Test/test15/NullInModel.bpl
+++ b/Test/test15/NullInModel.bpl
@@ -1,7 +1,7 @@
-// RUN: %boogie -printModel:2 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure M (s: ref) {
- assert s != null;
-}
-type ref;
-const null: ref;
+// RUN: %boogie -printModel:2 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure M (s: ref) {
+ assert s != null;
+}
+type ref;
+const null: ref;
diff --git a/Test/test16/LoopUnroll.bpl b/Test/test16/LoopUnroll.bpl
index 4ba99eba..5410c756 100644
--- a/Test/test16/LoopUnroll.bpl
+++ b/Test/test16/LoopUnroll.bpl
@@ -1,85 +1,85 @@
-// RUN: %boogie -loopUnroll:1 -logPrefix:-lu1 LoopUnroll.bpl > "%t1"
-// RUN: %diff "%s.1.expect" "%t1"
-// RUN: %boogie -loopUnroll:2 -logPrefix:-lu2 -proc:ManyIterations LoopUnroll.bpl > "%t2"
-// RUN: %diff "%s.2.expect" "%t2"
-// RUN: %boogie -loopUnroll:3 -logPrefix:-lu3 -proc:ManyIterations LoopUnroll.bpl > "%t3"
-// RUN: %diff "%s.3.expect" "%t3"
-procedure P()
-{
- var x: int;
-
- A:
- x := 0;
- goto B, Goner, C;
-
- B:
- x := 1;
- goto D;
-
- C:
- x := 2;
- goto D;
-
- Goner:
- x := 5;
- assume false;
- x := 6;
- goto B;
-
- D:
- x := 3;
- goto LoopHead;
-
- LoopHead:
- assert x < 100;
- goto LoopBody, LoopDone;
-
- LoopBody:
- x := x + 1;
- goto LoopHead, LoopBodyMore;
-
- LoopBodyMore:
- x := x + 2;
- goto LoopHead;
-
- LoopDone:
- x := 88;
- return;
-}
-
-type MyValue;
-const SpecialValue: MyValue;
-
-procedure WrongRange(a: [int]MyValue, N: int)
- requires 0 <= N;
-{
- var i: int, v: MyValue;
-
- i := 1; // bad idea
- while (i <= N) // also a bad idea
- {
- assert 0 <= i; // lower bounds check
- assert i < N; // error: upper bounds check
- v := a[i];
- i := i + 1;
- }
-}
-
-procedure ManyIterations(a: [int]MyValue, N: int)
- requires 0 <= N;
- requires a[0] != SpecialValue && a[1] != SpecialValue;
-{
- var i: int, v: MyValue;
-
- i := 0;
- while (i < N)
- {
- assert 0 <= i; // lower bounds check
- assert i < N; // upper bounds check
- v := a[i];
- assert a[i] != SpecialValue; // error: after more than 2 loop unrollings
- i := i + 1;
- }
-}
-
-// ERROR: /printInstrumented seems to erase filename source-location information
+// RUN: %boogie -loopUnroll:1 -logPrefix:-lu1 LoopUnroll.bpl > "%t1"
+// RUN: %diff "%s.1.expect" "%t1"
+// RUN: %boogie -loopUnroll:2 -logPrefix:-lu2 -proc:ManyIterations LoopUnroll.bpl > "%t2"
+// RUN: %diff "%s.2.expect" "%t2"
+// RUN: %boogie -loopUnroll:3 -logPrefix:-lu3 -proc:ManyIterations LoopUnroll.bpl > "%t3"
+// RUN: %diff "%s.3.expect" "%t3"
+procedure P()
+{
+ var x: int;
+
+ A:
+ x := 0;
+ goto B, Goner, C;
+
+ B:
+ x := 1;
+ goto D;
+
+ C:
+ x := 2;
+ goto D;
+
+ Goner:
+ x := 5;
+ assume false;
+ x := 6;
+ goto B;
+
+ D:
+ x := 3;
+ goto LoopHead;
+
+ LoopHead:
+ assert x < 100;
+ goto LoopBody, LoopDone;
+
+ LoopBody:
+ x := x + 1;
+ goto LoopHead, LoopBodyMore;
+
+ LoopBodyMore:
+ x := x + 2;
+ goto LoopHead;
+
+ LoopDone:
+ x := 88;
+ return;
+}
+
+type MyValue;
+const SpecialValue: MyValue;
+
+procedure WrongRange(a: [int]MyValue, N: int)
+ requires 0 <= N;
+{
+ var i: int, v: MyValue;
+
+ i := 1; // bad idea
+ while (i <= N) // also a bad idea
+ {
+ assert 0 <= i; // lower bounds check
+ assert i < N; // error: upper bounds check
+ v := a[i];
+ i := i + 1;
+ }
+}
+
+procedure ManyIterations(a: [int]MyValue, N: int)
+ requires 0 <= N;
+ requires a[0] != SpecialValue && a[1] != SpecialValue;
+{
+ var i: int, v: MyValue;
+
+ i := 0;
+ while (i < N)
+ {
+ assert 0 <= i; // lower bounds check
+ assert i < N; // upper bounds check
+ v := a[i];
+ assert a[i] != SpecialValue; // error: after more than 2 loop unrollings
+ i := i + 1;
+ }
+}
+
+// ERROR: /printInstrumented seems to erase filename source-location information
diff --git a/Test/test17/Answer b/Test/test17/Answer
index 8a1a816b..826591c0 100644
--- a/Test/test17/Answer
+++ b/Test/test17/Answer
@@ -1,8 +1,8 @@
-
--------------------- contractinfer --------------------
-
-Boogie program verifier finished with 2 verified, 0 errors
-
--------------------- flpydisk --------------------
-
-Boogie program verifier finished with 2 verified, 0 errors
+
+-------------------- contractinfer --------------------
+
+Boogie program verifier finished with 2 verified, 0 errors
+
+-------------------- flpydisk --------------------
+
+Boogie program verifier finished with 2 verified, 0 errors
diff --git a/Test/test17/contractinfer.bpl b/Test/test17/contractinfer.bpl
index aeec020a..afe5a2ea 100644
--- a/Test/test17/contractinfer.bpl
+++ b/Test/test17/contractinfer.bpl
@@ -1,24 +1,24 @@
-const {:existential true} b1:bool;
-const {:existential true} b2:bool;
-const {:existential true} b3:bool;
-const {:existential true} b4:bool;
-
-var array:[int]int;
-
-procedure foo (i:int)
-requires b2 ==> i > 0;
-ensures b3 ==> array[i] > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
-{
- array[i] := 2 * i;
-}
-
-procedure bar (j:int) returns (result:int)
-requires b4 ==> j > 0;
-modifies array;
-ensures (forall x:int :: {array[x]} (b1 && x == j) || array[x] == old(array)[x]);
-{
- call foo(j);
- result := array[j];
+const {:existential true} b1:bool;
+const {:existential true} b2:bool;
+const {:existential true} b3:bool;
+const {:existential true} b4:bool;
+
+var array:[int]int;
+
+procedure foo (i:int)
+requires b2 ==> i > 0;
+ensures b3 ==> array[i] > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} x == i || array[x] == old(array)[x]);
+{
+ array[i] := 2 * i;
+}
+
+procedure bar (j:int) returns (result:int)
+requires b4 ==> j > 0;
+modifies array;
+ensures (forall x:int :: {array[x]} (b1 && x == j) || array[x] == old(array)[x]);
+{
+ call foo(j);
+ result := array[j];
} \ No newline at end of file
diff --git a/Test/test17/flpydisk.bpl b/Test/test17/flpydisk.bpl
index 4883f080..ff7f00e6 100644
--- a/Test/test17/flpydisk.bpl
+++ b/Test/test17/flpydisk.bpl
@@ -1,2295 +1,2295 @@
-type ptr;
-function Ptr(ref, int) returns (ptr);
-function Obj(ptr) returns (ref);
-function Off(ptr) returns (int);
-
-// Ptr, Obj, Off axioms
-axiom(forall x:ptr :: {Obj(x)}{Off(x)} x == Ptr(Obj(x), Off(x)));
-axiom(forall x_obj:ref, x_off:int :: {Ptr(x_obj, x_off)} x_obj == Obj(Ptr(x_obj, x_off)));
-axiom(forall x_obj:ref, x_off:int :: {Ptr(x_obj, x_off)} x_off == Off(Ptr(x_obj, x_off)));
-
-// Mutable
-var Mem:[ptr]ptr;
-var alloc:[ref]name;
-var BS:[ptr]bool;
-const field:[ptr]name;
-
-// Immutable
-function Size(ref) returns (int);
-function Type(ref) returns (int);
-function IsHeap(ref) returns (bool); //if the object was allocated by malloc or allocation due to address taken
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED:name;
-
-function In(ptr, [ptr]bool) returns (bool);
-function Subset([ptr]bool, [ptr]bool) returns (bool);
-//function Equal([ptr]bool, [ptr]bool) returns (bool);
-function Disjoint([ptr]bool, [ptr]bool) returns (bool);
-//function UniqueDereference([ptr]bool, [ptr]ptr, ptr) returns (bool);
-
-//function Element(a:ptr) returns (bool);
-//axiom(forall a:ptr, S:[ptr]bool :: {In(a,S)} Element(a));
-
-function Empty() returns ([ptr]bool);
-function Singleton(ptr) returns ([ptr]bool);
-function Reachable([ptr,ptr]bool, ptr) returns ([ptr]bool);
-function Union([ptr]bool, [ptr]bool) returns ([ptr]bool);
-function Intersection([ptr]bool, [ptr]bool) returns ([ptr]bool);
-function Difference([ptr]bool, [ptr]bool) returns ([ptr]bool);
-function Decrement([ptr]bool, int) returns ([ptr]bool);
-function Increment([ptr]bool, int) returns ([ptr]bool);
-function Dereference([ptr]bool, [ptr]ptr) returns ([ptr]bool);
-function Array(ptr, int, ptr) returns ([ptr]bool);
-function Array1(ptr, ptr) returns ([ptr]bool);
-
-
-axiom(forall x:ptr :: !In(x, Empty()));
-
-axiom(forall x:ptr, y:ptr :: {In(x, Singleton(y))} In(x, Singleton(y)) ==> x == y);
-axiom(forall y:ptr :: {Singleton(y)} In(y, Singleton(y)));
-
-/* this formulation of Union IS more complete than the earlier one */
-/* In(e, A U B), In(d, A), A U B = Singleton(c), d != e */
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Union(S,T))} In(x, Union(S,T)) ==> In(x, S) || In(x,T));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,S)} In(x, S) ==> In(x, Union(S,T)));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,T)} In(x, T) ==> In(x, Union(S,T)));
-
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), In(x,T), Intersection(S,T)} In(x,S) && In(x,T) ==> In(x, Intersection(S,T)));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Intersection(S,T))} In(x, Intersection(S,T)) ==> In(x,S) && In(x,T));
-
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Difference(S,T), In(x,S)} In(x, S) ==> In(x, Difference(S,T)) || In(x,T));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Difference(S,T))} In(x, Difference(S,T)) ==> In(x, S));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Difference(S,T)), In(x,T)} !(In(x, Difference(S,T)) && In(x,T)));
-
-axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Decrement(S,n))} In(x, Decrement(S,n)) <==> In(Ptr(Obj(x),Off(x)+n),S));
-axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Increment(S,n))} In(x, Increment(S,n)) <==> In(Ptr(Obj(x),Off(x)-n),S));
-
-axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, Dereference(S,M))} In(x, Dereference(S,M)) ==> (exists y:ptr :: x == M[y] && In(y,S)));
-axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {M[x], In(x, S), Dereference(S,M)} In(x, S) ==> In(M[x], Dereference(S,M)));
-
-axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a,Array(x,n,z))}
- In(a,Array(x,n,z)) ==>
- (Obj(a) == Obj(x) && Obj(z) == null && (exists k:int :: 0 <= k && k < Off(z) && Off(a) == Off(x) + n*k)));
-
-axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a, Array(x,n,z))}
- In(a, Array(x,n,z)) ==> (exists k:int :: 0 <= k && a == PLUS(x,n,Ptr(null,k))));
-axiom(forall x:ptr, n:int, z:ptr :: {Array(x,n,z)} Obj(z) == null && Off(z) > 0 ==> In(x, Array(x,n,z)));
-axiom(forall x:ptr, n:int, y:ptr, z:ptr :: {PLUS(x,n,y), Array(x,n,z)}
- Obj(y) == null && Obj(z) == null && Off(x) <= Off(PLUS(x,n,y)) && Off(PLUS(x,n,y)) < Off(PLUS(x,n,z)) <==> In(PLUS(x,n,y), Array(x,n,z)));
-
-axiom(forall x:ptr, y:ptr, z:ptr :: {In(x,Array1(y,z))}
- In(x,Array1(y,z)) <==>
- (Obj(x) == Obj(y) && Off(y) <= Off(x) && Off(x) < Off(y) + Off(z)));
-
-
-/*
-axiom(forall x:ptr :: !In(x, Empty()));
-axiom(forall x:ptr, y:ptr :: {In(x, Singleton(y))} In(x, Singleton(y)) <==> x == y);
-
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Union(S,T))} In(x, Union(S,T)) <==> In(x, S) || In(x,T));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,S)} In(x, S) ==> In(x, Union(S,T)));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,T)} In(x, T) ==> In(x, Union(S,T)));
-
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Difference(S,T))} In(x, Difference(S,T)) <==> In(x, S) && !In(x,T));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Difference(S,T), In(x,S), In(x,T)} (In(x, S) && !In(x,T)) ==> In(x, Difference(S,T)));
-
-axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Decrement(S,n))} In(x, Decrement(S,n)) <==> In(Ptr(Obj(x),Off(x)+n),S));
-axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Increment(S,n))} In(x, Increment(S,n)) <==> In(Ptr(Obj(x),Off(x)-n),S));
-axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, Dereference(S,M))} In(x, Dereference(S,M)) <==> (exists y:ptr :: x == M[y] && In(y,S)));
-axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, S), Dereference(S,M)} In(x, S) ==> In(M[x], Dereference(S,M)));
-
-axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a,Array(x,n,z))}
- In(a,Array(x,n,z)) ==>
- (Obj(a) == Obj(x) && Obj(z) == null && (exists k:int :: 0 <= k && k < Off(z) && Off(a) == Off(x) + n*k)));
-
-axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a, Array(x,n,z))}
- In(a, Array(x,n,z)) ==> (exists k:int :: 0 <= k && a == PLUS(x,n,Ptr(null,k))));
-axiom(forall x:ptr, n:int, z:ptr :: {Array(x,n,z)} Obj(z) == null && Off(z) > 0 ==> In(x, Array(x,n,z)));
-axiom(forall x:ptr, n:int, y:ptr, z:ptr :: {PLUS(x,n,y), Array(x,n,z)}
- Obj(y) == null && Obj(z) == null && Off(x) <= Off(PLUS(x,n,y)) && Off(PLUS(x,n,y)) < Off(PLUS(x,n,z)) <==> In(PLUS(x,n,y), Array(x,n,z)));
-
-axiom(forall x:ptr, y:ptr, z:ptr :: {In(x,Array1(y,z))}
- In(x,Array1(y,z)) <==>
- (Obj(x) == Obj(y) && Off(y) <= Off(x) && Off(x) < Off(y) + Off(z)));
-*/
-
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), Subset(S,T)} In(x,S) && Subset(S,T) ==> In(x,T));
-axiom(forall S:[ptr]bool, T:[ptr]bool :: {Subset(S,T)} Subset(S,T) || (exists x:ptr :: In(x,S) && !In(x,T)));
-axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), Disjoint(S,T), In(x,T)} !(In(x,S) && Disjoint(S,T) && In(x,T)));
-axiom(forall S:[ptr]bool, T:[ptr]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:ptr :: In(x,S) && In(x,T)));
-
-/*
-axiom(forall S:[ptr]bool, T:[ptr]bool :: {Subset(S,T)} Subset(S,T) <==> (forall x:ptr :: In(x,S) ==> In(x,T)));
-axiom(forall S:[ptr]bool, T:[ptr]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(T,S) && Subset(S,T));
-axiom(forall S:[ptr]bool, T:[ptr]bool :: {Disjoint(S,T)} Disjoint(S,T) <==> (forall x:ptr :: !(In(x,S) && In(x,T))));
-axiom(forall S:[ptr]bool, M:[ptr]ptr, p:ptr :: {UniqueDereference(S,M,p)}
- UniqueDereference(S,M,p) <==>
- (forall x:ptr, y:ptr :: {M[x],M[y]} In(x,S) && In(y,S) && M[x] == M[y] ==> x == y || M[x] == p));
-*/
-
-
-function ByteCapacity__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_ByteCapacity__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_ByteCapacity__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_ByteCapacity__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {ByteCapacity__DISKETTE_EXTENSION(x)} home_ByteCapacity__DISKETTE_EXTENSION(ByteCapacity__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_ByteCapacity__DISKETTE_EXTENSION(x)} ByteCapacity__DISKETTE_EXTENSION(home_ByteCapacity__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {ByteCapacity__DISKETTE_EXTENSION(x)} ByteCapacity__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 152));
-axiom (forall x:ptr :: {home_ByteCapacity__DISKETTE_EXTENSION(x)} home_ByteCapacity__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 152));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ByteCapacity__DISKETTE_EXTENSION(S))} In(x, _S_ByteCapacity__DISKETTE_EXTENSION(S)) ==> In(home_ByteCapacity__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ByteCapacity__DISKETTE_EXTENSION(S))} In(x, _S_home_ByteCapacity__DISKETTE_EXTENSION(S)) ==> In(ByteCapacity__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ByteCapacity__DISKETTE_EXTENSION(S)} In(x, S) ==> In(ByteCapacity__DISKETTE_EXTENSION(x), _S_ByteCapacity__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ByteCapacity__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_ByteCapacity__DISKETTE_EXTENSION(x), _S_home_ByteCapacity__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,152), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,152), 1) == home_ByteCapacity__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,152))} MINUS_LEFT_PTR(x, 1, Ptr(null,152)) == home_ByteCapacity__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function ByteOffset___unnamed_16_39e6661e(ptr) returns (ptr);
-function home_ByteOffset___unnamed_16_39e6661e(ptr) returns (ptr);
-function _S_ByteOffset___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
-function _S_home_ByteOffset___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {ByteOffset___unnamed_16_39e6661e(x)} home_ByteOffset___unnamed_16_39e6661e(ByteOffset___unnamed_16_39e6661e(x)) == x);
-axiom (forall x:ptr :: {home_ByteOffset___unnamed_16_39e6661e(x)} ByteOffset___unnamed_16_39e6661e(home_ByteOffset___unnamed_16_39e6661e(x)) == x);
-axiom (forall x:ptr :: {ByteOffset___unnamed_16_39e6661e(x)} ByteOffset___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) + 8));
-axiom (forall x:ptr :: {home_ByteOffset___unnamed_16_39e6661e(x)} home_ByteOffset___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) - 8));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ByteOffset___unnamed_16_39e6661e(S))} In(x, _S_ByteOffset___unnamed_16_39e6661e(S)) ==> In(home_ByteOffset___unnamed_16_39e6661e(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ByteOffset___unnamed_16_39e6661e(S))} In(x, _S_home_ByteOffset___unnamed_16_39e6661e(S)) ==> In(ByteOffset___unnamed_16_39e6661e(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ByteOffset___unnamed_16_39e6661e(S)} In(x, S) ==> In(ByteOffset___unnamed_16_39e6661e(x), _S_ByteOffset___unnamed_16_39e6661e(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ByteOffset___unnamed_16_39e6661e(S)} In(x, S) ==> In(home_ByteOffset___unnamed_16_39e6661e(x), _S_home_ByteOffset___unnamed_16_39e6661e(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1) == home_ByteOffset___unnamed_16_39e6661e(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,8))} MINUS_LEFT_PTR(x, 1, Ptr(null,8)) == home_ByteOffset___unnamed_16_39e6661e(x));
-
-
-
-
-
-function BytesPerSector__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_BytesPerSector__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_BytesPerSector__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_BytesPerSector__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {BytesPerSector__DISKETTE_EXTENSION(x)} home_BytesPerSector__DISKETTE_EXTENSION(BytesPerSector__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_BytesPerSector__DISKETTE_EXTENSION(x)} BytesPerSector__DISKETTE_EXTENSION(home_BytesPerSector__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {BytesPerSector__DISKETTE_EXTENSION(x)} BytesPerSector__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 148));
-axiom (forall x:ptr :: {home_BytesPerSector__DISKETTE_EXTENSION(x)} home_BytesPerSector__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 148));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_BytesPerSector__DISKETTE_EXTENSION(S))} In(x, _S_BytesPerSector__DISKETTE_EXTENSION(S)) ==> In(home_BytesPerSector__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_BytesPerSector__DISKETTE_EXTENSION(S))} In(x, _S_home_BytesPerSector__DISKETTE_EXTENSION(S)) ==> In(BytesPerSector__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_BytesPerSector__DISKETTE_EXTENSION(S)} In(x, S) ==> In(BytesPerSector__DISKETTE_EXTENSION(x), _S_BytesPerSector__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_BytesPerSector__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_BytesPerSector__DISKETTE_EXTENSION(x), _S_home_BytesPerSector__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,148), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,148), 1) == home_BytesPerSector__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,148))} MINUS_LEFT_PTR(x, 1, Ptr(null,148)) == home_BytesPerSector__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function CancelRoutine__IRP(ptr) returns (ptr);
-function home_CancelRoutine__IRP(ptr) returns (ptr);
-function _S_CancelRoutine__IRP([ptr]bool) returns ([ptr]bool);
-function _S_home_CancelRoutine__IRP([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {CancelRoutine__IRP(x)} home_CancelRoutine__IRP(CancelRoutine__IRP(x)) == x);
-axiom (forall x:ptr :: {home_CancelRoutine__IRP(x)} CancelRoutine__IRP(home_CancelRoutine__IRP(x)) == x);
-axiom (forall x:ptr :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == Ptr(Obj(x), Off(x) + 56));
-axiom (forall x:ptr :: {home_CancelRoutine__IRP(x)} home_CancelRoutine__IRP(x) == Ptr(Obj(x), Off(x) - 56));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_CancelRoutine__IRP(S))} In(x, _S_CancelRoutine__IRP(S)) ==> In(home_CancelRoutine__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_CancelRoutine__IRP(S))} In(x, _S_home_CancelRoutine__IRP(S)) ==> In(CancelRoutine__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_CancelRoutine__IRP(S)} In(x, S) ==> In(CancelRoutine__IRP(x), _S_CancelRoutine__IRP(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_CancelRoutine__IRP(S)} In(x, S) ==> In(home_CancelRoutine__IRP(x), _S_home_CancelRoutine__IRP(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,56), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,56), 1) == home_CancelRoutine__IRP(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,56))} MINUS_LEFT_PTR(x, 1, Ptr(null,56)) == home_CancelRoutine__IRP(x));
-
-
-
-
-
-function Cancel__IRP(ptr) returns (ptr);
-function home_Cancel__IRP(ptr) returns (ptr);
-function _S_Cancel__IRP([ptr]bool) returns ([ptr]bool);
-function _S_home_Cancel__IRP([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Cancel__IRP(x)} home_Cancel__IRP(Cancel__IRP(x)) == x);
-axiom (forall x:ptr :: {home_Cancel__IRP(x)} Cancel__IRP(home_Cancel__IRP(x)) == x);
-axiom (forall x:ptr :: {Cancel__IRP(x)} Cancel__IRP(x) == Ptr(Obj(x), Off(x) + 36));
-axiom (forall x:ptr :: {home_Cancel__IRP(x)} home_Cancel__IRP(x) == Ptr(Obj(x), Off(x) - 36));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Cancel__IRP(S))} In(x, _S_Cancel__IRP(S)) ==> In(home_Cancel__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Cancel__IRP(S))} In(x, _S_home_Cancel__IRP(S)) ==> In(Cancel__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Cancel__IRP(S)} In(x, S) ==> In(Cancel__IRP(x), _S_Cancel__IRP(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Cancel__IRP(S)} In(x, S) ==> In(home_Cancel__IRP(x), _S_home_Cancel__IRP(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,36), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,36), 1) == home_Cancel__IRP(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,36))} MINUS_LEFT_PTR(x, 1, Ptr(null,36)) == home_Cancel__IRP(x));
-
-
-
-
-
-function Control__IO_STACK_LOCATION(ptr) returns (ptr);
-function home_Control__IO_STACK_LOCATION(ptr) returns (ptr);
-function _S_Control__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
-function _S_home_Control__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Control__IO_STACK_LOCATION(x)} home_Control__IO_STACK_LOCATION(Control__IO_STACK_LOCATION(x)) == x);
-axiom (forall x:ptr :: {home_Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(home_Control__IO_STACK_LOCATION(x)) == x);
-axiom (forall x:ptr :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) + 3));
-axiom (forall x:ptr :: {home_Control__IO_STACK_LOCATION(x)} home_Control__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) - 3));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Control__IO_STACK_LOCATION(S))} In(x, _S_Control__IO_STACK_LOCATION(S)) ==> In(home_Control__IO_STACK_LOCATION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Control__IO_STACK_LOCATION(S))} In(x, _S_home_Control__IO_STACK_LOCATION(S)) ==> In(Control__IO_STACK_LOCATION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Control__IO_STACK_LOCATION(S)} In(x, S) ==> In(Control__IO_STACK_LOCATION(x), _S_Control__IO_STACK_LOCATION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Control__IO_STACK_LOCATION(S)} In(x, S) ==> In(home_Control__IO_STACK_LOCATION(x), _S_home_Control__IO_STACK_LOCATION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,3), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,3), 1) == home_Control__IO_STACK_LOCATION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,3))} MINUS_LEFT_PTR(x, 1, Ptr(null,3)) == home_Control__IO_STACK_LOCATION(x));
-
-
-
-
-
-function CurrentStackLocation___unnamed_4_f80453a0(ptr) returns (ptr);
-function home_CurrentStackLocation___unnamed_4_f80453a0(ptr) returns (ptr);
-function _S_CurrentStackLocation___unnamed_4_f80453a0([ptr]bool) returns ([ptr]bool);
-function _S_home_CurrentStackLocation___unnamed_4_f80453a0([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {CurrentStackLocation___unnamed_4_f80453a0(x)} home_CurrentStackLocation___unnamed_4_f80453a0(CurrentStackLocation___unnamed_4_f80453a0(x)) == x);
-axiom (forall x:ptr :: {home_CurrentStackLocation___unnamed_4_f80453a0(x)} CurrentStackLocation___unnamed_4_f80453a0(home_CurrentStackLocation___unnamed_4_f80453a0(x)) == x);
-axiom (forall x:ptr :: {CurrentStackLocation___unnamed_4_f80453a0(x)} CurrentStackLocation___unnamed_4_f80453a0(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_CurrentStackLocation___unnamed_4_f80453a0(x)} home_CurrentStackLocation___unnamed_4_f80453a0(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_CurrentStackLocation___unnamed_4_f80453a0(S))} In(x, _S_CurrentStackLocation___unnamed_4_f80453a0(S)) ==> In(home_CurrentStackLocation___unnamed_4_f80453a0(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_CurrentStackLocation___unnamed_4_f80453a0(S))} In(x, _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)) ==> In(CurrentStackLocation___unnamed_4_f80453a0(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_CurrentStackLocation___unnamed_4_f80453a0(S)} In(x, S) ==> In(CurrentStackLocation___unnamed_4_f80453a0(x), _S_CurrentStackLocation___unnamed_4_f80453a0(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)} In(x, S) ==> In(home_CurrentStackLocation___unnamed_4_f80453a0(x), _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_CurrentStackLocation___unnamed_4_f80453a0(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_CurrentStackLocation___unnamed_4_f80453a0(x));
-
-
-
-
-
-function DeviceExtension__DEVICE_OBJECT(ptr) returns (ptr);
-function home_DeviceExtension__DEVICE_OBJECT(ptr) returns (ptr);
-function _S_DeviceExtension__DEVICE_OBJECT([ptr]bool) returns ([ptr]bool);
-function _S_home_DeviceExtension__DEVICE_OBJECT([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {DeviceExtension__DEVICE_OBJECT(x)} home_DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:ptr :: {home_DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(home_DeviceExtension__DEVICE_OBJECT(x)) == x);
-axiom (forall x:ptr :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == Ptr(Obj(x), Off(x) + 40));
-axiom (forall x:ptr :: {home_DeviceExtension__DEVICE_OBJECT(x)} home_DeviceExtension__DEVICE_OBJECT(x) == Ptr(Obj(x), Off(x) - 40));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_DeviceExtension__DEVICE_OBJECT(S))} In(x, _S_DeviceExtension__DEVICE_OBJECT(S)) ==> In(home_DeviceExtension__DEVICE_OBJECT(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_DeviceExtension__DEVICE_OBJECT(S))} In(x, _S_home_DeviceExtension__DEVICE_OBJECT(S)) ==> In(DeviceExtension__DEVICE_OBJECT(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_DeviceExtension__DEVICE_OBJECT(S)} In(x, S) ==> In(DeviceExtension__DEVICE_OBJECT(x), _S_DeviceExtension__DEVICE_OBJECT(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_DeviceExtension__DEVICE_OBJECT(S)} In(x, S) ==> In(home_DeviceExtension__DEVICE_OBJECT(x), _S_home_DeviceExtension__DEVICE_OBJECT(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,40), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,40), 1) == home_DeviceExtension__DEVICE_OBJECT(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,40))} MINUS_LEFT_PTR(x, 1, Ptr(null,40)) == home_DeviceExtension__DEVICE_OBJECT(x));
-
-
-
-
-
-function DeviceObject__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_DeviceObject__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_DeviceObject__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_DeviceObject__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {DeviceObject__DISKETTE_EXTENSION(x)} home_DeviceObject__DISKETTE_EXTENSION(DeviceObject__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_DeviceObject__DISKETTE_EXTENSION(x)} DeviceObject__DISKETTE_EXTENSION(home_DeviceObject__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {DeviceObject__DISKETTE_EXTENSION(x)} DeviceObject__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 28));
-axiom (forall x:ptr :: {home_DeviceObject__DISKETTE_EXTENSION(x)} home_DeviceObject__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 28));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_DeviceObject__DISKETTE_EXTENSION(S))} In(x, _S_DeviceObject__DISKETTE_EXTENSION(S)) ==> In(home_DeviceObject__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_DeviceObject__DISKETTE_EXTENSION(S))} In(x, _S_home_DeviceObject__DISKETTE_EXTENSION(S)) ==> In(DeviceObject__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_DeviceObject__DISKETTE_EXTENSION(S)} In(x, S) ==> In(DeviceObject__DISKETTE_EXTENSION(x), _S_DeviceObject__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_DeviceObject__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_DeviceObject__DISKETTE_EXTENSION(x), _S_home_DeviceObject__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,28), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,28), 1) == home_DeviceObject__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,28))} MINUS_LEFT_PTR(x, 1, Ptr(null,28)) == home_DeviceObject__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function FlCancelSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_FlCancelSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_FlCancelSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_FlCancelSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {FlCancelSpinLock__DISKETTE_EXTENSION(x)} home_FlCancelSpinLock__DISKETTE_EXTENSION(FlCancelSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_FlCancelSpinLock__DISKETTE_EXTENSION(x)} FlCancelSpinLock__DISKETTE_EXTENSION(home_FlCancelSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {FlCancelSpinLock__DISKETTE_EXTENSION(x)} FlCancelSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_FlCancelSpinLock__DISKETTE_EXTENSION(x)} home_FlCancelSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_FlCancelSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_FlCancelSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)) ==> In(FlCancelSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(FlCancelSpinLock__DISKETTE_EXTENSION(x), _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_FlCancelSpinLock__DISKETTE_EXTENSION(x), _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_FlCancelSpinLock__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_FlCancelSpinLock__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function HoldNewReqMutex__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_HoldNewReqMutex__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_HoldNewReqMutex__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_HoldNewReqMutex__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {HoldNewReqMutex__DISKETTE_EXTENSION(x)} home_HoldNewReqMutex__DISKETTE_EXTENSION(HoldNewReqMutex__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_HoldNewReqMutex__DISKETTE_EXTENSION(x)} HoldNewReqMutex__DISKETTE_EXTENSION(home_HoldNewReqMutex__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {HoldNewReqMutex__DISKETTE_EXTENSION(x)} HoldNewReqMutex__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 316));
-axiom (forall x:ptr :: {home_HoldNewReqMutex__DISKETTE_EXTENSION(x)} home_HoldNewReqMutex__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 316));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_HoldNewReqMutex__DISKETTE_EXTENSION(S))} In(x, _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)) ==> In(home_HoldNewReqMutex__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S))} In(x, _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)) ==> In(HoldNewReqMutex__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)} In(x, S) ==> In(HoldNewReqMutex__DISKETTE_EXTENSION(x), _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_HoldNewReqMutex__DISKETTE_EXTENSION(x), _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,316), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,316), 1) == home_HoldNewReqMutex__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,316))} MINUS_LEFT_PTR(x, 1, Ptr(null,316)) == home_HoldNewReqMutex__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function HoldNewRequests__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_HoldNewRequests__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_HoldNewRequests__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_HoldNewRequests__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {HoldNewRequests__DISKETTE_EXTENSION(x)} home_HoldNewRequests__DISKETTE_EXTENSION(HoldNewRequests__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_HoldNewRequests__DISKETTE_EXTENSION(x)} HoldNewRequests__DISKETTE_EXTENSION(home_HoldNewRequests__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {HoldNewRequests__DISKETTE_EXTENSION(x)} HoldNewRequests__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 14));
-axiom (forall x:ptr :: {home_HoldNewRequests__DISKETTE_EXTENSION(x)} home_HoldNewRequests__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 14));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_HoldNewRequests__DISKETTE_EXTENSION(S))} In(x, _S_HoldNewRequests__DISKETTE_EXTENSION(S)) ==> In(home_HoldNewRequests__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_HoldNewRequests__DISKETTE_EXTENSION(S))} In(x, _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)) ==> In(HoldNewRequests__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_HoldNewRequests__DISKETTE_EXTENSION(S)} In(x, S) ==> In(HoldNewRequests__DISKETTE_EXTENSION(x), _S_HoldNewRequests__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_HoldNewRequests__DISKETTE_EXTENSION(x), _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,14), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,14), 1) == home_HoldNewRequests__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,14))} MINUS_LEFT_PTR(x, 1, Ptr(null,14)) == home_HoldNewRequests__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function Information__IO_STATUS_BLOCK(ptr) returns (ptr);
-function home_Information__IO_STATUS_BLOCK(ptr) returns (ptr);
-function _S_Information__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
-function _S_home_Information__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Information__IO_STATUS_BLOCK(x)} home_Information__IO_STATUS_BLOCK(Information__IO_STATUS_BLOCK(x)) == x);
-axiom (forall x:ptr :: {home_Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(home_Information__IO_STATUS_BLOCK(x)) == x);
-axiom (forall x:ptr :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) + 4));
-axiom (forall x:ptr :: {home_Information__IO_STATUS_BLOCK(x)} home_Information__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) - 4));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Information__IO_STATUS_BLOCK(S))} In(x, _S_Information__IO_STATUS_BLOCK(S)) ==> In(home_Information__IO_STATUS_BLOCK(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Information__IO_STATUS_BLOCK(S))} In(x, _S_home_Information__IO_STATUS_BLOCK(S)) ==> In(Information__IO_STATUS_BLOCK(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Information__IO_STATUS_BLOCK(S)} In(x, S) ==> In(Information__IO_STATUS_BLOCK(x), _S_Information__IO_STATUS_BLOCK(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Information__IO_STATUS_BLOCK(S)} In(x, S) ==> In(home_Information__IO_STATUS_BLOCK(x), _S_home_Information__IO_STATUS_BLOCK(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1) == home_Information__IO_STATUS_BLOCK(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,4))} MINUS_LEFT_PTR(x, 1, Ptr(null,4)) == home_Information__IO_STATUS_BLOCK(x));
-
-
-
-
-
-function IoStatus__IRP(ptr) returns (ptr);
-function home_IoStatus__IRP(ptr) returns (ptr);
-function _S_IoStatus__IRP([ptr]bool) returns ([ptr]bool);
-function _S_home_IoStatus__IRP([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {IoStatus__IRP(x)} home_IoStatus__IRP(IoStatus__IRP(x)) == x);
-axiom (forall x:ptr :: {home_IoStatus__IRP(x)} IoStatus__IRP(home_IoStatus__IRP(x)) == x);
-axiom (forall x:ptr :: {IoStatus__IRP(x)} IoStatus__IRP(x) == Ptr(Obj(x), Off(x) + 24));
-axiom (forall x:ptr :: {home_IoStatus__IRP(x)} home_IoStatus__IRP(x) == Ptr(Obj(x), Off(x) - 24));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IoStatus__IRP(S))} In(x, _S_IoStatus__IRP(S)) ==> In(home_IoStatus__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IoStatus__IRP(S))} In(x, _S_home_IoStatus__IRP(S)) ==> In(IoStatus__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IoStatus__IRP(S)} In(x, S) ==> In(IoStatus__IRP(x), _S_IoStatus__IRP(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IoStatus__IRP(S)} In(x, S) ==> In(home_IoStatus__IRP(x), _S_home_IoStatus__IRP(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home_IoStatus__IRP(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home_IoStatus__IRP(x));
-
-
-
-
-
-function IsRemoved__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_IsRemoved__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_IsRemoved__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_IsRemoved__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {IsRemoved__DISKETTE_EXTENSION(x)} home_IsRemoved__DISKETTE_EXTENSION(IsRemoved__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_IsRemoved__DISKETTE_EXTENSION(x)} IsRemoved__DISKETTE_EXTENSION(home_IsRemoved__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {IsRemoved__DISKETTE_EXTENSION(x)} IsRemoved__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 13));
-axiom (forall x:ptr :: {home_IsRemoved__DISKETTE_EXTENSION(x)} home_IsRemoved__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 13));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IsRemoved__DISKETTE_EXTENSION(S))} In(x, _S_IsRemoved__DISKETTE_EXTENSION(S)) ==> In(home_IsRemoved__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IsRemoved__DISKETTE_EXTENSION(S))} In(x, _S_home_IsRemoved__DISKETTE_EXTENSION(S)) ==> In(IsRemoved__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IsRemoved__DISKETTE_EXTENSION(S)} In(x, S) ==> In(IsRemoved__DISKETTE_EXTENSION(x), _S_IsRemoved__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IsRemoved__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_IsRemoved__DISKETTE_EXTENSION(x), _S_home_IsRemoved__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,13), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,13), 1) == home_IsRemoved__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,13))} MINUS_LEFT_PTR(x, 1, Ptr(null,13)) == home_IsRemoved__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function IsStarted__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_IsStarted__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_IsStarted__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_IsStarted__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {IsStarted__DISKETTE_EXTENSION(x)} home_IsStarted__DISKETTE_EXTENSION(IsStarted__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_IsStarted__DISKETTE_EXTENSION(x)} IsStarted__DISKETTE_EXTENSION(home_IsStarted__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {IsStarted__DISKETTE_EXTENSION(x)} IsStarted__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 12));
-axiom (forall x:ptr :: {home_IsStarted__DISKETTE_EXTENSION(x)} home_IsStarted__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 12));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IsStarted__DISKETTE_EXTENSION(S))} In(x, _S_IsStarted__DISKETTE_EXTENSION(S)) ==> In(home_IsStarted__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IsStarted__DISKETTE_EXTENSION(S))} In(x, _S_home_IsStarted__DISKETTE_EXTENSION(S)) ==> In(IsStarted__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IsStarted__DISKETTE_EXTENSION(S)} In(x, S) ==> In(IsStarted__DISKETTE_EXTENSION(x), _S_IsStarted__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IsStarted__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_IsStarted__DISKETTE_EXTENSION(x), _S_home_IsStarted__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,12), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,12), 1) == home_IsStarted__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,12))} MINUS_LEFT_PTR(x, 1, Ptr(null,12)) == home_IsStarted__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function Length___unnamed_16_39e6661e(ptr) returns (ptr);
-function home_Length___unnamed_16_39e6661e(ptr) returns (ptr);
-function _S_Length___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
-function _S_home_Length___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Length___unnamed_16_39e6661e(x)} home_Length___unnamed_16_39e6661e(Length___unnamed_16_39e6661e(x)) == x);
-axiom (forall x:ptr :: {home_Length___unnamed_16_39e6661e(x)} Length___unnamed_16_39e6661e(home_Length___unnamed_16_39e6661e(x)) == x);
-axiom (forall x:ptr :: {Length___unnamed_16_39e6661e(x)} Length___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_Length___unnamed_16_39e6661e(x)} home_Length___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Length___unnamed_16_39e6661e(S))} In(x, _S_Length___unnamed_16_39e6661e(S)) ==> In(home_Length___unnamed_16_39e6661e(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Length___unnamed_16_39e6661e(S))} In(x, _S_home_Length___unnamed_16_39e6661e(S)) ==> In(Length___unnamed_16_39e6661e(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Length___unnamed_16_39e6661e(S)} In(x, S) ==> In(Length___unnamed_16_39e6661e(x), _S_Length___unnamed_16_39e6661e(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Length___unnamed_16_39e6661e(S)} In(x, S) ==> In(home_Length___unnamed_16_39e6661e(x), _S_home_Length___unnamed_16_39e6661e(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Length___unnamed_16_39e6661e(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Length___unnamed_16_39e6661e(x));
-
-
-
-
-
-function ListEntry___unnamed_12_003c1454(ptr) returns (ptr);
-function home_ListEntry___unnamed_12_003c1454(ptr) returns (ptr);
-function _S_ListEntry___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
-function _S_home_ListEntry___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {ListEntry___unnamed_12_003c1454(x)} home_ListEntry___unnamed_12_003c1454(ListEntry___unnamed_12_003c1454(x)) == x);
-axiom (forall x:ptr :: {home_ListEntry___unnamed_12_003c1454(x)} ListEntry___unnamed_12_003c1454(home_ListEntry___unnamed_12_003c1454(x)) == x);
-axiom (forall x:ptr :: {ListEntry___unnamed_12_003c1454(x)} ListEntry___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_ListEntry___unnamed_12_003c1454(x)} home_ListEntry___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ListEntry___unnamed_12_003c1454(S))} In(x, _S_ListEntry___unnamed_12_003c1454(S)) ==> In(home_ListEntry___unnamed_12_003c1454(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ListEntry___unnamed_12_003c1454(S))} In(x, _S_home_ListEntry___unnamed_12_003c1454(S)) ==> In(ListEntry___unnamed_12_003c1454(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ListEntry___unnamed_12_003c1454(S)} In(x, S) ==> In(ListEntry___unnamed_12_003c1454(x), _S_ListEntry___unnamed_12_003c1454(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ListEntry___unnamed_12_003c1454(S)} In(x, S) ==> In(home_ListEntry___unnamed_12_003c1454(x), _S_home_ListEntry___unnamed_12_003c1454(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_ListEntry___unnamed_12_003c1454(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_ListEntry___unnamed_12_003c1454(x));
-
-
-
-
-
-function ListSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_ListSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_ListSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_ListSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {ListSpinLock__DISKETTE_EXTENSION(x)} home_ListSpinLock__DISKETTE_EXTENSION(ListSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_ListSpinLock__DISKETTE_EXTENSION(x)} ListSpinLock__DISKETTE_EXTENSION(home_ListSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {ListSpinLock__DISKETTE_EXTENSION(x)} ListSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 52));
-axiom (forall x:ptr :: {home_ListSpinLock__DISKETTE_EXTENSION(x)} home_ListSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 52));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ListSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_ListSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_ListSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ListSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_ListSpinLock__DISKETTE_EXTENSION(S)) ==> In(ListSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ListSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(ListSpinLock__DISKETTE_EXTENSION(x), _S_ListSpinLock__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ListSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_ListSpinLock__DISKETTE_EXTENSION(x), _S_home_ListSpinLock__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,52), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,52), 1) == home_ListSpinLock__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,52))} MINUS_LEFT_PTR(x, 1, Ptr(null,52)) == home_ListSpinLock__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function LowPart___unnamed_8_34582070(ptr) returns (ptr);
-function home_LowPart___unnamed_8_34582070(ptr) returns (ptr);
-function _S_LowPart___unnamed_8_34582070([ptr]bool) returns ([ptr]bool);
-function _S_home_LowPart___unnamed_8_34582070([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {LowPart___unnamed_8_34582070(x)} home_LowPart___unnamed_8_34582070(LowPart___unnamed_8_34582070(x)) == x);
-axiom (forall x:ptr :: {home_LowPart___unnamed_8_34582070(x)} LowPart___unnamed_8_34582070(home_LowPart___unnamed_8_34582070(x)) == x);
-axiom (forall x:ptr :: {LowPart___unnamed_8_34582070(x)} LowPart___unnamed_8_34582070(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_LowPart___unnamed_8_34582070(x)} home_LowPart___unnamed_8_34582070(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_LowPart___unnamed_8_34582070(S))} In(x, _S_LowPart___unnamed_8_34582070(S)) ==> In(home_LowPart___unnamed_8_34582070(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_LowPart___unnamed_8_34582070(S))} In(x, _S_home_LowPart___unnamed_8_34582070(S)) ==> In(LowPart___unnamed_8_34582070(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_LowPart___unnamed_8_34582070(S)} In(x, S) ==> In(LowPart___unnamed_8_34582070(x), _S_LowPart___unnamed_8_34582070(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_LowPart___unnamed_8_34582070(S)} In(x, S) ==> In(home_LowPart___unnamed_8_34582070(x), _S_home_LowPart___unnamed_8_34582070(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_LowPart___unnamed_8_34582070(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_LowPart___unnamed_8_34582070(x));
-
-
-
-
-
-function MediaType__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_MediaType__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_MediaType__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_MediaType__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {MediaType__DISKETTE_EXTENSION(x)} home_MediaType__DISKETTE_EXTENSION(MediaType__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_MediaType__DISKETTE_EXTENSION(x)} MediaType__DISKETTE_EXTENSION(home_MediaType__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {MediaType__DISKETTE_EXTENSION(x)} MediaType__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 156));
-axiom (forall x:ptr :: {home_MediaType__DISKETTE_EXTENSION(x)} home_MediaType__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 156));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_MediaType__DISKETTE_EXTENSION(S))} In(x, _S_MediaType__DISKETTE_EXTENSION(S)) ==> In(home_MediaType__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_MediaType__DISKETTE_EXTENSION(S))} In(x, _S_home_MediaType__DISKETTE_EXTENSION(S)) ==> In(MediaType__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_MediaType__DISKETTE_EXTENSION(S)} In(x, S) ==> In(MediaType__DISKETTE_EXTENSION(x), _S_MediaType__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_MediaType__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_MediaType__DISKETTE_EXTENSION(x), _S_home_MediaType__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,156), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,156), 1) == home_MediaType__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,156))} MINUS_LEFT_PTR(x, 1, Ptr(null,156)) == home_MediaType__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function NewRequestQueueSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} NewRequestQueueSpinLock__DISKETTE_EXTENSION(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} NewRequestQueueSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 24));
-axiom (forall x:ptr :: {home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 24));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)) ==> In(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function NewRequestQueue__DISKETTE_EXTENSION(ptr) returns (ptr);
-function home_NewRequestQueue__DISKETTE_EXTENSION(ptr) returns (ptr);
-function _S_NewRequestQueue__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-function _S_home_NewRequestQueue__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {NewRequestQueue__DISKETTE_EXTENSION(x)} home_NewRequestQueue__DISKETTE_EXTENSION(NewRequestQueue__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {home_NewRequestQueue__DISKETTE_EXTENSION(x)} NewRequestQueue__DISKETTE_EXTENSION(home_NewRequestQueue__DISKETTE_EXTENSION(x)) == x);
-axiom (forall x:ptr :: {NewRequestQueue__DISKETTE_EXTENSION(x)} NewRequestQueue__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 16));
-axiom (forall x:ptr :: {home_NewRequestQueue__DISKETTE_EXTENSION(x)} home_NewRequestQueue__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 16));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_NewRequestQueue__DISKETTE_EXTENSION(S))} In(x, _S_NewRequestQueue__DISKETTE_EXTENSION(S)) ==> In(home_NewRequestQueue__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_NewRequestQueue__DISKETTE_EXTENSION(S))} In(x, _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)) ==> In(NewRequestQueue__DISKETTE_EXTENSION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_NewRequestQueue__DISKETTE_EXTENSION(S)} In(x, S) ==> In(NewRequestQueue__DISKETTE_EXTENSION(x), _S_NewRequestQueue__DISKETTE_EXTENSION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_NewRequestQueue__DISKETTE_EXTENSION(x), _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,16), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,16), 1) == home_NewRequestQueue__DISKETTE_EXTENSION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,16))} MINUS_LEFT_PTR(x, 1, Ptr(null,16)) == home_NewRequestQueue__DISKETTE_EXTENSION(x));
-
-
-
-
-
-function Overlay___unnamed_48_c27ef811(ptr) returns (ptr);
-function home_Overlay___unnamed_48_c27ef811(ptr) returns (ptr);
-function _S_Overlay___unnamed_48_c27ef811([ptr]bool) returns ([ptr]bool);
-function _S_home_Overlay___unnamed_48_c27ef811([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Overlay___unnamed_48_c27ef811(x)} home_Overlay___unnamed_48_c27ef811(Overlay___unnamed_48_c27ef811(x)) == x);
-axiom (forall x:ptr :: {home_Overlay___unnamed_48_c27ef811(x)} Overlay___unnamed_48_c27ef811(home_Overlay___unnamed_48_c27ef811(x)) == x);
-axiom (forall x:ptr :: {Overlay___unnamed_48_c27ef811(x)} Overlay___unnamed_48_c27ef811(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_Overlay___unnamed_48_c27ef811(x)} home_Overlay___unnamed_48_c27ef811(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Overlay___unnamed_48_c27ef811(S))} In(x, _S_Overlay___unnamed_48_c27ef811(S)) ==> In(home_Overlay___unnamed_48_c27ef811(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Overlay___unnamed_48_c27ef811(S))} In(x, _S_home_Overlay___unnamed_48_c27ef811(S)) ==> In(Overlay___unnamed_48_c27ef811(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Overlay___unnamed_48_c27ef811(S)} In(x, S) ==> In(Overlay___unnamed_48_c27ef811(x), _S_Overlay___unnamed_48_c27ef811(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Overlay___unnamed_48_c27ef811(S)} In(x, S) ==> In(home_Overlay___unnamed_48_c27ef811(x), _S_home_Overlay___unnamed_48_c27ef811(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Overlay___unnamed_48_c27ef811(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Overlay___unnamed_48_c27ef811(x));
-
-
-
-
-
-function Parameters__IO_STACK_LOCATION(ptr) returns (ptr);
-function home_Parameters__IO_STACK_LOCATION(ptr) returns (ptr);
-function _S_Parameters__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
-function _S_home_Parameters__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Parameters__IO_STACK_LOCATION(x)} home_Parameters__IO_STACK_LOCATION(Parameters__IO_STACK_LOCATION(x)) == x);
-axiom (forall x:ptr :: {home_Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(home_Parameters__IO_STACK_LOCATION(x)) == x);
-axiom (forall x:ptr :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) + 4));
-axiom (forall x:ptr :: {home_Parameters__IO_STACK_LOCATION(x)} home_Parameters__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) - 4));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Parameters__IO_STACK_LOCATION(S))} In(x, _S_Parameters__IO_STACK_LOCATION(S)) ==> In(home_Parameters__IO_STACK_LOCATION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Parameters__IO_STACK_LOCATION(S))} In(x, _S_home_Parameters__IO_STACK_LOCATION(S)) ==> In(Parameters__IO_STACK_LOCATION(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Parameters__IO_STACK_LOCATION(S)} In(x, S) ==> In(Parameters__IO_STACK_LOCATION(x), _S_Parameters__IO_STACK_LOCATION(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Parameters__IO_STACK_LOCATION(S)} In(x, S) ==> In(home_Parameters__IO_STACK_LOCATION(x), _S_home_Parameters__IO_STACK_LOCATION(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1) == home_Parameters__IO_STACK_LOCATION(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,4))} MINUS_LEFT_PTR(x, 1, Ptr(null,4)) == home_Parameters__IO_STACK_LOCATION(x));
-
-
-
-
-
-function Read___unnamed_16_c0f0e7de(ptr) returns (ptr);
-function home_Read___unnamed_16_c0f0e7de(ptr) returns (ptr);
-function _S_Read___unnamed_16_c0f0e7de([ptr]bool) returns ([ptr]bool);
-function _S_home_Read___unnamed_16_c0f0e7de([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Read___unnamed_16_c0f0e7de(x)} home_Read___unnamed_16_c0f0e7de(Read___unnamed_16_c0f0e7de(x)) == x);
-axiom (forall x:ptr :: {home_Read___unnamed_16_c0f0e7de(x)} Read___unnamed_16_c0f0e7de(home_Read___unnamed_16_c0f0e7de(x)) == x);
-axiom (forall x:ptr :: {Read___unnamed_16_c0f0e7de(x)} Read___unnamed_16_c0f0e7de(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_Read___unnamed_16_c0f0e7de(x)} home_Read___unnamed_16_c0f0e7de(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Read___unnamed_16_c0f0e7de(S))} In(x, _S_Read___unnamed_16_c0f0e7de(S)) ==> In(home_Read___unnamed_16_c0f0e7de(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Read___unnamed_16_c0f0e7de(S))} In(x, _S_home_Read___unnamed_16_c0f0e7de(S)) ==> In(Read___unnamed_16_c0f0e7de(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Read___unnamed_16_c0f0e7de(S)} In(x, S) ==> In(Read___unnamed_16_c0f0e7de(x), _S_Read___unnamed_16_c0f0e7de(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Read___unnamed_16_c0f0e7de(S)} In(x, S) ==> In(home_Read___unnamed_16_c0f0e7de(x), _S_home_Read___unnamed_16_c0f0e7de(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Read___unnamed_16_c0f0e7de(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Read___unnamed_16_c0f0e7de(x));
-
-
-
-
-
-function Status___unnamed_4_c7b3d275(ptr) returns (ptr);
-function home_Status___unnamed_4_c7b3d275(ptr) returns (ptr);
-function _S_Status___unnamed_4_c7b3d275([ptr]bool) returns ([ptr]bool);
-function _S_home_Status___unnamed_4_c7b3d275([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Status___unnamed_4_c7b3d275(x)} home_Status___unnamed_4_c7b3d275(Status___unnamed_4_c7b3d275(x)) == x);
-axiom (forall x:ptr :: {home_Status___unnamed_4_c7b3d275(x)} Status___unnamed_4_c7b3d275(home_Status___unnamed_4_c7b3d275(x)) == x);
-axiom (forall x:ptr :: {Status___unnamed_4_c7b3d275(x)} Status___unnamed_4_c7b3d275(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home_Status___unnamed_4_c7b3d275(x)} home_Status___unnamed_4_c7b3d275(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Status___unnamed_4_c7b3d275(S))} In(x, _S_Status___unnamed_4_c7b3d275(S)) ==> In(home_Status___unnamed_4_c7b3d275(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Status___unnamed_4_c7b3d275(S))} In(x, _S_home_Status___unnamed_4_c7b3d275(S)) ==> In(Status___unnamed_4_c7b3d275(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Status___unnamed_4_c7b3d275(S)} In(x, S) ==> In(Status___unnamed_4_c7b3d275(x), _S_Status___unnamed_4_c7b3d275(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Status___unnamed_4_c7b3d275(S)} In(x, S) ==> In(home_Status___unnamed_4_c7b3d275(x), _S_home_Status___unnamed_4_c7b3d275(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Status___unnamed_4_c7b3d275(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Status___unnamed_4_c7b3d275(x));
-
-
-
-
-
-function Tail__IRP(ptr) returns (ptr);
-function home_Tail__IRP(ptr) returns (ptr);
-function _S_Tail__IRP([ptr]bool) returns ([ptr]bool);
-function _S_home_Tail__IRP([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {Tail__IRP(x)} home_Tail__IRP(Tail__IRP(x)) == x);
-axiom (forall x:ptr :: {home_Tail__IRP(x)} Tail__IRP(home_Tail__IRP(x)) == x);
-axiom (forall x:ptr :: {Tail__IRP(x)} Tail__IRP(x) == Ptr(Obj(x), Off(x) + 64));
-axiom (forall x:ptr :: {home_Tail__IRP(x)} home_Tail__IRP(x) == Ptr(Obj(x), Off(x) - 64));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Tail__IRP(S))} In(x, _S_Tail__IRP(S)) ==> In(home_Tail__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Tail__IRP(S))} In(x, _S_home_Tail__IRP(S)) ==> In(Tail__IRP(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Tail__IRP(S)} In(x, S) ==> In(Tail__IRP(x), _S_Tail__IRP(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Tail__IRP(S)} In(x, S) ==> In(home_Tail__IRP(x), _S_home_Tail__IRP(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,64), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,64), 1) == home_Tail__IRP(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,64))} MINUS_LEFT_PTR(x, 1, Ptr(null,64)) == home_Tail__IRP(x));
-
-
-
-
-
-function __unnamed_12_003c1454___unnamed_40_6ef75b20(ptr) returns (ptr);
-function home___unnamed_12_003c1454___unnamed_40_6ef75b20(ptr) returns (ptr);
-function _S___unnamed_12_003c1454___unnamed_40_6ef75b20([ptr]bool) returns ([ptr]bool);
-function _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {__unnamed_12_003c1454___unnamed_40_6ef75b20(x)} home___unnamed_12_003c1454___unnamed_40_6ef75b20(__unnamed_12_003c1454___unnamed_40_6ef75b20(x)) == x);
-axiom (forall x:ptr :: {home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)} __unnamed_12_003c1454___unnamed_40_6ef75b20(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)) == x);
-axiom (forall x:ptr :: {__unnamed_12_003c1454___unnamed_40_6ef75b20(x)} __unnamed_12_003c1454___unnamed_40_6ef75b20(x) == Ptr(Obj(x), Off(x) + 24));
-axiom (forall x:ptr :: {home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)} home___unnamed_12_003c1454___unnamed_40_6ef75b20(x) == Ptr(Obj(x), Off(x) - 24));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S))} In(x, _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)) ==> In(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S))} In(x, _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)) ==> In(__unnamed_12_003c1454___unnamed_40_6ef75b20(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)} In(x, S) ==> In(__unnamed_12_003c1454___unnamed_40_6ef75b20(x), _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)} In(x, S) ==> In(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x), _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home___unnamed_12_003c1454___unnamed_40_6ef75b20(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home___unnamed_12_003c1454___unnamed_40_6ef75b20(x));
-
-
-
-
-
-function __unnamed_4_c7b3d275__IO_STATUS_BLOCK(ptr) returns (ptr);
-function home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(ptr) returns (ptr);
-function _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
-function _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)) == x);
-axiom (forall x:ptr :: {home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} __unnamed_4_c7b3d275__IO_STATUS_BLOCK(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)) == x);
-axiom (forall x:ptr :: {__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} __unnamed_4_c7b3d275__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S))} In(x, _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)) ==> In(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S))} In(x, _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)) ==> In(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)} In(x, S) ==> In(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)} In(x, S) ==> In(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x));
-
-
-
-
-
-function __unnamed_4_f80453a0___unnamed_12_003c1454(ptr) returns (ptr);
-function home___unnamed_4_f80453a0___unnamed_12_003c1454(ptr) returns (ptr);
-function _S___unnamed_4_f80453a0___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
-function _S_home___unnamed_4_f80453a0___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {__unnamed_4_f80453a0___unnamed_12_003c1454(x)} home___unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_4_f80453a0___unnamed_12_003c1454(x)) == x);
-axiom (forall x:ptr :: {home___unnamed_4_f80453a0___unnamed_12_003c1454(x)} __unnamed_4_f80453a0___unnamed_12_003c1454(home___unnamed_4_f80453a0___unnamed_12_003c1454(x)) == x);
-axiom (forall x:ptr :: {__unnamed_4_f80453a0___unnamed_12_003c1454(x)} __unnamed_4_f80453a0___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) + 8));
-axiom (forall x:ptr :: {home___unnamed_4_f80453a0___unnamed_12_003c1454(x)} home___unnamed_4_f80453a0___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) - 8));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_4_f80453a0___unnamed_12_003c1454(S))} In(x, _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)) ==> In(home___unnamed_4_f80453a0___unnamed_12_003c1454(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S))} In(x, _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)) ==> In(__unnamed_4_f80453a0___unnamed_12_003c1454(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)} In(x, S) ==> In(__unnamed_4_f80453a0___unnamed_12_003c1454(x), _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)} In(x, S) ==> In(home___unnamed_4_f80453a0___unnamed_12_003c1454(x), _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1) == home___unnamed_4_f80453a0___unnamed_12_003c1454(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,8))} MINUS_LEFT_PTR(x, 1, Ptr(null,8)) == home___unnamed_4_f80453a0___unnamed_12_003c1454(x));
-
-
-
-
-
-function __unnamed_8_34582070__LARGE_INTEGER(ptr) returns (ptr);
-function home___unnamed_8_34582070__LARGE_INTEGER(ptr) returns (ptr);
-function _S___unnamed_8_34582070__LARGE_INTEGER([ptr]bool) returns ([ptr]bool);
-function _S_home___unnamed_8_34582070__LARGE_INTEGER([ptr]bool) returns ([ptr]bool);
-
-axiom (forall x:ptr :: {__unnamed_8_34582070__LARGE_INTEGER(x)} home___unnamed_8_34582070__LARGE_INTEGER(__unnamed_8_34582070__LARGE_INTEGER(x)) == x);
-axiom (forall x:ptr :: {home___unnamed_8_34582070__LARGE_INTEGER(x)} __unnamed_8_34582070__LARGE_INTEGER(home___unnamed_8_34582070__LARGE_INTEGER(x)) == x);
-axiom (forall x:ptr :: {__unnamed_8_34582070__LARGE_INTEGER(x)} __unnamed_8_34582070__LARGE_INTEGER(x) == Ptr(Obj(x), Off(x) + 0));
-axiom (forall x:ptr :: {home___unnamed_8_34582070__LARGE_INTEGER(x)} home___unnamed_8_34582070__LARGE_INTEGER(x) == Ptr(Obj(x), Off(x) - 0));
-
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_8_34582070__LARGE_INTEGER(S))} In(x, _S___unnamed_8_34582070__LARGE_INTEGER(S)) ==> In(home___unnamed_8_34582070__LARGE_INTEGER(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_8_34582070__LARGE_INTEGER(S))} In(x, _S_home___unnamed_8_34582070__LARGE_INTEGER(S)) ==> In(__unnamed_8_34582070__LARGE_INTEGER(x), S));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_8_34582070__LARGE_INTEGER(S)} In(x, S) ==> In(__unnamed_8_34582070__LARGE_INTEGER(x), _S___unnamed_8_34582070__LARGE_INTEGER(S)));
-axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_8_34582070__LARGE_INTEGER(S)} In(x, S) ==> In(home___unnamed_8_34582070__LARGE_INTEGER(x), _S_home___unnamed_8_34582070__LARGE_INTEGER(S)));
-
-axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home___unnamed_8_34582070__LARGE_INTEGER(x));
-axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home___unnamed_8_34582070__LARGE_INTEGER(x));
-
-
-
-// Axiom for null constraint
-//modifying to make the signature match with old BSConstraint that constrains Mem
-function BSConstraint
-(
- BS:[ptr]bool,
- Mem:[ptr]ptr
-) returns (bool);
-
-axiom (
- forall
- BS:[ptr]bool, Mem:[ptr]ptr :: {BSConstraint(BS,Mem)}
-
- BSConstraint(BS,Mem)
- ==>
- (
- (forall i:int :: {Ptr(null,i)} BS[Ptr(null,i)])
-/*
- &&
-
- (forall a:ptr :: {BS[a]} Element(a))
-*/
- )
-);
-procedure __delBS(a:ptr);
-requires(BS[a]);
-modifies BS;
-ensures(forall x:ptr :: {BS[x]} x == a || (old(BS)[x] <==> BS[x]));
-ensures(!BS[a]);
-
-procedure __addBS(a:ptr);
-requires(!BS[a]);
-modifies BS;
-ensures(forall x:ptr :: {BS[x]} x == a || (old(BS)[x] <==> BS[x]));
-ensures(BS[a]);
-
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:ptr, b:ptr, size:int) returns (ptr);
-axiom(forall a:ptr, b:ptr, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-(Obj(a) == Obj(b) ==> Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == null && size * Off(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Off(a) - Off(b))
-&&
-(Obj(b) == null && size == 1 ==> Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(a) && Off(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Off(a) - Off(b))
-&&
-(Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == null || Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(a) || Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(b))
-);
-
-function MINUS_LEFT_PTR(a:ptr, a_size:int, b:ptr) returns (ptr);
-axiom(forall a:ptr, a_size:int, b:ptr :: {MINUS_LEFT_PTR(a,a_size,b)}
-(Obj(b) == null ==> Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(a) && Off(MINUS_LEFT_PTR(a,a_size,b)) == Off(a) - a_size * Off(b))
-&&
-(Obj(a) == Obj(b) && a_size == 1 ==> Obj(MINUS_LEFT_PTR(a,a_size,b)) == null && Off(MINUS_LEFT_PTR(a,a_size,b)) == Off(a) - Off(b))
-&&
-(Obj(MINUS_LEFT_PTR(a,a_size,b)) == null || Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(a) || Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(b))
-);
-
-function PLUS(a:ptr, a_size:int, b:ptr) returns (ptr);
-axiom(forall a:ptr, a_size:int, b:ptr :: {PLUS(a,a_size,b)}
-(Obj(b) == null ==> Obj(PLUS(a,a_size,b)) == Obj(a) && Off(PLUS(a,a_size,b)) == Off(a) + a_size * Off(b))
-&&
-(Obj(a) == null && a_size == 1 ==> Obj(PLUS(a,a_size,b)) == Obj(b) && Off(PLUS(a,a_size,b)) == Off(a) + Off(b))
-&&
-(Obj(PLUS(a,a_size,b)) == null || Obj(PLUS(a,a_size,b)) == Obj(a) || Obj(PLUS(a,a_size,b)) == Obj(b))
-);
-
-function MULT(a:ptr, b:ptr) returns (ptr);
-axiom(forall a:ptr, b:ptr :: {MULT(a,b)} Obj(MULT(a,b)) == null);
-
-function BINARY_BOTH_INT(a:ptr, b:ptr) returns (ptr);
-axiom(forall a:ptr, b:ptr :: {BINARY_BOTH_INT(a,b)} Obj(BINARY_BOTH_INT(a,b)) == null);
-
-function POW2(a:ptr) returns (bool);
-axiom POW2(Ptr(null,1));
-axiom POW2(Ptr(null,2));
-axiom POW2(Ptr(null,4));
-axiom POW2(Ptr(null,8));
-axiom POW2(Ptr(null,16));
-axiom POW2(Ptr(null,32));
-axiom POW2(Ptr(null,64));
-axiom POW2(Ptr(null,128));
-axiom POW2(Ptr(null,256));
-axiom POW2(Ptr(null,512));
-axiom POW2(Ptr(null,1024));
-axiom POW2(Ptr(null,2048));
-axiom POW2(Ptr(null,4096));
-axiom POW2(Ptr(null,8192));
-axiom POW2(Ptr(null,16384));
-axiom POW2(Ptr(null,32768));
-axiom POW2(Ptr(null,65536));
-axiom POW2(Ptr(null,131072));
-axiom POW2(Ptr(null,262144));
-axiom POW2(Ptr(null,524288));
-axiom POW2(Ptr(null,1048576));
-axiom POW2(Ptr(null,2097152));
-axiom POW2(Ptr(null,4194304));
-axiom POW2(Ptr(null,8388608));
-axiom POW2(Ptr(null,16777216));
-axiom POW2(Ptr(null,33554432));
-
-axiom (forall n:int, m:int :: {Ptr(null,n),POW2(Ptr(null,m))} POW2(Ptr(null,m)) && m < n && n < 2*m ==> !POW2(Ptr(null,n)));
-
-function choose(a:bool, b:ptr, c:ptr) returns (x:ptr);
-axiom(forall a:bool, b:ptr, c:ptr :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:ptr, c:ptr :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:ptr, b:ptr) returns (x:ptr);
-axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} Obj(BIT_BAND(a,b)) == null || Obj(BIT_BAND(a,b)) == Obj(a) || Obj(BIT_BAND(a,b)) == Obj(b));
-axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == Ptr(null,0));
-axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == Ptr(null,0) || b == Ptr(null,0) ==> BIT_BAND(a,b) == Ptr(null,0));
-axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,b),c)} BIT_BAND(BIT_BAND(a,b),c) == c <==> BIT_BAND(a,c) == c && BIT_BAND(b,c) == c);
-
-function BIT_BOR(a:ptr, b:ptr) returns (x:ptr);
-axiom(forall a:ptr, b:ptr :: {BIT_BOR(a,b)} Obj(BIT_BOR(a,b)) == null || Obj(BIT_BOR(a,b)) == Obj(a) || Obj(BIT_BOR(a,b)) == Obj(b));
-axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BOR(a,b),c)} BIT_BAND(a,c) != Ptr(null,0) || BIT_BAND(b,c) != Ptr(null,0) <==> BIT_BAND(BIT_BOR(a,b),c) != Ptr(null,0));
-axiom(forall n:int, m:int :: {POW2(Ptr(null,n)), POW2(Ptr(null,m))} n > 0 && POW2(Ptr(null,m)) && m < n && 2*m > n ==>
- Ptr(null, n) == BIT_BOR(Ptr(null, m), Ptr(null, n - m)));
-
-
-function BIT_BXOR(a:ptr, b:ptr) returns (x:ptr);
-axiom(forall a:ptr, b:ptr :: {BIT_BXOR(a,b)} Obj(BIT_BXOR(a,b)) == null || Obj(BIT_BXOR(a,b)) == Obj(a) || Obj(BIT_BXOR(a,b)) == Obj(b));
-
-function BIT_BNOT(a:ptr) returns (ptr);
-axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == BIT_BNOT(b) || b == BIT_BNOT(a) ==> BIT_BAND(a,b) == Ptr(null,0));
-axiom(forall a:ptr, b:ptr :: {BIT_BNOT(BIT_BOR(a,b))} BIT_BNOT(BIT_BOR(a,b)) == BIT_BAND(BIT_BNOT(a),BIT_BNOT(b)));
-axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,b),c)} a == BIT_BNOT(c) || b == BIT_BNOT(c) ==> BIT_BAND(BIT_BAND(a,b),c) == Ptr(null,0));
-axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(BIT_BNOT(a),b),c)} POW2(c) && POW2(a) && c != a ==>
- (BIT_BAND(b,c) != Ptr(null,0) <==> BIT_BAND(BIT_BAND(BIT_BNOT(a),b),c) != Ptr(null,0)));
-axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,BIT_BNOT(b)),c)} POW2(c) && POW2(b) && c != b ==>
- (BIT_BAND(a,c) != Ptr(null,0) <==> BIT_BAND(BIT_BAND(a,BIT_BNOT(b)),c) != Ptr(null,0)));
-
-
-function LIFT(a:bool) returns (ptr);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != Ptr(null,0));
-axiom(forall a:bool :: {LIFT(a)} Obj(LIFT(a)) == null); // need to show T_char(LIFT(a))
-
-function NOT(a:ptr) returns (ptr);
-axiom(forall a:ptr :: {NOT(a)} a == Ptr(null,0) ==> NOT(a) != Ptr(null,0));
-axiom(forall a:ptr :: {NOT(a)} a != Ptr(null,0) ==> NOT(a) == Ptr(null,0));
-
-function NULL_CHECK(a:ptr) returns (ptr);
-axiom(forall a:ptr :: {NULL_CHECK(a)} a == Ptr(null,0) ==> NULL_CHECK(a) != Ptr(null,0));
-axiom(forall a:ptr :: {NULL_CHECK(a)} a != Ptr(null,0) ==> NULL_CHECK(a) == Ptr(null,0));
-
-
-function FreshObj(alloc:[ref]name, old_alloc:[ref]name, p: ptr) returns (bool);
-axiom(forall alloc:[ref]name, old_alloc:[ref]name, p: ptr :: {FreshObj(alloc, old_alloc, p)}
- FreshObj(alloc, old_alloc, p) <==> alloc[Obj(p)] == ALLOCATED && old_alloc[Obj(p)] == UNALLOCATED
-);
-
-
-procedure nondet_choice() returns (x:ptr);
-ensures (Obj(x) == null);
-
-procedure CreateMutexA$12 (a0:ptr, a1:ptr, a2:ptr) returns (new:ptr);
-modifies alloc;
-ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
-ensures (Size(Obj(new)) == 1);
-ensures (Off(new) == 0);
-ensures (Obj(new) != null);
-ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
-ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
-ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
-ensures (Mem[new] == Ptr(null,0));
-
-procedure WaitForSingleObject$8 (lock :ptr, wait:ptr) returns (status:ptr);
-modifies Mem;
-ensures (forall x:ptr :: {Mem[x]} x == lock || old(Mem)[x] == Mem[x]);
-ensures (old(Mem)[lock] == Ptr(null,0) && Mem[lock] == Ptr(null,1));
-
-procedure ReleaseMutex$4 (lock:ptr) returns (status:ptr);
-modifies Mem;
-ensures (forall x:ptr :: {Mem[x]} x == lock || old(Mem)[x] == Mem[x]);
-ensures (old(Mem)[lock] == Ptr(null,1) && Mem[lock] == Ptr(null,0));
-
-
-
-procedure havoc_assert(i:ptr);
-requires (i != Ptr(null, 0));
-
-procedure havoc_assume(i:ptr);
-ensures (i != Ptr(null, 0));
-
-
-procedure __HAVOC_free(a:ptr);
-modifies alloc;
-//requires (alloc[Obj(a)] == ALLOCATED);
-//requires (Off(a) == 0);
-ensures (alloc[Obj(a)] != UNALLOCATED);
-ensures (alloc[Obj(a)] != ALLOCATED);
-ensures (forall x_obj:ref :: {alloc[x_obj]} Obj(a) == x_obj || old(alloc)[x_obj] == alloc[x_obj]);
-
-procedure __HAVOC_malloc_heap(obj_size:ptr) returns (new:ptr);
-modifies alloc;
-ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
-ensures (Size(Obj(new)) == Off(obj_size));
-ensures (Off(new) == 0);
-ensures (Obj(new) != null);
-ensures (IsHeap(Obj(new)));
-ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
-ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
-ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
-
-
-procedure __HAVOC_malloc_stack(obj_size:ptr) returns (new:ptr);
-modifies alloc;
-ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
-ensures (Size(Obj(new)) == Off(obj_size));
-ensures (Off(new) == 0);
-ensures (Obj(new) != null);
-ensures (!IsHeap(Obj(new)));
-ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
-ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
-ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
-
-procedure _strdup(str:ptr) returns (new:ptr);
-modifies alloc;
-ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
-ensures (Off(new) == 0);
-ensures (Obj(new) != null);
-ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
-ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
-ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
-
-procedure _xstrcasecmp(a0:ptr, a1:ptr) returns (ret:ptr);
-
-procedure _xstrcmp(a0:ptr, a1:ptr) returns (ret:ptr);
-var Mem_ByteCapacity__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_ByteOffset___unnamed_16_39e6661e:[ptr]ptr;
-var Mem_BytesPerSector__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_CHAR:[ptr]ptr;
-var Mem_CancelRoutine__IRP:[ptr]ptr;
-var Mem_Cancel__IRP:[ptr]ptr;
-var Mem_Control__IO_STACK_LOCATION:[ptr]ptr;
-var Mem_CurrentStackLocation___unnamed_4_f80453a0:[ptr]ptr;
-var Mem_DeviceExtension__DEVICE_OBJECT:[ptr]ptr;
-var Mem_DeviceObject__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_FUNCTION:[ptr]ptr;
-var Mem_FlCancelSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_HoldNewReqMutex__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_HoldNewRequests__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_INT4:[ptr]ptr;
-var Mem_Information__IO_STATUS_BLOCK:[ptr]ptr;
-var Mem_IoStatus__IRP:[ptr]ptr;
-var Mem_IsRemoved__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_IsStarted__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_Length___unnamed_16_39e6661e:[ptr]ptr;
-var Mem_ListEntry___unnamed_12_003c1454:[ptr]ptr;
-var Mem_ListSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_LowPart___unnamed_8_34582070:[ptr]ptr;
-var Mem_MediaType__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_NewRequestQueue__DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_Overlay___unnamed_48_c27ef811:[ptr]ptr;
-var Mem_PCHAR:[ptr]ptr;
-var Mem_PFUNCTION:[ptr]ptr;
-var Mem_PPFUNCTION:[ptr]ptr;
-var Mem_PUINT4:[ptr]ptr;
-var Mem_PVOID:[ptr]ptr;
-var Mem_P_DISKETTE_EXTENSION:[ptr]ptr;
-var Mem_P_FAST_MUTEX:[ptr]ptr;
-var Mem_P_IO_STACK_LOCATION:[ptr]ptr;
-var Mem_P_LIST_ENTRY:[ptr]ptr;
-var Mem_Parameters__IO_STACK_LOCATION:[ptr]ptr;
-var Mem_Read___unnamed_16_c0f0e7de:[ptr]ptr;
-var Mem_Status___unnamed_4_c7b3d275:[ptr]ptr;
-var Mem_Tail__IRP:[ptr]ptr;
-var Mem_UCHAR:[ptr]ptr;
-var Mem_UINT4:[ptr]ptr;
-var Mem___unnamed_12_003c1454___unnamed_40_6ef75b20:[ptr]ptr;
-var Mem___unnamed_4_c7b3d275__IO_STATUS_BLOCK:[ptr]ptr;
-var Mem___unnamed_4_f80453a0___unnamed_12_003c1454:[ptr]ptr;
-var Mem___unnamed_8_34582070__LARGE_INTEGER:[ptr]ptr;
-
-var Res_IRQL:[ptr]ptr;
-var Res_SPINLOCK:[ptr]ptr;
-var Res_SPINLOCK_IRQL:[ptr]ptr;
-
-
-
-const unique DriverEntry : ptr;
-const unique DriverEntry_ref : ref;
-const unique FloppyCancelQueuedRequest : ptr;
-const unique FloppyCancelQueuedRequest_ref : ref;
-var FloppyDebugLevel : ptr;
-var PagingMutex : ptr;
-var PagingReferenceCount : ptr;
-const {:existential true} $FloppyQueueRequest$pre$0 : bool;
-const {:existential true} $FloppyQueueRequest$pre$1 : bool;
-const {:existential true} $FloppyQueueRequest$pre$2 : bool;
-const {:existential true} $FloppyQueueRequest$pre$3 : bool;
-const {:existential true} $FloppyQueueRequest$post$12 : bool;
-const {:existential true} $FloppyQueueRequest$post$13 : bool;
-const {:existential true} $FloppyQueueRequest$post$14 : bool;
-const {:existential true} $FloppyQueueRequest$post$15 : bool;
-const {:existential true} $FloppyQueueRequest$mod$16 : bool;
-const {:existential true} $FloppyQueueRequest$mod$17 : bool;
-const {:existential true} $FloppyQueueRequest$mod$18 : bool;
-const {:existential true} $FloppyQueueRequest$mod$19 : bool;
-const {:existential true} $FloppyQueueRequest$mod$20 : bool;
-const {:existential true} $FloppyQueueRequest$mod$21 : bool;
-const {:existential true} $FloppyReadWrite$pre$42 : bool;
-const {:existential true} $FloppyReadWrite$pre$43 : bool;
-const {:existential true} $FloppyReadWrite$pre$44 : bool;
-const {:existential true} $FloppyReadWrite$pre$45 : bool;
-const {:existential true} $FloppyReadWrite$pre$46 : bool;
-const {:existential true} $FloppyReadWrite$post$57 : bool;
-const {:existential true} $FloppyReadWrite$post$58 : bool;
-const {:existential true} $FloppyReadWrite$post$59 : bool;
-const {:existential true} $FloppyReadWrite$post$60 : bool;
-const {:existential true} $FloppyReadWrite$post$61 : bool;
-const {:existential true} $FloppyReadWrite$mod$62 : bool;
-const {:existential true} $FloppyReadWrite$mod$63 : bool;
-const {:existential true} $FloppyReadWrite$mod$64 : bool;
-const {:existential true} $FloppyReadWrite$mod$65 : bool;
-const {:existential true} $FloppyReadWrite$mod$66 : bool;
-const {:existential true} $FloppyReadWrite$mod$67 : bool;
-
-
-procedure ExAcquireFastMutex ( a0:ptr) ;
-
-
-procedure ExReleaseFastMutex ( a0:ptr) ;
-
-
-procedure ExfInterlockedInsertTailList ( a0:ptr, a1:ptr, a2:ptr) returns (ret:ptr);
-
-
-procedure FlQueueIrpToThread ( Irp$21:ptr, DisketteExtension$11:ptr) returns ( $result.FlQueueIrpToThread$861.0$1$:ptr) ;
-
-
-
-
-
-procedure IofCompleteRequest ( a0:ptr, a1:ptr) ;
-
-
-procedure KfAcquireSpinLock ( SpinLock1:ptr) returns ( $result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4:ptr) ;
-
-//TAG: requires __resource("SPINLOCK", SpinLock) == 0
-requires(Res_SPINLOCK[SpinLock1] == Ptr(null, 0));
-//TAG: ensures __resource("SPINLOCK", SpinLock) == 1
-ensures(Res_SPINLOCK[SpinLock1] == Ptr(null, 1));
-//TAG: ensures __resource("SPINLOCK_IRQL", SpinLock) == __return
-ensures(Res_SPINLOCK_IRQL[SpinLock1] == $result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4);
-//TAG: ensures __global_resource("IRQL") == 2
-ensures(Res_IRQL[Ptr(null,1)] == Ptr(null, 2));
-//TAG: ensures __return == __old_global_resource("IRQL")
-ensures($result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4 == old(Res_IRQL)[Ptr(null,1)]);
-
-modifies Res_IRQL;
-ensures(forall r:ptr :: {Res_IRQL[r]} (Ptr(null, 1) == r) || Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK;
-//TAG: net change in resource SPINLOCK only for: SpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK[r]} (SpinLock1 == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK_IRQL;
-//TAG: net change in resource SPINLOCK_IRQL only for: SpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (SpinLock1 == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-
-
-
-procedure KfReleaseSpinLock ( SpinLock$11:ptr, NewIrql1:ptr);
-
-//TAG: requires __global_resource("IRQL") == 2
-requires(Res_IRQL[Ptr(null,1)] == Ptr(null, 2));
-//TAG: requires __resource("SPINLOCK", SpinLock) == 1
-requires(Res_SPINLOCK[SpinLock$11] == Ptr(null, 1));
-//TAG: requires __resource("SPINLOCK_IRQL", SpinLock) == NewIrql
-requires(Res_SPINLOCK_IRQL[SpinLock$11] == NewIrql1);
-//TAG: ensures __resource("SPINLOCK", SpinLock) == 0
-ensures(Res_SPINLOCK[SpinLock$11] == Ptr(null, 0));
-//TAG: ensures __global_resource("IRQL") == NewIrql
-ensures(Res_IRQL[Ptr(null,1)] == NewIrql1);
-
-modifies Res_IRQL;
-ensures(forall r:ptr :: {Res_IRQL[r]} (Ptr(null, 1) == r) || Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK;
-//TAG: net change in resource SPINLOCK only for: SpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK[r]} (SpinLock$11 == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-
-
-
-procedure MmPageEntireDriver ( a0:ptr) returns (ret:ptr);
-
-
-procedure MmResetDriverPaging ( a0:ptr) ;
-
-
-procedure FloppyQueueRequest ( DisketteExtension1:ptr, Irp1:ptr) returns ( $result.FloppyQueueRequest$5780.0$1$:ptr)
-
-//TAG: requires $FloppyQueueRequest$pre$0 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->ListSpinLock) == 0)
-requires($FloppyQueueRequest$pre$0 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: requires $FloppyQueueRequest$pre$1 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->FlCancelSpinLock) == 0)
-requires($FloppyQueueRequest$pre$1 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: requires $FloppyQueueRequest$pre$2 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->NewRequestQueueSpinLock) == 0)
-requires($FloppyQueueRequest$pre$2 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: requires $FloppyQueueRequest$pre$3 || (1 ==> ((DISKETTE_EXTENSION *)DeviceExtension)->DeviceObject->DeviceExtension == DeviceExtension)
-requires($FloppyQueueRequest$pre$3 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(DisketteExtension1)])] == DisketteExtension1)));
-//TAG: ensures $FloppyQueueRequest$post$12 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->ListSpinLock) == 0)
-ensures($FloppyQueueRequest$post$12 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: ensures $FloppyQueueRequest$post$13 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->FlCancelSpinLock) == 0)
-ensures($FloppyQueueRequest$post$13 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: ensures $FloppyQueueRequest$post$14 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->NewRequestQueueSpinLock) == 0)
-ensures($FloppyQueueRequest$post$14 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
-//TAG: ensures $FloppyQueueRequest$post$15 || (1 ==> ((DISKETTE_EXTENSION *)DeviceExtension)->DeviceObject->DeviceExtension == DeviceExtension)
-ensures($FloppyQueueRequest$post$15 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(DisketteExtension1)])] == DisketteExtension1)));
-modifies alloc;
-free ensures(forall f:ref :: {alloc[f]} old(alloc)[f] != UNALLOCATED ==> alloc[f] == old(alloc)[f]);
-
-modifies Res_IRQL;
-//TAG: no net change in resource IRQL
-ensures(forall r:ptr :: {Res_IRQL[r]} Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK;
-//TAG: net change in resource SPINLOCK only for: &DeviceExtension->ListSpinLock, &DeviceExtension->FlCancelSpinLock, &DeviceExtension->NewRequestQueueSpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK[r]} (!$FloppyQueueRequest$mod$16 && ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$18 && FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$20 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK_IRQL;
-//TAG: net change in resource SPINLOCK_IRQL only for: &DeviceExtension->ListSpinLock, &DeviceExtension->FlCancelSpinLock, &DeviceExtension->NewRequestQueueSpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (!$FloppyQueueRequest$mod$17 && ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$19 && FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$21 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Mem_Control__IO_STACK_LOCATION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
-free ensures(Mem_Control__IO_STACK_LOCATION[Ptr(null,0)] == old(Mem_Control__IO_STACK_LOCATION)[Ptr(null,0)]);
-modifies Mem_FUNCTION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_FUNCTION[m]} Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
-free ensures(Mem_FUNCTION[Ptr(null,0)] == old(Mem_FUNCTION)[Ptr(null,0)]);
-modifies Mem_FlCancelSpinLock__DISKETTE_EXTENSION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
-free ensures(Mem_FlCancelSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
-modifies Mem_Information__IO_STATUS_BLOCK;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
-free ensures(Mem_Information__IO_STATUS_BLOCK[Ptr(null,0)] == old(Mem_Information__IO_STATUS_BLOCK)[Ptr(null,0)]);
-modifies Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
-free ensures(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
-modifies Mem_Status___unnamed_4_c7b3d275;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
-free ensures(Mem_Status___unnamed_4_c7b3d275[Ptr(null,0)] == old(Mem_Status___unnamed_4_c7b3d275)[Ptr(null,0)]);
-modifies Mem_UINT4;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_UINT4[m]} Mem_UINT4[m] == old(Mem_UINT4)[m]);
-free ensures(Mem_UINT4[Ptr(null,0)] == old(Mem_UINT4)[Ptr(null,0)]);
-
-{
-var havoc_stringTemp:ptr;
-var condVal:ptr;
-var DisketteExtension : ptr;
-var Irp : ptr;
-var $RtlAssert.arg.1$3$ : ptr;
-var $RtlAssert.arg.2$2$ : ptr;
-var $_InterlockedExchange.arg.1$7$ : ptr;
-var $_InterlockedExchange.arg.1$9$ : ptr;
-var $_InterlockedExchange.arg.2$6$ : ptr;
-var $ntStatus$4$5806.24$ : ptr;
-var $oldIrql$3$5805.24$ : ptr;
-var $result.ExfInterlockedInsertTailList$5854.36$11$ : ptr;
-var $result.KfAcquireSpinLock$5825.4$4$ : ptr;
-var $result.MmPageEntireDriver$5842.8$10$ : ptr;
-var $result._InterlockedExchange$5826.4$5$ : ptr;
-var $result._InterlockedExchange$5831.26$8$ : ptr;
-var tempBoogie0:ptr;
-var tempBoogie1:ptr;
-var tempBoogie2:ptr;
-var tempBoogie3:ptr;
-var tempBoogie4:ptr;
-var tempBoogie5:ptr;
-var tempBoogie6:ptr;
-var tempBoogie7:ptr;
-var tempBoogie8:ptr;
-var tempBoogie9:ptr;
-var tempBoogie10:ptr;
-var tempBoogie11:ptr;
-var tempBoogie12:ptr;
-var tempBoogie13:ptr;
-var tempBoogie14:ptr;
-var tempBoogie15:ptr;
-var tempBoogie16:ptr;
-var tempBoogie17:ptr;
-var tempBoogie18:ptr;
-var tempBoogie19:ptr;
-
-
-start:
-
-assume (alloc[Obj(DisketteExtension1)] != UNALLOCATED);
-assume (alloc[Obj(Irp1)] != UNALLOCATED);
-DisketteExtension := Ptr(null, 0);
-Irp := Ptr(null, 0);
-$RtlAssert.arg.1$3$ := Ptr(null, 0);
-$RtlAssert.arg.2$2$ := Ptr(null, 0);
-$_InterlockedExchange.arg.1$7$ := Ptr(null, 0);
-$_InterlockedExchange.arg.1$9$ := Ptr(null, 0);
-$_InterlockedExchange.arg.2$6$ := Ptr(null, 0);
-$ntStatus$4$5806.24$ := Ptr(null, 0);
-$oldIrql$3$5805.24$ := Ptr(null, 0);
-$result.ExfInterlockedInsertTailList$5854.36$11$ := Ptr(null, 0);
-$result.KfAcquireSpinLock$5825.4$4$ := Ptr(null, 0);
-$result.MmPageEntireDriver$5842.8$10$ := Ptr(null, 0);
-$result._InterlockedExchange$5826.4$5$ := Ptr(null, 0);
-$result._InterlockedExchange$5831.26$8$ := Ptr(null, 0);
-DisketteExtension := DisketteExtension1;
-Irp := Irp1;
-$result.FloppyQueueRequest$5780.0$1$ := Ptr(null,0);
-goto label_3;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5864)
-label_1:
-assume (forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
-assume (forall m:ptr :: {Mem_FUNCTION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
-assume (forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
-assume (forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
-assume (forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
-assume (forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
-assume (forall m:ptr :: {Mem_UINT4[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_UINT4[m] == old(Mem_UINT4)[m]);
-return;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5864)
-label_2:
-assume false;
-return;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5805)
-label_3:
-goto label_4;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5806)
-label_4:
-goto label_5;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
-label_5:
-call ExAcquireFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
-goto label_8;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
-label_8:
-tempBoogie0 := Ptr(Obj(Mem_UINT4[PagingReferenceCount]), Off(Mem_UINT4[PagingReferenceCount]) + 1 * 1) ;
-Mem_UINT4[PagingReferenceCount] := tempBoogie0;
-goto label_8_true , label_8_false ;
-
-
-label_8_true :
-assume (Mem_UINT4[PagingReferenceCount] == Ptr(null, 1));
-goto label_12;
-
-
-label_8_false :
-assume !(Mem_UINT4[PagingReferenceCount] == Ptr(null, 1));
-goto label_9;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
-label_9:
-call ExReleaseFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
-goto label_15;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
-label_12:
-call MmResetDriverPaging (DriverEntry);
-goto label_9;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
-label_15:
-goto label_15_true , label_15_false ;
-
-
-label_15_true :
-assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION(DisketteExtension)] != Ptr(null,0));
-goto label_17;
-
-
-label_15_false :
-assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION(DisketteExtension)] == Ptr(null,0));
-goto label_16;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
-label_16:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$RtlAssert.arg.2$2$ := havoc_stringTemp ;
-goto label_61;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5825)
-label_17:
-assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
-call $result.KfAcquireSpinLock$5825.4$4$ := KfAcquireSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension));
-Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
-goto label_20;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5825)
-label_20:
-$oldIrql$3$5805.24$ := $result.KfAcquireSpinLock$5825.4$4$ ;
-goto label_21;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
-label_21:
-$_InterlockedExchange.arg.2$6$ := FloppyCancelQueuedRequest ;
-goto label_22;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
-label_22:
-$_InterlockedExchange.arg.1$7$ := CancelRoutine__IRP(Irp) ;
-goto label_23;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
-label_23:
-// ignoring intrinsic intrinsic._InterlockedExchange
-havoc $result._InterlockedExchange$5826.4$5$;
-goto label_26;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
-label_26:
-goto label_26_true , label_26_false ;
-
-
-label_26_true :
-assume (Mem_Cancel__IRP[Cancel__IRP(Irp)] != Ptr(null,0));
-goto label_28;
-
-
-label_26_false :
-assume (Mem_Cancel__IRP[Cancel__IRP(Irp)] == Ptr(null,0));
-goto label_27;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5850)
-label_27:
-Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp)))] := Ptr(null, 259) ;
-goto label_53;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
-label_28:
-$_InterlockedExchange.arg.1$9$ := CancelRoutine__IRP(Irp) ;
-goto label_29;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
-label_29:
-// ignoring intrinsic intrinsic._InterlockedExchange
-havoc $result._InterlockedExchange$5831.26$8$;
-goto label_32;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
-label_32:
-goto label_32_true , label_32_false ;
-
-
-label_32_true :
-assume ($result._InterlockedExchange$5831.26$8$ != Ptr(null,0));
-goto label_33;
-
-
-label_32_false :
-assume ($result._InterlockedExchange$5831.26$8$ == Ptr(null,0));
-goto label_27;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5836)
-label_33:
-Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp)))] := Ptr(null, -1073741536) ;
-goto label_34;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5837)
-label_34:
-Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp))] := Ptr(null, 0) ;
-goto label_35;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5839)
-label_35:
-assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
-call KfReleaseSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension), $oldIrql$3$5805.24$);
-Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
-goto label_38;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5840)
-label_38:
-call IofCompleteRequest (Irp, Ptr(null, 0));
-goto label_41;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
-label_41:
-call ExAcquireFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
-goto label_44;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
-label_44:
-tempBoogie0 := Ptr(Obj(Mem_UINT4[PagingReferenceCount]), Off(Mem_UINT4[PagingReferenceCount]) - 1) ;
-Mem_UINT4[PagingReferenceCount] := tempBoogie0;
-goto label_44_true , label_44_false ;
-
-
-label_44_true :
-assume (Mem_UINT4[PagingReferenceCount] != Ptr(null,0));
-goto label_48;
-
-
-label_44_false :
-assume (Mem_UINT4[PagingReferenceCount] == Ptr(null,0));
-goto label_45;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
-label_45:
-call $result.MmPageEntireDriver$5842.8$10$ := MmPageEntireDriver (DriverEntry);
-goto label_48;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
-label_48:
-call ExReleaseFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
-goto label_51;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5844)
-label_51:
-$ntStatus$4$5806.24$ := Ptr(null, -1073741536) ;
-goto label_52;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5863)
-label_52:
-$result.FloppyQueueRequest$5780.0$1$ := $ntStatus$4$5806.24$ ;
-goto label_1;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5852)
-label_53:
-tempBoogie0 := BIT_BOR(Mem_Control__IO_STACK_LOCATION[Control__IO_STACK_LOCATION(Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))))])], Ptr(null, 1)) ;
-Mem_Control__IO_STACK_LOCATION[Control__IO_STACK_LOCATION(Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))))])] := tempBoogie0 ;
-goto label_54;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5854)
-label_54:
-assume (Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
-call $result.ExfInterlockedInsertTailList$5854.36$11$ := ExfInterlockedInsertTailList (NewRequestQueue__DISKETTE_EXTENSION(DisketteExtension), ListEntry___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))), NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension));
-Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
-goto label_57;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5858)
-label_57:
-assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
-call KfReleaseSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension), $oldIrql$3$5805.24$);
-Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
-goto label_60;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5860)
-label_60:
-$ntStatus$4$5806.24$ := Ptr(null, 259) ;
-goto label_52;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
-label_61:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$RtlAssert.arg.1$3$ := havoc_stringTemp ;
-goto label_62;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
-label_62:
-// skip RtlAssert
-goto label_17;
-
-}
-
-
-
-procedure FloppyReadWrite ( DeviceObject1:ptr, Irp$11:ptr) returns ( $result.FloppyReadWrite$2203.0$1$:ptr)
-
-//TAG: requires $FloppyReadWrite$pre$42 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock) == 0)
-requires($FloppyReadWrite$pre$42 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: requires $FloppyReadWrite$pre$43 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock) == 0)
-requires($FloppyReadWrite$pre$43 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: requires $FloppyReadWrite$pre$44 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock) == 0)
-requires($FloppyReadWrite$pre$44 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: requires $FloppyReadWrite$pre$45 || (1 ==> ((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject->DeviceExtension == (DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))
-requires($FloppyReadWrite$pre$45 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])])] == Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])));
-//TAG: requires $FloppyReadWrite$pre$46 || (((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject == DeviceObject)
-requires($FloppyReadWrite$pre$46 || (Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == DeviceObject1));
-//TAG: ensures $FloppyReadWrite$post$57 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock) == 0)
-ensures($FloppyReadWrite$post$57 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: ensures $FloppyReadWrite$post$58 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock) == 0)
-ensures($FloppyReadWrite$post$58 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: ensures $FloppyReadWrite$post$59 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock) == 0)
-ensures($FloppyReadWrite$post$59 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
-//TAG: ensures $FloppyReadWrite$post$60 || (1 ==> ((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject->DeviceExtension == (DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))
-ensures($FloppyReadWrite$post$60 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])])] == Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])));
-//TAG: ensures $FloppyReadWrite$post$61 || (((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject == DeviceObject)
-ensures($FloppyReadWrite$post$61 || (Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == DeviceObject1));
-modifies alloc;
-free ensures(forall f:ref :: {alloc[f]} old(alloc)[f] != UNALLOCATED ==> alloc[f] == old(alloc)[f]);
-
-modifies Res_IRQL;
-//TAG: no net change in resource IRQL
-ensures(forall r:ptr :: {Res_IRQL[r]} Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
-free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK;
-//TAG: net change in resource SPINLOCK only for: &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK[r]} (!$FloppyReadWrite$mod$62 && ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$64 && FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$66 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
-free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
-modifies Res_SPINLOCK_IRQL;
-//TAG: net change in resource SPINLOCK_IRQL only for: &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock
-ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (!$FloppyReadWrite$mod$63 && ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$65 && FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$67 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
-free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
-free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
-modifies Mem_Control__IO_STACK_LOCATION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
-free ensures(Mem_Control__IO_STACK_LOCATION[Ptr(null,0)] == old(Mem_Control__IO_STACK_LOCATION)[Ptr(null,0)]);
-modifies Mem_FUNCTION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_FUNCTION[m]} Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
-free ensures(Mem_FUNCTION[Ptr(null,0)] == old(Mem_FUNCTION)[Ptr(null,0)]);
-modifies Mem_FlCancelSpinLock__DISKETTE_EXTENSION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
-free ensures(Mem_FlCancelSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
-modifies Mem_Information__IO_STATUS_BLOCK;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
-free ensures(Mem_Information__IO_STATUS_BLOCK[Ptr(null,0)] == old(Mem_Information__IO_STATUS_BLOCK)[Ptr(null,0)]);
-modifies Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
-free ensures(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
-modifies Mem_Status___unnamed_4_c7b3d275;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
-free ensures(Mem_Status___unnamed_4_c7b3d275[Ptr(null,0)] == old(Mem_Status___unnamed_4_c7b3d275)[Ptr(null,0)]);
-modifies Mem_UINT4;
-//TAG: no updated memory locations
-free ensures(forall m:ptr :: {Mem_UINT4[m]} Mem_UINT4[m] == old(Mem_UINT4)[m]);
-free ensures(Mem_UINT4[Ptr(null,0)] == old(Mem_UINT4)[Ptr(null,0)]);
-
-{
-var havoc_stringTemp:ptr;
-var condVal:ptr;
-var $DbgPrint.arg.1$10$ : ptr;
-var $DbgPrint.arg.1$13$ : ptr;
-var $DbgPrint.arg.1$15$ : ptr;
-var $DbgPrint.arg.1$3$ : ptr;
-var $DbgPrint.arg.1$6$ : ptr;
-var $DbgPrint.arg.1$8$ : ptr;
-var DeviceObject : ptr;
-var Irp$1 : ptr;
-var $disketteExtension$5$2232.24$ : ptr;
-var $irpSp$3$2230.23$ : ptr;
-var $ntStatus$4$2231.13$ : ptr;
-var $result.DbgPrint$2234.4$2$ : ptr;
-var $result.DbgPrint$2278.0$5$ : ptr;
-var $result.DbgPrint$2280.0$7$ : ptr;
-var $result.DbgPrint$2305.0$9$ : ptr;
-var $result.DbgPrint$2317.0$12$ : ptr;
-var $result.DbgPrint$2327.0$14$ : ptr;
-var $result.FlQueueIrpToThread$2308.41$11$ : ptr;
-var $result.FloppyQueueRequest$2247.37$4$ : ptr;
-var tempBoogie0:ptr;
-var tempBoogie1:ptr;
-var tempBoogie2:ptr;
-var tempBoogie3:ptr;
-var tempBoogie4:ptr;
-var tempBoogie5:ptr;
-var tempBoogie6:ptr;
-var tempBoogie7:ptr;
-var tempBoogie8:ptr;
-var tempBoogie9:ptr;
-var tempBoogie10:ptr;
-var tempBoogie11:ptr;
-var tempBoogie12:ptr;
-var tempBoogie13:ptr;
-var tempBoogie14:ptr;
-var tempBoogie15:ptr;
-var tempBoogie16:ptr;
-var tempBoogie17:ptr;
-var tempBoogie18:ptr;
-var tempBoogie19:ptr;
-
-
-start:
-
-assume (alloc[Obj(DeviceObject1)] != UNALLOCATED);
-assume (alloc[Obj(Irp$11)] != UNALLOCATED);
-$DbgPrint.arg.1$10$ := Ptr(null, 0);
-$DbgPrint.arg.1$13$ := Ptr(null, 0);
-$DbgPrint.arg.1$15$ := Ptr(null, 0);
-$DbgPrint.arg.1$3$ := Ptr(null, 0);
-$DbgPrint.arg.1$6$ := Ptr(null, 0);
-$DbgPrint.arg.1$8$ := Ptr(null, 0);
-DeviceObject := Ptr(null, 0);
-Irp$1 := Ptr(null, 0);
-$disketteExtension$5$2232.24$ := Ptr(null, 0);
-$irpSp$3$2230.23$ := Ptr(null, 0);
-$ntStatus$4$2231.13$ := Ptr(null, 0);
-$result.DbgPrint$2234.4$2$ := Ptr(null, 0);
-$result.DbgPrint$2278.0$5$ := Ptr(null, 0);
-$result.DbgPrint$2280.0$7$ := Ptr(null, 0);
-$result.DbgPrint$2305.0$9$ := Ptr(null, 0);
-$result.DbgPrint$2317.0$12$ := Ptr(null, 0);
-$result.DbgPrint$2327.0$14$ := Ptr(null, 0);
-$result.FlQueueIrpToThread$2308.41$11$ := Ptr(null, 0);
-$result.FloppyQueueRequest$2247.37$4$ := Ptr(null, 0);
-DeviceObject := DeviceObject1;
-Irp$1 := Irp$11;
-$result.FloppyReadWrite$2203.0$1$ := Ptr(null,0);
-goto label_3;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2334)
-label_1:
-assume (forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
-assume (forall m:ptr :: {Mem_FUNCTION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
-assume (forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
-assume (forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
-assume (forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
-assume (forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
-assume (forall m:ptr :: {Mem_UINT4[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_UINT4[m] == old(Mem_UINT4)[m]);
-return;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2334)
-label_2:
-assume false;
-return;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2230)
-label_3:
-goto label_4;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2231)
-label_4:
-goto label_5;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2232)
-label_5:
-goto label_6;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
-label_6:
-goto label_6_true , label_6_false ;
-
-
-label_6_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 8)) != Ptr(null,0));
-goto label_8;
-
-
-label_6_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 8)) == Ptr(null,0));
-goto label_7;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2236)
-label_7:
-$disketteExtension$5$2232.24$ := Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject)] ;
-goto label_12;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
-label_8:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$3$ := havoc_stringTemp ;
-goto label_9;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
-label_9:
-havoc $result.DbgPrint$2234.4$2$;
-// skip DbgPrint
-goto label_7;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2238)
-label_12:
-$irpSp$3$2230.23$ := Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp$1)))))] ;
-goto label_13;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2244)
-label_13:
-call ExAcquireFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
-goto label_16;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2245)
-label_16:
-goto label_16_true , label_16_false ;
-
-
-label_16_true :
-assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
-goto label_18;
-
-
-label_16_false :
-assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
-goto label_17;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2257)
-label_17:
-goto label_17_true , label_17_false ;
-
-
-label_17_true :
-assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
-goto label_27;
-
-
-label_17_false :
-assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
-goto label_26;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2247)
-label_18:
-call $result.FloppyQueueRequest$2247.37$4$ := FloppyQueueRequest ($disketteExtension$5$2232.24$, Irp$1);
-goto label_21;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2247)
-label_21:
-$ntStatus$4$2231.13$ := $result.FloppyQueueRequest$2247.37$4$ ;
-goto label_22;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2249)
-label_22:
-call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
-goto label_25;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2250)
-label_25:
-$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
-goto label_1;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2257)
-label_26:
-goto label_26_true , label_26_false ;
-
-
-label_26_true :
-assume (Mem_IsStarted__DISKETTE_EXTENSION[IsStarted__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
-goto label_39;
-
-
-label_26_false :
-assume (Mem_IsStarted__DISKETTE_EXTENSION[IsStarted__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
-goto label_27;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2259)
-label_27:
-call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
-goto label_30;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2261)
-label_30:
-goto label_30_true , label_30_false ;
-
-
-label_30_true :
-assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
-goto label_32;
-
-
-label_30_false :
-assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
-goto label_31;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2264)
-label_31:
-$ntStatus$4$2231.13$ := Ptr(null, -1073741823) ;
-goto label_33;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2262)
-label_32:
-$ntStatus$4$2231.13$ := Ptr(null, -1073741738) ;
-goto label_33;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2266)
-label_33:
-Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1))] := Ptr(null, 0) ;
-goto label_34;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2267)
-label_34:
-Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := $ntStatus$4$2231.13$ ;
-goto label_35;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2268)
-label_35:
-call IofCompleteRequest (Irp$1, Ptr(null, 0));
-goto label_38;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2269)
-label_38:
-$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
-goto label_1;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2272)
-label_39:
-assume (null == Obj(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
-goto label_39_true , label_39_false ;
-
-
-label_39_true :
-assume (0 < Off(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
-goto label_41;
-
-
-label_39_false :
-assume !(0 < Off(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
-goto label_40;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2299)
-label_40:
-goto label_40_true , label_40_false ;
-
-
-label_40_true :
-assume (Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))] != Ptr(null,0));
-goto label_69;
-
-
-label_40_false :
-assume (Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))] == Ptr(null,0));
-goto label_68;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2274)
-label_41:
-assume (Obj(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) == Obj(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
-goto label_41_true , label_41_false ;
-
-
-label_41_true :
-assume (Off(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) < Off(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
-goto label_43;
-
-
-label_41_false :
-assume !(Off(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) < Off(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
-goto label_42;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2275)
-label_42:
-goto label_42_true , label_42_false ;
-
-
-label_42_true :
-assume (BIT_BAND(Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))], Ptr(Obj(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]), Off(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) - 1)) != Ptr(null,0));
-goto label_43;
-
-
-label_42_false :
-assume (BIT_BAND(Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))], Ptr(Obj(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]), Off(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) - 1)) == Ptr(null,0));
-goto label_40;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
-label_43:
-goto label_43_true , label_43_false ;
-
-
-label_43_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
-goto label_45;
-
-
-label_43_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
-goto label_44;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
-label_44:
-goto label_44_true , label_44_false ;
-
-
-label_44_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 2)) != Ptr(null,0));
-goto label_50;
-
-
-label_44_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 2)) == Ptr(null,0));
-goto label_49;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
-label_45:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$6$ := havoc_stringTemp ;
-goto label_46;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
-label_46:
-havoc $result.DbgPrint$2278.0$5$;
-// skip DbgPrint
-goto label_44;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2290)
-label_49:
-$ntStatus$4$2231.13$ := Ptr(null, -1073741811) ;
-goto label_54;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
-label_50:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$8$ := havoc_stringTemp ;
-goto label_51;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
-label_51:
-havoc $result.DbgPrint$2280.0$7$;
-// skip DbgPrint
-goto label_49;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2323)
-label_54:
-call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
-goto label_57;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2325)
-label_57:
-goto label_57_true , label_57_false ;
-
-
-label_57_true :
-assume ($ntStatus$4$2231.13$ != Ptr(null, 259));
-goto label_59;
-
-
-label_57_false :
-assume !($ntStatus$4$2231.13$ != Ptr(null, 259));
-goto label_58;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2333)
-label_58:
-$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
-goto label_1;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2326)
-label_59:
-Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := $ntStatus$4$2231.13$ ;
-goto label_60;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
-label_60:
-goto label_60_true , label_60_false ;
-
-
-label_60_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
-goto label_64;
-
-
-label_60_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
-goto label_61;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2330)
-label_61:
-call IofCompleteRequest (Irp$1, Ptr(null, 0));
-goto label_58;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
-label_64:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$15$ := havoc_stringTemp ;
-goto label_65;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
-label_65:
-havoc $result.DbgPrint$2327.0$14$;
-// skip DbgPrint
-goto label_61;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2315)
-label_68:
-Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1))] := Ptr(null, 0) ;
-goto label_78;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
-label_69:
-goto label_69_true , label_69_false ;
-
-
-label_69_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 16)) != Ptr(null,0));
-goto label_73;
-
-
-label_69_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 16)) == Ptr(null,0));
-goto label_70;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2308)
-label_70:
-call $result.FlQueueIrpToThread$2308.41$11$ := FlQueueIrpToThread (Irp$1, $disketteExtension$5$2232.24$);
-goto label_77;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
-label_73:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$10$ := havoc_stringTemp ;
-goto label_74;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
-label_74:
-havoc $result.DbgPrint$2305.0$9$;
-// skip DbgPrint
-goto label_70;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2308)
-label_77:
-$ntStatus$4$2231.13$ := $result.FlQueueIrpToThread$2308.41$11$ ;
-goto label_54;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2316)
-label_78:
-Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := Ptr(null, 0) ;
-goto label_79;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
-label_79:
-goto label_79_true , label_79_false ;
-
-
-label_79_true :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
-goto label_81;
-
-
-label_79_false :
-assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
-goto label_80;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2319)
-label_80:
-$ntStatus$4$2231.13$ := Ptr(null, 0) ;
-goto label_54;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
-label_81:
-call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
-$DbgPrint.arg.1$13$ := havoc_stringTemp ;
-goto label_82;
-
-
-// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
-label_82:
-havoc $result.DbgPrint$2317.0$12$;
-// skip DbgPrint
-goto label_80;
-
-}
-
+type ptr;
+function Ptr(ref, int) returns (ptr);
+function Obj(ptr) returns (ref);
+function Off(ptr) returns (int);
+
+// Ptr, Obj, Off axioms
+axiom(forall x:ptr :: {Obj(x)}{Off(x)} x == Ptr(Obj(x), Off(x)));
+axiom(forall x_obj:ref, x_off:int :: {Ptr(x_obj, x_off)} x_obj == Obj(Ptr(x_obj, x_off)));
+axiom(forall x_obj:ref, x_off:int :: {Ptr(x_obj, x_off)} x_off == Off(Ptr(x_obj, x_off)));
+
+// Mutable
+var Mem:[ptr]ptr;
+var alloc:[ref]name;
+var BS:[ptr]bool;
+const field:[ptr]name;
+
+// Immutable
+function Size(ref) returns (int);
+function Type(ref) returns (int);
+function IsHeap(ref) returns (bool); //if the object was allocated by malloc or allocation due to address taken
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED:name;
+
+function In(ptr, [ptr]bool) returns (bool);
+function Subset([ptr]bool, [ptr]bool) returns (bool);
+//function Equal([ptr]bool, [ptr]bool) returns (bool);
+function Disjoint([ptr]bool, [ptr]bool) returns (bool);
+//function UniqueDereference([ptr]bool, [ptr]ptr, ptr) returns (bool);
+
+//function Element(a:ptr) returns (bool);
+//axiom(forall a:ptr, S:[ptr]bool :: {In(a,S)} Element(a));
+
+function Empty() returns ([ptr]bool);
+function Singleton(ptr) returns ([ptr]bool);
+function Reachable([ptr,ptr]bool, ptr) returns ([ptr]bool);
+function Union([ptr]bool, [ptr]bool) returns ([ptr]bool);
+function Intersection([ptr]bool, [ptr]bool) returns ([ptr]bool);
+function Difference([ptr]bool, [ptr]bool) returns ([ptr]bool);
+function Decrement([ptr]bool, int) returns ([ptr]bool);
+function Increment([ptr]bool, int) returns ([ptr]bool);
+function Dereference([ptr]bool, [ptr]ptr) returns ([ptr]bool);
+function Array(ptr, int, ptr) returns ([ptr]bool);
+function Array1(ptr, ptr) returns ([ptr]bool);
+
+
+axiom(forall x:ptr :: !In(x, Empty()));
+
+axiom(forall x:ptr, y:ptr :: {In(x, Singleton(y))} In(x, Singleton(y)) ==> x == y);
+axiom(forall y:ptr :: {Singleton(y)} In(y, Singleton(y)));
+
+/* this formulation of Union IS more complete than the earlier one */
+/* In(e, A U B), In(d, A), A U B = Singleton(c), d != e */
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Union(S,T))} In(x, Union(S,T)) ==> In(x, S) || In(x,T));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,S)} In(x, S) ==> In(x, Union(S,T)));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,T)} In(x, T) ==> In(x, Union(S,T)));
+
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), In(x,T), Intersection(S,T)} In(x,S) && In(x,T) ==> In(x, Intersection(S,T)));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Intersection(S,T))} In(x, Intersection(S,T)) ==> In(x,S) && In(x,T));
+
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Difference(S,T), In(x,S)} In(x, S) ==> In(x, Difference(S,T)) || In(x,T));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Difference(S,T))} In(x, Difference(S,T)) ==> In(x, S));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,Difference(S,T)), In(x,T)} !(In(x, Difference(S,T)) && In(x,T)));
+
+axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Decrement(S,n))} In(x, Decrement(S,n)) <==> In(Ptr(Obj(x),Off(x)+n),S));
+axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Increment(S,n))} In(x, Increment(S,n)) <==> In(Ptr(Obj(x),Off(x)-n),S));
+
+axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, Dereference(S,M))} In(x, Dereference(S,M)) ==> (exists y:ptr :: x == M[y] && In(y,S)));
+axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {M[x], In(x, S), Dereference(S,M)} In(x, S) ==> In(M[x], Dereference(S,M)));
+
+axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a,Array(x,n,z))}
+ In(a,Array(x,n,z)) ==>
+ (Obj(a) == Obj(x) && Obj(z) == null && (exists k:int :: 0 <= k && k < Off(z) && Off(a) == Off(x) + n*k)));
+
+axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a, Array(x,n,z))}
+ In(a, Array(x,n,z)) ==> (exists k:int :: 0 <= k && a == PLUS(x,n,Ptr(null,k))));
+axiom(forall x:ptr, n:int, z:ptr :: {Array(x,n,z)} Obj(z) == null && Off(z) > 0 ==> In(x, Array(x,n,z)));
+axiom(forall x:ptr, n:int, y:ptr, z:ptr :: {PLUS(x,n,y), Array(x,n,z)}
+ Obj(y) == null && Obj(z) == null && Off(x) <= Off(PLUS(x,n,y)) && Off(PLUS(x,n,y)) < Off(PLUS(x,n,z)) <==> In(PLUS(x,n,y), Array(x,n,z)));
+
+axiom(forall x:ptr, y:ptr, z:ptr :: {In(x,Array1(y,z))}
+ In(x,Array1(y,z)) <==>
+ (Obj(x) == Obj(y) && Off(y) <= Off(x) && Off(x) < Off(y) + Off(z)));
+
+
+/*
+axiom(forall x:ptr :: !In(x, Empty()));
+axiom(forall x:ptr, y:ptr :: {In(x, Singleton(y))} In(x, Singleton(y)) <==> x == y);
+
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Union(S,T))} In(x, Union(S,T)) <==> In(x, S) || In(x,T));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,S)} In(x, S) ==> In(x, Union(S,T)));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Union(S,T), In(x,T)} In(x, T) ==> In(x, Union(S,T)));
+
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x, Difference(S,T))} In(x, Difference(S,T)) <==> In(x, S) && !In(x,T));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {Difference(S,T), In(x,S), In(x,T)} (In(x, S) && !In(x,T)) ==> In(x, Difference(S,T)));
+
+axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Decrement(S,n))} In(x, Decrement(S,n)) <==> In(Ptr(Obj(x),Off(x)+n),S));
+axiom(forall x:ptr, n:int, S:[ptr]bool :: {In(x, Increment(S,n))} In(x, Increment(S,n)) <==> In(Ptr(Obj(x),Off(x)-n),S));
+axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, Dereference(S,M))} In(x, Dereference(S,M)) <==> (exists y:ptr :: x == M[y] && In(y,S)));
+axiom(forall x:ptr, S:[ptr]bool, M:[ptr]ptr :: {In(x, S), Dereference(S,M)} In(x, S) ==> In(M[x], Dereference(S,M)));
+
+axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a,Array(x,n,z))}
+ In(a,Array(x,n,z)) ==>
+ (Obj(a) == Obj(x) && Obj(z) == null && (exists k:int :: 0 <= k && k < Off(z) && Off(a) == Off(x) + n*k)));
+
+axiom(forall a:ptr, x:ptr, n:int, z:ptr :: {In(a, Array(x,n,z))}
+ In(a, Array(x,n,z)) ==> (exists k:int :: 0 <= k && a == PLUS(x,n,Ptr(null,k))));
+axiom(forall x:ptr, n:int, z:ptr :: {Array(x,n,z)} Obj(z) == null && Off(z) > 0 ==> In(x, Array(x,n,z)));
+axiom(forall x:ptr, n:int, y:ptr, z:ptr :: {PLUS(x,n,y), Array(x,n,z)}
+ Obj(y) == null && Obj(z) == null && Off(x) <= Off(PLUS(x,n,y)) && Off(PLUS(x,n,y)) < Off(PLUS(x,n,z)) <==> In(PLUS(x,n,y), Array(x,n,z)));
+
+axiom(forall x:ptr, y:ptr, z:ptr :: {In(x,Array1(y,z))}
+ In(x,Array1(y,z)) <==>
+ (Obj(x) == Obj(y) && Off(y) <= Off(x) && Off(x) < Off(y) + Off(z)));
+*/
+
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), Subset(S,T)} In(x,S) && Subset(S,T) ==> In(x,T));
+axiom(forall S:[ptr]bool, T:[ptr]bool :: {Subset(S,T)} Subset(S,T) || (exists x:ptr :: In(x,S) && !In(x,T)));
+axiom(forall x:ptr, S:[ptr]bool, T:[ptr]bool :: {In(x,S), Disjoint(S,T), In(x,T)} !(In(x,S) && Disjoint(S,T) && In(x,T)));
+axiom(forall S:[ptr]bool, T:[ptr]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:ptr :: In(x,S) && In(x,T)));
+
+/*
+axiom(forall S:[ptr]bool, T:[ptr]bool :: {Subset(S,T)} Subset(S,T) <==> (forall x:ptr :: In(x,S) ==> In(x,T)));
+axiom(forall S:[ptr]bool, T:[ptr]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(T,S) && Subset(S,T));
+axiom(forall S:[ptr]bool, T:[ptr]bool :: {Disjoint(S,T)} Disjoint(S,T) <==> (forall x:ptr :: !(In(x,S) && In(x,T))));
+axiom(forall S:[ptr]bool, M:[ptr]ptr, p:ptr :: {UniqueDereference(S,M,p)}
+ UniqueDereference(S,M,p) <==>
+ (forall x:ptr, y:ptr :: {M[x],M[y]} In(x,S) && In(y,S) && M[x] == M[y] ==> x == y || M[x] == p));
+*/
+
+
+function ByteCapacity__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_ByteCapacity__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_ByteCapacity__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_ByteCapacity__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {ByteCapacity__DISKETTE_EXTENSION(x)} home_ByteCapacity__DISKETTE_EXTENSION(ByteCapacity__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_ByteCapacity__DISKETTE_EXTENSION(x)} ByteCapacity__DISKETTE_EXTENSION(home_ByteCapacity__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {ByteCapacity__DISKETTE_EXTENSION(x)} ByteCapacity__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 152));
+axiom (forall x:ptr :: {home_ByteCapacity__DISKETTE_EXTENSION(x)} home_ByteCapacity__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 152));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ByteCapacity__DISKETTE_EXTENSION(S))} In(x, _S_ByteCapacity__DISKETTE_EXTENSION(S)) ==> In(home_ByteCapacity__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ByteCapacity__DISKETTE_EXTENSION(S))} In(x, _S_home_ByteCapacity__DISKETTE_EXTENSION(S)) ==> In(ByteCapacity__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ByteCapacity__DISKETTE_EXTENSION(S)} In(x, S) ==> In(ByteCapacity__DISKETTE_EXTENSION(x), _S_ByteCapacity__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ByteCapacity__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_ByteCapacity__DISKETTE_EXTENSION(x), _S_home_ByteCapacity__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,152), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,152), 1) == home_ByteCapacity__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,152))} MINUS_LEFT_PTR(x, 1, Ptr(null,152)) == home_ByteCapacity__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function ByteOffset___unnamed_16_39e6661e(ptr) returns (ptr);
+function home_ByteOffset___unnamed_16_39e6661e(ptr) returns (ptr);
+function _S_ByteOffset___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
+function _S_home_ByteOffset___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {ByteOffset___unnamed_16_39e6661e(x)} home_ByteOffset___unnamed_16_39e6661e(ByteOffset___unnamed_16_39e6661e(x)) == x);
+axiom (forall x:ptr :: {home_ByteOffset___unnamed_16_39e6661e(x)} ByteOffset___unnamed_16_39e6661e(home_ByteOffset___unnamed_16_39e6661e(x)) == x);
+axiom (forall x:ptr :: {ByteOffset___unnamed_16_39e6661e(x)} ByteOffset___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) + 8));
+axiom (forall x:ptr :: {home_ByteOffset___unnamed_16_39e6661e(x)} home_ByteOffset___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) - 8));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ByteOffset___unnamed_16_39e6661e(S))} In(x, _S_ByteOffset___unnamed_16_39e6661e(S)) ==> In(home_ByteOffset___unnamed_16_39e6661e(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ByteOffset___unnamed_16_39e6661e(S))} In(x, _S_home_ByteOffset___unnamed_16_39e6661e(S)) ==> In(ByteOffset___unnamed_16_39e6661e(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ByteOffset___unnamed_16_39e6661e(S)} In(x, S) ==> In(ByteOffset___unnamed_16_39e6661e(x), _S_ByteOffset___unnamed_16_39e6661e(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ByteOffset___unnamed_16_39e6661e(S)} In(x, S) ==> In(home_ByteOffset___unnamed_16_39e6661e(x), _S_home_ByteOffset___unnamed_16_39e6661e(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1) == home_ByteOffset___unnamed_16_39e6661e(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,8))} MINUS_LEFT_PTR(x, 1, Ptr(null,8)) == home_ByteOffset___unnamed_16_39e6661e(x));
+
+
+
+
+
+function BytesPerSector__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_BytesPerSector__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_BytesPerSector__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_BytesPerSector__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {BytesPerSector__DISKETTE_EXTENSION(x)} home_BytesPerSector__DISKETTE_EXTENSION(BytesPerSector__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_BytesPerSector__DISKETTE_EXTENSION(x)} BytesPerSector__DISKETTE_EXTENSION(home_BytesPerSector__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {BytesPerSector__DISKETTE_EXTENSION(x)} BytesPerSector__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 148));
+axiom (forall x:ptr :: {home_BytesPerSector__DISKETTE_EXTENSION(x)} home_BytesPerSector__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 148));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_BytesPerSector__DISKETTE_EXTENSION(S))} In(x, _S_BytesPerSector__DISKETTE_EXTENSION(S)) ==> In(home_BytesPerSector__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_BytesPerSector__DISKETTE_EXTENSION(S))} In(x, _S_home_BytesPerSector__DISKETTE_EXTENSION(S)) ==> In(BytesPerSector__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_BytesPerSector__DISKETTE_EXTENSION(S)} In(x, S) ==> In(BytesPerSector__DISKETTE_EXTENSION(x), _S_BytesPerSector__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_BytesPerSector__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_BytesPerSector__DISKETTE_EXTENSION(x), _S_home_BytesPerSector__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,148), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,148), 1) == home_BytesPerSector__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,148))} MINUS_LEFT_PTR(x, 1, Ptr(null,148)) == home_BytesPerSector__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function CancelRoutine__IRP(ptr) returns (ptr);
+function home_CancelRoutine__IRP(ptr) returns (ptr);
+function _S_CancelRoutine__IRP([ptr]bool) returns ([ptr]bool);
+function _S_home_CancelRoutine__IRP([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {CancelRoutine__IRP(x)} home_CancelRoutine__IRP(CancelRoutine__IRP(x)) == x);
+axiom (forall x:ptr :: {home_CancelRoutine__IRP(x)} CancelRoutine__IRP(home_CancelRoutine__IRP(x)) == x);
+axiom (forall x:ptr :: {CancelRoutine__IRP(x)} CancelRoutine__IRP(x) == Ptr(Obj(x), Off(x) + 56));
+axiom (forall x:ptr :: {home_CancelRoutine__IRP(x)} home_CancelRoutine__IRP(x) == Ptr(Obj(x), Off(x) - 56));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_CancelRoutine__IRP(S))} In(x, _S_CancelRoutine__IRP(S)) ==> In(home_CancelRoutine__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_CancelRoutine__IRP(S))} In(x, _S_home_CancelRoutine__IRP(S)) ==> In(CancelRoutine__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_CancelRoutine__IRP(S)} In(x, S) ==> In(CancelRoutine__IRP(x), _S_CancelRoutine__IRP(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_CancelRoutine__IRP(S)} In(x, S) ==> In(home_CancelRoutine__IRP(x), _S_home_CancelRoutine__IRP(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,56), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,56), 1) == home_CancelRoutine__IRP(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,56))} MINUS_LEFT_PTR(x, 1, Ptr(null,56)) == home_CancelRoutine__IRP(x));
+
+
+
+
+
+function Cancel__IRP(ptr) returns (ptr);
+function home_Cancel__IRP(ptr) returns (ptr);
+function _S_Cancel__IRP([ptr]bool) returns ([ptr]bool);
+function _S_home_Cancel__IRP([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Cancel__IRP(x)} home_Cancel__IRP(Cancel__IRP(x)) == x);
+axiom (forall x:ptr :: {home_Cancel__IRP(x)} Cancel__IRP(home_Cancel__IRP(x)) == x);
+axiom (forall x:ptr :: {Cancel__IRP(x)} Cancel__IRP(x) == Ptr(Obj(x), Off(x) + 36));
+axiom (forall x:ptr :: {home_Cancel__IRP(x)} home_Cancel__IRP(x) == Ptr(Obj(x), Off(x) - 36));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Cancel__IRP(S))} In(x, _S_Cancel__IRP(S)) ==> In(home_Cancel__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Cancel__IRP(S))} In(x, _S_home_Cancel__IRP(S)) ==> In(Cancel__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Cancel__IRP(S)} In(x, S) ==> In(Cancel__IRP(x), _S_Cancel__IRP(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Cancel__IRP(S)} In(x, S) ==> In(home_Cancel__IRP(x), _S_home_Cancel__IRP(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,36), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,36), 1) == home_Cancel__IRP(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,36))} MINUS_LEFT_PTR(x, 1, Ptr(null,36)) == home_Cancel__IRP(x));
+
+
+
+
+
+function Control__IO_STACK_LOCATION(ptr) returns (ptr);
+function home_Control__IO_STACK_LOCATION(ptr) returns (ptr);
+function _S_Control__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
+function _S_home_Control__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Control__IO_STACK_LOCATION(x)} home_Control__IO_STACK_LOCATION(Control__IO_STACK_LOCATION(x)) == x);
+axiom (forall x:ptr :: {home_Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(home_Control__IO_STACK_LOCATION(x)) == x);
+axiom (forall x:ptr :: {Control__IO_STACK_LOCATION(x)} Control__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) + 3));
+axiom (forall x:ptr :: {home_Control__IO_STACK_LOCATION(x)} home_Control__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) - 3));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Control__IO_STACK_LOCATION(S))} In(x, _S_Control__IO_STACK_LOCATION(S)) ==> In(home_Control__IO_STACK_LOCATION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Control__IO_STACK_LOCATION(S))} In(x, _S_home_Control__IO_STACK_LOCATION(S)) ==> In(Control__IO_STACK_LOCATION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Control__IO_STACK_LOCATION(S)} In(x, S) ==> In(Control__IO_STACK_LOCATION(x), _S_Control__IO_STACK_LOCATION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Control__IO_STACK_LOCATION(S)} In(x, S) ==> In(home_Control__IO_STACK_LOCATION(x), _S_home_Control__IO_STACK_LOCATION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,3), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,3), 1) == home_Control__IO_STACK_LOCATION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,3))} MINUS_LEFT_PTR(x, 1, Ptr(null,3)) == home_Control__IO_STACK_LOCATION(x));
+
+
+
+
+
+function CurrentStackLocation___unnamed_4_f80453a0(ptr) returns (ptr);
+function home_CurrentStackLocation___unnamed_4_f80453a0(ptr) returns (ptr);
+function _S_CurrentStackLocation___unnamed_4_f80453a0([ptr]bool) returns ([ptr]bool);
+function _S_home_CurrentStackLocation___unnamed_4_f80453a0([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {CurrentStackLocation___unnamed_4_f80453a0(x)} home_CurrentStackLocation___unnamed_4_f80453a0(CurrentStackLocation___unnamed_4_f80453a0(x)) == x);
+axiom (forall x:ptr :: {home_CurrentStackLocation___unnamed_4_f80453a0(x)} CurrentStackLocation___unnamed_4_f80453a0(home_CurrentStackLocation___unnamed_4_f80453a0(x)) == x);
+axiom (forall x:ptr :: {CurrentStackLocation___unnamed_4_f80453a0(x)} CurrentStackLocation___unnamed_4_f80453a0(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_CurrentStackLocation___unnamed_4_f80453a0(x)} home_CurrentStackLocation___unnamed_4_f80453a0(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_CurrentStackLocation___unnamed_4_f80453a0(S))} In(x, _S_CurrentStackLocation___unnamed_4_f80453a0(S)) ==> In(home_CurrentStackLocation___unnamed_4_f80453a0(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_CurrentStackLocation___unnamed_4_f80453a0(S))} In(x, _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)) ==> In(CurrentStackLocation___unnamed_4_f80453a0(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_CurrentStackLocation___unnamed_4_f80453a0(S)} In(x, S) ==> In(CurrentStackLocation___unnamed_4_f80453a0(x), _S_CurrentStackLocation___unnamed_4_f80453a0(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)} In(x, S) ==> In(home_CurrentStackLocation___unnamed_4_f80453a0(x), _S_home_CurrentStackLocation___unnamed_4_f80453a0(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_CurrentStackLocation___unnamed_4_f80453a0(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_CurrentStackLocation___unnamed_4_f80453a0(x));
+
+
+
+
+
+function DeviceExtension__DEVICE_OBJECT(ptr) returns (ptr);
+function home_DeviceExtension__DEVICE_OBJECT(ptr) returns (ptr);
+function _S_DeviceExtension__DEVICE_OBJECT([ptr]bool) returns ([ptr]bool);
+function _S_home_DeviceExtension__DEVICE_OBJECT([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {DeviceExtension__DEVICE_OBJECT(x)} home_DeviceExtension__DEVICE_OBJECT(DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:ptr :: {home_DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(home_DeviceExtension__DEVICE_OBJECT(x)) == x);
+axiom (forall x:ptr :: {DeviceExtension__DEVICE_OBJECT(x)} DeviceExtension__DEVICE_OBJECT(x) == Ptr(Obj(x), Off(x) + 40));
+axiom (forall x:ptr :: {home_DeviceExtension__DEVICE_OBJECT(x)} home_DeviceExtension__DEVICE_OBJECT(x) == Ptr(Obj(x), Off(x) - 40));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_DeviceExtension__DEVICE_OBJECT(S))} In(x, _S_DeviceExtension__DEVICE_OBJECT(S)) ==> In(home_DeviceExtension__DEVICE_OBJECT(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_DeviceExtension__DEVICE_OBJECT(S))} In(x, _S_home_DeviceExtension__DEVICE_OBJECT(S)) ==> In(DeviceExtension__DEVICE_OBJECT(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_DeviceExtension__DEVICE_OBJECT(S)} In(x, S) ==> In(DeviceExtension__DEVICE_OBJECT(x), _S_DeviceExtension__DEVICE_OBJECT(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_DeviceExtension__DEVICE_OBJECT(S)} In(x, S) ==> In(home_DeviceExtension__DEVICE_OBJECT(x), _S_home_DeviceExtension__DEVICE_OBJECT(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,40), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,40), 1) == home_DeviceExtension__DEVICE_OBJECT(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,40))} MINUS_LEFT_PTR(x, 1, Ptr(null,40)) == home_DeviceExtension__DEVICE_OBJECT(x));
+
+
+
+
+
+function DeviceObject__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_DeviceObject__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_DeviceObject__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_DeviceObject__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {DeviceObject__DISKETTE_EXTENSION(x)} home_DeviceObject__DISKETTE_EXTENSION(DeviceObject__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_DeviceObject__DISKETTE_EXTENSION(x)} DeviceObject__DISKETTE_EXTENSION(home_DeviceObject__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {DeviceObject__DISKETTE_EXTENSION(x)} DeviceObject__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 28));
+axiom (forall x:ptr :: {home_DeviceObject__DISKETTE_EXTENSION(x)} home_DeviceObject__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 28));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_DeviceObject__DISKETTE_EXTENSION(S))} In(x, _S_DeviceObject__DISKETTE_EXTENSION(S)) ==> In(home_DeviceObject__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_DeviceObject__DISKETTE_EXTENSION(S))} In(x, _S_home_DeviceObject__DISKETTE_EXTENSION(S)) ==> In(DeviceObject__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_DeviceObject__DISKETTE_EXTENSION(S)} In(x, S) ==> In(DeviceObject__DISKETTE_EXTENSION(x), _S_DeviceObject__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_DeviceObject__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_DeviceObject__DISKETTE_EXTENSION(x), _S_home_DeviceObject__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,28), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,28), 1) == home_DeviceObject__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,28))} MINUS_LEFT_PTR(x, 1, Ptr(null,28)) == home_DeviceObject__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function FlCancelSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_FlCancelSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_FlCancelSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_FlCancelSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {FlCancelSpinLock__DISKETTE_EXTENSION(x)} home_FlCancelSpinLock__DISKETTE_EXTENSION(FlCancelSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_FlCancelSpinLock__DISKETTE_EXTENSION(x)} FlCancelSpinLock__DISKETTE_EXTENSION(home_FlCancelSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {FlCancelSpinLock__DISKETTE_EXTENSION(x)} FlCancelSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_FlCancelSpinLock__DISKETTE_EXTENSION(x)} home_FlCancelSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_FlCancelSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_FlCancelSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)) ==> In(FlCancelSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(FlCancelSpinLock__DISKETTE_EXTENSION(x), _S_FlCancelSpinLock__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_FlCancelSpinLock__DISKETTE_EXTENSION(x), _S_home_FlCancelSpinLock__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_FlCancelSpinLock__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_FlCancelSpinLock__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function HoldNewReqMutex__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_HoldNewReqMutex__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_HoldNewReqMutex__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_HoldNewReqMutex__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {HoldNewReqMutex__DISKETTE_EXTENSION(x)} home_HoldNewReqMutex__DISKETTE_EXTENSION(HoldNewReqMutex__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_HoldNewReqMutex__DISKETTE_EXTENSION(x)} HoldNewReqMutex__DISKETTE_EXTENSION(home_HoldNewReqMutex__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {HoldNewReqMutex__DISKETTE_EXTENSION(x)} HoldNewReqMutex__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 316));
+axiom (forall x:ptr :: {home_HoldNewReqMutex__DISKETTE_EXTENSION(x)} home_HoldNewReqMutex__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 316));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_HoldNewReqMutex__DISKETTE_EXTENSION(S))} In(x, _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)) ==> In(home_HoldNewReqMutex__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S))} In(x, _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)) ==> In(HoldNewReqMutex__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)} In(x, S) ==> In(HoldNewReqMutex__DISKETTE_EXTENSION(x), _S_HoldNewReqMutex__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_HoldNewReqMutex__DISKETTE_EXTENSION(x), _S_home_HoldNewReqMutex__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,316), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,316), 1) == home_HoldNewReqMutex__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,316))} MINUS_LEFT_PTR(x, 1, Ptr(null,316)) == home_HoldNewReqMutex__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function HoldNewRequests__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_HoldNewRequests__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_HoldNewRequests__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_HoldNewRequests__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {HoldNewRequests__DISKETTE_EXTENSION(x)} home_HoldNewRequests__DISKETTE_EXTENSION(HoldNewRequests__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_HoldNewRequests__DISKETTE_EXTENSION(x)} HoldNewRequests__DISKETTE_EXTENSION(home_HoldNewRequests__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {HoldNewRequests__DISKETTE_EXTENSION(x)} HoldNewRequests__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 14));
+axiom (forall x:ptr :: {home_HoldNewRequests__DISKETTE_EXTENSION(x)} home_HoldNewRequests__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 14));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_HoldNewRequests__DISKETTE_EXTENSION(S))} In(x, _S_HoldNewRequests__DISKETTE_EXTENSION(S)) ==> In(home_HoldNewRequests__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_HoldNewRequests__DISKETTE_EXTENSION(S))} In(x, _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)) ==> In(HoldNewRequests__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_HoldNewRequests__DISKETTE_EXTENSION(S)} In(x, S) ==> In(HoldNewRequests__DISKETTE_EXTENSION(x), _S_HoldNewRequests__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_HoldNewRequests__DISKETTE_EXTENSION(x), _S_home_HoldNewRequests__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,14), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,14), 1) == home_HoldNewRequests__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,14))} MINUS_LEFT_PTR(x, 1, Ptr(null,14)) == home_HoldNewRequests__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function Information__IO_STATUS_BLOCK(ptr) returns (ptr);
+function home_Information__IO_STATUS_BLOCK(ptr) returns (ptr);
+function _S_Information__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
+function _S_home_Information__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Information__IO_STATUS_BLOCK(x)} home_Information__IO_STATUS_BLOCK(Information__IO_STATUS_BLOCK(x)) == x);
+axiom (forall x:ptr :: {home_Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(home_Information__IO_STATUS_BLOCK(x)) == x);
+axiom (forall x:ptr :: {Information__IO_STATUS_BLOCK(x)} Information__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) + 4));
+axiom (forall x:ptr :: {home_Information__IO_STATUS_BLOCK(x)} home_Information__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) - 4));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Information__IO_STATUS_BLOCK(S))} In(x, _S_Information__IO_STATUS_BLOCK(S)) ==> In(home_Information__IO_STATUS_BLOCK(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Information__IO_STATUS_BLOCK(S))} In(x, _S_home_Information__IO_STATUS_BLOCK(S)) ==> In(Information__IO_STATUS_BLOCK(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Information__IO_STATUS_BLOCK(S)} In(x, S) ==> In(Information__IO_STATUS_BLOCK(x), _S_Information__IO_STATUS_BLOCK(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Information__IO_STATUS_BLOCK(S)} In(x, S) ==> In(home_Information__IO_STATUS_BLOCK(x), _S_home_Information__IO_STATUS_BLOCK(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1) == home_Information__IO_STATUS_BLOCK(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,4))} MINUS_LEFT_PTR(x, 1, Ptr(null,4)) == home_Information__IO_STATUS_BLOCK(x));
+
+
+
+
+
+function IoStatus__IRP(ptr) returns (ptr);
+function home_IoStatus__IRP(ptr) returns (ptr);
+function _S_IoStatus__IRP([ptr]bool) returns ([ptr]bool);
+function _S_home_IoStatus__IRP([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {IoStatus__IRP(x)} home_IoStatus__IRP(IoStatus__IRP(x)) == x);
+axiom (forall x:ptr :: {home_IoStatus__IRP(x)} IoStatus__IRP(home_IoStatus__IRP(x)) == x);
+axiom (forall x:ptr :: {IoStatus__IRP(x)} IoStatus__IRP(x) == Ptr(Obj(x), Off(x) + 24));
+axiom (forall x:ptr :: {home_IoStatus__IRP(x)} home_IoStatus__IRP(x) == Ptr(Obj(x), Off(x) - 24));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IoStatus__IRP(S))} In(x, _S_IoStatus__IRP(S)) ==> In(home_IoStatus__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IoStatus__IRP(S))} In(x, _S_home_IoStatus__IRP(S)) ==> In(IoStatus__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IoStatus__IRP(S)} In(x, S) ==> In(IoStatus__IRP(x), _S_IoStatus__IRP(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IoStatus__IRP(S)} In(x, S) ==> In(home_IoStatus__IRP(x), _S_home_IoStatus__IRP(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home_IoStatus__IRP(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home_IoStatus__IRP(x));
+
+
+
+
+
+function IsRemoved__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_IsRemoved__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_IsRemoved__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_IsRemoved__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {IsRemoved__DISKETTE_EXTENSION(x)} home_IsRemoved__DISKETTE_EXTENSION(IsRemoved__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_IsRemoved__DISKETTE_EXTENSION(x)} IsRemoved__DISKETTE_EXTENSION(home_IsRemoved__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {IsRemoved__DISKETTE_EXTENSION(x)} IsRemoved__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 13));
+axiom (forall x:ptr :: {home_IsRemoved__DISKETTE_EXTENSION(x)} home_IsRemoved__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 13));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IsRemoved__DISKETTE_EXTENSION(S))} In(x, _S_IsRemoved__DISKETTE_EXTENSION(S)) ==> In(home_IsRemoved__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IsRemoved__DISKETTE_EXTENSION(S))} In(x, _S_home_IsRemoved__DISKETTE_EXTENSION(S)) ==> In(IsRemoved__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IsRemoved__DISKETTE_EXTENSION(S)} In(x, S) ==> In(IsRemoved__DISKETTE_EXTENSION(x), _S_IsRemoved__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IsRemoved__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_IsRemoved__DISKETTE_EXTENSION(x), _S_home_IsRemoved__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,13), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,13), 1) == home_IsRemoved__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,13))} MINUS_LEFT_PTR(x, 1, Ptr(null,13)) == home_IsRemoved__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function IsStarted__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_IsStarted__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_IsStarted__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_IsStarted__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {IsStarted__DISKETTE_EXTENSION(x)} home_IsStarted__DISKETTE_EXTENSION(IsStarted__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_IsStarted__DISKETTE_EXTENSION(x)} IsStarted__DISKETTE_EXTENSION(home_IsStarted__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {IsStarted__DISKETTE_EXTENSION(x)} IsStarted__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 12));
+axiom (forall x:ptr :: {home_IsStarted__DISKETTE_EXTENSION(x)} home_IsStarted__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 12));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_IsStarted__DISKETTE_EXTENSION(S))} In(x, _S_IsStarted__DISKETTE_EXTENSION(S)) ==> In(home_IsStarted__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_IsStarted__DISKETTE_EXTENSION(S))} In(x, _S_home_IsStarted__DISKETTE_EXTENSION(S)) ==> In(IsStarted__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_IsStarted__DISKETTE_EXTENSION(S)} In(x, S) ==> In(IsStarted__DISKETTE_EXTENSION(x), _S_IsStarted__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_IsStarted__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_IsStarted__DISKETTE_EXTENSION(x), _S_home_IsStarted__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,12), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,12), 1) == home_IsStarted__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,12))} MINUS_LEFT_PTR(x, 1, Ptr(null,12)) == home_IsStarted__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function Length___unnamed_16_39e6661e(ptr) returns (ptr);
+function home_Length___unnamed_16_39e6661e(ptr) returns (ptr);
+function _S_Length___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
+function _S_home_Length___unnamed_16_39e6661e([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Length___unnamed_16_39e6661e(x)} home_Length___unnamed_16_39e6661e(Length___unnamed_16_39e6661e(x)) == x);
+axiom (forall x:ptr :: {home_Length___unnamed_16_39e6661e(x)} Length___unnamed_16_39e6661e(home_Length___unnamed_16_39e6661e(x)) == x);
+axiom (forall x:ptr :: {Length___unnamed_16_39e6661e(x)} Length___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_Length___unnamed_16_39e6661e(x)} home_Length___unnamed_16_39e6661e(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Length___unnamed_16_39e6661e(S))} In(x, _S_Length___unnamed_16_39e6661e(S)) ==> In(home_Length___unnamed_16_39e6661e(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Length___unnamed_16_39e6661e(S))} In(x, _S_home_Length___unnamed_16_39e6661e(S)) ==> In(Length___unnamed_16_39e6661e(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Length___unnamed_16_39e6661e(S)} In(x, S) ==> In(Length___unnamed_16_39e6661e(x), _S_Length___unnamed_16_39e6661e(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Length___unnamed_16_39e6661e(S)} In(x, S) ==> In(home_Length___unnamed_16_39e6661e(x), _S_home_Length___unnamed_16_39e6661e(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Length___unnamed_16_39e6661e(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Length___unnamed_16_39e6661e(x));
+
+
+
+
+
+function ListEntry___unnamed_12_003c1454(ptr) returns (ptr);
+function home_ListEntry___unnamed_12_003c1454(ptr) returns (ptr);
+function _S_ListEntry___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
+function _S_home_ListEntry___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {ListEntry___unnamed_12_003c1454(x)} home_ListEntry___unnamed_12_003c1454(ListEntry___unnamed_12_003c1454(x)) == x);
+axiom (forall x:ptr :: {home_ListEntry___unnamed_12_003c1454(x)} ListEntry___unnamed_12_003c1454(home_ListEntry___unnamed_12_003c1454(x)) == x);
+axiom (forall x:ptr :: {ListEntry___unnamed_12_003c1454(x)} ListEntry___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_ListEntry___unnamed_12_003c1454(x)} home_ListEntry___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ListEntry___unnamed_12_003c1454(S))} In(x, _S_ListEntry___unnamed_12_003c1454(S)) ==> In(home_ListEntry___unnamed_12_003c1454(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ListEntry___unnamed_12_003c1454(S))} In(x, _S_home_ListEntry___unnamed_12_003c1454(S)) ==> In(ListEntry___unnamed_12_003c1454(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ListEntry___unnamed_12_003c1454(S)} In(x, S) ==> In(ListEntry___unnamed_12_003c1454(x), _S_ListEntry___unnamed_12_003c1454(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ListEntry___unnamed_12_003c1454(S)} In(x, S) ==> In(home_ListEntry___unnamed_12_003c1454(x), _S_home_ListEntry___unnamed_12_003c1454(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_ListEntry___unnamed_12_003c1454(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_ListEntry___unnamed_12_003c1454(x));
+
+
+
+
+
+function ListSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_ListSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_ListSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_ListSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {ListSpinLock__DISKETTE_EXTENSION(x)} home_ListSpinLock__DISKETTE_EXTENSION(ListSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_ListSpinLock__DISKETTE_EXTENSION(x)} ListSpinLock__DISKETTE_EXTENSION(home_ListSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {ListSpinLock__DISKETTE_EXTENSION(x)} ListSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 52));
+axiom (forall x:ptr :: {home_ListSpinLock__DISKETTE_EXTENSION(x)} home_ListSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 52));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_ListSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_ListSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_ListSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_ListSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_ListSpinLock__DISKETTE_EXTENSION(S)) ==> In(ListSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_ListSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(ListSpinLock__DISKETTE_EXTENSION(x), _S_ListSpinLock__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_ListSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_ListSpinLock__DISKETTE_EXTENSION(x), _S_home_ListSpinLock__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,52), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,52), 1) == home_ListSpinLock__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,52))} MINUS_LEFT_PTR(x, 1, Ptr(null,52)) == home_ListSpinLock__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function LowPart___unnamed_8_34582070(ptr) returns (ptr);
+function home_LowPart___unnamed_8_34582070(ptr) returns (ptr);
+function _S_LowPart___unnamed_8_34582070([ptr]bool) returns ([ptr]bool);
+function _S_home_LowPart___unnamed_8_34582070([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {LowPart___unnamed_8_34582070(x)} home_LowPart___unnamed_8_34582070(LowPart___unnamed_8_34582070(x)) == x);
+axiom (forall x:ptr :: {home_LowPart___unnamed_8_34582070(x)} LowPart___unnamed_8_34582070(home_LowPart___unnamed_8_34582070(x)) == x);
+axiom (forall x:ptr :: {LowPart___unnamed_8_34582070(x)} LowPart___unnamed_8_34582070(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_LowPart___unnamed_8_34582070(x)} home_LowPart___unnamed_8_34582070(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_LowPart___unnamed_8_34582070(S))} In(x, _S_LowPart___unnamed_8_34582070(S)) ==> In(home_LowPart___unnamed_8_34582070(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_LowPart___unnamed_8_34582070(S))} In(x, _S_home_LowPart___unnamed_8_34582070(S)) ==> In(LowPart___unnamed_8_34582070(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_LowPart___unnamed_8_34582070(S)} In(x, S) ==> In(LowPart___unnamed_8_34582070(x), _S_LowPart___unnamed_8_34582070(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_LowPart___unnamed_8_34582070(S)} In(x, S) ==> In(home_LowPart___unnamed_8_34582070(x), _S_home_LowPart___unnamed_8_34582070(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_LowPart___unnamed_8_34582070(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_LowPart___unnamed_8_34582070(x));
+
+
+
+
+
+function MediaType__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_MediaType__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_MediaType__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_MediaType__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {MediaType__DISKETTE_EXTENSION(x)} home_MediaType__DISKETTE_EXTENSION(MediaType__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_MediaType__DISKETTE_EXTENSION(x)} MediaType__DISKETTE_EXTENSION(home_MediaType__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {MediaType__DISKETTE_EXTENSION(x)} MediaType__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 156));
+axiom (forall x:ptr :: {home_MediaType__DISKETTE_EXTENSION(x)} home_MediaType__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 156));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_MediaType__DISKETTE_EXTENSION(S))} In(x, _S_MediaType__DISKETTE_EXTENSION(S)) ==> In(home_MediaType__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_MediaType__DISKETTE_EXTENSION(S))} In(x, _S_home_MediaType__DISKETTE_EXTENSION(S)) ==> In(MediaType__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_MediaType__DISKETTE_EXTENSION(S)} In(x, S) ==> In(MediaType__DISKETTE_EXTENSION(x), _S_MediaType__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_MediaType__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_MediaType__DISKETTE_EXTENSION(x), _S_home_MediaType__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,156), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,156), 1) == home_MediaType__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,156))} MINUS_LEFT_PTR(x, 1, Ptr(null,156)) == home_MediaType__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function NewRequestQueueSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} NewRequestQueueSpinLock__DISKETTE_EXTENSION(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} NewRequestQueueSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 24));
+axiom (forall x:ptr :: {home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x)} home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 24));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)) ==> In(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S))} In(x, _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)) ==> In(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), _S_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x), _S_home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home_NewRequestQueueSpinLock__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function NewRequestQueue__DISKETTE_EXTENSION(ptr) returns (ptr);
+function home_NewRequestQueue__DISKETTE_EXTENSION(ptr) returns (ptr);
+function _S_NewRequestQueue__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+function _S_home_NewRequestQueue__DISKETTE_EXTENSION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {NewRequestQueue__DISKETTE_EXTENSION(x)} home_NewRequestQueue__DISKETTE_EXTENSION(NewRequestQueue__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {home_NewRequestQueue__DISKETTE_EXTENSION(x)} NewRequestQueue__DISKETTE_EXTENSION(home_NewRequestQueue__DISKETTE_EXTENSION(x)) == x);
+axiom (forall x:ptr :: {NewRequestQueue__DISKETTE_EXTENSION(x)} NewRequestQueue__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) + 16));
+axiom (forall x:ptr :: {home_NewRequestQueue__DISKETTE_EXTENSION(x)} home_NewRequestQueue__DISKETTE_EXTENSION(x) == Ptr(Obj(x), Off(x) - 16));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_NewRequestQueue__DISKETTE_EXTENSION(S))} In(x, _S_NewRequestQueue__DISKETTE_EXTENSION(S)) ==> In(home_NewRequestQueue__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_NewRequestQueue__DISKETTE_EXTENSION(S))} In(x, _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)) ==> In(NewRequestQueue__DISKETTE_EXTENSION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_NewRequestQueue__DISKETTE_EXTENSION(S)} In(x, S) ==> In(NewRequestQueue__DISKETTE_EXTENSION(x), _S_NewRequestQueue__DISKETTE_EXTENSION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)} In(x, S) ==> In(home_NewRequestQueue__DISKETTE_EXTENSION(x), _S_home_NewRequestQueue__DISKETTE_EXTENSION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,16), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,16), 1) == home_NewRequestQueue__DISKETTE_EXTENSION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,16))} MINUS_LEFT_PTR(x, 1, Ptr(null,16)) == home_NewRequestQueue__DISKETTE_EXTENSION(x));
+
+
+
+
+
+function Overlay___unnamed_48_c27ef811(ptr) returns (ptr);
+function home_Overlay___unnamed_48_c27ef811(ptr) returns (ptr);
+function _S_Overlay___unnamed_48_c27ef811([ptr]bool) returns ([ptr]bool);
+function _S_home_Overlay___unnamed_48_c27ef811([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Overlay___unnamed_48_c27ef811(x)} home_Overlay___unnamed_48_c27ef811(Overlay___unnamed_48_c27ef811(x)) == x);
+axiom (forall x:ptr :: {home_Overlay___unnamed_48_c27ef811(x)} Overlay___unnamed_48_c27ef811(home_Overlay___unnamed_48_c27ef811(x)) == x);
+axiom (forall x:ptr :: {Overlay___unnamed_48_c27ef811(x)} Overlay___unnamed_48_c27ef811(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_Overlay___unnamed_48_c27ef811(x)} home_Overlay___unnamed_48_c27ef811(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Overlay___unnamed_48_c27ef811(S))} In(x, _S_Overlay___unnamed_48_c27ef811(S)) ==> In(home_Overlay___unnamed_48_c27ef811(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Overlay___unnamed_48_c27ef811(S))} In(x, _S_home_Overlay___unnamed_48_c27ef811(S)) ==> In(Overlay___unnamed_48_c27ef811(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Overlay___unnamed_48_c27ef811(S)} In(x, S) ==> In(Overlay___unnamed_48_c27ef811(x), _S_Overlay___unnamed_48_c27ef811(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Overlay___unnamed_48_c27ef811(S)} In(x, S) ==> In(home_Overlay___unnamed_48_c27ef811(x), _S_home_Overlay___unnamed_48_c27ef811(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Overlay___unnamed_48_c27ef811(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Overlay___unnamed_48_c27ef811(x));
+
+
+
+
+
+function Parameters__IO_STACK_LOCATION(ptr) returns (ptr);
+function home_Parameters__IO_STACK_LOCATION(ptr) returns (ptr);
+function _S_Parameters__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
+function _S_home_Parameters__IO_STACK_LOCATION([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Parameters__IO_STACK_LOCATION(x)} home_Parameters__IO_STACK_LOCATION(Parameters__IO_STACK_LOCATION(x)) == x);
+axiom (forall x:ptr :: {home_Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(home_Parameters__IO_STACK_LOCATION(x)) == x);
+axiom (forall x:ptr :: {Parameters__IO_STACK_LOCATION(x)} Parameters__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) + 4));
+axiom (forall x:ptr :: {home_Parameters__IO_STACK_LOCATION(x)} home_Parameters__IO_STACK_LOCATION(x) == Ptr(Obj(x), Off(x) - 4));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Parameters__IO_STACK_LOCATION(S))} In(x, _S_Parameters__IO_STACK_LOCATION(S)) ==> In(home_Parameters__IO_STACK_LOCATION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Parameters__IO_STACK_LOCATION(S))} In(x, _S_home_Parameters__IO_STACK_LOCATION(S)) ==> In(Parameters__IO_STACK_LOCATION(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Parameters__IO_STACK_LOCATION(S)} In(x, S) ==> In(Parameters__IO_STACK_LOCATION(x), _S_Parameters__IO_STACK_LOCATION(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Parameters__IO_STACK_LOCATION(S)} In(x, S) ==> In(home_Parameters__IO_STACK_LOCATION(x), _S_home_Parameters__IO_STACK_LOCATION(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,4), 1) == home_Parameters__IO_STACK_LOCATION(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,4))} MINUS_LEFT_PTR(x, 1, Ptr(null,4)) == home_Parameters__IO_STACK_LOCATION(x));
+
+
+
+
+
+function Read___unnamed_16_c0f0e7de(ptr) returns (ptr);
+function home_Read___unnamed_16_c0f0e7de(ptr) returns (ptr);
+function _S_Read___unnamed_16_c0f0e7de([ptr]bool) returns ([ptr]bool);
+function _S_home_Read___unnamed_16_c0f0e7de([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Read___unnamed_16_c0f0e7de(x)} home_Read___unnamed_16_c0f0e7de(Read___unnamed_16_c0f0e7de(x)) == x);
+axiom (forall x:ptr :: {home_Read___unnamed_16_c0f0e7de(x)} Read___unnamed_16_c0f0e7de(home_Read___unnamed_16_c0f0e7de(x)) == x);
+axiom (forall x:ptr :: {Read___unnamed_16_c0f0e7de(x)} Read___unnamed_16_c0f0e7de(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_Read___unnamed_16_c0f0e7de(x)} home_Read___unnamed_16_c0f0e7de(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Read___unnamed_16_c0f0e7de(S))} In(x, _S_Read___unnamed_16_c0f0e7de(S)) ==> In(home_Read___unnamed_16_c0f0e7de(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Read___unnamed_16_c0f0e7de(S))} In(x, _S_home_Read___unnamed_16_c0f0e7de(S)) ==> In(Read___unnamed_16_c0f0e7de(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Read___unnamed_16_c0f0e7de(S)} In(x, S) ==> In(Read___unnamed_16_c0f0e7de(x), _S_Read___unnamed_16_c0f0e7de(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Read___unnamed_16_c0f0e7de(S)} In(x, S) ==> In(home_Read___unnamed_16_c0f0e7de(x), _S_home_Read___unnamed_16_c0f0e7de(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Read___unnamed_16_c0f0e7de(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Read___unnamed_16_c0f0e7de(x));
+
+
+
+
+
+function Status___unnamed_4_c7b3d275(ptr) returns (ptr);
+function home_Status___unnamed_4_c7b3d275(ptr) returns (ptr);
+function _S_Status___unnamed_4_c7b3d275([ptr]bool) returns ([ptr]bool);
+function _S_home_Status___unnamed_4_c7b3d275([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Status___unnamed_4_c7b3d275(x)} home_Status___unnamed_4_c7b3d275(Status___unnamed_4_c7b3d275(x)) == x);
+axiom (forall x:ptr :: {home_Status___unnamed_4_c7b3d275(x)} Status___unnamed_4_c7b3d275(home_Status___unnamed_4_c7b3d275(x)) == x);
+axiom (forall x:ptr :: {Status___unnamed_4_c7b3d275(x)} Status___unnamed_4_c7b3d275(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home_Status___unnamed_4_c7b3d275(x)} home_Status___unnamed_4_c7b3d275(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Status___unnamed_4_c7b3d275(S))} In(x, _S_Status___unnamed_4_c7b3d275(S)) ==> In(home_Status___unnamed_4_c7b3d275(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Status___unnamed_4_c7b3d275(S))} In(x, _S_home_Status___unnamed_4_c7b3d275(S)) ==> In(Status___unnamed_4_c7b3d275(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Status___unnamed_4_c7b3d275(S)} In(x, S) ==> In(Status___unnamed_4_c7b3d275(x), _S_Status___unnamed_4_c7b3d275(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Status___unnamed_4_c7b3d275(S)} In(x, S) ==> In(home_Status___unnamed_4_c7b3d275(x), _S_home_Status___unnamed_4_c7b3d275(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home_Status___unnamed_4_c7b3d275(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home_Status___unnamed_4_c7b3d275(x));
+
+
+
+
+
+function Tail__IRP(ptr) returns (ptr);
+function home_Tail__IRP(ptr) returns (ptr);
+function _S_Tail__IRP([ptr]bool) returns ([ptr]bool);
+function _S_home_Tail__IRP([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {Tail__IRP(x)} home_Tail__IRP(Tail__IRP(x)) == x);
+axiom (forall x:ptr :: {home_Tail__IRP(x)} Tail__IRP(home_Tail__IRP(x)) == x);
+axiom (forall x:ptr :: {Tail__IRP(x)} Tail__IRP(x) == Ptr(Obj(x), Off(x) + 64));
+axiom (forall x:ptr :: {home_Tail__IRP(x)} home_Tail__IRP(x) == Ptr(Obj(x), Off(x) - 64));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_Tail__IRP(S))} In(x, _S_Tail__IRP(S)) ==> In(home_Tail__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home_Tail__IRP(S))} In(x, _S_home_Tail__IRP(S)) ==> In(Tail__IRP(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_Tail__IRP(S)} In(x, S) ==> In(Tail__IRP(x), _S_Tail__IRP(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home_Tail__IRP(S)} In(x, S) ==> In(home_Tail__IRP(x), _S_home_Tail__IRP(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,64), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,64), 1) == home_Tail__IRP(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,64))} MINUS_LEFT_PTR(x, 1, Ptr(null,64)) == home_Tail__IRP(x));
+
+
+
+
+
+function __unnamed_12_003c1454___unnamed_40_6ef75b20(ptr) returns (ptr);
+function home___unnamed_12_003c1454___unnamed_40_6ef75b20(ptr) returns (ptr);
+function _S___unnamed_12_003c1454___unnamed_40_6ef75b20([ptr]bool) returns ([ptr]bool);
+function _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {__unnamed_12_003c1454___unnamed_40_6ef75b20(x)} home___unnamed_12_003c1454___unnamed_40_6ef75b20(__unnamed_12_003c1454___unnamed_40_6ef75b20(x)) == x);
+axiom (forall x:ptr :: {home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)} __unnamed_12_003c1454___unnamed_40_6ef75b20(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)) == x);
+axiom (forall x:ptr :: {__unnamed_12_003c1454___unnamed_40_6ef75b20(x)} __unnamed_12_003c1454___unnamed_40_6ef75b20(x) == Ptr(Obj(x), Off(x) + 24));
+axiom (forall x:ptr :: {home___unnamed_12_003c1454___unnamed_40_6ef75b20(x)} home___unnamed_12_003c1454___unnamed_40_6ef75b20(x) == Ptr(Obj(x), Off(x) - 24));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S))} In(x, _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)) ==> In(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S))} In(x, _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)) ==> In(__unnamed_12_003c1454___unnamed_40_6ef75b20(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)} In(x, S) ==> In(__unnamed_12_003c1454___unnamed_40_6ef75b20(x), _S___unnamed_12_003c1454___unnamed_40_6ef75b20(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)} In(x, S) ==> In(home___unnamed_12_003c1454___unnamed_40_6ef75b20(x), _S_home___unnamed_12_003c1454___unnamed_40_6ef75b20(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,24), 1) == home___unnamed_12_003c1454___unnamed_40_6ef75b20(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,24))} MINUS_LEFT_PTR(x, 1, Ptr(null,24)) == home___unnamed_12_003c1454___unnamed_40_6ef75b20(x));
+
+
+
+
+
+function __unnamed_4_c7b3d275__IO_STATUS_BLOCK(ptr) returns (ptr);
+function home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(ptr) returns (ptr);
+function _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
+function _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)) == x);
+axiom (forall x:ptr :: {home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} __unnamed_4_c7b3d275__IO_STATUS_BLOCK(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)) == x);
+axiom (forall x:ptr :: {__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} __unnamed_4_c7b3d275__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x)} home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S))} In(x, _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)) ==> In(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S))} In(x, _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)) ==> In(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)} In(x, S) ==> In(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), _S___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)} In(x, S) ==> In(home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x), _S_home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home___unnamed_4_c7b3d275__IO_STATUS_BLOCK(x));
+
+
+
+
+
+function __unnamed_4_f80453a0___unnamed_12_003c1454(ptr) returns (ptr);
+function home___unnamed_4_f80453a0___unnamed_12_003c1454(ptr) returns (ptr);
+function _S___unnamed_4_f80453a0___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
+function _S_home___unnamed_4_f80453a0___unnamed_12_003c1454([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {__unnamed_4_f80453a0___unnamed_12_003c1454(x)} home___unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_4_f80453a0___unnamed_12_003c1454(x)) == x);
+axiom (forall x:ptr :: {home___unnamed_4_f80453a0___unnamed_12_003c1454(x)} __unnamed_4_f80453a0___unnamed_12_003c1454(home___unnamed_4_f80453a0___unnamed_12_003c1454(x)) == x);
+axiom (forall x:ptr :: {__unnamed_4_f80453a0___unnamed_12_003c1454(x)} __unnamed_4_f80453a0___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) + 8));
+axiom (forall x:ptr :: {home___unnamed_4_f80453a0___unnamed_12_003c1454(x)} home___unnamed_4_f80453a0___unnamed_12_003c1454(x) == Ptr(Obj(x), Off(x) - 8));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_4_f80453a0___unnamed_12_003c1454(S))} In(x, _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)) ==> In(home___unnamed_4_f80453a0___unnamed_12_003c1454(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S))} In(x, _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)) ==> In(__unnamed_4_f80453a0___unnamed_12_003c1454(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)} In(x, S) ==> In(__unnamed_4_f80453a0___unnamed_12_003c1454(x), _S___unnamed_4_f80453a0___unnamed_12_003c1454(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)} In(x, S) ==> In(home___unnamed_4_f80453a0___unnamed_12_003c1454(x), _S_home___unnamed_4_f80453a0___unnamed_12_003c1454(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,8), 1) == home___unnamed_4_f80453a0___unnamed_12_003c1454(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,8))} MINUS_LEFT_PTR(x, 1, Ptr(null,8)) == home___unnamed_4_f80453a0___unnamed_12_003c1454(x));
+
+
+
+
+
+function __unnamed_8_34582070__LARGE_INTEGER(ptr) returns (ptr);
+function home___unnamed_8_34582070__LARGE_INTEGER(ptr) returns (ptr);
+function _S___unnamed_8_34582070__LARGE_INTEGER([ptr]bool) returns ([ptr]bool);
+function _S_home___unnamed_8_34582070__LARGE_INTEGER([ptr]bool) returns ([ptr]bool);
+
+axiom (forall x:ptr :: {__unnamed_8_34582070__LARGE_INTEGER(x)} home___unnamed_8_34582070__LARGE_INTEGER(__unnamed_8_34582070__LARGE_INTEGER(x)) == x);
+axiom (forall x:ptr :: {home___unnamed_8_34582070__LARGE_INTEGER(x)} __unnamed_8_34582070__LARGE_INTEGER(home___unnamed_8_34582070__LARGE_INTEGER(x)) == x);
+axiom (forall x:ptr :: {__unnamed_8_34582070__LARGE_INTEGER(x)} __unnamed_8_34582070__LARGE_INTEGER(x) == Ptr(Obj(x), Off(x) + 0));
+axiom (forall x:ptr :: {home___unnamed_8_34582070__LARGE_INTEGER(x)} home___unnamed_8_34582070__LARGE_INTEGER(x) == Ptr(Obj(x), Off(x) - 0));
+
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S___unnamed_8_34582070__LARGE_INTEGER(S))} In(x, _S___unnamed_8_34582070__LARGE_INTEGER(S)) ==> In(home___unnamed_8_34582070__LARGE_INTEGER(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, _S_home___unnamed_8_34582070__LARGE_INTEGER(S))} In(x, _S_home___unnamed_8_34582070__LARGE_INTEGER(S)) ==> In(__unnamed_8_34582070__LARGE_INTEGER(x), S));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S___unnamed_8_34582070__LARGE_INTEGER(S)} In(x, S) ==> In(__unnamed_8_34582070__LARGE_INTEGER(x), _S___unnamed_8_34582070__LARGE_INTEGER(S)));
+axiom (forall x:ptr, S:[ptr]bool :: {In(x, S), _S_home___unnamed_8_34582070__LARGE_INTEGER(S)} In(x, S) ==> In(home___unnamed_8_34582070__LARGE_INTEGER(x), _S_home___unnamed_8_34582070__LARGE_INTEGER(S)));
+
+axiom (forall x:ptr :: {MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1)} MINUS_BOTH_PTR_OR_BOTH_INT(x, Ptr(null,0), 1) == home___unnamed_8_34582070__LARGE_INTEGER(x));
+axiom (forall x:ptr :: {MINUS_LEFT_PTR(x, 1, Ptr(null,0))} MINUS_LEFT_PTR(x, 1, Ptr(null,0)) == home___unnamed_8_34582070__LARGE_INTEGER(x));
+
+
+
+// Axiom for null constraint
+//modifying to make the signature match with old BSConstraint that constrains Mem
+function BSConstraint
+(
+ BS:[ptr]bool,
+ Mem:[ptr]ptr
+) returns (bool);
+
+axiom (
+ forall
+ BS:[ptr]bool, Mem:[ptr]ptr :: {BSConstraint(BS,Mem)}
+
+ BSConstraint(BS,Mem)
+ ==>
+ (
+ (forall i:int :: {Ptr(null,i)} BS[Ptr(null,i)])
+/*
+ &&
+
+ (forall a:ptr :: {BS[a]} Element(a))
+*/
+ )
+);
+procedure __delBS(a:ptr);
+requires(BS[a]);
+modifies BS;
+ensures(forall x:ptr :: {BS[x]} x == a || (old(BS)[x] <==> BS[x]));
+ensures(!BS[a]);
+
+procedure __addBS(a:ptr);
+requires(!BS[a]);
+modifies BS;
+ensures(forall x:ptr :: {BS[x]} x == a || (old(BS)[x] <==> BS[x]));
+ensures(BS[a]);
+
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:ptr, b:ptr, size:int) returns (ptr);
+axiom(forall a:ptr, b:ptr, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+(Obj(a) == Obj(b) ==> Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == null && size * Off(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Off(a) - Off(b))
+&&
+(Obj(b) == null && size == 1 ==> Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(a) && Off(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Off(a) - Off(b))
+&&
+(Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == null || Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(a) || Obj(MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)) == Obj(b))
+);
+
+function MINUS_LEFT_PTR(a:ptr, a_size:int, b:ptr) returns (ptr);
+axiom(forall a:ptr, a_size:int, b:ptr :: {MINUS_LEFT_PTR(a,a_size,b)}
+(Obj(b) == null ==> Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(a) && Off(MINUS_LEFT_PTR(a,a_size,b)) == Off(a) - a_size * Off(b))
+&&
+(Obj(a) == Obj(b) && a_size == 1 ==> Obj(MINUS_LEFT_PTR(a,a_size,b)) == null && Off(MINUS_LEFT_PTR(a,a_size,b)) == Off(a) - Off(b))
+&&
+(Obj(MINUS_LEFT_PTR(a,a_size,b)) == null || Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(a) || Obj(MINUS_LEFT_PTR(a,a_size,b)) == Obj(b))
+);
+
+function PLUS(a:ptr, a_size:int, b:ptr) returns (ptr);
+axiom(forall a:ptr, a_size:int, b:ptr :: {PLUS(a,a_size,b)}
+(Obj(b) == null ==> Obj(PLUS(a,a_size,b)) == Obj(a) && Off(PLUS(a,a_size,b)) == Off(a) + a_size * Off(b))
+&&
+(Obj(a) == null && a_size == 1 ==> Obj(PLUS(a,a_size,b)) == Obj(b) && Off(PLUS(a,a_size,b)) == Off(a) + Off(b))
+&&
+(Obj(PLUS(a,a_size,b)) == null || Obj(PLUS(a,a_size,b)) == Obj(a) || Obj(PLUS(a,a_size,b)) == Obj(b))
+);
+
+function MULT(a:ptr, b:ptr) returns (ptr);
+axiom(forall a:ptr, b:ptr :: {MULT(a,b)} Obj(MULT(a,b)) == null);
+
+function BINARY_BOTH_INT(a:ptr, b:ptr) returns (ptr);
+axiom(forall a:ptr, b:ptr :: {BINARY_BOTH_INT(a,b)} Obj(BINARY_BOTH_INT(a,b)) == null);
+
+function POW2(a:ptr) returns (bool);
+axiom POW2(Ptr(null,1));
+axiom POW2(Ptr(null,2));
+axiom POW2(Ptr(null,4));
+axiom POW2(Ptr(null,8));
+axiom POW2(Ptr(null,16));
+axiom POW2(Ptr(null,32));
+axiom POW2(Ptr(null,64));
+axiom POW2(Ptr(null,128));
+axiom POW2(Ptr(null,256));
+axiom POW2(Ptr(null,512));
+axiom POW2(Ptr(null,1024));
+axiom POW2(Ptr(null,2048));
+axiom POW2(Ptr(null,4096));
+axiom POW2(Ptr(null,8192));
+axiom POW2(Ptr(null,16384));
+axiom POW2(Ptr(null,32768));
+axiom POW2(Ptr(null,65536));
+axiom POW2(Ptr(null,131072));
+axiom POW2(Ptr(null,262144));
+axiom POW2(Ptr(null,524288));
+axiom POW2(Ptr(null,1048576));
+axiom POW2(Ptr(null,2097152));
+axiom POW2(Ptr(null,4194304));
+axiom POW2(Ptr(null,8388608));
+axiom POW2(Ptr(null,16777216));
+axiom POW2(Ptr(null,33554432));
+
+axiom (forall n:int, m:int :: {Ptr(null,n),POW2(Ptr(null,m))} POW2(Ptr(null,m)) && m < n && n < 2*m ==> !POW2(Ptr(null,n)));
+
+function choose(a:bool, b:ptr, c:ptr) returns (x:ptr);
+axiom(forall a:bool, b:ptr, c:ptr :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:ptr, c:ptr :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:ptr, b:ptr) returns (x:ptr);
+axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} Obj(BIT_BAND(a,b)) == null || Obj(BIT_BAND(a,b)) == Obj(a) || Obj(BIT_BAND(a,b)) == Obj(b));
+axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == Ptr(null,0));
+axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == Ptr(null,0) || b == Ptr(null,0) ==> BIT_BAND(a,b) == Ptr(null,0));
+axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,b),c)} BIT_BAND(BIT_BAND(a,b),c) == c <==> BIT_BAND(a,c) == c && BIT_BAND(b,c) == c);
+
+function BIT_BOR(a:ptr, b:ptr) returns (x:ptr);
+axiom(forall a:ptr, b:ptr :: {BIT_BOR(a,b)} Obj(BIT_BOR(a,b)) == null || Obj(BIT_BOR(a,b)) == Obj(a) || Obj(BIT_BOR(a,b)) == Obj(b));
+axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BOR(a,b),c)} BIT_BAND(a,c) != Ptr(null,0) || BIT_BAND(b,c) != Ptr(null,0) <==> BIT_BAND(BIT_BOR(a,b),c) != Ptr(null,0));
+axiom(forall n:int, m:int :: {POW2(Ptr(null,n)), POW2(Ptr(null,m))} n > 0 && POW2(Ptr(null,m)) && m < n && 2*m > n ==>
+ Ptr(null, n) == BIT_BOR(Ptr(null, m), Ptr(null, n - m)));
+
+
+function BIT_BXOR(a:ptr, b:ptr) returns (x:ptr);
+axiom(forall a:ptr, b:ptr :: {BIT_BXOR(a,b)} Obj(BIT_BXOR(a,b)) == null || Obj(BIT_BXOR(a,b)) == Obj(a) || Obj(BIT_BXOR(a,b)) == Obj(b));
+
+function BIT_BNOT(a:ptr) returns (ptr);
+axiom(forall a:ptr, b:ptr :: {BIT_BAND(a,b)} a == BIT_BNOT(b) || b == BIT_BNOT(a) ==> BIT_BAND(a,b) == Ptr(null,0));
+axiom(forall a:ptr, b:ptr :: {BIT_BNOT(BIT_BOR(a,b))} BIT_BNOT(BIT_BOR(a,b)) == BIT_BAND(BIT_BNOT(a),BIT_BNOT(b)));
+axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,b),c)} a == BIT_BNOT(c) || b == BIT_BNOT(c) ==> BIT_BAND(BIT_BAND(a,b),c) == Ptr(null,0));
+axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(BIT_BNOT(a),b),c)} POW2(c) && POW2(a) && c != a ==>
+ (BIT_BAND(b,c) != Ptr(null,0) <==> BIT_BAND(BIT_BAND(BIT_BNOT(a),b),c) != Ptr(null,0)));
+axiom(forall a:ptr, b:ptr, c:ptr :: {BIT_BAND(BIT_BAND(a,BIT_BNOT(b)),c)} POW2(c) && POW2(b) && c != b ==>
+ (BIT_BAND(a,c) != Ptr(null,0) <==> BIT_BAND(BIT_BAND(a,BIT_BNOT(b)),c) != Ptr(null,0)));
+
+
+function LIFT(a:bool) returns (ptr);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != Ptr(null,0));
+axiom(forall a:bool :: {LIFT(a)} Obj(LIFT(a)) == null); // need to show T_char(LIFT(a))
+
+function NOT(a:ptr) returns (ptr);
+axiom(forall a:ptr :: {NOT(a)} a == Ptr(null,0) ==> NOT(a) != Ptr(null,0));
+axiom(forall a:ptr :: {NOT(a)} a != Ptr(null,0) ==> NOT(a) == Ptr(null,0));
+
+function NULL_CHECK(a:ptr) returns (ptr);
+axiom(forall a:ptr :: {NULL_CHECK(a)} a == Ptr(null,0) ==> NULL_CHECK(a) != Ptr(null,0));
+axiom(forall a:ptr :: {NULL_CHECK(a)} a != Ptr(null,0) ==> NULL_CHECK(a) == Ptr(null,0));
+
+
+function FreshObj(alloc:[ref]name, old_alloc:[ref]name, p: ptr) returns (bool);
+axiom(forall alloc:[ref]name, old_alloc:[ref]name, p: ptr :: {FreshObj(alloc, old_alloc, p)}
+ FreshObj(alloc, old_alloc, p) <==> alloc[Obj(p)] == ALLOCATED && old_alloc[Obj(p)] == UNALLOCATED
+);
+
+
+procedure nondet_choice() returns (x:ptr);
+ensures (Obj(x) == null);
+
+procedure CreateMutexA$12 (a0:ptr, a1:ptr, a2:ptr) returns (new:ptr);
+modifies alloc;
+ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
+ensures (Size(Obj(new)) == 1);
+ensures (Off(new) == 0);
+ensures (Obj(new) != null);
+ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
+ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
+ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
+ensures (Mem[new] == Ptr(null,0));
+
+procedure WaitForSingleObject$8 (lock :ptr, wait:ptr) returns (status:ptr);
+modifies Mem;
+ensures (forall x:ptr :: {Mem[x]} x == lock || old(Mem)[x] == Mem[x]);
+ensures (old(Mem)[lock] == Ptr(null,0) && Mem[lock] == Ptr(null,1));
+
+procedure ReleaseMutex$4 (lock:ptr) returns (status:ptr);
+modifies Mem;
+ensures (forall x:ptr :: {Mem[x]} x == lock || old(Mem)[x] == Mem[x]);
+ensures (old(Mem)[lock] == Ptr(null,1) && Mem[lock] == Ptr(null,0));
+
+
+
+procedure havoc_assert(i:ptr);
+requires (i != Ptr(null, 0));
+
+procedure havoc_assume(i:ptr);
+ensures (i != Ptr(null, 0));
+
+
+procedure __HAVOC_free(a:ptr);
+modifies alloc;
+//requires (alloc[Obj(a)] == ALLOCATED);
+//requires (Off(a) == 0);
+ensures (alloc[Obj(a)] != UNALLOCATED);
+ensures (alloc[Obj(a)] != ALLOCATED);
+ensures (forall x_obj:ref :: {alloc[x_obj]} Obj(a) == x_obj || old(alloc)[x_obj] == alloc[x_obj]);
+
+procedure __HAVOC_malloc_heap(obj_size:ptr) returns (new:ptr);
+modifies alloc;
+ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
+ensures (Size(Obj(new)) == Off(obj_size));
+ensures (Off(new) == 0);
+ensures (Obj(new) != null);
+ensures (IsHeap(Obj(new)));
+ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
+ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
+ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
+
+
+procedure __HAVOC_malloc_stack(obj_size:ptr) returns (new:ptr);
+modifies alloc;
+ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
+ensures (Size(Obj(new)) == Off(obj_size));
+ensures (Off(new) == 0);
+ensures (Obj(new) != null);
+ensures (!IsHeap(Obj(new)));
+ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
+ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
+ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
+
+procedure _strdup(str:ptr) returns (new:ptr);
+modifies alloc;
+ensures (old(alloc)[Obj(new)] == UNALLOCATED && alloc[Obj(new)] == ALLOCATED);
+ensures (Off(new) == 0);
+ensures (Obj(new) != null);
+ensures (forall i:int :: BS[Ptr(Obj(new), i)]);
+ensures (forall i:int :: Obj(Mem[Ptr(Obj(new), i)]) == null);
+ensures (forall x_obj:ref :: {alloc[x_obj]} x_obj == Obj(new) || old(alloc)[x_obj] == alloc[x_obj]);
+
+procedure _xstrcasecmp(a0:ptr, a1:ptr) returns (ret:ptr);
+
+procedure _xstrcmp(a0:ptr, a1:ptr) returns (ret:ptr);
+var Mem_ByteCapacity__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_ByteOffset___unnamed_16_39e6661e:[ptr]ptr;
+var Mem_BytesPerSector__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_CHAR:[ptr]ptr;
+var Mem_CancelRoutine__IRP:[ptr]ptr;
+var Mem_Cancel__IRP:[ptr]ptr;
+var Mem_Control__IO_STACK_LOCATION:[ptr]ptr;
+var Mem_CurrentStackLocation___unnamed_4_f80453a0:[ptr]ptr;
+var Mem_DeviceExtension__DEVICE_OBJECT:[ptr]ptr;
+var Mem_DeviceObject__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_FUNCTION:[ptr]ptr;
+var Mem_FlCancelSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_HoldNewReqMutex__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_HoldNewRequests__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_INT4:[ptr]ptr;
+var Mem_Information__IO_STATUS_BLOCK:[ptr]ptr;
+var Mem_IoStatus__IRP:[ptr]ptr;
+var Mem_IsRemoved__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_IsStarted__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_Length___unnamed_16_39e6661e:[ptr]ptr;
+var Mem_ListEntry___unnamed_12_003c1454:[ptr]ptr;
+var Mem_ListSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_LowPart___unnamed_8_34582070:[ptr]ptr;
+var Mem_MediaType__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_NewRequestQueue__DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_Overlay___unnamed_48_c27ef811:[ptr]ptr;
+var Mem_PCHAR:[ptr]ptr;
+var Mem_PFUNCTION:[ptr]ptr;
+var Mem_PPFUNCTION:[ptr]ptr;
+var Mem_PUINT4:[ptr]ptr;
+var Mem_PVOID:[ptr]ptr;
+var Mem_P_DISKETTE_EXTENSION:[ptr]ptr;
+var Mem_P_FAST_MUTEX:[ptr]ptr;
+var Mem_P_IO_STACK_LOCATION:[ptr]ptr;
+var Mem_P_LIST_ENTRY:[ptr]ptr;
+var Mem_Parameters__IO_STACK_LOCATION:[ptr]ptr;
+var Mem_Read___unnamed_16_c0f0e7de:[ptr]ptr;
+var Mem_Status___unnamed_4_c7b3d275:[ptr]ptr;
+var Mem_Tail__IRP:[ptr]ptr;
+var Mem_UCHAR:[ptr]ptr;
+var Mem_UINT4:[ptr]ptr;
+var Mem___unnamed_12_003c1454___unnamed_40_6ef75b20:[ptr]ptr;
+var Mem___unnamed_4_c7b3d275__IO_STATUS_BLOCK:[ptr]ptr;
+var Mem___unnamed_4_f80453a0___unnamed_12_003c1454:[ptr]ptr;
+var Mem___unnamed_8_34582070__LARGE_INTEGER:[ptr]ptr;
+
+var Res_IRQL:[ptr]ptr;
+var Res_SPINLOCK:[ptr]ptr;
+var Res_SPINLOCK_IRQL:[ptr]ptr;
+
+
+
+const unique DriverEntry : ptr;
+const unique DriverEntry_ref : ref;
+const unique FloppyCancelQueuedRequest : ptr;
+const unique FloppyCancelQueuedRequest_ref : ref;
+var FloppyDebugLevel : ptr;
+var PagingMutex : ptr;
+var PagingReferenceCount : ptr;
+const {:existential true} $FloppyQueueRequest$pre$0 : bool;
+const {:existential true} $FloppyQueueRequest$pre$1 : bool;
+const {:existential true} $FloppyQueueRequest$pre$2 : bool;
+const {:existential true} $FloppyQueueRequest$pre$3 : bool;
+const {:existential true} $FloppyQueueRequest$post$12 : bool;
+const {:existential true} $FloppyQueueRequest$post$13 : bool;
+const {:existential true} $FloppyQueueRequest$post$14 : bool;
+const {:existential true} $FloppyQueueRequest$post$15 : bool;
+const {:existential true} $FloppyQueueRequest$mod$16 : bool;
+const {:existential true} $FloppyQueueRequest$mod$17 : bool;
+const {:existential true} $FloppyQueueRequest$mod$18 : bool;
+const {:existential true} $FloppyQueueRequest$mod$19 : bool;
+const {:existential true} $FloppyQueueRequest$mod$20 : bool;
+const {:existential true} $FloppyQueueRequest$mod$21 : bool;
+const {:existential true} $FloppyReadWrite$pre$42 : bool;
+const {:existential true} $FloppyReadWrite$pre$43 : bool;
+const {:existential true} $FloppyReadWrite$pre$44 : bool;
+const {:existential true} $FloppyReadWrite$pre$45 : bool;
+const {:existential true} $FloppyReadWrite$pre$46 : bool;
+const {:existential true} $FloppyReadWrite$post$57 : bool;
+const {:existential true} $FloppyReadWrite$post$58 : bool;
+const {:existential true} $FloppyReadWrite$post$59 : bool;
+const {:existential true} $FloppyReadWrite$post$60 : bool;
+const {:existential true} $FloppyReadWrite$post$61 : bool;
+const {:existential true} $FloppyReadWrite$mod$62 : bool;
+const {:existential true} $FloppyReadWrite$mod$63 : bool;
+const {:existential true} $FloppyReadWrite$mod$64 : bool;
+const {:existential true} $FloppyReadWrite$mod$65 : bool;
+const {:existential true} $FloppyReadWrite$mod$66 : bool;
+const {:existential true} $FloppyReadWrite$mod$67 : bool;
+
+
+procedure ExAcquireFastMutex ( a0:ptr) ;
+
+
+procedure ExReleaseFastMutex ( a0:ptr) ;
+
+
+procedure ExfInterlockedInsertTailList ( a0:ptr, a1:ptr, a2:ptr) returns (ret:ptr);
+
+
+procedure FlQueueIrpToThread ( Irp$21:ptr, DisketteExtension$11:ptr) returns ( $result.FlQueueIrpToThread$861.0$1$:ptr) ;
+
+
+
+
+
+procedure IofCompleteRequest ( a0:ptr, a1:ptr) ;
+
+
+procedure KfAcquireSpinLock ( SpinLock1:ptr) returns ( $result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4:ptr) ;
+
+//TAG: requires __resource("SPINLOCK", SpinLock) == 0
+requires(Res_SPINLOCK[SpinLock1] == Ptr(null, 0));
+//TAG: ensures __resource("SPINLOCK", SpinLock) == 1
+ensures(Res_SPINLOCK[SpinLock1] == Ptr(null, 1));
+//TAG: ensures __resource("SPINLOCK_IRQL", SpinLock) == __return
+ensures(Res_SPINLOCK_IRQL[SpinLock1] == $result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4);
+//TAG: ensures __global_resource("IRQL") == 2
+ensures(Res_IRQL[Ptr(null,1)] == Ptr(null, 2));
+//TAG: ensures __return == __old_global_resource("IRQL")
+ensures($result.__prototypewdm_KfAcquireSpinLock$92.0$1$__prototypewdm_KfAcquireSpinLock$4 == old(Res_IRQL)[Ptr(null,1)]);
+
+modifies Res_IRQL;
+ensures(forall r:ptr :: {Res_IRQL[r]} (Ptr(null, 1) == r) || Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK;
+//TAG: net change in resource SPINLOCK only for: SpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK[r]} (SpinLock1 == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK_IRQL;
+//TAG: net change in resource SPINLOCK_IRQL only for: SpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (SpinLock1 == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+
+
+
+procedure KfReleaseSpinLock ( SpinLock$11:ptr, NewIrql1:ptr);
+
+//TAG: requires __global_resource("IRQL") == 2
+requires(Res_IRQL[Ptr(null,1)] == Ptr(null, 2));
+//TAG: requires __resource("SPINLOCK", SpinLock) == 1
+requires(Res_SPINLOCK[SpinLock$11] == Ptr(null, 1));
+//TAG: requires __resource("SPINLOCK_IRQL", SpinLock) == NewIrql
+requires(Res_SPINLOCK_IRQL[SpinLock$11] == NewIrql1);
+//TAG: ensures __resource("SPINLOCK", SpinLock) == 0
+ensures(Res_SPINLOCK[SpinLock$11] == Ptr(null, 0));
+//TAG: ensures __global_resource("IRQL") == NewIrql
+ensures(Res_IRQL[Ptr(null,1)] == NewIrql1);
+
+modifies Res_IRQL;
+ensures(forall r:ptr :: {Res_IRQL[r]} (Ptr(null, 1) == r) || Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK;
+//TAG: net change in resource SPINLOCK only for: SpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK[r]} (SpinLock$11 == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+
+
+
+procedure MmPageEntireDriver ( a0:ptr) returns (ret:ptr);
+
+
+procedure MmResetDriverPaging ( a0:ptr) ;
+
+
+procedure FloppyQueueRequest ( DisketteExtension1:ptr, Irp1:ptr) returns ( $result.FloppyQueueRequest$5780.0$1$:ptr)
+
+//TAG: requires $FloppyQueueRequest$pre$0 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->ListSpinLock) == 0)
+requires($FloppyQueueRequest$pre$0 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: requires $FloppyQueueRequest$pre$1 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->FlCancelSpinLock) == 0)
+requires($FloppyQueueRequest$pre$1 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: requires $FloppyQueueRequest$pre$2 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->NewRequestQueueSpinLock) == 0)
+requires($FloppyQueueRequest$pre$2 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: requires $FloppyQueueRequest$pre$3 || (1 ==> ((DISKETTE_EXTENSION *)DeviceExtension)->DeviceObject->DeviceExtension == DeviceExtension)
+requires($FloppyQueueRequest$pre$3 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(DisketteExtension1)])] == DisketteExtension1)));
+//TAG: ensures $FloppyQueueRequest$post$12 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->ListSpinLock) == 0)
+ensures($FloppyQueueRequest$post$12 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: ensures $FloppyQueueRequest$post$13 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->FlCancelSpinLock) == 0)
+ensures($FloppyQueueRequest$post$13 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: ensures $FloppyQueueRequest$post$14 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)DeviceExtension)->NewRequestQueueSpinLock) == 0)
+ensures($FloppyQueueRequest$post$14 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1)] == Ptr(null, 0))));
+//TAG: ensures $FloppyQueueRequest$post$15 || (1 ==> ((DISKETTE_EXTENSION *)DeviceExtension)->DeviceObject->DeviceExtension == DeviceExtension)
+ensures($FloppyQueueRequest$post$15 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(DisketteExtension1)])] == DisketteExtension1)));
+modifies alloc;
+free ensures(forall f:ref :: {alloc[f]} old(alloc)[f] != UNALLOCATED ==> alloc[f] == old(alloc)[f]);
+
+modifies Res_IRQL;
+//TAG: no net change in resource IRQL
+ensures(forall r:ptr :: {Res_IRQL[r]} Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK;
+//TAG: net change in resource SPINLOCK only for: &DeviceExtension->ListSpinLock, &DeviceExtension->FlCancelSpinLock, &DeviceExtension->NewRequestQueueSpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK[r]} (!$FloppyQueueRequest$mod$16 && ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$18 && FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$20 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK_IRQL;
+//TAG: net change in resource SPINLOCK_IRQL only for: &DeviceExtension->ListSpinLock, &DeviceExtension->FlCancelSpinLock, &DeviceExtension->NewRequestQueueSpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (!$FloppyQueueRequest$mod$17 && ListSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$19 && FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || (!$FloppyQueueRequest$mod$21 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension1) == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Mem_Control__IO_STACK_LOCATION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
+free ensures(Mem_Control__IO_STACK_LOCATION[Ptr(null,0)] == old(Mem_Control__IO_STACK_LOCATION)[Ptr(null,0)]);
+modifies Mem_FUNCTION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_FUNCTION[m]} Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
+free ensures(Mem_FUNCTION[Ptr(null,0)] == old(Mem_FUNCTION)[Ptr(null,0)]);
+modifies Mem_FlCancelSpinLock__DISKETTE_EXTENSION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
+free ensures(Mem_FlCancelSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
+modifies Mem_Information__IO_STATUS_BLOCK;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
+free ensures(Mem_Information__IO_STATUS_BLOCK[Ptr(null,0)] == old(Mem_Information__IO_STATUS_BLOCK)[Ptr(null,0)]);
+modifies Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
+free ensures(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
+modifies Mem_Status___unnamed_4_c7b3d275;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
+free ensures(Mem_Status___unnamed_4_c7b3d275[Ptr(null,0)] == old(Mem_Status___unnamed_4_c7b3d275)[Ptr(null,0)]);
+modifies Mem_UINT4;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_UINT4[m]} Mem_UINT4[m] == old(Mem_UINT4)[m]);
+free ensures(Mem_UINT4[Ptr(null,0)] == old(Mem_UINT4)[Ptr(null,0)]);
+
+{
+var havoc_stringTemp:ptr;
+var condVal:ptr;
+var DisketteExtension : ptr;
+var Irp : ptr;
+var $RtlAssert.arg.1$3$ : ptr;
+var $RtlAssert.arg.2$2$ : ptr;
+var $_InterlockedExchange.arg.1$7$ : ptr;
+var $_InterlockedExchange.arg.1$9$ : ptr;
+var $_InterlockedExchange.arg.2$6$ : ptr;
+var $ntStatus$4$5806.24$ : ptr;
+var $oldIrql$3$5805.24$ : ptr;
+var $result.ExfInterlockedInsertTailList$5854.36$11$ : ptr;
+var $result.KfAcquireSpinLock$5825.4$4$ : ptr;
+var $result.MmPageEntireDriver$5842.8$10$ : ptr;
+var $result._InterlockedExchange$5826.4$5$ : ptr;
+var $result._InterlockedExchange$5831.26$8$ : ptr;
+var tempBoogie0:ptr;
+var tempBoogie1:ptr;
+var tempBoogie2:ptr;
+var tempBoogie3:ptr;
+var tempBoogie4:ptr;
+var tempBoogie5:ptr;
+var tempBoogie6:ptr;
+var tempBoogie7:ptr;
+var tempBoogie8:ptr;
+var tempBoogie9:ptr;
+var tempBoogie10:ptr;
+var tempBoogie11:ptr;
+var tempBoogie12:ptr;
+var tempBoogie13:ptr;
+var tempBoogie14:ptr;
+var tempBoogie15:ptr;
+var tempBoogie16:ptr;
+var tempBoogie17:ptr;
+var tempBoogie18:ptr;
+var tempBoogie19:ptr;
+
+
+start:
+
+assume (alloc[Obj(DisketteExtension1)] != UNALLOCATED);
+assume (alloc[Obj(Irp1)] != UNALLOCATED);
+DisketteExtension := Ptr(null, 0);
+Irp := Ptr(null, 0);
+$RtlAssert.arg.1$3$ := Ptr(null, 0);
+$RtlAssert.arg.2$2$ := Ptr(null, 0);
+$_InterlockedExchange.arg.1$7$ := Ptr(null, 0);
+$_InterlockedExchange.arg.1$9$ := Ptr(null, 0);
+$_InterlockedExchange.arg.2$6$ := Ptr(null, 0);
+$ntStatus$4$5806.24$ := Ptr(null, 0);
+$oldIrql$3$5805.24$ := Ptr(null, 0);
+$result.ExfInterlockedInsertTailList$5854.36$11$ := Ptr(null, 0);
+$result.KfAcquireSpinLock$5825.4$4$ := Ptr(null, 0);
+$result.MmPageEntireDriver$5842.8$10$ := Ptr(null, 0);
+$result._InterlockedExchange$5826.4$5$ := Ptr(null, 0);
+$result._InterlockedExchange$5831.26$8$ := Ptr(null, 0);
+DisketteExtension := DisketteExtension1;
+Irp := Irp1;
+$result.FloppyQueueRequest$5780.0$1$ := Ptr(null,0);
+goto label_3;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5864)
+label_1:
+assume (forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
+assume (forall m:ptr :: {Mem_FUNCTION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
+assume (forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
+assume (forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
+assume (forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
+assume (forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
+assume (forall m:ptr :: {Mem_UINT4[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_UINT4[m] == old(Mem_UINT4)[m]);
+return;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5864)
+label_2:
+assume false;
+return;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5805)
+label_3:
+goto label_4;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5806)
+label_4:
+goto label_5;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
+label_5:
+call ExAcquireFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
+goto label_8;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
+label_8:
+tempBoogie0 := Ptr(Obj(Mem_UINT4[PagingReferenceCount]), Off(Mem_UINT4[PagingReferenceCount]) + 1 * 1) ;
+Mem_UINT4[PagingReferenceCount] := tempBoogie0;
+goto label_8_true , label_8_false ;
+
+
+label_8_true :
+assume (Mem_UINT4[PagingReferenceCount] == Ptr(null, 1));
+goto label_12;
+
+
+label_8_false :
+assume !(Mem_UINT4[PagingReferenceCount] == Ptr(null, 1));
+goto label_9;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
+label_9:
+call ExReleaseFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
+goto label_15;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5811)
+label_12:
+call MmResetDriverPaging (DriverEntry);
+goto label_9;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
+label_15:
+goto label_15_true , label_15_false ;
+
+
+label_15_true :
+assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION(DisketteExtension)] != Ptr(null,0));
+goto label_17;
+
+
+label_15_false :
+assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION(DisketteExtension)] == Ptr(null,0));
+goto label_16;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
+label_16:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$RtlAssert.arg.2$2$ := havoc_stringTemp ;
+goto label_61;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5825)
+label_17:
+assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
+call $result.KfAcquireSpinLock$5825.4$4$ := KfAcquireSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension));
+Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
+goto label_20;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5825)
+label_20:
+$oldIrql$3$5805.24$ := $result.KfAcquireSpinLock$5825.4$4$ ;
+goto label_21;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
+label_21:
+$_InterlockedExchange.arg.2$6$ := FloppyCancelQueuedRequest ;
+goto label_22;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
+label_22:
+$_InterlockedExchange.arg.1$7$ := CancelRoutine__IRP(Irp) ;
+goto label_23;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5826)
+label_23:
+// ignoring intrinsic intrinsic._InterlockedExchange
+havoc $result._InterlockedExchange$5826.4$5$;
+goto label_26;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
+label_26:
+goto label_26_true , label_26_false ;
+
+
+label_26_true :
+assume (Mem_Cancel__IRP[Cancel__IRP(Irp)] != Ptr(null,0));
+goto label_28;
+
+
+label_26_false :
+assume (Mem_Cancel__IRP[Cancel__IRP(Irp)] == Ptr(null,0));
+goto label_27;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5850)
+label_27:
+Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp)))] := Ptr(null, 259) ;
+goto label_53;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
+label_28:
+$_InterlockedExchange.arg.1$9$ := CancelRoutine__IRP(Irp) ;
+goto label_29;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
+label_29:
+// ignoring intrinsic intrinsic._InterlockedExchange
+havoc $result._InterlockedExchange$5831.26$8$;
+goto label_32;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5831)
+label_32:
+goto label_32_true , label_32_false ;
+
+
+label_32_true :
+assume ($result._InterlockedExchange$5831.26$8$ != Ptr(null,0));
+goto label_33;
+
+
+label_32_false :
+assume ($result._InterlockedExchange$5831.26$8$ == Ptr(null,0));
+goto label_27;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5836)
+label_33:
+Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp)))] := Ptr(null, -1073741536) ;
+goto label_34;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5837)
+label_34:
+Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp))] := Ptr(null, 0) ;
+goto label_35;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5839)
+label_35:
+assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
+call KfReleaseSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension), $oldIrql$3$5805.24$);
+Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
+goto label_38;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5840)
+label_38:
+call IofCompleteRequest (Irp, Ptr(null, 0));
+goto label_41;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
+label_41:
+call ExAcquireFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
+goto label_44;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
+label_44:
+tempBoogie0 := Ptr(Obj(Mem_UINT4[PagingReferenceCount]), Off(Mem_UINT4[PagingReferenceCount]) - 1) ;
+Mem_UINT4[PagingReferenceCount] := tempBoogie0;
+goto label_44_true , label_44_false ;
+
+
+label_44_true :
+assume (Mem_UINT4[PagingReferenceCount] != Ptr(null,0));
+goto label_48;
+
+
+label_44_false :
+assume (Mem_UINT4[PagingReferenceCount] == Ptr(null,0));
+goto label_45;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
+label_45:
+call $result.MmPageEntireDriver$5842.8$10$ := MmPageEntireDriver (DriverEntry);
+goto label_48;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5842)
+label_48:
+call ExReleaseFastMutex (Mem_P_FAST_MUTEX[PagingMutex]);
+goto label_51;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5844)
+label_51:
+$ntStatus$4$5806.24$ := Ptr(null, -1073741536) ;
+goto label_52;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5863)
+label_52:
+$result.FloppyQueueRequest$5780.0$1$ := $ntStatus$4$5806.24$ ;
+goto label_1;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5852)
+label_53:
+tempBoogie0 := BIT_BOR(Mem_Control__IO_STACK_LOCATION[Control__IO_STACK_LOCATION(Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))))])], Ptr(null, 1)) ;
+Mem_Control__IO_STACK_LOCATION[Control__IO_STACK_LOCATION(Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))))])] := tempBoogie0 ;
+goto label_54;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5854)
+label_54:
+assume (Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
+call $result.ExfInterlockedInsertTailList$5854.36$11$ := ExfInterlockedInsertTailList (NewRequestQueue__DISKETTE_EXTENSION(DisketteExtension), ListEntry___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp)))), NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension));
+Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[NewRequestQueueSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
+goto label_57;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5858)
+label_57:
+assume (Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] == Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)]);
+call KfReleaseSpinLock (FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension), $oldIrql$3$5805.24$);
+Mem_FlCancelSpinLock__DISKETTE_EXTENSION[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)] := Mem_UINT4[FlCancelSpinLock__DISKETTE_EXTENSION(DisketteExtension)];
+goto label_60;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5860)
+label_60:
+$ntStatus$4$5806.24$ := Ptr(null, 259) ;
+goto label_52;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
+label_61:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$RtlAssert.arg.1$3$ := havoc_stringTemp ;
+goto label_62;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(5816)
+label_62:
+// skip RtlAssert
+goto label_17;
+
+}
+
+
+
+procedure FloppyReadWrite ( DeviceObject1:ptr, Irp$11:ptr) returns ( $result.FloppyReadWrite$2203.0$1$:ptr)
+
+//TAG: requires $FloppyReadWrite$pre$42 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock) == 0)
+requires($FloppyReadWrite$pre$42 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: requires $FloppyReadWrite$pre$43 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock) == 0)
+requires($FloppyReadWrite$pre$43 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: requires $FloppyReadWrite$pre$44 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock) == 0)
+requires($FloppyReadWrite$pre$44 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: requires $FloppyReadWrite$pre$45 || (1 ==> ((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject->DeviceExtension == (DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))
+requires($FloppyReadWrite$pre$45 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])])] == Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])));
+//TAG: requires $FloppyReadWrite$pre$46 || (((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject == DeviceObject)
+requires($FloppyReadWrite$pre$46 || (Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == DeviceObject1));
+//TAG: ensures $FloppyReadWrite$post$57 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock) == 0)
+ensures($FloppyReadWrite$post$57 || ((true) ==> (Res_SPINLOCK[ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: ensures $FloppyReadWrite$post$58 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock) == 0)
+ensures($FloppyReadWrite$post$58 || ((true) ==> (Res_SPINLOCK[FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: ensures $FloppyReadWrite$post$59 || (1 ==> __resource("SPINLOCK", &((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock) == 0)
+ensures($FloppyReadWrite$post$59 || ((true) ==> (Res_SPINLOCK[NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == Ptr(null, 0))));
+//TAG: ensures $FloppyReadWrite$post$60 || (1 ==> ((DISKETTE_EXTENSION *)(DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject->DeviceExtension == (DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))
+ensures($FloppyReadWrite$post$60 || ((true) ==> (Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])])] == Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])));
+//TAG: ensures $FloppyReadWrite$post$61 || (((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->DeviceObject == DeviceObject)
+ensures($FloppyReadWrite$post$61 || (Mem_DeviceObject__DISKETTE_EXTENSION[DeviceObject__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)])] == DeviceObject1));
+modifies alloc;
+free ensures(forall f:ref :: {alloc[f]} old(alloc)[f] != UNALLOCATED ==> alloc[f] == old(alloc)[f]);
+
+modifies Res_IRQL;
+//TAG: no net change in resource IRQL
+ensures(forall r:ptr :: {Res_IRQL[r]} Off(old(Res_IRQL)[r]) == Off(Res_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_IRQL[__x]} Obj(Res_IRQL[__x]) == null && Off(Res_IRQL[__x]) >= 0));
+free requires (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK;
+//TAG: net change in resource SPINLOCK only for: &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK[r]} (!$FloppyReadWrite$mod$62 && ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$64 && FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$66 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || Off(old(Res_SPINLOCK)[r]) == Off(Res_SPINLOCK[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK[__x]} Obj(Res_SPINLOCK[__x]) == null && Off(Res_SPINLOCK[__x]) >= 0));
+free requires (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK[Ptr(null,0)] == Ptr(null,0));
+modifies Res_SPINLOCK_IRQL;
+//TAG: net change in resource SPINLOCK_IRQL only for: &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->ListSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->FlCancelSpinLock, &((DISKETTE_EXTENSION *)(DeviceObject->DeviceExtension))->NewRequestQueueSpinLock
+ensures(forall r:ptr :: {Res_SPINLOCK_IRQL[r]} (!$FloppyReadWrite$mod$63 && ListSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$65 && FlCancelSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || (!$FloppyReadWrite$mod$67 && NewRequestQueueSpinLock__DISKETTE_EXTENSION(Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject1)]) == r) || Off(old(Res_SPINLOCK_IRQL)[r]) == Off(Res_SPINLOCK_IRQL[r]));
+free requires ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free ensures ((forall __x:ptr :: {Res_SPINLOCK_IRQL[__x]} Obj(Res_SPINLOCK_IRQL[__x]) == null && Off(Res_SPINLOCK_IRQL[__x]) >= 0));
+free requires (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+free ensures (Res_SPINLOCK_IRQL[Ptr(null,0)] == Ptr(null,0));
+modifies Mem_Control__IO_STACK_LOCATION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
+free ensures(Mem_Control__IO_STACK_LOCATION[Ptr(null,0)] == old(Mem_Control__IO_STACK_LOCATION)[Ptr(null,0)]);
+modifies Mem_FUNCTION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_FUNCTION[m]} Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
+free ensures(Mem_FUNCTION[Ptr(null,0)] == old(Mem_FUNCTION)[Ptr(null,0)]);
+modifies Mem_FlCancelSpinLock__DISKETTE_EXTENSION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
+free ensures(Mem_FlCancelSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
+modifies Mem_Information__IO_STATUS_BLOCK;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
+free ensures(Mem_Information__IO_STATUS_BLOCK[Ptr(null,0)] == old(Mem_Information__IO_STATUS_BLOCK)[Ptr(null,0)]);
+modifies Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
+free ensures(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[Ptr(null,0)] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[Ptr(null,0)]);
+modifies Mem_Status___unnamed_4_c7b3d275;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
+free ensures(Mem_Status___unnamed_4_c7b3d275[Ptr(null,0)] == old(Mem_Status___unnamed_4_c7b3d275)[Ptr(null,0)]);
+modifies Mem_UINT4;
+//TAG: no updated memory locations
+free ensures(forall m:ptr :: {Mem_UINT4[m]} Mem_UINT4[m] == old(Mem_UINT4)[m]);
+free ensures(Mem_UINT4[Ptr(null,0)] == old(Mem_UINT4)[Ptr(null,0)]);
+
+{
+var havoc_stringTemp:ptr;
+var condVal:ptr;
+var $DbgPrint.arg.1$10$ : ptr;
+var $DbgPrint.arg.1$13$ : ptr;
+var $DbgPrint.arg.1$15$ : ptr;
+var $DbgPrint.arg.1$3$ : ptr;
+var $DbgPrint.arg.1$6$ : ptr;
+var $DbgPrint.arg.1$8$ : ptr;
+var DeviceObject : ptr;
+var Irp$1 : ptr;
+var $disketteExtension$5$2232.24$ : ptr;
+var $irpSp$3$2230.23$ : ptr;
+var $ntStatus$4$2231.13$ : ptr;
+var $result.DbgPrint$2234.4$2$ : ptr;
+var $result.DbgPrint$2278.0$5$ : ptr;
+var $result.DbgPrint$2280.0$7$ : ptr;
+var $result.DbgPrint$2305.0$9$ : ptr;
+var $result.DbgPrint$2317.0$12$ : ptr;
+var $result.DbgPrint$2327.0$14$ : ptr;
+var $result.FlQueueIrpToThread$2308.41$11$ : ptr;
+var $result.FloppyQueueRequest$2247.37$4$ : ptr;
+var tempBoogie0:ptr;
+var tempBoogie1:ptr;
+var tempBoogie2:ptr;
+var tempBoogie3:ptr;
+var tempBoogie4:ptr;
+var tempBoogie5:ptr;
+var tempBoogie6:ptr;
+var tempBoogie7:ptr;
+var tempBoogie8:ptr;
+var tempBoogie9:ptr;
+var tempBoogie10:ptr;
+var tempBoogie11:ptr;
+var tempBoogie12:ptr;
+var tempBoogie13:ptr;
+var tempBoogie14:ptr;
+var tempBoogie15:ptr;
+var tempBoogie16:ptr;
+var tempBoogie17:ptr;
+var tempBoogie18:ptr;
+var tempBoogie19:ptr;
+
+
+start:
+
+assume (alloc[Obj(DeviceObject1)] != UNALLOCATED);
+assume (alloc[Obj(Irp$11)] != UNALLOCATED);
+$DbgPrint.arg.1$10$ := Ptr(null, 0);
+$DbgPrint.arg.1$13$ := Ptr(null, 0);
+$DbgPrint.arg.1$15$ := Ptr(null, 0);
+$DbgPrint.arg.1$3$ := Ptr(null, 0);
+$DbgPrint.arg.1$6$ := Ptr(null, 0);
+$DbgPrint.arg.1$8$ := Ptr(null, 0);
+DeviceObject := Ptr(null, 0);
+Irp$1 := Ptr(null, 0);
+$disketteExtension$5$2232.24$ := Ptr(null, 0);
+$irpSp$3$2230.23$ := Ptr(null, 0);
+$ntStatus$4$2231.13$ := Ptr(null, 0);
+$result.DbgPrint$2234.4$2$ := Ptr(null, 0);
+$result.DbgPrint$2278.0$5$ := Ptr(null, 0);
+$result.DbgPrint$2280.0$7$ := Ptr(null, 0);
+$result.DbgPrint$2305.0$9$ := Ptr(null, 0);
+$result.DbgPrint$2317.0$12$ := Ptr(null, 0);
+$result.DbgPrint$2327.0$14$ := Ptr(null, 0);
+$result.FlQueueIrpToThread$2308.41$11$ := Ptr(null, 0);
+$result.FloppyQueueRequest$2247.37$4$ := Ptr(null, 0);
+DeviceObject := DeviceObject1;
+Irp$1 := Irp$11;
+$result.FloppyReadWrite$2203.0$1$ := Ptr(null,0);
+goto label_3;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2334)
+label_1:
+assume (forall m:ptr :: {Mem_Control__IO_STACK_LOCATION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Control__IO_STACK_LOCATION[m] == old(Mem_Control__IO_STACK_LOCATION)[m]);
+assume (forall m:ptr :: {Mem_FUNCTION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FUNCTION[m] == old(Mem_FUNCTION)[m]);
+assume (forall m:ptr :: {Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_FlCancelSpinLock__DISKETTE_EXTENSION[m] == old(Mem_FlCancelSpinLock__DISKETTE_EXTENSION)[m]);
+assume (forall m:ptr :: {Mem_Information__IO_STATUS_BLOCK[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Information__IO_STATUS_BLOCK[m] == old(Mem_Information__IO_STATUS_BLOCK)[m]);
+assume (forall m:ptr :: {Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION[m] == old(Mem_NewRequestQueueSpinLock__DISKETTE_EXTENSION)[m]);
+assume (forall m:ptr :: {Mem_Status___unnamed_4_c7b3d275[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_Status___unnamed_4_c7b3d275[m] == old(Mem_Status___unnamed_4_c7b3d275)[m]);
+assume (forall m:ptr :: {Mem_UINT4[m]} alloc[Obj(m)] != ALLOCATED && old(alloc)[Obj(m)] != ALLOCATED ==> Mem_UINT4[m] == old(Mem_UINT4)[m]);
+return;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2334)
+label_2:
+assume false;
+return;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2230)
+label_3:
+goto label_4;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2231)
+label_4:
+goto label_5;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2232)
+label_5:
+goto label_6;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
+label_6:
+goto label_6_true , label_6_false ;
+
+
+label_6_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 8)) != Ptr(null,0));
+goto label_8;
+
+
+label_6_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 8)) == Ptr(null,0));
+goto label_7;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2236)
+label_7:
+$disketteExtension$5$2232.24$ := Mem_DeviceExtension__DEVICE_OBJECT[DeviceExtension__DEVICE_OBJECT(DeviceObject)] ;
+goto label_12;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
+label_8:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$3$ := havoc_stringTemp ;
+goto label_9;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2234)
+label_9:
+havoc $result.DbgPrint$2234.4$2$;
+// skip DbgPrint
+goto label_7;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2238)
+label_12:
+$irpSp$3$2230.23$ := Mem_CurrentStackLocation___unnamed_4_f80453a0[CurrentStackLocation___unnamed_4_f80453a0(__unnamed_4_f80453a0___unnamed_12_003c1454(__unnamed_12_003c1454___unnamed_40_6ef75b20(Overlay___unnamed_48_c27ef811(Tail__IRP(Irp$1)))))] ;
+goto label_13;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2244)
+label_13:
+call ExAcquireFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
+goto label_16;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2245)
+label_16:
+goto label_16_true , label_16_false ;
+
+
+label_16_true :
+assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
+goto label_18;
+
+
+label_16_false :
+assume (Mem_HoldNewRequests__DISKETTE_EXTENSION[HoldNewRequests__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
+goto label_17;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2257)
+label_17:
+goto label_17_true , label_17_false ;
+
+
+label_17_true :
+assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
+goto label_27;
+
+
+label_17_false :
+assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
+goto label_26;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2247)
+label_18:
+call $result.FloppyQueueRequest$2247.37$4$ := FloppyQueueRequest ($disketteExtension$5$2232.24$, Irp$1);
+goto label_21;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2247)
+label_21:
+$ntStatus$4$2231.13$ := $result.FloppyQueueRequest$2247.37$4$ ;
+goto label_22;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2249)
+label_22:
+call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
+goto label_25;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2250)
+label_25:
+$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
+goto label_1;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2257)
+label_26:
+goto label_26_true , label_26_false ;
+
+
+label_26_true :
+assume (Mem_IsStarted__DISKETTE_EXTENSION[IsStarted__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
+goto label_39;
+
+
+label_26_false :
+assume (Mem_IsStarted__DISKETTE_EXTENSION[IsStarted__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
+goto label_27;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2259)
+label_27:
+call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
+goto label_30;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2261)
+label_30:
+goto label_30_true , label_30_false ;
+
+
+label_30_true :
+assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] != Ptr(null,0));
+goto label_32;
+
+
+label_30_false :
+assume (Mem_IsRemoved__DISKETTE_EXTENSION[IsRemoved__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)] == Ptr(null,0));
+goto label_31;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2264)
+label_31:
+$ntStatus$4$2231.13$ := Ptr(null, -1073741823) ;
+goto label_33;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2262)
+label_32:
+$ntStatus$4$2231.13$ := Ptr(null, -1073741738) ;
+goto label_33;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2266)
+label_33:
+Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1))] := Ptr(null, 0) ;
+goto label_34;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2267)
+label_34:
+Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := $ntStatus$4$2231.13$ ;
+goto label_35;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2268)
+label_35:
+call IofCompleteRequest (Irp$1, Ptr(null, 0));
+goto label_38;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2269)
+label_38:
+$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
+goto label_1;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2272)
+label_39:
+assume (null == Obj(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
+goto label_39_true , label_39_false ;
+
+
+label_39_true :
+assume (0 < Off(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
+goto label_41;
+
+
+label_39_false :
+assume !(0 < Off(Mem_MediaType__DISKETTE_EXTENSION[MediaType__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]));
+goto label_40;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2299)
+label_40:
+goto label_40_true , label_40_false ;
+
+
+label_40_true :
+assume (Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))] != Ptr(null,0));
+goto label_69;
+
+
+label_40_false :
+assume (Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))] == Ptr(null,0));
+goto label_68;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2274)
+label_41:
+assume (Obj(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) == Obj(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
+goto label_41_true , label_41_false ;
+
+
+label_41_true :
+assume (Off(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) < Off(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
+goto label_43;
+
+
+label_41_false :
+assume !(Off(Mem_ByteCapacity__DISKETTE_EXTENSION[ByteCapacity__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) < Off(PLUS(Mem_LowPart___unnamed_8_34582070[LowPart___unnamed_8_34582070(__unnamed_8_34582070__LARGE_INTEGER(ByteOffset___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))))], 1, Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))])));
+goto label_42;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2275)
+label_42:
+goto label_42_true , label_42_false ;
+
+
+label_42_true :
+assume (BIT_BAND(Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))], Ptr(Obj(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]), Off(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) - 1)) != Ptr(null,0));
+goto label_43;
+
+
+label_42_false :
+assume (BIT_BAND(Mem_Length___unnamed_16_39e6661e[Length___unnamed_16_39e6661e(Read___unnamed_16_c0f0e7de(Parameters__IO_STACK_LOCATION($irpSp$3$2230.23$)))], Ptr(Obj(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]), Off(Mem_BytesPerSector__DISKETTE_EXTENSION[BytesPerSector__DISKETTE_EXTENSION($disketteExtension$5$2232.24$)]) - 1)) == Ptr(null,0));
+goto label_40;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
+label_43:
+goto label_43_true , label_43_false ;
+
+
+label_43_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
+goto label_45;
+
+
+label_43_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
+goto label_44;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
+label_44:
+goto label_44_true , label_44_false ;
+
+
+label_44_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 2)) != Ptr(null,0));
+goto label_50;
+
+
+label_44_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 2)) == Ptr(null,0));
+goto label_49;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
+label_45:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$6$ := havoc_stringTemp ;
+goto label_46;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2278)
+label_46:
+havoc $result.DbgPrint$2278.0$5$;
+// skip DbgPrint
+goto label_44;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2290)
+label_49:
+$ntStatus$4$2231.13$ := Ptr(null, -1073741811) ;
+goto label_54;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
+label_50:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$8$ := havoc_stringTemp ;
+goto label_51;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2280)
+label_51:
+havoc $result.DbgPrint$2280.0$7$;
+// skip DbgPrint
+goto label_49;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2323)
+label_54:
+call ExReleaseFastMutex (HoldNewReqMutex__DISKETTE_EXTENSION($disketteExtension$5$2232.24$));
+goto label_57;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2325)
+label_57:
+goto label_57_true , label_57_false ;
+
+
+label_57_true :
+assume ($ntStatus$4$2231.13$ != Ptr(null, 259));
+goto label_59;
+
+
+label_57_false :
+assume !($ntStatus$4$2231.13$ != Ptr(null, 259));
+goto label_58;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2333)
+label_58:
+$result.FloppyReadWrite$2203.0$1$ := $ntStatus$4$2231.13$ ;
+goto label_1;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2326)
+label_59:
+Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := $ntStatus$4$2231.13$ ;
+goto label_60;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
+label_60:
+goto label_60_true , label_60_false ;
+
+
+label_60_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
+goto label_64;
+
+
+label_60_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
+goto label_61;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2330)
+label_61:
+call IofCompleteRequest (Irp$1, Ptr(null, 0));
+goto label_58;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
+label_64:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$15$ := havoc_stringTemp ;
+goto label_65;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2327)
+label_65:
+havoc $result.DbgPrint$2327.0$14$;
+// skip DbgPrint
+goto label_61;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2315)
+label_68:
+Mem_Information__IO_STATUS_BLOCK[Information__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1))] := Ptr(null, 0) ;
+goto label_78;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
+label_69:
+goto label_69_true , label_69_false ;
+
+
+label_69_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 16)) != Ptr(null,0));
+goto label_73;
+
+
+label_69_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 16)) == Ptr(null,0));
+goto label_70;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2308)
+label_70:
+call $result.FlQueueIrpToThread$2308.41$11$ := FlQueueIrpToThread (Irp$1, $disketteExtension$5$2232.24$);
+goto label_77;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
+label_73:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$10$ := havoc_stringTemp ;
+goto label_74;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2305)
+label_74:
+havoc $result.DbgPrint$2305.0$9$;
+// skip DbgPrint
+goto label_70;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2308)
+label_77:
+$ntStatus$4$2231.13$ := $result.FlQueueIrpToThread$2308.41$11$ ;
+goto label_54;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2316)
+label_78:
+Mem_Status___unnamed_4_c7b3d275[Status___unnamed_4_c7b3d275(__unnamed_4_c7b3d275__IO_STATUS_BLOCK(IoStatus__IRP(Irp$1)))] := Ptr(null, 0) ;
+goto label_79;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
+label_79:
+goto label_79_true , label_79_false ;
+
+
+label_79_true :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) != Ptr(null,0));
+goto label_81;
+
+
+label_79_false :
+assume (BIT_BAND(Mem_UINT4[FloppyDebugLevel], Ptr(null, 1)) == Ptr(null,0));
+goto label_80;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2319)
+label_80:
+$ntStatus$4$2231.13$ := Ptr(null, 0) ;
+goto label_54;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
+label_81:
+call havoc_stringTemp := __HAVOC_malloc_stack(Ptr(null,1));
+$DbgPrint.arg.1$13$ := havoc_stringTemp ;
+goto label_82;
+
+
+// c:\nt\drivers\storage\fdc\flpydisk\floppy.c(2317)
+label_82:
+havoc $result.DbgPrint$2317.0$12$;
+// skip DbgPrint
+goto label_80;
+
+}
+
diff --git a/Test/test17/runtest.bat b/Test/test17/runtest.bat
index 727d4a89..48ebcf82 100644
--- a/Test/test17/runtest.bat
+++ b/Test/test17/runtest.bat
@@ -1,12 +1,12 @@
-@echo off
-setlocal
-
-set BOOGIEDIR=..\..\Binaries
-set BGEXE=%BOOGIEDIR%\Boogie.exe
-
-
-for %%f in (contractinfer flpydisk) do (
- echo.
- echo -------------------- %%f --------------------
- %BGEXE% %* /errorLimit:1 /contractInfer /z3mam:4 /subsumption:0 %%f.bpl
-)
+@echo off
+setlocal
+
+set BOOGIEDIR=..\..\Binaries
+set BGEXE=%BOOGIEDIR%\Boogie.exe
+
+
+for %%f in (contractinfer flpydisk) do (
+ echo.
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /errorLimit:1 /contractInfer /z3mam:4 /subsumption:0 %%f.bpl
+)
diff --git a/Test/test2/Arrays.bpl b/Test/test2/Arrays.bpl
index 5f4bd9c9..2b88be4a 100644
--- a/Test/test2/Arrays.bpl
+++ b/Test/test2/Arrays.bpl
@@ -1,184 +1,184 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// RUN: %boogie -noinfer -typeEncoding:m "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// -------------------- 1-dimensional arrays --------------------
-
-var A: [ref]int;
-
-procedure P0(o: ref, q: ref, y: int)
- requires o != q;
- modifies A;
- ensures A[o] == old(A[o]) + y;
- ensures (forall p: ref :: A[p] == old(A[p]) || p == o);
-{
- var k: int;
-
- start:
- k := A[q];
- A[o] := y + A[o];
- A[q] := k;
- return;
-}
-
-procedure P1(o: ref, q: ref, y: int)
- // This procedure does not have the assumption that o != q.
- modifies A;
- // It also does not ensures anything about A[o]
- ensures (forall p: ref :: A[p] == old(A[p]) || p == o);
-{
- var k: int;
-
- start:
- k := A[q];
- A[o] := y + A[o];
- A[q] := k;
- return;
-}
-
-procedure P2(o: ref, q: ref, y: int)
- // This procedure does not have the assumption that o != q.
- modifies A;
- ensures A[o] == old(A[o]) + y;
-{
- var k: int;
-
- start:
- k := A[q];
- A[o] := y + A[o];
- A[q] := k;
- return;
-} // error: postcondition violated (if o == q)
-
-// -------------------- 2-dimensional arrays --------------------
-
-var B: [ref,name]int;
-const F: name;
-
-procedure Q0(o: ref, q: ref, y: int, G: name)
- requires o != q && F != G;
- modifies B;
- ensures B[o,F] == old(B[o,F]) + y;
- ensures (forall p: ref, f: name :: B[p,f] == old(B[p,f]) ||
- (p == o && f == F));
-{
- var k: int;
-
- start:
- k := B[q,G];
- B[o,F] := y + B[o,F];
- B[q,G] := k;
- return;
-}
-
-procedure Q1(o: ref, q: ref, y: int, G: name)
- // This procedure does not have the assumption that o != q && F != G.
- modifies B;
- // It also does not ensures anything about B[o,F]
- ensures (forall p: ref, f: name :: B[p,f] == old(B[p,f]) ||
- (p == o && f == F));
-{
- var k: int;
-
- start:
- k := B[q,G];
- B[o,F] := y + B[o,F];
- B[q,G] := k;
- return;
-}
-
-procedure Q2(o: ref, q: ref, y: int, G: name)
- requires F != G;
- // This procedure does not have the assumption that o != q.
- modifies B;
- ensures B[o,F] == old(B[o,F]) + y;
-{
- var k: int;
-
- start:
- k := B[q,G];
- B[o,F] := y + B[o,F];
- B[q,G] := k;
- return;
-}
-
-procedure Q3(o: ref, q: ref, y: int, G: name)
- requires o != q;
- // This procedure does not have the assumption that F != G.
- modifies B;
- ensures B[o,F] == old(B[o,F]) + y;
-{
- var k: int;
-
- start:
- k := B[q,G];
- B[o,F] := y + B[o,F];
- B[q,G] := k;
- return;
-}
-
-procedure Q4(o: ref, q: ref, y: int, G: name)
- // This procedure does not have either of the assumptions o != q and F != G.
- modifies B;
- ensures B[o,F] == old(B[o,F]) + y;
-{
- var k: int;
-
- start:
- k := B[q,G];
- B[o,F] := y + B[o,F];
- B[q,G] := k;
- return;
-} // error: postcondition violated
-
-// -------------------- more tests --------------------
-
-procedure Skip0(o: ref, q: ref, G: name, H: name)
- modifies A,B;
- ensures (forall p: ref :: A[p] == old(A[p]));
- ensures (forall p: ref, g: name :: B[p,g] == old(B[p,g]));
-{
- start:
- return;
-}
-
-procedure Skip1(o: ref, q: ref, G: name, H: name)
- modifies A,B;
- ensures (forall p: ref :: A[p] == old(A[p]));
- ensures (forall p: ref, g: name :: B[p,g] == old(B[p,g]));
-{
- var k: int;
- var l: int;
-
- start:
- k := A[o];
- l := A[q];
- goto oneWay, theOtherWay;
-
- oneWay:
- A[o] := k;
- A[q] := l;
- goto next;
-
- theOtherWay:
- A[q] := l;
- A[o] := k;
- goto next;
-
- next:
- k := B[o,G];
- l := B[q,H];
- goto Lx, Ly;
-
- Lx:
- B[o,G] := k;
- B[q,H] := l;
- return;
-
- Ly:
- B[q,H] := l;
- B[o,G] := k;
- return;
-}
-
-type name, ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noinfer -typeEncoding:m "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// -------------------- 1-dimensional arrays --------------------
+
+var A: [ref]int;
+
+procedure P0(o: ref, q: ref, y: int)
+ requires o != q;
+ modifies A;
+ ensures A[o] == old(A[o]) + y;
+ ensures (forall p: ref :: A[p] == old(A[p]) || p == o);
+{
+ var k: int;
+
+ start:
+ k := A[q];
+ A[o] := y + A[o];
+ A[q] := k;
+ return;
+}
+
+procedure P1(o: ref, q: ref, y: int)
+ // This procedure does not have the assumption that o != q.
+ modifies A;
+ // It also does not ensures anything about A[o]
+ ensures (forall p: ref :: A[p] == old(A[p]) || p == o);
+{
+ var k: int;
+
+ start:
+ k := A[q];
+ A[o] := y + A[o];
+ A[q] := k;
+ return;
+}
+
+procedure P2(o: ref, q: ref, y: int)
+ // This procedure does not have the assumption that o != q.
+ modifies A;
+ ensures A[o] == old(A[o]) + y;
+{
+ var k: int;
+
+ start:
+ k := A[q];
+ A[o] := y + A[o];
+ A[q] := k;
+ return;
+} // error: postcondition violated (if o == q)
+
+// -------------------- 2-dimensional arrays --------------------
+
+var B: [ref,name]int;
+const F: name;
+
+procedure Q0(o: ref, q: ref, y: int, G: name)
+ requires o != q && F != G;
+ modifies B;
+ ensures B[o,F] == old(B[o,F]) + y;
+ ensures (forall p: ref, f: name :: B[p,f] == old(B[p,f]) ||
+ (p == o && f == F));
+{
+ var k: int;
+
+ start:
+ k := B[q,G];
+ B[o,F] := y + B[o,F];
+ B[q,G] := k;
+ return;
+}
+
+procedure Q1(o: ref, q: ref, y: int, G: name)
+ // This procedure does not have the assumption that o != q && F != G.
+ modifies B;
+ // It also does not ensures anything about B[o,F]
+ ensures (forall p: ref, f: name :: B[p,f] == old(B[p,f]) ||
+ (p == o && f == F));
+{
+ var k: int;
+
+ start:
+ k := B[q,G];
+ B[o,F] := y + B[o,F];
+ B[q,G] := k;
+ return;
+}
+
+procedure Q2(o: ref, q: ref, y: int, G: name)
+ requires F != G;
+ // This procedure does not have the assumption that o != q.
+ modifies B;
+ ensures B[o,F] == old(B[o,F]) + y;
+{
+ var k: int;
+
+ start:
+ k := B[q,G];
+ B[o,F] := y + B[o,F];
+ B[q,G] := k;
+ return;
+}
+
+procedure Q3(o: ref, q: ref, y: int, G: name)
+ requires o != q;
+ // This procedure does not have the assumption that F != G.
+ modifies B;
+ ensures B[o,F] == old(B[o,F]) + y;
+{
+ var k: int;
+
+ start:
+ k := B[q,G];
+ B[o,F] := y + B[o,F];
+ B[q,G] := k;
+ return;
+}
+
+procedure Q4(o: ref, q: ref, y: int, G: name)
+ // This procedure does not have either of the assumptions o != q and F != G.
+ modifies B;
+ ensures B[o,F] == old(B[o,F]) + y;
+{
+ var k: int;
+
+ start:
+ k := B[q,G];
+ B[o,F] := y + B[o,F];
+ B[q,G] := k;
+ return;
+} // error: postcondition violated
+
+// -------------------- more tests --------------------
+
+procedure Skip0(o: ref, q: ref, G: name, H: name)
+ modifies A,B;
+ ensures (forall p: ref :: A[p] == old(A[p]));
+ ensures (forall p: ref, g: name :: B[p,g] == old(B[p,g]));
+{
+ start:
+ return;
+}
+
+procedure Skip1(o: ref, q: ref, G: name, H: name)
+ modifies A,B;
+ ensures (forall p: ref :: A[p] == old(A[p]));
+ ensures (forall p: ref, g: name :: B[p,g] == old(B[p,g]));
+{
+ var k: int;
+ var l: int;
+
+ start:
+ k := A[o];
+ l := A[q];
+ goto oneWay, theOtherWay;
+
+ oneWay:
+ A[o] := k;
+ A[q] := l;
+ goto next;
+
+ theOtherWay:
+ A[q] := l;
+ A[o] := k;
+ goto next;
+
+ next:
+ k := B[o,G];
+ l := B[q,H];
+ goto Lx, Ly;
+
+ Lx:
+ B[o,G] := k;
+ B[q,H] := l;
+ return;
+
+ Ly:
+ B[q,H] := l;
+ B[o,G] := k;
+ return;
+}
+
+type name, ref;
diff --git a/Test/test2/AssertVerifiedUnder0.bpl b/Test/test2/AssertVerifiedUnder0.bpl
index 9e82545f..76d7660e 100644
--- a/Test/test2/AssertVerifiedUnder0.bpl
+++ b/Test/test2/AssertVerifiedUnder0.bpl
@@ -1,39 +1,39 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-procedure Test0()
-{
- assert {:verified_under false} false; // error
-}
-
-
-procedure Test1()
-{
- assert {:verified_under true} false;
-}
-
-
-procedure Test2(P: bool, A: bool)
-{
- assert {:verified_under A} P; // error
-}
-
-
-procedure Test3(P: bool, A: bool)
- requires !A ==> P;
-{
- assert {:verified_under A} P;
-}
-
-
-procedure Test4(P: bool, A: bool)
-{
- assert {:verified_under A} {:verified_under true} P; // error
-}
-
-
-procedure Test5(P: bool, A: bool)
- requires !A ==> P;
-{
- assert {:verified_under A} {:verified_under true} P;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure Test0()
+{
+ assert {:verified_under false} false; // error
+}
+
+
+procedure Test1()
+{
+ assert {:verified_under true} false;
+}
+
+
+procedure Test2(P: bool, A: bool)
+{
+ assert {:verified_under A} P; // error
+}
+
+
+procedure Test3(P: bool, A: bool)
+ requires !A ==> P;
+{
+ assert {:verified_under A} P;
+}
+
+
+procedure Test4(P: bool, A: bool)
+{
+ assert {:verified_under A} {:verified_under true} P; // error
+}
+
+
+procedure Test5(P: bool, A: bool)
+ requires !A ==> P;
+{
+ assert {:verified_under A} {:verified_under true} P;
+}
diff --git a/Test/test2/AssertVerifiedUnder0.bpl.expect b/Test/test2/AssertVerifiedUnder0.bpl.expect
index 83016c63..7fa23f60 100644
--- a/Test/test2/AssertVerifiedUnder0.bpl.expect
+++ b/Test/test2/AssertVerifiedUnder0.bpl.expect
@@ -1,11 +1,11 @@
-AssertVerifiedUnder0.bpl(6,5): Error BP5001: This assertion might not hold.
-Execution trace:
- AssertVerifiedUnder0.bpl(6,5): anon0
-AssertVerifiedUnder0.bpl(18,5): Error BP5001: This assertion might not hold.
-Execution trace:
- AssertVerifiedUnder0.bpl(18,5): anon0
-AssertVerifiedUnder0.bpl(31,5): Error BP5001: This assertion might not hold.
-Execution trace:
- AssertVerifiedUnder0.bpl(31,5): anon0
-
-Boogie program verifier finished with 3 verified, 3 errors
+AssertVerifiedUnder0.bpl(6,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ AssertVerifiedUnder0.bpl(6,5): anon0
+AssertVerifiedUnder0.bpl(18,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ AssertVerifiedUnder0.bpl(18,5): anon0
+AssertVerifiedUnder0.bpl(31,5): Error BP5001: This assertion might not hold.
+Execution trace:
+ AssertVerifiedUnder0.bpl(31,5): anon0
+
+Boogie program verifier finished with 3 verified, 3 errors
diff --git a/Test/test2/AssumeEnsures.bpl b/Test/test2/AssumeEnsures.bpl
index 74789135..2c5ad6a2 100644
--- a/Test/test2/AssumeEnsures.bpl
+++ b/Test/test2/AssumeEnsures.bpl
@@ -1,71 +1,71 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var g: int;
-
-procedure Foo() returns ();
- modifies g;
- free ensures 0 <= g;
-
-implementation Foo() returns ()
-{
- entry:
- g := g + 1;
- return;
-}
-
-procedure BarGood() returns ()
- modifies g;
-{
- entry:
- call Foo();
- assert 0 <= g;
- return;
-}
-
-procedure BarBad() returns ()
- modifies g;
-{
- entry:
- call Foo();
- assert 0 < g;
- return;
-}
-
-// ----- Free preconditions
-
-procedure Proc() returns ();
- free requires g == 15;
-
-implementation Proc() returns ()
-{
- entry:
- assert g > 10; // yes, this condition can be used here
- return;
-}
-
-implementation Proc() returns ()
-{
- entry:
- assert g < 10; // error
- return;
-}
-
-procedure Caller0() returns ()
-{
- entry:
- call Proc(); // yes, legal, since the precondition is not checked
- return;
-}
-
-procedure Caller1() returns ()
-{
- entry:
- call Proc();
- assert g > 10; // error, because:
- // Free preconditions are ignored (that is, treated as "skip") for the caller.
- // This is a BoogiePL design choice. Another alternative would be to treat free
- // preconditions as assume commands also on the caller side, either in the order
- // that all preconditions are given, or before or after all the checked preconditions
- // have been checked.
- return;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var g: int;
+
+procedure Foo() returns ();
+ modifies g;
+ free ensures 0 <= g;
+
+implementation Foo() returns ()
+{
+ entry:
+ g := g + 1;
+ return;
+}
+
+procedure BarGood() returns ()
+ modifies g;
+{
+ entry:
+ call Foo();
+ assert 0 <= g;
+ return;
+}
+
+procedure BarBad() returns ()
+ modifies g;
+{
+ entry:
+ call Foo();
+ assert 0 < g;
+ return;
+}
+
+// ----- Free preconditions
+
+procedure Proc() returns ();
+ free requires g == 15;
+
+implementation Proc() returns ()
+{
+ entry:
+ assert g > 10; // yes, this condition can be used here
+ return;
+}
+
+implementation Proc() returns ()
+{
+ entry:
+ assert g < 10; // error
+ return;
+}
+
+procedure Caller0() returns ()
+{
+ entry:
+ call Proc(); // yes, legal, since the precondition is not checked
+ return;
+}
+
+procedure Caller1() returns ()
+{
+ entry:
+ call Proc();
+ assert g > 10; // error, because:
+ // Free preconditions are ignored (that is, treated as "skip") for the caller.
+ // This is a BoogiePL design choice. Another alternative would be to treat free
+ // preconditions as assume commands also on the caller side, either in the order
+ // that all preconditions are given, or before or after all the checked preconditions
+ // have been checked.
+ return;
+}
diff --git a/Test/test2/AssumptionVariables0.bpl b/Test/test2/AssumptionVariables0.bpl
index cc73707c..84bf14ad 100644
--- a/Test/test2/AssumptionVariables0.bpl
+++ b/Test/test2/AssumptionVariables0.bpl
@@ -1,40 +1,73 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Test0()
-{
- var {:assumption} a0: bool;
-
- assert a0;
-}
-
-
-procedure Test1(n: int)
-{
- var {:assumption} a0: bool;
-
- a0 := a0 && (0 <= n);
-
- assert a0; // error
-}
-
-
-procedure Test2()
-{
- var {:assumption} a0: bool;
-
- havoc a0;
-
- assert a0; // error
-}
-
-
-var {:assumption} a0: bool;
-
-
-procedure Test3()
- modifies a0;
-{
- a0 := a0 && true;
-
- assert a0; // error
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Test0()
+{
+ var {:assumption} a0: bool;
+
+ assert a0;
+}
+
+
+procedure Test1(n: int)
+{
+ var {:assumption} a0: bool;
+
+ a0 := a0 && (0 <= n);
+
+ assert a0; // error
+}
+
+
+procedure Test2()
+{
+ var {:assumption} a0: bool;
+
+ havoc a0;
+
+ assert a0; // error
+}
+
+
+var {:assumption} ga0: bool;
+
+
+procedure Test3()
+ modifies ga0;
+{
+ ga0 := ga0 && true;
+
+ assert ga0; // error
+}
+
+
+procedure Test4()
+{
+ var {:assumption} a0: bool;
+ var tmp: bool;
+
+ tmp := a0;
+
+ havoc a0;
+
+ assert a0 ==> tmp;
+}
+
+
+procedure Test5(A: bool)
+{
+ var {:assumption} a0: bool;
+ var tmp0, tmp1: bool;
+
+ a0 := a0 && A;
+ tmp0 := a0;
+
+ havoc a0;
+
+ assert a0 ==> tmp0;
+
+ tmp1 := a0;
+
+ havoc a0;
+
+ assert a0 ==> tmp1;
+}
diff --git a/Test/test2/AssumptionVariables0.bpl.expect b/Test/test2/AssumptionVariables0.bpl.expect
index 54ddb2a9..284a41ad 100644
--- a/Test/test2/AssumptionVariables0.bpl.expect
+++ b/Test/test2/AssumptionVariables0.bpl.expect
@@ -6,6 +6,6 @@ Execution trace:
AssumptionVariables0.bpl(25,5): anon0
AssumptionVariables0.bpl(39,5): Error BP5001: This assertion might not hold.
Execution trace:
- AssumptionVariables0.bpl(37,8): anon0
+ AssumptionVariables0.bpl(37,9): anon0
-Boogie program verifier finished with 1 verified, 3 errors
+Boogie program verifier finished with 3 verified, 3 errors
diff --git a/Test/test2/Axioms.bpl b/Test/test2/Axioms.bpl
index 1fa8fab3..51d9ed00 100644
--- a/Test/test2/Axioms.bpl
+++ b/Test/test2/Axioms.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-const Seven: int;
-axiom Seven == 7;
-
-function inc(int) returns (int);
-axiom (forall j: int :: inc(j) == j+1);
-
-procedure P()
-{
- start:
- assert 4 <= Seven;
- assert Seven < inc(Seven);
- assert inc(5) + inc(inc(2)) == Seven + 3;
- return;
-}
-
-procedure Q()
-{
- start:
- assert inc(5) + inc(inc(2)) == Seven; // error
- return;
-}
-
-function inc2(x:int) returns(int) { x + 2 }
-
-procedure ExpandTest()
-{
- var q:int;
- assert inc(inc(q)) == inc2(q);
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+const Seven: int;
+axiom Seven == 7;
+
+function inc(int) returns (int);
+axiom (forall j: int :: inc(j) == j+1);
+
+procedure P()
+{
+ start:
+ assert 4 <= Seven;
+ assert Seven < inc(Seven);
+ assert inc(5) + inc(inc(2)) == Seven + 3;
+ return;
+}
+
+procedure Q()
+{
+ start:
+ assert inc(5) + inc(inc(2)) == Seven; // error
+ return;
+}
+
+function inc2(x:int) returns(int) { x + 2 }
+
+procedure ExpandTest()
+{
+ var q:int;
+ assert inc(inc(q)) == inc2(q);
+}
diff --git a/Test/test2/B.bpl b/Test/test2/B.bpl
index 78f91915..c90c156b 100644
--- a/Test/test2/B.bpl
+++ b/Test/test2/B.bpl
@@ -1,88 +1,88 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// ----------- BEGIN PRELUDE
-
-var Heap: [ref, name]int;
-const N: name;
-
-procedure Q0()
-{
- var h: int;
-
- entry:
- goto Else;
-
- Then:
- h := 15;
- goto end;
-
- Else:
- assume h == 0;
- goto end;
-
- end:
- assert 0 <= h;
- return;
-}
-
-procedure Q1()
-{
- var h: int;
-
- entry:
- goto Else;
-
- Then:
- h := -15;
- goto end;
-
- Else:
- assume h == 0;
- goto end;
-
- end:
- h := -h;
- assert 0 <= h;
- return;
-}
-
-procedure P0(this: ref)
- modifies Heap;
-{
- entry:
- goto Else;
-
- Then:
- Heap[this, N] := 15;
- goto end;
-
- Else:
- assume Heap[this, N] == 0;
- goto end;
-
- end:
- assert 0 <= Heap[this, N];
- return;
-}
-
-procedure P1(this: ref)
- modifies Heap;
-{
- entry:
- goto Else;
-
- Then:
- Heap[this, N] := -15;
- goto end;
-
- Else:
- assume Heap[this, N] == 0;
- goto end;
-
- end:
- Heap[this, N] := -Heap[this, N];
- assert 0 <= Heap[this, N];
- return;
-}
-
-type name, ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// ----------- BEGIN PRELUDE
+
+var Heap: [ref, name]int;
+const N: name;
+
+procedure Q0()
+{
+ var h: int;
+
+ entry:
+ goto Else;
+
+ Then:
+ h := 15;
+ goto end;
+
+ Else:
+ assume h == 0;
+ goto end;
+
+ end:
+ assert 0 <= h;
+ return;
+}
+
+procedure Q1()
+{
+ var h: int;
+
+ entry:
+ goto Else;
+
+ Then:
+ h := -15;
+ goto end;
+
+ Else:
+ assume h == 0;
+ goto end;
+
+ end:
+ h := -h;
+ assert 0 <= h;
+ return;
+}
+
+procedure P0(this: ref)
+ modifies Heap;
+{
+ entry:
+ goto Else;
+
+ Then:
+ Heap[this, N] := 15;
+ goto end;
+
+ Else:
+ assume Heap[this, N] == 0;
+ goto end;
+
+ end:
+ assert 0 <= Heap[this, N];
+ return;
+}
+
+procedure P1(this: ref)
+ modifies Heap;
+{
+ entry:
+ goto Else;
+
+ Then:
+ Heap[this, N] := -15;
+ goto end;
+
+ Else:
+ assume Heap[this, N] == 0;
+ goto end;
+
+ end:
+ Heap[this, N] := -Heap[this, N];
+ assert 0 <= Heap[this, N];
+ return;
+}
+
+type name, ref;
diff --git a/Test/test2/BadLineNumber.bpl b/Test/test2/BadLineNumber.bpl
new file mode 100644
index 00000000..b8776a4e
--- /dev/null
+++ b/Test/test2/BadLineNumber.bpl
@@ -0,0 +1,15 @@
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure p();
+ ensures false;
+
+implementation p()
+{
+ if (*)
+ {
+ }
+ else
+ {
+ }
+} \ No newline at end of file
diff --git a/Test/test2/BadLineNumber.bpl.expect b/Test/test2/BadLineNumber.bpl.expect
new file mode 100644
index 00000000..bc5d1984
--- /dev/null
+++ b/Test/test2/BadLineNumber.bpl.expect
@@ -0,0 +1,7 @@
+BadLineNumber.bpl(15,1): Error BP5003: A postcondition might not hold on this return path.
+BadLineNumber.bpl(5,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ BadLineNumber.bpl(9,5): anon0
+ BadLineNumber.bpl(14,5): anon3_Else
+
+Boogie program verifier finished with 0 verified, 1 error
diff --git a/Test/test2/BoundedTypeParameterQuantifier.bpl b/Test/test2/BoundedTypeParameterQuantifier.bpl
new file mode 100644
index 00000000..146ba445
--- /dev/null
+++ b/Test/test2/BoundedTypeParameterQuantifier.bpl
@@ -0,0 +1,14 @@
+// RUN: %boogie /proverWarnings:1 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function Map#Domain<QUN, YAN>(Map QUN YAN): [QUN] bool;
+function Map#Empty<QUN, YAN>(): Map QUN YAN;
+type Map QUN YAN;
+
+axiom (forall<QUN, YAN> u: QUN ::
+ { Map#Domain(Map#Empty(): Map QUN YAN)[u] }
+ !Map#Domain(Map#Empty(): Map QUN YAN)[u]);
+
+procedure P()
+{
+}
diff --git a/Test/test2/BoundedTypeParameterQuantifier.bpl.expect b/Test/test2/BoundedTypeParameterQuantifier.bpl.expect
new file mode 100644
index 00000000..37fad75c
--- /dev/null
+++ b/Test/test2/BoundedTypeParameterQuantifier.bpl.expect
@@ -0,0 +1,2 @@
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/test2/Call.bpl b/Test/test2/Call.bpl
index bf2690cc..bb67c3dc 100644
--- a/Test/test2/Call.bpl
+++ b/Test/test2/Call.bpl
@@ -1,62 +1,62 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Bar() returns (barresult: ref);
-
-procedure Foo();
-
-implementation Foo()
-{
- var x: ref;
-
- entry:
- call x := Bar();
- assume x == null;
- call x := Bar();
- assert x == null;
- return;
-
-}
-
-procedure DifferentFormalNames(x: int, y: int) returns (z: int);
- requires x < y;
- ensures z == x;
-
-implementation DifferentFormalNames(x: int, y: int) returns (z: int)
-{
- start:
- assert x < y;
- z := x;
- return;
-}
-
-implementation DifferentFormalNames(y: int, x: int) returns (w: int)
-{
- start:
- goto A, B;
- A:
- assert y < x;
- assume false;
- return;
- B:
- w := y;
- return;
-}
-
-implementation DifferentFormalNames(y: int, x: int) returns (w: int)
-{
- start:
- assert x < y; // error
- w := y;
- return;
-}
-
-implementation DifferentFormalNames(y: int, x: int) returns (w: int)
-{
- start:
- w := x;
- return; // error: postcondition violation
-}
-
-type ref;
-
-const null : ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Bar() returns (barresult: ref);
+
+procedure Foo();
+
+implementation Foo()
+{
+ var x: ref;
+
+ entry:
+ call x := Bar();
+ assume x == null;
+ call x := Bar();
+ assert x == null;
+ return;
+
+}
+
+procedure DifferentFormalNames(x: int, y: int) returns (z: int);
+ requires x < y;
+ ensures z == x;
+
+implementation DifferentFormalNames(x: int, y: int) returns (z: int)
+{
+ start:
+ assert x < y;
+ z := x;
+ return;
+}
+
+implementation DifferentFormalNames(y: int, x: int) returns (w: int)
+{
+ start:
+ goto A, B;
+ A:
+ assert y < x;
+ assume false;
+ return;
+ B:
+ w := y;
+ return;
+}
+
+implementation DifferentFormalNames(y: int, x: int) returns (w: int)
+{
+ start:
+ assert x < y; // error
+ w := y;
+ return;
+}
+
+implementation DifferentFormalNames(y: int, x: int) returns (w: int)
+{
+ start:
+ w := x;
+ return; // error: postcondition violation
+}
+
+type ref;
+
+const null : ref;
diff --git a/Test/test2/CallVerifiedUnder0.bpl b/Test/test2/CallVerifiedUnder0.bpl
new file mode 100644
index 00000000..5d99ec53
--- /dev/null
+++ b/Test/test2/CallVerifiedUnder0.bpl
@@ -0,0 +1,42 @@
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure A(P: bool);
+ requires P;
+
+procedure Test0()
+{
+ call {:verified_under false} A(false); // error
+}
+
+
+procedure Test1()
+{
+ call {:verified_under true} A(false);
+}
+
+
+procedure Test2(P: bool, A: bool)
+{
+ call {:verified_under A} A(P); // error
+}
+
+
+procedure Test3(P: bool, A: bool)
+ requires !A ==> P;
+{
+ call {:verified_under A} A(P);
+}
+
+
+procedure Test4(P: bool, A: bool)
+{
+ call {:verified_under A} {:verified_under true} A(P); // error
+}
+
+
+procedure Test5(P: bool, A: bool)
+ requires !A ==> P;
+{
+ call {:verified_under A} {:verified_under true} A(P);
+}
diff --git a/Test/test2/CallVerifiedUnder0.bpl.expect b/Test/test2/CallVerifiedUnder0.bpl.expect
new file mode 100644
index 00000000..90949273
--- /dev/null
+++ b/Test/test2/CallVerifiedUnder0.bpl.expect
@@ -0,0 +1,14 @@
+CallVerifiedUnder0.bpl(9,5): Error BP5002: A precondition for this call might not hold.
+CallVerifiedUnder0.bpl(5,3): Related location: This is the precondition that might not hold.
+Execution trace:
+ CallVerifiedUnder0.bpl(9,5): anon0
+CallVerifiedUnder0.bpl(21,5): Error BP5002: A precondition for this call might not hold.
+CallVerifiedUnder0.bpl(5,3): Related location: This is the precondition that might not hold.
+Execution trace:
+ CallVerifiedUnder0.bpl(21,5): anon0
+CallVerifiedUnder0.bpl(34,5): Error BP5002: A precondition for this call might not hold.
+CallVerifiedUnder0.bpl(5,3): Related location: This is the precondition that might not hold.
+Execution trace:
+ CallVerifiedUnder0.bpl(34,5): anon0
+
+Boogie program verifier finished with 3 verified, 3 errors
diff --git a/Test/test2/ContractEvaluationOrder.bpl b/Test/test2/ContractEvaluationOrder.bpl
index 8719dcfa..4115c4b0 100644
--- a/Test/test2/ContractEvaluationOrder.bpl
+++ b/Test/test2/ContractEvaluationOrder.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P() returns (x, y: int)
- ensures x == y; // ensured by the body
- ensures x == 0; // error: not ensured by the body
- ensures y == 0; // follows from the previous two ensures clauses (provided they are
- // indeed evaluated in this order, which they are supposed to be)
-{
- x := y;
-}
-
-procedure Q() returns (x, y: int)
-{
- x := y;
-
- assert x == y; // ensured by the body
- assert x == 0; // error: not ensured by the body
- assert y == 0; // follows from the previous two asserts (provided they are
- // indeed evaluated in this order, which they are supposed to be)
-}
-
-procedure R()
-{
- var a, b: int;
- a := b;
- call S(a, b);
-}
-
-procedure S(x, y: int)
- // In the call from R:
- requires x == y; // ensured by the body of R
- requires x == 0; // error: not ensured by the body of R
- requires y == 0; // follows from the previous two requires clauses (provided they are
- // indeed evaluated in this order, which they are supposed to be)
-{
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P() returns (x, y: int)
+ ensures x == y; // ensured by the body
+ ensures x == 0; // error: not ensured by the body
+ ensures y == 0; // follows from the previous two ensures clauses (provided they are
+ // indeed evaluated in this order, which they are supposed to be)
+{
+ x := y;
+}
+
+procedure Q() returns (x, y: int)
+{
+ x := y;
+
+ assert x == y; // ensured by the body
+ assert x == 0; // error: not ensured by the body
+ assert y == 0; // follows from the previous two asserts (provided they are
+ // indeed evaluated in this order, which they are supposed to be)
+}
+
+procedure R()
+{
+ var a, b: int;
+ a := b;
+ call S(a, b);
+}
+
+procedure S(x, y: int)
+ // In the call from R:
+ requires x == y; // ensured by the body of R
+ requires x == 0; // error: not ensured by the body of R
+ requires y == 0; // follows from the previous two requires clauses (provided they are
+ // indeed evaluated in this order, which they are supposed to be)
+{
+}
diff --git a/Test/test2/CutBackEdge.bpl b/Test/test2/CutBackEdge.bpl
index 2ee7cd68..4d507c1e 100644
--- a/Test/test2/CutBackEdge.bpl
+++ b/Test/test2/CutBackEdge.bpl
@@ -1,42 +1,42 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Test()
-{
- var i: int;
-
- entry:
- i := 0;
- goto block850;
-
- block850:
- assert i == 0;
- havoc i;
- goto block850;
-
-}
-
-// The following procedure once exhibited a bug in Boogie's DAG manipulations
-procedure TightLoop0()
-{
- L:
- assert !true; // error
- goto L;
-}
-procedure TightLoop1()
-{
- L:
- assert false; // error
- goto L;
-}
-procedure TightLoop2()
-{
- L:
- assert true; // cool
- goto L;
-}
-procedure TightLoop3(b: bool)
-{
- L:
- assert b; // error
- goto L;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Test()
+{
+ var i: int;
+
+ entry:
+ i := 0;
+ goto block850;
+
+ block850:
+ assert i == 0;
+ havoc i;
+ goto block850;
+
+}
+
+// The following procedure once exhibited a bug in Boogie's DAG manipulations
+procedure TightLoop0()
+{
+ L:
+ assert !true; // error
+ goto L;
+}
+procedure TightLoop1()
+{
+ L:
+ assert false; // error
+ goto L;
+}
+procedure TightLoop2()
+{
+ L:
+ assert true; // cool
+ goto L;
+}
+procedure TightLoop3(b: bool)
+{
+ L:
+ assert b; // error
+ goto L;
+}
diff --git a/Test/test2/Ensures.bpl b/Test/test2/Ensures.bpl
index c37e31a0..16f6105f 100644
--- a/Test/test2/Ensures.bpl
+++ b/Test/test2/Ensures.bpl
@@ -1,77 +1,77 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var H: [ref,name]int;
-var that: ref;
-
-const X: name;
-const Y: name;
-
-procedure P(this: ref);
- modifies H;
- ensures H[this,X] == 5;
-
-implementation P(this: ref) {
- start:
- H[this,X] := 5;
- return;
-}
-
-procedure Q(this: ref);
- modifies H;
- ensures (forall o: ref, F: name :: o == this && F == X ==> H[o,F] == 5);
-
-implementation Q(this: ref) {
- start:
- H[this,X] := 5;
- return;
-}
-
-implementation Q(this: ref) {
- start:
- H[this,X] := 7;
- return; // error
-}
-
-implementation Q(this: ref) {
- start:
- return; // error
-}
-
-implementation Q(this: ref) {
- start:
- H[that,X] := 5;
- return; // error
-}
-
-implementation Q(this: ref) {
- start:
- H[this,Y] := 5;
- return; // error
-}
-
-implementation Q(this: ref) {
- start:
- call P(this);
- return;
-}
-
-implementation Q(this: ref) {
- start:
- call Q(this);
- return;
-}
-
-implementation Q(this: ref) {
- start:
- call P(this);
- call Q(this);
- return;
-}
-
-implementation Q(this: ref) {
- start:
- call P(that);
- return; // error
-}
-
-type name, ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var H: [ref,name]int;
+var that: ref;
+
+const X: name;
+const Y: name;
+
+procedure P(this: ref);
+ modifies H;
+ ensures H[this,X] == 5;
+
+implementation P(this: ref) {
+ start:
+ H[this,X] := 5;
+ return;
+}
+
+procedure Q(this: ref);
+ modifies H;
+ ensures (forall o: ref, F: name :: o == this && F == X ==> H[o,F] == 5);
+
+implementation Q(this: ref) {
+ start:
+ H[this,X] := 5;
+ return;
+}
+
+implementation Q(this: ref) {
+ start:
+ H[this,X] := 7;
+ return; // error
+}
+
+implementation Q(this: ref) {
+ start:
+ return; // error
+}
+
+implementation Q(this: ref) {
+ start:
+ H[that,X] := 5;
+ return; // error
+}
+
+implementation Q(this: ref) {
+ start:
+ H[this,Y] := 5;
+ return; // error
+}
+
+implementation Q(this: ref) {
+ start:
+ call P(this);
+ return;
+}
+
+implementation Q(this: ref) {
+ start:
+ call Q(this);
+ return;
+}
+
+implementation Q(this: ref) {
+ start:
+ call P(this);
+ call Q(this);
+ return;
+}
+
+implementation Q(this: ref) {
+ start:
+ call P(that);
+ return; // error
+}
+
+type name, ref;
diff --git a/Test/test2/False.bpl b/Test/test2/False.bpl
index a0337182..54b95e2e 100644
--- a/Test/test2/False.bpl
+++ b/Test/test2/False.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure Test1()
-{
- entry:
- assert !true == false;
- return;
-}
-
-procedure Test2()
-{
- var b: bool;
-
- entry:
- assume b != false;
- assert b;
- return;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure Test1()
+{
+ entry:
+ assert !true == false;
+ return;
+}
+
+procedure Test2()
+{
+ var b: bool;
+
+ entry:
+ assume b != false;
+ assert b;
+ return;
+}
diff --git a/Test/test2/FormulaTerm.bpl b/Test/test2/FormulaTerm.bpl
index 7e762afe..41c2f441 100644
--- a/Test/test2/FormulaTerm.bpl
+++ b/Test/test2/FormulaTerm.bpl
@@ -1,141 +1,141 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Test formula-term distinction in Simplify
-
-procedure plus(x: int, y: int) returns (z: int);
- ensures z == x + y;
-
-implementation plus(x: int, y: int) returns (z: int)
-{
-start:
- assume z == 3;
- return; // ERROR: postcondition possibly violated
-}
-
-implementation plus(x: int, y: int) returns (z: int)
-{
-start:
- z := x + y;
- return;
-}
-
-implementation plus(x: int, y: int) returns (z: int)
-{
-start:
- z := x + y;
- z := 0 + z;
- return;
-}
-
-procedure plus2(x: int, y: int) returns (z: int)
- ensures z == x + y;
-{
-start:
- z := x + y;
- return;
-}
-
-procedure or(x: int, y: int, a: int, b: int) returns (z: int)
- requires a == b;
-{
-var t: bool;
-start:
- t := (x < y || x > y || x == y || x != y) && a >= b && a <= b;
- assert (x < y || x > y || x == y || x != y) && a >= b && a <= b;
- assert t;
- return;
-}
-
-procedure less(x: int, y: int) returns (z: bool);
- requires x < y;
- ensures z == (x < y);
-
-implementation less(x: int, y: int) returns (z: bool)
-{
-start:
- z := x < y;
- return;
-}
-
-implementation less(x: int, y: int) returns (z: bool)
-{
-start:
- goto yes, no;
-yes:
- assume x < y;
- z := true;
- return;
-no:
- assume !(x < y);
- z := false;
- return;
-}
-
-implementation less(x: int, y: int) returns (z: bool)
-{
-start:
- goto yes, no;
-yes:
- assume x < y;
- z := true;
- return;
-no:
- assume x >= y;
- z := false;
- return;
-}
-
-procedure LESS(x: int, y: int) returns (z: bool);
- requires x < y;
- ensures z <==> (x < y);
-
-implementation LESS(x: int, y: int) returns (z: bool)
-{
-start:
- z := x < y;
- return;
-}
-
-implementation LESS(x: int, y: int) returns (z: bool)
-{
-start:
- goto yes, no;
-yes:
- assume x < y;
- z := true;
- return;
-no:
- assume !(x < y);
- z := false;
- return;
-}
-
-implementation LESS(x: int, y: int) returns (z: bool)
-{
-start:
- goto yes, no;
-yes:
- assume x < y;
- z := true;
- return;
-no:
- assume x >= y;
- z := false;
- return;
-}
-
-procedure Assignments()
-{
- var b: bool;
- var c: bool;
- var d: bool;
- var x: bool, y: bool;
-
- entry:
- b := c || d;
- b := c && d;
- x := c <==> d;
- y := c ==> d;
- assert x ==> y;
- return;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Test formula-term distinction in Simplify
+
+procedure plus(x: int, y: int) returns (z: int);
+ ensures z == x + y;
+
+implementation plus(x: int, y: int) returns (z: int)
+{
+start:
+ assume z == 3;
+ return; // ERROR: postcondition possibly violated
+}
+
+implementation plus(x: int, y: int) returns (z: int)
+{
+start:
+ z := x + y;
+ return;
+}
+
+implementation plus(x: int, y: int) returns (z: int)
+{
+start:
+ z := x + y;
+ z := 0 + z;
+ return;
+}
+
+procedure plus2(x: int, y: int) returns (z: int)
+ ensures z == x + y;
+{
+start:
+ z := x + y;
+ return;
+}
+
+procedure or(x: int, y: int, a: int, b: int) returns (z: int)
+ requires a == b;
+{
+var t: bool;
+start:
+ t := (x < y || x > y || x == y || x != y) && a >= b && a <= b;
+ assert (x < y || x > y || x == y || x != y) && a >= b && a <= b;
+ assert t;
+ return;
+}
+
+procedure less(x: int, y: int) returns (z: bool);
+ requires x < y;
+ ensures z == (x < y);
+
+implementation less(x: int, y: int) returns (z: bool)
+{
+start:
+ z := x < y;
+ return;
+}
+
+implementation less(x: int, y: int) returns (z: bool)
+{
+start:
+ goto yes, no;
+yes:
+ assume x < y;
+ z := true;
+ return;
+no:
+ assume !(x < y);
+ z := false;
+ return;
+}
+
+implementation less(x: int, y: int) returns (z: bool)
+{
+start:
+ goto yes, no;
+yes:
+ assume x < y;
+ z := true;
+ return;
+no:
+ assume x >= y;
+ z := false;
+ return;
+}
+
+procedure LESS(x: int, y: int) returns (z: bool);
+ requires x < y;
+ ensures z <==> (x < y);
+
+implementation LESS(x: int, y: int) returns (z: bool)
+{
+start:
+ z := x < y;
+ return;
+}
+
+implementation LESS(x: int, y: int) returns (z: bool)
+{
+start:
+ goto yes, no;
+yes:
+ assume x < y;
+ z := true;
+ return;
+no:
+ assume !(x < y);
+ z := false;
+ return;
+}
+
+implementation LESS(x: int, y: int) returns (z: bool)
+{
+start:
+ goto yes, no;
+yes:
+ assume x < y;
+ z := true;
+ return;
+no:
+ assume x >= y;
+ z := false;
+ return;
+}
+
+procedure Assignments()
+{
+ var b: bool;
+ var c: bool;
+ var d: bool;
+ var x: bool, y: bool;
+
+ entry:
+ b := c || d;
+ b := c && d;
+ x := c <==> d;
+ y := c ==> d;
+ assert x ==> y;
+ return;
+}
diff --git a/Test/test2/FormulaTerm2.bpl b/Test/test2/FormulaTerm2.bpl
index 14ae5dab..8a2b0ceb 100644
--- a/Test/test2/FormulaTerm2.bpl
+++ b/Test/test2/FormulaTerm2.bpl
@@ -1,51 +1,51 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// This file has been created to test some of the formula/term issues in Zap.
-// However, the test harness does not specify any particular prover to be used,
-// since these tests should pass regardless of which prover is used.
-
-procedure P()
-{
- var a: int, b: int, t: bool;
-
- start:
- assume a == b;
- t := a == b;
- assert t;
- return;
-}
-
-function f(bool) returns (int);
-const A: int;
-const B: int;
-
-axiom f(A < B) == 5;
-
-procedure Q()
-{
- start:
- assume A < B;
- assert f(true) == 5;
- return;
-}
-
-// ----- and now some erroneous procedures
-
-procedure PX()
-{
- var a: int, b: int, t: bool;
-
- start:
- assume a == b;
- t := a == b;
- assert !t; // error
- return;
-}
-
-procedure QX()
-{
- start:
- assume A < B;
- assert f(true) < 2; // error
- return;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// This file has been created to test some of the formula/term issues in Zap.
+// However, the test harness does not specify any particular prover to be used,
+// since these tests should pass regardless of which prover is used.
+
+procedure P()
+{
+ var a: int, b: int, t: bool;
+
+ start:
+ assume a == b;
+ t := a == b;
+ assert t;
+ return;
+}
+
+function f(bool) returns (int);
+const A: int;
+const B: int;
+
+axiom f(A < B) == 5;
+
+procedure Q()
+{
+ start:
+ assume A < B;
+ assert f(true) == 5;
+ return;
+}
+
+// ----- and now some erroneous procedures
+
+procedure PX()
+{
+ var a: int, b: int, t: bool;
+
+ start:
+ assume a == b;
+ t := a == b;
+ assert !t; // error
+ return;
+}
+
+procedure QX()
+{
+ start:
+ assume A < B;
+ assert f(true) < 2; // error
+ return;
+}
diff --git a/Test/test2/FreeCall.bpl b/Test/test2/FreeCall.bpl
index 16f182d9..3bd21e21 100644
--- a/Test/test2/FreeCall.bpl
+++ b/Test/test2/FreeCall.bpl
@@ -1,84 +1,84 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Test the implementation of free calls. These calls don't check the preconditions of the
-// called procedure in the caller.
-
-
-procedure Uncallable(i: int)
- requires 0 <= i;
- free requires true;
- requires false;
-{
-
-}
-
-procedure UncallableReturn(i: int) returns (b: bool)
- requires 0 <= i;
- free requires true;
- requires false;
-{
- b := true;
-}
-
-function T(b: bool) : bool
-{
- b == true
-}
-
-procedure TestCallForall(b: bool)
- requires T(b);
- free requires true;
- ensures T(b);
-{
-
-}
-
-
-procedure NormalCall0()
-{
- call Uncallable(0); // error: precondition violation
-}
-
-procedure NormalCall1()
-{
- call Uncallable(-1); // error: precondition violation
-}
-
-procedure FreeCall0()
-{
- free call Uncallable(0);
-}
-
-procedure FreeCall1()
-{
- free call Uncallable(-1);
-}
-
-procedure NormalCall2()
-{
- var b: bool;
-
- call b := UncallableReturn(0); // error: precondition violation
-}
-
-procedure NormalCall3()
-{
- var b: bool;
-
- call b := UncallableReturn(-1); // error: precondition violation
-}
-
-procedure FreeCall3()
-{
- var b: bool;
-
- free call b := UncallableReturn(0);
-}
-
-procedure FreeCall4()
-{
- var b: bool;
-
- free call b := UncallableReturn(-1);
-}
-
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Test the implementation of free calls. These calls don't check the preconditions of the
+// called procedure in the caller.
+
+
+procedure Uncallable(i: int)
+ requires 0 <= i;
+ free requires true;
+ requires false;
+{
+
+}
+
+procedure UncallableReturn(i: int) returns (b: bool)
+ requires 0 <= i;
+ free requires true;
+ requires false;
+{
+ b := true;
+}
+
+function T(b: bool) : bool
+{
+ b == true
+}
+
+procedure TestCallForall(b: bool)
+ requires T(b);
+ free requires true;
+ ensures T(b);
+{
+
+}
+
+
+procedure NormalCall0()
+{
+ call Uncallable(0); // error: precondition violation
+}
+
+procedure NormalCall1()
+{
+ call Uncallable(-1); // error: precondition violation
+}
+
+procedure FreeCall0()
+{
+ free call Uncallable(0);
+}
+
+procedure FreeCall1()
+{
+ free call Uncallable(-1);
+}
+
+procedure NormalCall2()
+{
+ var b: bool;
+
+ call b := UncallableReturn(0); // error: precondition violation
+}
+
+procedure NormalCall3()
+{
+ var b: bool;
+
+ call b := UncallableReturn(-1); // error: precondition violation
+}
+
+procedure FreeCall3()
+{
+ var b: bool;
+
+ free call b := UncallableReturn(0);
+}
+
+procedure FreeCall4()
+{
+ var b: bool;
+
+ free call b := UncallableReturn(-1);
+}
+
diff --git a/Test/test2/IfThenElse1.bpl b/Test/test2/IfThenElse1.bpl
index 5c12c1f4..1f27a9a9 100644
--- a/Test/test2/IfThenElse1.bpl
+++ b/Test/test2/IfThenElse1.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
type t1;
procedure ok()
diff --git a/Test/test2/Implies.bpl b/Test/test2/Implies.bpl
index 36c4a134..09337d40 100644
--- a/Test/test2/Implies.bpl
+++ b/Test/test2/Implies.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-const a:bool;
-const b:bool;
-const c:bool;
-const d:bool;
-
-function f(int) returns (bool);
-axiom (forall x:int :: f(x) <== x >= 0);
-
-procedure P() {
- assert (a ==> (b ==> c) ==> d) == (d <== (c <== b) <== a);
- assert (a ==> b ==> c) == (c <== (a ==> b)); // error
-
- assert f(23);
- assert f(-5); // error
-}
-
-procedure Q0(x: int) {
- assert x == 2; // error
- assert x == 2; // nothing reported for this line, since control cannot reach here
-}
-
-procedure Q1(x: int) {
- assert {:subsumption 0} x == 2; // error
- assert x == 2; // error (because the subsumption attribute above makes the execution 'forget' the condition)
-}
-
-procedure Q2(x: int) {
- assert x == 2; // error
- assert {:subsumption 0} x == 2; // nothing reported for this line, since control cannot reach here
-}
-
-procedure Q3(x: int) {
- assert {:subsumption 0} x == 2; // error
- assert {:subsumption 0} x == 2; // error (because the subsumption attribute above makes the execution 'forget' the condition)
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const a:bool;
+const b:bool;
+const c:bool;
+const d:bool;
+
+function f(int) returns (bool);
+axiom (forall x:int :: f(x) <== x >= 0);
+
+procedure P() {
+ assert (a ==> (b ==> c) ==> d) == (d <== (c <== b) <== a);
+ assert (a ==> b ==> c) == (c <== (a ==> b)); // error
+
+ assert f(23);
+ assert f(-5); // error
+}
+
+procedure Q0(x: int) {
+ assert x == 2; // error
+ assert x == 2; // nothing reported for this line, since control cannot reach here
+}
+
+procedure Q1(x: int) {
+ assert {:subsumption 0} x == 2; // error
+ assert x == 2; // error (because the subsumption attribute above makes the execution 'forget' the condition)
+}
+
+procedure Q2(x: int) {
+ assert x == 2; // error
+ assert {:subsumption 0} x == 2; // nothing reported for this line, since control cannot reach here
+}
+
+procedure Q3(x: int) {
+ assert {:subsumption 0} x == 2; // error
+ assert {:subsumption 0} x == 2; // error (because the subsumption attribute above makes the execution 'forget' the condition)
+}
diff --git a/Test/test2/InvariantVerifiedUnder0.bpl b/Test/test2/InvariantVerifiedUnder0.bpl
new file mode 100644
index 00000000..dc8e0d4a
--- /dev/null
+++ b/Test/test2/InvariantVerifiedUnder0.bpl
@@ -0,0 +1,54 @@
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure Test0()
+{
+ while (*)
+ invariant {:verified_under false} false; // error
+ {}
+}
+
+
+procedure Test1()
+{
+ while (*)
+ invariant {:verified_under true} false;
+ {}
+}
+
+
+procedure Test2(P: bool, Q: bool, A: bool)
+{
+ while (*)
+ invariant {:verified_under A} P; // error
+ invariant {:verified_under A} Q; // error
+ {}
+}
+
+
+procedure Test3(P: bool, Q: bool, A: bool)
+ requires !A ==> P;
+{
+ while (*)
+ invariant {:verified_under A} P;
+ invariant {:verified_under A} Q; // error
+ {}
+}
+
+procedure Test4(P: bool, Q: bool, A: bool)
+{
+ while (*)
+ invariant {:verified_under A} {:verified_under true} P; // error
+ invariant {:verified_under A} {:verified_under true} Q; // error
+ {}
+}
+
+
+procedure Test5(P: bool, Q: bool, A: bool)
+ requires !A ==> Q;
+{
+ while (*)
+ invariant {:verified_under A} {:verified_under true} P; // error
+ invariant {:verified_under A} {:verified_under true} Q;
+ {}
+}
diff --git a/Test/test2/InvariantVerifiedUnder0.bpl.expect b/Test/test2/InvariantVerifiedUnder0.bpl.expect
new file mode 100644
index 00000000..a95a10e1
--- /dev/null
+++ b/Test/test2/InvariantVerifiedUnder0.bpl.expect
@@ -0,0 +1,23 @@
+InvariantVerifiedUnder0.bpl(7,7): Error BP5001: This assertion might not hold.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(6,5): anon0
+InvariantVerifiedUnder0.bpl(23,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(22,5): anon0
+InvariantVerifiedUnder0.bpl(24,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(22,5): anon0
+InvariantVerifiedUnder0.bpl(34,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(32,5): anon0
+InvariantVerifiedUnder0.bpl(41,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(40,5): anon0
+InvariantVerifiedUnder0.bpl(42,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(40,5): anon0
+InvariantVerifiedUnder0.bpl(51,7): Error BP5004: This loop invariant might not hold on entry.
+Execution trace:
+ InvariantVerifiedUnder0.bpl(50,5): anon0
+
+Boogie program verifier finished with 1 verified, 7 errors
diff --git a/Test/test2/LambdaOldExpressions.bpl b/Test/test2/LambdaOldExpressions.bpl
index e865f4ab..24ce498d 100644
--- a/Test/test2/LambdaOldExpressions.bpl
+++ b/Test/test2/LambdaOldExpressions.bpl
@@ -1,63 +1,63 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var b: bool;
-
-
-procedure p0();
- requires b;
- modifies b;
- ensures (lambda x: bool :: {:MyAttr "put an attr here", !b} old(b))[true];
- ensures !(lambda x: bool :: {:AnotherAttr "yes, why not", b} {:ABC b, b, old(b)} b)[true];
-
-implementation p0()
-{
- b := !b;
- assert (lambda x: bool :: old(b))[true];
- assert !(lambda x: bool :: b)[true];
-}
-
-
-procedure p1();
- requires !b;
- modifies b;
- ensures (lambda x: bool :: old(b))[true]; // error
-
-implementation p1()
-{
- b := !b;
- assert !(lambda x: bool :: old(b))[true];
-}
-
-
-procedure p2();
- requires b;
- modifies b;
- ensures (lambda x: bool :: old(b) != b)[true];
-
-implementation p2()
-{
- b := !b;
- assert (lambda x: bool :: old(b) != b)[true];
-}
-
-
-procedure p3();
- requires b;
- modifies b;
- ensures (lambda x: int :: old(old(b)) != b)[15];
-
-implementation p3()
-{
- b := !b;
- assert (lambda x: int :: old(old(b)) != b)[15];
-}
-
-// Note that variables (inside and outside old expressions) mentioned
-// in attributes (even if they are not mentioned in the body of the
-// lambda) are also picked up by the auto-generated lambda functions,
-// so that the attributes can be copied to the function and axiom.
-var h: int;
-procedure TestAttributeParameters()
- ensures (lambda x: int :: {:MyAttribute old(h), h} x < 100)[23];
-{
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var b: bool;
+
+
+procedure p0();
+ requires b;
+ modifies b;
+ ensures (lambda x: bool :: {:MyAttr "put an attr here", !b} old(b))[true];
+ ensures !(lambda x: bool :: {:AnotherAttr "yes, why not", b} {:ABC b, b, old(b)} b)[true];
+
+implementation p0()
+{
+ b := !b;
+ assert (lambda x: bool :: old(b))[true];
+ assert !(lambda x: bool :: b)[true];
+}
+
+
+procedure p1();
+ requires !b;
+ modifies b;
+ ensures (lambda x: bool :: old(b))[true]; // error
+
+implementation p1()
+{
+ b := !b;
+ assert !(lambda x: bool :: old(b))[true];
+}
+
+
+procedure p2();
+ requires b;
+ modifies b;
+ ensures (lambda x: bool :: old(b) != b)[true];
+
+implementation p2()
+{
+ b := !b;
+ assert (lambda x: bool :: old(b) != b)[true];
+}
+
+
+procedure p3();
+ requires b;
+ modifies b;
+ ensures (lambda x: int :: old(old(b)) != b)[15];
+
+implementation p3()
+{
+ b := !b;
+ assert (lambda x: int :: old(old(b)) != b)[15];
+}
+
+// Note that variables (inside and outside old expressions) mentioned
+// in attributes (even if they are not mentioned in the body of the
+// lambda) are also picked up by the auto-generated lambda functions,
+// so that the attributes can be copied to the function and axiom.
+var h: int;
+procedure TestAttributeParameters()
+ ensures (lambda x: int :: {:MyAttribute old(h), h} x < 100)[23];
+{
+}
diff --git a/Test/test2/LambdaPoly.bpl b/Test/test2/LambdaPoly.bpl
index a850d43b..9bbab100 100644
--- a/Test/test2/LambdaPoly.bpl
+++ b/Test/test2/LambdaPoly.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
type set a = [a]bool;
function union<T>(a:set T, b:set T) : set T;
axiom (forall<T> a,b:set T :: union(a,b) == (lambda x:T :: a[x] || b[x]));
diff --git a/Test/test2/LoopInvAssume.bpl b/Test/test2/LoopInvAssume.bpl
index fd95c52e..a1e9a894 100644
--- a/Test/test2/LoopInvAssume.bpl
+++ b/Test/test2/LoopInvAssume.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Check that assumes in loop invariants are handled correctly
-
-var x : int;
-
-procedure Test()
- modifies x;
-{
- entry:
- goto loophead, exit;
-
- loophead:
- assume x >= 0;
- x := 0;
- goto loophead, exit;
-
- exit:
- assume x < 0;
- assert false;
- return;
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Check that assumes in loop invariants are handled correctly
+
+var x : int;
+
+procedure Test()
+ modifies x;
+{
+ entry:
+ goto loophead, exit;
+
+ loophead:
+ assume x >= 0;
+ x := 0;
+ goto loophead, exit;
+
+ exit:
+ assume x < 0;
+ assert false;
+ return;
+}
diff --git a/Test/test2/NeverPattern.bpl b/Test/test2/NeverPattern.bpl
index aa301129..5b8fc241 100644
--- a/Test/test2/NeverPattern.bpl
+++ b/Test/test2/NeverPattern.bpl
@@ -1,70 +1,70 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function {:never_pattern true} f1(x:int) returns(int);
-function {:never_pattern false} f2(x:int) returns(int);
-function f3(x:int) returns(int);
-
-
-procedure foo()
-{
- assume (forall x : int :: f1(x) > 0 && f2(x) > 0 && f3(x) > 0);
- assert f2(3) > 0;
- assert f3(4) > 0;
-}
-
-procedure bar()
-{
- assume (forall x : int :: f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
- assert f1(3) > 0;
-}
-
-procedure bar1()
-{
- assume (forall x : int :: {:nopats f2(x)} f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
- assert f1(3) > 0;
-}
-
-procedure bar2()
-{
- assume (forall x : int :: {:nopats f2(x)} f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
- assert f2(3) > 0;
-}
-
-// ----- nested binders -----
-
-function {:never_pattern} P(int): bool;
-function F(int, int): int;
-function G(int): bool;
-
-procedure NestedBinders()
-{
- goto A, B, C, D;
- A:
- assume (forall s: int ::
- // the occurrence of P in the next line had once caused a crash
- (forall x: int :: { F(s, x) } P(F(s, x)))
- ==> G(s)); // this places the nested forall in a negative position
- goto End;
-
- B:
- assume (forall s: int ::
- // the occurrence of P in the next line had once caused a crash
- (exists x: int :: { F(s, x) } P(F(s, x))));
- goto End;
-
- C:
- assume (forall s: int, m: [int]bool ::
- // the occurrence of P in the next line had once caused a crash
- (lambda x: int :: P(F(s, x))) == m);
- goto End;
-
- D:
- assume (forall x0: int ::
- // The following quantifier will get a {:nopats P(x1,s)}, which is good.
- // But that added trigger expression had once caused the outer quantifier
- // to crash.
- (forall x1: int :: P(x1)));
- goto End;
-
- End:
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function {:never_pattern true} f1(x:int) returns(int);
+function {:never_pattern false} f2(x:int) returns(int);
+function f3(x:int) returns(int);
+
+
+procedure foo()
+{
+ assume (forall x : int :: f1(x) > 0 && f2(x) > 0 && f3(x) > 0);
+ assert f2(3) > 0;
+ assert f3(4) > 0;
+}
+
+procedure bar()
+{
+ assume (forall x : int :: f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
+ assert f1(3) > 0;
+}
+
+procedure bar1()
+{
+ assume (forall x : int :: {:nopats f2(x)} f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
+ assert f1(3) > 0;
+}
+
+procedure bar2()
+{
+ assume (forall x : int :: {:nopats f2(x)} f1(x) > 0 && f2(x) > 0 && f3(x) > 0 && f1(7) == 3);
+ assert f2(3) > 0;
+}
+
+// ----- nested binders -----
+
+function {:never_pattern} P(int): bool;
+function F(int, int): int;
+function G(int): bool;
+
+procedure NestedBinders()
+{
+ goto A, B, C, D;
+ A:
+ assume (forall s: int ::
+ // the occurrence of P in the next line had once caused a crash
+ (forall x: int :: { F(s, x) } P(F(s, x)))
+ ==> G(s)); // this places the nested forall in a negative position
+ goto End;
+
+ B:
+ assume (forall s: int ::
+ // the occurrence of P in the next line had once caused a crash
+ (exists x: int :: { F(s, x) } P(F(s, x))));
+ goto End;
+
+ C:
+ assume (forall s: int, m: [int]bool ::
+ // the occurrence of P in the next line had once caused a crash
+ (lambda x: int :: P(F(s, x))) == m);
+ goto End;
+
+ D:
+ assume (forall x0: int ::
+ // The following quantifier will get a {:nopats P(x1,s)}, which is good.
+ // But that added trigger expression had once caused the outer quantifier
+ // to crash.
+ (forall x1: int :: P(x1)));
+ goto End;
+
+ End:
+}
diff --git a/Test/test2/NullaryMaps.bpl b/Test/test2/NullaryMaps.bpl
index a02f4594..142d18f2 100644
--- a/Test/test2/NullaryMaps.bpl
+++ b/Test/test2/NullaryMaps.bpl
@@ -1,59 +1,59 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// aren't these cool!
-
-var m: []int;
-var p: <a>[]a;
-
-type ref;
-const null: ref;
-
-procedure P()
- requires m[] == 5;
- modifies m;
- modifies p;
- ensures m[] == 30;
- ensures p[] == null;
-{
- m[] := 12;
- p[] := 12;
- p[] := true;
- assert p[] == m[];
- assert p[];
- m := m[:= 30];
- p := p[:=null];
-}
-
-procedure Q()
- modifies m;
-{
- assert m[] == 5; // error
- m[] := 30;
- assert m[] == 5; // error
-}
-
-procedure R()
- modifies p;
-{
- assert p[] < 3; // error
-}
-
-// ----
-
-type Field a;
-type HeapType = <a>[ref, Field a]a;
-const F0: Field int;
-const F1: Field bool;
-const alloc: Field bool;
-var Heap: HeapType;
-procedure FrameCondition(this: ref)
- modifies Heap;
- ensures (forall<a> o: ref, f: Field a ::
- Heap[o,f] == old(Heap)[o,f] ||
- !old(Heap)[o,alloc] ||
- (o == this && f == F0) ||
- (o == this && f == F1)
- );
-{
-}
-
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// aren't these cool!
+
+var m: []int;
+var p: <a>[]a;
+
+type ref;
+const null: ref;
+
+procedure P()
+ requires m[] == 5;
+ modifies m;
+ modifies p;
+ ensures m[] == 30;
+ ensures p[] == null;
+{
+ m[] := 12;
+ p[] := 12;
+ p[] := true;
+ assert p[] == m[];
+ assert p[];
+ m := m[:= 30];
+ p := p[:=null];
+}
+
+procedure Q()
+ modifies m;
+{
+ assert m[] == 5; // error
+ m[] := 30;
+ assert m[] == 5; // error
+}
+
+procedure R()
+ modifies p;
+{
+ assert p[] < 3; // error
+}
+
+// ----
+
+type Field a;
+type HeapType = <a>[ref, Field a]a;
+const F0: Field int;
+const F1: Field bool;
+const alloc: Field bool;
+var Heap: HeapType;
+procedure FrameCondition(this: ref)
+ modifies Heap;
+ ensures (forall<a> o: ref, f: Field a ::
+ Heap[o,f] == old(Heap)[o,f] ||
+ !old(Heap)[o,alloc] ||
+ (o == this && f == F0) ||
+ (o == this && f == F1)
+ );
+{
+}
+
diff --git a/Test/test2/Old.bpl b/Test/test2/Old.bpl
index beb98d40..017dcd85 100644
--- a/Test/test2/Old.bpl
+++ b/Test/test2/Old.bpl
@@ -1,134 +1,134 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-var x: int;
-var y: int;
-
-procedure P()
- modifies x;
- ensures x == old(x) + 1;
-{
- start:
- x := 1 + x;
- return;
-}
-
-procedure Q();
- modifies x;
- ensures x == old(x) + 1;
-
-implementation Q()
-{
- start:
- x := 1 + x;
- return;
-}
-
-procedure R()
- modifies x;
- ensures x == old(x) + 1;
-{
- start:
- return;
-} // error: does not establish postcondition
-
-procedure Swap()
- modifies x, y;
- ensures x == old(y) && y == old(x);
-{
- var t: int;
-
- start:
- goto A, B;
- A:
- t := x;
- x := y;
- y := t;
- goto end;
- B:
- x := x - y; // x == old(x) - old(y)
- y := y + x; // y == old(y) + (old(x) - old(y)) == old(x)
- x := y - x; // x == old(x) - (old(x) - old(y)) == old(y)
- goto end;
- end:
- return;
-}
-
-procedure OutParam0(x: int) returns (y: int)
- ensures y == x + 1;
-{
- start:
- y := x + 1;
- return;
-}
-
-// OutParam1 is like OutParam0, except that there's now a separate
-// implementation declaration, which means that the specification
-// and body use different AST nodes for the formal parameters. This
-// may make a difference in the various substitutions going on.
-// (Indeed, a previous bug caused OutParam0 to verify but not OutParam1.)
-procedure OutParam1(x: int) returns (y: int);
- ensures y == x + 1;
-implementation OutParam1(x: int) returns (y: int)
-{
- start:
- y := x + 1;
- return;
-}
-
-var a: [ref]int;
-var b: [ref]int;
-
-procedure SwapElems(o: ref) returns (p: ref)
- modifies a, b;
- ensures a[o] == old(b[p]) && b[o] == old(a[p]);
-{
- var ta: int, tb: int;
-
- start:
- goto A, B, C;
- A:
- havoc p;
- goto B, C;
- B:
- ta := a[p];
- tb := b[p];
- a[o] := tb;
- b[o] := ta;
- return;
- C:
- assume a[o] == b[o];assume false;
-
- p := o;
- return;
-}
-
-
-
-//-------------------------------------------------------------------------
-// Test old in Boogie PL code
-//-------------------------------------------------------------------------
-
-var Global0: int;
-
-// Good
-procedure OldInCode0()
- requires Global0 >= 0;
- ensures Global0 <= old(Global0) + 1;
- modifies Global0;
-{
- var local0: int;
-
- start:
- goto A,B;
- A:
- assert Global0 == old(Global0);
- return;
-
- B:
- local0 := Global0 + 1;
- local0 := local0 - 1;
- Global0 := old(local0 + 1);
- return;
-}
-
-type ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+var x: int;
+var y: int;
+
+procedure P()
+ modifies x;
+ ensures x == old(x) + 1;
+{
+ start:
+ x := 1 + x;
+ return;
+}
+
+procedure Q();
+ modifies x;
+ ensures x == old(x) + 1;
+
+implementation Q()
+{
+ start:
+ x := 1 + x;
+ return;
+}
+
+procedure R()
+ modifies x;
+ ensures x == old(x) + 1;
+{
+ start:
+ return;
+} // error: does not establish postcondition
+
+procedure Swap()
+ modifies x, y;
+ ensures x == old(y) && y == old(x);
+{
+ var t: int;
+
+ start:
+ goto A, B;
+ A:
+ t := x;
+ x := y;
+ y := t;
+ goto end;
+ B:
+ x := x - y; // x == old(x) - old(y)
+ y := y + x; // y == old(y) + (old(x) - old(y)) == old(x)
+ x := y - x; // x == old(x) - (old(x) - old(y)) == old(y)
+ goto end;
+ end:
+ return;
+}
+
+procedure OutParam0(x: int) returns (y: int)
+ ensures y == x + 1;
+{
+ start:
+ y := x + 1;
+ return;
+}
+
+// OutParam1 is like OutParam0, except that there's now a separate
+// implementation declaration, which means that the specification
+// and body use different AST nodes for the formal parameters. This
+// may make a difference in the various substitutions going on.
+// (Indeed, a previous bug caused OutParam0 to verify but not OutParam1.)
+procedure OutParam1(x: int) returns (y: int);
+ ensures y == x + 1;
+implementation OutParam1(x: int) returns (y: int)
+{
+ start:
+ y := x + 1;
+ return;
+}
+
+var a: [ref]int;
+var b: [ref]int;
+
+procedure SwapElems(o: ref) returns (p: ref)
+ modifies a, b;
+ ensures a[o] == old(b[p]) && b[o] == old(a[p]);
+{
+ var ta: int, tb: int;
+
+ start:
+ goto A, B, C;
+ A:
+ havoc p;
+ goto B, C;
+ B:
+ ta := a[p];
+ tb := b[p];
+ a[o] := tb;
+ b[o] := ta;
+ return;
+ C:
+ assume a[o] == b[o];assume false;
+
+ p := o;
+ return;
+}
+
+
+
+//-------------------------------------------------------------------------
+// Test old in Boogie PL code
+//-------------------------------------------------------------------------
+
+var Global0: int;
+
+// Good
+procedure OldInCode0()
+ requires Global0 >= 0;
+ ensures Global0 <= old(Global0) + 1;
+ modifies Global0;
+{
+ var local0: int;
+
+ start:
+ goto A,B;
+ A:
+ assert Global0 == old(Global0);
+ return;
+
+ B:
+ local0 := Global0 + 1;
+ local0 := local0 - 1;
+ Global0 := old(local0 + 1);
+ return;
+}
+
+type ref;
diff --git a/Test/test2/OldIllegal.bpl b/Test/test2/OldIllegal.bpl
index b9f7bd75..0a9b9b54 100644
--- a/Test/test2/OldIllegal.bpl
+++ b/Test/test2/OldIllegal.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Test old appearing in illegal locations
-
-var Global0: int;
-
-// Bad
-procedure OldInCode1()
- requires old(Global0) == 0;
-{
- start:
- return;
-}
-
-// Bad
-axiom (forall o:ref :: old(o) == o);
-
-type ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Test old appearing in illegal locations
+
+var Global0: int;
+
+// Bad
+procedure OldInCode1()
+ requires old(Global0) == 0;
+{
+ start:
+ return;
+}
+
+// Bad
+axiom (forall o:ref :: old(o) == o);
+
+type ref;
diff --git a/Test/test2/Passification.bpl b/Test/test2/Passification.bpl
index a248ca97..05912565 100644
--- a/Test/test2/Passification.bpl
+++ b/Test/test2/Passification.bpl
@@ -1,171 +1,171 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// VC generation tests: passification
-
-procedure good0(x: int) returns (y: int, z: int)
- ensures z == 4 || z == 4+x;
-{
-var t: int;
-A:
- t := y;
- z := 3;
- goto B, C;
-B:
- z := z + x;
- goto D;
-C:
- goto D;
-D:
- z := z + 1;
- y := t;
- return;
-}
-
-procedure good1(x: int) returns (y: int, z: int)
- ensures z == x + 4;
-{
-var t: int;
-A:
- t := y;
- z := 3;
- z := z + x;
- z := z + 1;
- y := t;
- return;
-}
-
-procedure bad0(x: int) returns (y: int, z: int)
- ensures y == 4; // ERROR: postcondition violation
-{
-var t: int;
-A:
- t := z;
- z := 3;
- z := z + 1;
- y := t;
- return;
-}
-
-procedure Loop()
-{
-start:
- goto start;
-}
-
-procedure UnreachableBlock()
-{
-start:
- return;
-notReached:
- goto start;
-reallyNeverReached:
- goto reallyNeverReached;
-}
-
-procedure Loop0() returns (z: int)
- ensures 10 <= z;
-{
-var x: int;
-A:
- goto B, C;
-B:
- assume x < 10;
- x := x + 1;
- goto A;
-C:
- assume !(x < 10);
- z := x;
- return;
-}
-
-const unique A0: name;
-const unique A1: name;
-const unique A2: name;
-
-procedure Array0() returns (z: int)
- ensures z >= 5;
-{
-var a: [name,name]int;
-L0:
- a[A0,A2] := 5;
- a[A0,A1] := 20;
- assert a[A0,A1] == 20;
- goto L1,L2;
-L1:
- a[A0,A2] := 18;
- assert a[A0,A2] == 18;
- goto L2;
-L2:
- assert a[A0,A1] == 20;
- z := a[A0,A2];
- return;
-}
-
-procedure Array1(o0: ref, o1: ref) returns (z: int)
- ensures z >= 5;
-{
-var a: [ref,name]int;
-L0:
- a[o1,A0] := 5;
- a[o0,A0] := 20;
- assert a[o0,A0] == 20;
- goto L1,L2;
-L1:
- a[o1,A0] := 18;
- assert a[o1,A0] == 18;
- goto L2;
-L2:
- assert a[o0,A0] == 20; // ERROR: assertion failure
- z := a[o1,A0];
- return;
-}
-
-procedure Array2(o0: ref, o1: ref) returns (z: int)
- ensures z >= 5;
-{
-var a: [ref,name]int;
-L0:
- assume o1 != o0;
- a[o1,A0] := 5;
- a[o0,A0] := 20;
- assert a[o0,A0] == 20;
- goto L1,L2;
-L1:
- a[o1,A0] := 18;
- assert a[o1,A0] == 18;
- goto L2;
-L2:
- assert a[o0,A0] == 20;
- z := a[o1,A0];
- return;
-}
-
-procedure P()
-{
-var t: int;
-L0:
- t := 0;
- goto L1, L2;
-L1:
- t := 1;
- goto L2;
-L2:
- assert t == 1; // ERROR: assert failure
- return;
-}
-
-procedure Q()
-{
-var t: int;
-L0:
- t := 0;
- goto L1, L2;
-L1:
- t := 1;
- goto L2;
-L2:
- assert t == 0; // ERROR: assert failure
- return;
-}
-
-type name, ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// VC generation tests: passification
+
+procedure good0(x: int) returns (y: int, z: int)
+ ensures z == 4 || z == 4+x;
+{
+var t: int;
+A:
+ t := y;
+ z := 3;
+ goto B, C;
+B:
+ z := z + x;
+ goto D;
+C:
+ goto D;
+D:
+ z := z + 1;
+ y := t;
+ return;
+}
+
+procedure good1(x: int) returns (y: int, z: int)
+ ensures z == x + 4;
+{
+var t: int;
+A:
+ t := y;
+ z := 3;
+ z := z + x;
+ z := z + 1;
+ y := t;
+ return;
+}
+
+procedure bad0(x: int) returns (y: int, z: int)
+ ensures y == 4; // ERROR: postcondition violation
+{
+var t: int;
+A:
+ t := z;
+ z := 3;
+ z := z + 1;
+ y := t;
+ return;
+}
+
+procedure Loop()
+{
+start:
+ goto start;
+}
+
+procedure UnreachableBlock()
+{
+start:
+ return;
+notReached:
+ goto start;
+reallyNeverReached:
+ goto reallyNeverReached;
+}
+
+procedure Loop0() returns (z: int)
+ ensures 10 <= z;
+{
+var x: int;
+A:
+ goto B, C;
+B:
+ assume x < 10;
+ x := x + 1;
+ goto A;
+C:
+ assume !(x < 10);
+ z := x;
+ return;
+}
+
+const unique A0: name;
+const unique A1: name;
+const unique A2: name;
+
+procedure Array0() returns (z: int)
+ ensures z >= 5;
+{
+var a: [name,name]int;
+L0:
+ a[A0,A2] := 5;
+ a[A0,A1] := 20;
+ assert a[A0,A1] == 20;
+ goto L1,L2;
+L1:
+ a[A0,A2] := 18;
+ assert a[A0,A2] == 18;
+ goto L2;
+L2:
+ assert a[A0,A1] == 20;
+ z := a[A0,A2];
+ return;
+}
+
+procedure Array1(o0: ref, o1: ref) returns (z: int)
+ ensures z >= 5;
+{
+var a: [ref,name]int;
+L0:
+ a[o1,A0] := 5;
+ a[o0,A0] := 20;
+ assert a[o0,A0] == 20;
+ goto L1,L2;
+L1:
+ a[o1,A0] := 18;
+ assert a[o1,A0] == 18;
+ goto L2;
+L2:
+ assert a[o0,A0] == 20; // ERROR: assertion failure
+ z := a[o1,A0];
+ return;
+}
+
+procedure Array2(o0: ref, o1: ref) returns (z: int)
+ ensures z >= 5;
+{
+var a: [ref,name]int;
+L0:
+ assume o1 != o0;
+ a[o1,A0] := 5;
+ a[o0,A0] := 20;
+ assert a[o0,A0] == 20;
+ goto L1,L2;
+L1:
+ a[o1,A0] := 18;
+ assert a[o1,A0] == 18;
+ goto L2;
+L2:
+ assert a[o0,A0] == 20;
+ z := a[o1,A0];
+ return;
+}
+
+procedure P()
+{
+var t: int;
+L0:
+ t := 0;
+ goto L1, L2;
+L1:
+ t := 1;
+ goto L2;
+L2:
+ assert t == 1; // ERROR: assert failure
+ return;
+}
+
+procedure Q()
+{
+var t: int;
+L0:
+ t := 0;
+ goto L1, L2;
+L1:
+ t := 1;
+ goto L2;
+L2:
+ assert t == 0; // ERROR: assert failure
+ return;
+}
+
+type name, ref;
diff --git a/Test/test2/Quantifiers.bpl b/Test/test2/Quantifiers.bpl
index 659a0c47..0392ca23 100644
--- a/Test/test2/Quantifiers.bpl
+++ b/Test/test2/Quantifiers.bpl
@@ -1,156 +1,156 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// ----------------------------------------------------------------------- single trigger
-
-function f(int, int) returns (int);
-
-axiom (forall x: int, y: int :: f(x,y) < x+y);
-axiom (forall x: int :: { f(x,10) } f(x,10) == 3);
-
-procedure P(a: int, b: int)
- requires a <= 25 && b <= 30;
-{
- start:
- assert f(a,b) <= 100;
- return;
-}
-
-procedure Q(a: int, b: int)
- requires a + 2 <= b;
-{
- start:
- assert f(a,b) == 3; // not provable with the trigger given above
- return;
-}
-
-procedure R(a: int, b: int)
- requires a + 2 <= b;
-{
- start:
- assume b <= 10 && 8 <= a;
- assert f(a,b) == 3; // now, the trigger should fire
- return;
-}
-
-// ----------------------------------------------------------------------- multi trigger
-
-function g(int, int) returns (int);
-
-axiom (forall x: int, y: int :: { g(x,10),g(x,y) } g(x,y) == 3); // multi-trigger
-
-procedure S(a: int, b: int)
- requires a + 2 <= b;
-{
- start:
- assert g(a,b) == 3; // not provable with the trigger given above
- return;
-}
-
-procedure T(a: int, b: int)
- requires a + 2 <= b;
-{
- start:
- assume b <= 10 && 8 <= a;
- assert g(a,b) == 3; // this should trigger
- return;
-}
-
-// ----------------------------------------------------------------------- several triggers
-
-function h(int, int) returns (int);
-
-axiom (forall y: int :: { g(y,y) } { h(y,h(y,10)) } h(y, h(y,y)) == y); // several triggers
-
-procedure U0(a: int)
-{
- start:
- assert h(a,h(a,a)) == a; // not provable with the triggers given above
- return;
-}
-
-procedure U1(a: int, b: int)
-{
- start:
- assume g(a,b) == 5;
- assert h(a,h(a,a)) == a; // not provable with the triggers given above
- return;
-}
-
-procedure V0(a: int, b: int)
- requires a == b;
-{
- start:
- assume g(a,b) == 5;
- assert h(a,h(a,a)) == a; // this should trigger
- return;
-}
-
-procedure V1(a: int, b: int)
-{
- start:
- assume a == 10;
- assert h(a,h(a,a)) == a; // this should trigger
- return;
-}
-
-procedure V2(a: int, b: int)
-{
- start:
- assume 0 <= h(a,h(a,10));
- assume a == 17;
- assert h(a,h(a,a)) == a; // this should trigger
- return;
-}
-
-// ----------------------------------------------------------------------- negated triggers
-
-function ka(ref) returns (int);
-function kb(ref) returns (int);
-function kbSynonym(ref) returns (int);
-function isA(ref, name) returns (bool);
-function isB(ref, name) returns (bool);
-const $T: name;
-
-axiom (forall o: ref ::
- isA(o, $T) ==> ka(o) < ka(o)); // automatically inferred triggers can be both isA(o,$T) and ka(o)
-
-axiom (forall o: ref ::
- {:nopats isB(o, $T) }
- isB(o, $T) ==> kb(o) < kbSynonym(o)); // prevent isB(o,$T) from being used as a trigger
-
-axiom (forall o: ref :: kb(o) == kbSynonym(o));
-
-procedure W(o: ref, e: int)
- requires isB(o, $T);
-{
- start:
- assert e > 20; // the isB axiom should not trigger, so this cannot be proved
- return;
-}
-
-procedure X0(o: ref, e: int)
- requires isA(o, $T);
-{
- start:
- assert e > 20; // this should trigger the isA axiom, so anything is provable
- return;
-}
-
-procedure X1(o: ref, e: int, u: int)
- requires isB(o, $T);
-{
- start:
- assume f(kb(o), kb(o)) == u;
- assert e > 20; // this should now trigger the isB axiom, so anything is provable
- return;
-}
-
-procedure X2(o: ref, e: int, u: int)
- requires isB(o, $T);
-{
- start:
- assert e > 20; // error is report here, providing evidence that the isB axiom has not been triggered
- return;
-}
-
-type name, ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// ----------------------------------------------------------------------- single trigger
+
+function f(int, int) returns (int);
+
+axiom (forall x: int, y: int :: f(x,y) < x+y);
+axiom (forall x: int :: { f(x,10) } f(x,10) == 3);
+
+procedure P(a: int, b: int)
+ requires a <= 25 && b <= 30;
+{
+ start:
+ assert f(a,b) <= 100;
+ return;
+}
+
+procedure Q(a: int, b: int)
+ requires a + 2 <= b;
+{
+ start:
+ assert f(a,b) == 3; // not provable with the trigger given above
+ return;
+}
+
+procedure R(a: int, b: int)
+ requires a + 2 <= b;
+{
+ start:
+ assume b <= 10 && 8 <= a;
+ assert f(a,b) == 3; // now, the trigger should fire
+ return;
+}
+
+// ----------------------------------------------------------------------- multi trigger
+
+function g(int, int) returns (int);
+
+axiom (forall x: int, y: int :: { g(x,10),g(x,y) } g(x,y) == 3); // multi-trigger
+
+procedure S(a: int, b: int)
+ requires a + 2 <= b;
+{
+ start:
+ assert g(a,b) == 3; // not provable with the trigger given above
+ return;
+}
+
+procedure T(a: int, b: int)
+ requires a + 2 <= b;
+{
+ start:
+ assume b <= 10 && 8 <= a;
+ assert g(a,b) == 3; // this should trigger
+ return;
+}
+
+// ----------------------------------------------------------------------- several triggers
+
+function h(int, int) returns (int);
+
+axiom (forall y: int :: { g(y,y) } { h(y,h(y,10)) } h(y, h(y,y)) == y); // several triggers
+
+procedure U0(a: int)
+{
+ start:
+ assert h(a,h(a,a)) == a; // not provable with the triggers given above
+ return;
+}
+
+procedure U1(a: int, b: int)
+{
+ start:
+ assume g(a,b) == 5;
+ assert h(a,h(a,a)) == a; // not provable with the triggers given above
+ return;
+}
+
+procedure V0(a: int, b: int)
+ requires a == b;
+{
+ start:
+ assume g(a,b) == 5;
+ assert h(a,h(a,a)) == a; // this should trigger
+ return;
+}
+
+procedure V1(a: int, b: int)
+{
+ start:
+ assume a == 10;
+ assert h(a,h(a,a)) == a; // this should trigger
+ return;
+}
+
+procedure V2(a: int, b: int)
+{
+ start:
+ assume 0 <= h(a,h(a,10));
+ assume a == 17;
+ assert h(a,h(a,a)) == a; // this should trigger
+ return;
+}
+
+// ----------------------------------------------------------------------- negated triggers
+
+function ka(ref) returns (int);
+function kb(ref) returns (int);
+function kbSynonym(ref) returns (int);
+function isA(ref, name) returns (bool);
+function isB(ref, name) returns (bool);
+const $T: name;
+
+axiom (forall o: ref ::
+ isA(o, $T) ==> ka(o) < ka(o)); // automatically inferred triggers can be both isA(o,$T) and ka(o)
+
+axiom (forall o: ref ::
+ {:nopats isB(o, $T) }
+ isB(o, $T) ==> kb(o) < kbSynonym(o)); // prevent isB(o,$T) from being used as a trigger
+
+axiom (forall o: ref :: kb(o) == kbSynonym(o));
+
+procedure W(o: ref, e: int)
+ requires isB(o, $T);
+{
+ start:
+ assert e > 20; // the isB axiom should not trigger, so this cannot be proved
+ return;
+}
+
+procedure X0(o: ref, e: int)
+ requires isA(o, $T);
+{
+ start:
+ assert e > 20; // this should trigger the isA axiom, so anything is provable
+ return;
+}
+
+procedure X1(o: ref, e: int, u: int)
+ requires isB(o, $T);
+{
+ start:
+ assume f(kb(o), kb(o)) == u;
+ assert e > 20; // this should now trigger the isB axiom, so anything is provable
+ return;
+}
+
+procedure X2(o: ref, e: int, u: int)
+ requires isB(o, $T);
+{
+ start:
+ assert e > 20; // error is report here, providing evidence that the isB axiom has not been triggered
+ return;
+}
+
+type name, ref;
diff --git a/Test/test2/SelectiveChecking.bpl b/Test/test2/SelectiveChecking.bpl
index 188243c8..1c505abb 100644
--- a/Test/test2/SelectiveChecking.bpl
+++ b/Test/test2/SelectiveChecking.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
procedure {:selective_checking} foo()
{
var x, y, z : int;
diff --git a/Test/test2/Structured.bpl b/Test/test2/Structured.bpl
index 55ee847a..a5aebaa9 100644
--- a/Test/test2/Structured.bpl
+++ b/Test/test2/Structured.bpl
@@ -1,346 +1,346 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-const K: int;
-
-function f(int) returns (int);
-
-axiom (exists k: int :: f(k) == K);
-
-procedure Find(a: int, b: int) returns (k: int);
- requires a <= b && (forall j: int :: a < j && j < b ==> f(j) != K);
- ensures f(k) == K;
-
-// nondeterministic, unstructured, recursive version
-implementation Find(a: int, b: int) returns (k: int)
-{
- entry:
- goto A, B, C;
-
- A:
- assume f(a) == K;
- k := a;
- return;
-
- B:
- assume f(b) == K;
- k := b;
- return;
-
- C:
- assume f(a) != K && f(b) != K;
- call k := Find(a-1, b+1);
- return;
-}
-
-// nondeterministic, recursive version
-implementation Find(a: int, b: int) returns (k: int)
-{
- if (*) {
- assume f(a) == K;
- k := a;
- } else if (*) {
- assume f(b) == K;
- k := b;
- } else {
- assume f(a) != K && f(b) != K;
- call k := Find(a-1, b+1);
- }
-}
-
-// deterministic, structured, recursive version
-implementation Find(a: int, b: int) returns (k: int)
-{
- if (f(a) == K) {
- k := a;
- } else if (f(b) == K) {
- k := b;
- } else {
- call k := Find(a-1, b+1);
- }
-}
-
-// deterministic, structured, iterative version
-implementation Find(a: int, b: int) returns (k: int)
-{
- var x: int, y: int;
-
- x := a;
- y := b;
-
- while (f(x) != K && f(y) != K)
- invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
- {
- x := x-1;
- y := y+1;
- }
-
- if (f(x) == K) {
- k := x;
- } else {
- k := y;
- }
-}
-
-// deterministic, structured, iterative version with breaks
-implementation Find(a: int, b: int) returns (k: int)
-{
- var x: int, y: int;
-
- x := a;
- y := b;
-
- while (true)
- invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
- {
- if (f(x) == K) {
- k := x;
- break;
- } else if (f(y) == K) {
- k := y;
- break;
- }
- x := x-1;
- y := y+1;
- }
-}
-
-// deterministic, somewhat structured, iterative version
-implementation Find(a: int, b: int) returns (k: int)
-{
- var x: int, y: int;
-
- x := a;
- y := b;
-
- while (true)
- invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
- {
- if (f(x) == K) {
- goto FoundX;
- } else if (f(y) == K) {
- goto FoundY;
- }
- x := x-1;
- y := y+1;
- }
-
- FoundX:
- k := x;
- return;
-
- FoundY:
- k := y;
- return;
-}
-
-// deterministic, structured, iterative version with breaks
-implementation Find(a: int, b: int) returns (k: int)
-{
- var x: int, y: int;
-
- x := a;
- y := b;
-
- outer:
- if (true) {
- inner:
- while (true)
- invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
- {
- if (f(x) == K) {
- break inner;
- } else if (f(y) == K) {
- break outer;
- }
- x := x-1;
- y := y+1;
- }
-
- k := x;
- return;
- }
- k := y;
-}
-
-// ----- free invariant -----
-
-function Teal(int) returns (bool);
-function ShadeOfGreen(int) returns (bool);
-axiom (forall w: int :: Teal(w) ==> ShadeOfGreen(w));
-
-procedure P(x: int) returns (y: int)
- requires Teal(x);
- ensures ShadeOfGreen(y);
-{
- y := x;
- while (y < 100)
- free invariant Teal(y);
- {
- y := y + 5;
- }
-}
-
-// ----- run off the end of the BigBlock -----
-
-procedure RunOffEnd0() returns (x: int)
- ensures x == 3;
-{
- x := 0;
- Label0:
- x := x + 1;
- Label1:
- x := x + 1;
- Label2:
- Label3:
- Label4:
- x := x + 1;
-}
-
-procedure RunOffEnd1() returns (x: int)
- ensures x == 4;
-{
- x := 0;
- Label0:
- x := x + 1;
- Label1:
- if (*) {
- Label2:
- x := x + 2;
- } else if (*) {
- Label3:
- x := 2;
- x := x + 2;
- Label4:
- Label5:
- x := x - 1;
- } else {
- if (*) {
- x := 0;
- while (x < 3)
- invariant x <= 3;
- { x := x + 1; }
- } else {
- x := x + 2;
- }
- }
- x := x + 1;
-}
-
-procedure RunOffEnd2() returns (x: int)
- ensures x == 10;
-{
- while (true) {
- while (true) {
- if (*) {
- x := 10;
- break;
- }
- }
- if (*) { break; }
- }
-}
-
-procedure RunOffEnd3() returns (x: int)
- ensures x == 9;
-{ x := 9;
- while (true) {
- while (true) {
- if (*) {
- x := 10;
- break;
- }
- }
- if (*) { break; }
- } // error: violated postcondition
-}
-
-procedure RunOffEnd4() returns (x: int)
-{
- var y: int;
- var bad: bool;
-
- while (true) {
- y := x;
- bad := false;
- if (*) {
- x := x + 1;
- bad := true;
- }
- if (x == y) { break; }
- }
- assert !bad;
-}
-
-procedure RunOffEnd5() returns (x: int)
-{
- while (true) {
- if (x == 5) { }
- }
- assert false;
-}
-
-procedure RunOffEnd6() returns (x: int)
-{
- x := 7;
- while (true)
- invariant x == 7;
- {
- x := 5;
- MyLabel:
- x := 7;
- }
-}
-
-// ----- jump optimizations -----
-
-procedure Q0()
-{
- var x: int;
-
- x := 0;
- if (*) {
- x := 1;
- }
- assert x == 1; // error
-}
-
-procedure Q1() returns (x: int)
-{
- if (x == 0) {
- A:
- x := x + 0;
- assert x == 0; // error
- B:
- x := x + 1;
- goto A;
- }
-}
-
-procedure Q2() returns (x: int)
-{
- if (x == 0) {
- while (x < 10)
- invariant x <= 10;
- {
- x := x + 1;
- }
- }
-}
-
-// There was once a bug in Boogie's handling of the following break statement.
-procedure BreakIssue(x: int) returns (curr: int)
- ensures x == 18 || curr == 100; // holds, because the procedure doesn't
- // actually ever terminate if x != 18
-{
- while (x != 18) {
- while (x != 19) {
- call curr := Read();
- if (curr == 0) {
- break;
- }
- }
- }
-}
-
-procedure Read() returns (val: int);
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const K: int;
+
+function f(int) returns (int);
+
+axiom (exists k: int :: f(k) == K);
+
+procedure Find(a: int, b: int) returns (k: int);
+ requires a <= b && (forall j: int :: a < j && j < b ==> f(j) != K);
+ ensures f(k) == K;
+
+// nondeterministic, unstructured, recursive version
+implementation Find(a: int, b: int) returns (k: int)
+{
+ entry:
+ goto A, B, C;
+
+ A:
+ assume f(a) == K;
+ k := a;
+ return;
+
+ B:
+ assume f(b) == K;
+ k := b;
+ return;
+
+ C:
+ assume f(a) != K && f(b) != K;
+ call k := Find(a-1, b+1);
+ return;
+}
+
+// nondeterministic, recursive version
+implementation Find(a: int, b: int) returns (k: int)
+{
+ if (*) {
+ assume f(a) == K;
+ k := a;
+ } else if (*) {
+ assume f(b) == K;
+ k := b;
+ } else {
+ assume f(a) != K && f(b) != K;
+ call k := Find(a-1, b+1);
+ }
+}
+
+// deterministic, structured, recursive version
+implementation Find(a: int, b: int) returns (k: int)
+{
+ if (f(a) == K) {
+ k := a;
+ } else if (f(b) == K) {
+ k := b;
+ } else {
+ call k := Find(a-1, b+1);
+ }
+}
+
+// deterministic, structured, iterative version
+implementation Find(a: int, b: int) returns (k: int)
+{
+ var x: int, y: int;
+
+ x := a;
+ y := b;
+
+ while (f(x) != K && f(y) != K)
+ invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
+ {
+ x := x-1;
+ y := y+1;
+ }
+
+ if (f(x) == K) {
+ k := x;
+ } else {
+ k := y;
+ }
+}
+
+// deterministic, structured, iterative version with breaks
+implementation Find(a: int, b: int) returns (k: int)
+{
+ var x: int, y: int;
+
+ x := a;
+ y := b;
+
+ while (true)
+ invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
+ {
+ if (f(x) == K) {
+ k := x;
+ break;
+ } else if (f(y) == K) {
+ k := y;
+ break;
+ }
+ x := x-1;
+ y := y+1;
+ }
+}
+
+// deterministic, somewhat structured, iterative version
+implementation Find(a: int, b: int) returns (k: int)
+{
+ var x: int, y: int;
+
+ x := a;
+ y := b;
+
+ while (true)
+ invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
+ {
+ if (f(x) == K) {
+ goto FoundX;
+ } else if (f(y) == K) {
+ goto FoundY;
+ }
+ x := x-1;
+ y := y+1;
+ }
+
+ FoundX:
+ k := x;
+ return;
+
+ FoundY:
+ k := y;
+ return;
+}
+
+// deterministic, structured, iterative version with breaks
+implementation Find(a: int, b: int) returns (k: int)
+{
+ var x: int, y: int;
+
+ x := a;
+ y := b;
+
+ outer:
+ if (true) {
+ inner:
+ while (true)
+ invariant x <= y && (forall j: int :: x < j && j < y ==> f(j) != K);
+ {
+ if (f(x) == K) {
+ break inner;
+ } else if (f(y) == K) {
+ break outer;
+ }
+ x := x-1;
+ y := y+1;
+ }
+
+ k := x;
+ return;
+ }
+ k := y;
+}
+
+// ----- free invariant -----
+
+function Teal(int) returns (bool);
+function ShadeOfGreen(int) returns (bool);
+axiom (forall w: int :: Teal(w) ==> ShadeOfGreen(w));
+
+procedure P(x: int) returns (y: int)
+ requires Teal(x);
+ ensures ShadeOfGreen(y);
+{
+ y := x;
+ while (y < 100)
+ free invariant Teal(y);
+ {
+ y := y + 5;
+ }
+}
+
+// ----- run off the end of the BigBlock -----
+
+procedure RunOffEnd0() returns (x: int)
+ ensures x == 3;
+{
+ x := 0;
+ Label0:
+ x := x + 1;
+ Label1:
+ x := x + 1;
+ Label2:
+ Label3:
+ Label4:
+ x := x + 1;
+}
+
+procedure RunOffEnd1() returns (x: int)
+ ensures x == 4;
+{
+ x := 0;
+ Label0:
+ x := x + 1;
+ Label1:
+ if (*) {
+ Label2:
+ x := x + 2;
+ } else if (*) {
+ Label3:
+ x := 2;
+ x := x + 2;
+ Label4:
+ Label5:
+ x := x - 1;
+ } else {
+ if (*) {
+ x := 0;
+ while (x < 3)
+ invariant x <= 3;
+ { x := x + 1; }
+ } else {
+ x := x + 2;
+ }
+ }
+ x := x + 1;
+}
+
+procedure RunOffEnd2() returns (x: int)
+ ensures x == 10;
+{
+ while (true) {
+ while (true) {
+ if (*) {
+ x := 10;
+ break;
+ }
+ }
+ if (*) { break; }
+ }
+}
+
+procedure RunOffEnd3() returns (x: int)
+ ensures x == 9;
+{ x := 9;
+ while (true) {
+ while (true) {
+ if (*) {
+ x := 10;
+ break;
+ }
+ }
+ if (*) { break; }
+ } // error: violated postcondition
+}
+
+procedure RunOffEnd4() returns (x: int)
+{
+ var y: int;
+ var bad: bool;
+
+ while (true) {
+ y := x;
+ bad := false;
+ if (*) {
+ x := x + 1;
+ bad := true;
+ }
+ if (x == y) { break; }
+ }
+ assert !bad;
+}
+
+procedure RunOffEnd5() returns (x: int)
+{
+ while (true) {
+ if (x == 5) { }
+ }
+ assert false;
+}
+
+procedure RunOffEnd6() returns (x: int)
+{
+ x := 7;
+ while (true)
+ invariant x == 7;
+ {
+ x := 5;
+ MyLabel:
+ x := 7;
+ }
+}
+
+// ----- jump optimizations -----
+
+procedure Q0()
+{
+ var x: int;
+
+ x := 0;
+ if (*) {
+ x := 1;
+ }
+ assert x == 1; // error
+}
+
+procedure Q1() returns (x: int)
+{
+ if (x == 0) {
+ A:
+ x := x + 0;
+ assert x == 0; // error
+ B:
+ x := x + 1;
+ goto A;
+ }
+}
+
+procedure Q2() returns (x: int)
+{
+ if (x == 0) {
+ while (x < 10)
+ invariant x <= 10;
+ {
+ x := x + 1;
+ }
+ }
+}
+
+// There was once a bug in Boogie's handling of the following break statement.
+procedure BreakIssue(x: int) returns (curr: int)
+ ensures x == 18 || curr == 100; // holds, because the procedure doesn't
+ // actually ever terminate if x != 18
+{
+ while (x != 18) {
+ while (x != 19) {
+ call curr := Read();
+ if (curr == 0) {
+ break;
+ }
+ }
+ }
+}
+
+procedure Read() returns (val: int);
diff --git a/Test/test2/Timeouts0.bpl b/Test/test2/Timeouts0.bpl
index 6fa379d9..ee2ad566 100644
--- a/Test/test2/Timeouts0.bpl
+++ b/Test/test2/Timeouts0.bpl
@@ -1,85 +1,85 @@
-// RUN: %boogie -timeLimit:4 "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure TestTimeouts0(in: [int]int, len: int) returns (out: [int]int)
- requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
- requires 0 < len;
- ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
-{
- var i : int;
-
- i := 0;
- out[i] := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
- {
- out[i + 1] := out[i] + 1;
- i := i + 1;
- }
-
- i := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
- {
- i := i + 1;
- }
-}
-
-
-procedure TestTimeouts1(in: [int]int, len: int) returns (out: [int]int);
- requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
- requires 0 < len;
- ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
-
-implementation {:timeLimit 8} TestTimeouts1(in: [int]int, len: int) returns (out: [int]int)
-{
- var i : int;
-
- i := 0;
- out[i] := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
- {
- out[i + 1] := out[i] + 1;
- i := i + 1;
- }
-
- i := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
- {
- i := i + 1;
- }
-}
-
-
-procedure TestTimeouts2(in: [int]int, len: int) returns (out: [int]int);
- requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
- requires 0 < len;
- ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
-
-implementation {:timeLimit 2} TestTimeouts2(in: [int]int, len: int) returns (out: [int]int)
-{
- var i : int;
-
- i := 0;
- out[i] := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
- {
- out[i + 1] := out[i] + 1;
- i := i + 1;
- }
-
- i := 0;
- while (i < len)
- invariant 0 <= i && i <= len;
- invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
- {
- i := i + 1;
- }
-}
+// RUN: %boogie -timeLimit:4 "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure TestTimeouts0(in: [int]int, len: int) returns (out: [int]int)
+ requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
+ requires 0 < len;
+ ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
+{
+ var i : int;
+
+ i := 0;
+ out[i] := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
+ {
+ out[i + 1] := out[i] + 1;
+ i := i + 1;
+ }
+
+ i := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
+ {
+ i := i + 1;
+ }
+}
+
+
+procedure TestTimeouts1(in: [int]int, len: int) returns (out: [int]int);
+ requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
+ requires 0 < len;
+ ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
+
+implementation {:timeLimit 8} TestTimeouts1(in: [int]int, len: int) returns (out: [int]int)
+{
+ var i : int;
+
+ i := 0;
+ out[i] := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
+ {
+ out[i + 1] := out[i] + 1;
+ i := i + 1;
+ }
+
+ i := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
+ {
+ i := i + 1;
+ }
+}
+
+
+procedure TestTimeouts2(in: [int]int, len: int) returns (out: [int]int);
+ requires in[0] == 0 && (forall i: int :: 0 <= i ==> in[i + 1] == in[i] + 1);
+ requires 0 < len;
+ ensures (forall j: int :: 0 <= j && j < len ==> out[j] == j);
+
+implementation {:timeLimit 2} TestTimeouts2(in: [int]int, len: int) returns (out: [int]int)
+{
+ var i : int;
+
+ i := 0;
+ out[i] := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant out[0] == 0 && (forall j: int :: 0 <= j && j < i ==> out[j + 1] == out[j] + 1);
+ {
+ out[i + 1] := out[i] + 1;
+ i := i + 1;
+ }
+
+ i := 0;
+ while (i < len)
+ invariant 0 <= i && i <= len;
+ invariant (forall j: int :: 0 <= j && j < i ==> out[j] == in[j]);
+ {
+ i := i + 1;
+ }
+}
diff --git a/Test/test2/TypeEncodingM.bpl b/Test/test2/TypeEncodingM.bpl
index 0287da12..40e60cf5 100644
--- a/Test/test2/TypeEncodingM.bpl
+++ b/Test/test2/TypeEncodingM.bpl
@@ -1,5 +1,5 @@
-// RUN: %boogie -noinfer -typeEncoding:m "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noinfer -typeEncoding:m "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
type TT;
procedure A()
diff --git a/Test/test2/UpdateExpr.bpl b/Test/test2/UpdateExpr.bpl
index eb5ba2e1..fb858a44 100644
--- a/Test/test2/UpdateExpr.bpl
+++ b/Test/test2/UpdateExpr.bpl
@@ -1,83 +1,83 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-const a: [int]bool;
-
-// element 5 of a stores the value true
-axiom a == a[5 := true];
-
-procedure P()
-{
- assert a[5];
-}
-
-procedure Q()
-{
- assert a[4]; // error
-}
-
-procedure R()
-{
- assert !a[5]; // error
-}
-
-procedure S(y: int, t: bool)
- requires y <= 5;
-{
- if (a[y := t][5] == false) {
- assert y == 5;
- }
-}
-
-procedure T0(aa: [int,ref]bool)
-{
- assert aa[5,null := true] != aa[2,null := false]; // error
-}
-
-procedure T1(aa: [int,ref]bool)
- requires aa[5,null] && !aa[2,null];
-{
- assert aa[5,null := true] == aa[2,null := false]; // error, because we have no extensionality
-}
-
-procedure T2(aa: [int,ref]bool)
- requires aa[5,null] && !aa[2,null];
-{
- assert (forall x: int, y: ref :: aa[5,null := true][x,y] == aa[2,null := false][x,y]);
-}
-
-procedure U0(a: [int]int)
-{
- var b: [int]int;
-
- b := a[5 := 12];
- assert a == b; // error
-}
-
-procedure U1() returns (a: [int]int)
-{
- var b: [int]int;
-
- b := a[5 := 12];
- a[5] := 12;
- assert a == b;
-}
-
-type Field a;
-const unique IntField: Field int;
-const unique RefField: Field ref;
-const unique SomeField: Field int;
-
-procedure FieldProc(H: <a>[ref,Field a]a, this: ref)
-{
- var i: int, r: ref, y: any;
- var K: <a>[ref,Field a]a;
-
- K := H[this, IntField := 5][this, RefField := null][this, SomeField := 100][this, IntField := 7];
- assert K[this, IntField] == 7;
- assert K[this, RefField] == null;
- assert K[this, SomeField] == 100;
-}
-
-type ref, any;
-const null : ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const a: [int]bool;
+
+// element 5 of a stores the value true
+axiom a == a[5 := true];
+
+procedure P()
+{
+ assert a[5];
+}
+
+procedure Q()
+{
+ assert a[4]; // error
+}
+
+procedure R()
+{
+ assert !a[5]; // error
+}
+
+procedure S(y: int, t: bool)
+ requires y <= 5;
+{
+ if (a[y := t][5] == false) {
+ assert y == 5;
+ }
+}
+
+procedure T0(aa: [int,ref]bool)
+{
+ assert aa[5,null := true] != aa[2,null := false]; // error
+}
+
+procedure T1(aa: [int,ref]bool)
+ requires aa[5,null] && !aa[2,null];
+{
+ assert aa[5,null := true] == aa[2,null := false]; // error, because we have no extensionality
+}
+
+procedure T2(aa: [int,ref]bool)
+ requires aa[5,null] && !aa[2,null];
+{
+ assert (forall x: int, y: ref :: aa[5,null := true][x,y] == aa[2,null := false][x,y]);
+}
+
+procedure U0(a: [int]int)
+{
+ var b: [int]int;
+
+ b := a[5 := 12];
+ assert a == b; // error
+}
+
+procedure U1() returns (a: [int]int)
+{
+ var b: [int]int;
+
+ b := a[5 := 12];
+ a[5] := 12;
+ assert a == b;
+}
+
+type Field a;
+const unique IntField: Field int;
+const unique RefField: Field ref;
+const unique SomeField: Field int;
+
+procedure FieldProc(H: <a>[ref,Field a]a, this: ref)
+{
+ var i: int, r: ref, y: any;
+ var K: <a>[ref,Field a]a;
+
+ K := H[this, IntField := 5][this, RefField := null][this, SomeField := 100][this, IntField := 7];
+ assert K[this, IntField] == 7;
+ assert K[this, RefField] == null;
+ assert K[this, SomeField] == 100;
+}
+
+type ref, any;
+const null : ref;
diff --git a/Test/test2/Where.bpl b/Test/test2/Where.bpl
index fed05d76..762da163 100644
--- a/Test/test2/Where.bpl
+++ b/Test/test2/Where.bpl
@@ -1,165 +1,165 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P0()
-{
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- assert 0 <= x;
- assert x <= y;
- assert y < 5; // error
-}
-
-procedure P1()
-{
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- x := 5;
- havoc y;
- assert 5 <= y;
-
- havoc x;
- assert 0 <= x;
- assert x <= y; // error
-}
-
-procedure P2()
-{
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- havoc y; // y first
- havoc x;
- assert x <= y; // error
-}
-
-procedure P3()
-{
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- x := 5;
- havoc x; // this time, x first
- havoc y;
- assert x <= y; // yeah!
- assert 5 <= y; // error
-}
-
-procedure P4()
-{
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- havoc x, y; // both at the same time
- assert 0 <= x && x <= y;
- havoc y, x; // or in the other order
- assert 0 <= x && x <= y;
-
- assert x == 7; // error
-}
-
-procedure R0() returns (wProc: int where wProc == xProc,
- xProc: int where 0 <= xProc,
- yProc: int where xProc <= yProc);
-implementation R0() returns (w: int, x: int, y: int)
-{
- while (*) {
- assert w == x;
- assert 0 <= x;
- assert x <= y;
- }
- while (*) {
- assert w == x;
- assert 0 <= x;
- assert x <= y;
- // the following makes w, x, y loop targets
- w := w + 1;
- havoc x;
- y := w;
- }
- assert w == x;
- assert 0 <= x;
- assert x <= y;
-}
-
-procedure R1()
-{
- var a: int;
- var b: int;
- var c: int;
-
- call a, b, c := R0();
- assert a == b;
- assert 0 <= b;
- assert b <= c;
-}
-
-procedure R2()
-{
- var w: int where w == x;
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- x := 5;
- y := 10;
- while (*) {
- w := w + 1;
- assert w == 6;
- y := y + 2;
- assert 7 <= y;
- }
- assert x == 5 && 0 <= y - w;
- assert y == 10; // error
-}
-
-procedure R3()
-{
- var w: int where w == x;
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- // change w and x
- y := 10;
- while (*) {
- w := w; x := x;
- }
- assert w == x;
- assert 0 <= x;
- assert y == 10;
- assert w <= 10; // error
-}
-
-procedure R4()
-{
- var w: int where w == x;
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- // change x and y
- w := 12;
- while (*) {
- x := x; y := y;
- }
- assert 0 <= x;
- assert x <= y;
- assert w == 12;
- assert 8 <= y; // error
-}
-
-procedure R5(K: int)
-{
- var w: int where w == x;
- var x: int where 0 <= x;
- var y: int where x <= y;
-
- // change w and y
- x := K;
- while (*) {
- w := w; y := y;
- }
- assert w == K;
- assert K <= y;
- assert x == K;
- assert 0 <= x; // error
-}
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P0()
+{
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ assert 0 <= x;
+ assert x <= y;
+ assert y < 5; // error
+}
+
+procedure P1()
+{
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ x := 5;
+ havoc y;
+ assert 5 <= y;
+
+ havoc x;
+ assert 0 <= x;
+ assert x <= y; // error
+}
+
+procedure P2()
+{
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ havoc y; // y first
+ havoc x;
+ assert x <= y; // error
+}
+
+procedure P3()
+{
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ x := 5;
+ havoc x; // this time, x first
+ havoc y;
+ assert x <= y; // yeah!
+ assert 5 <= y; // error
+}
+
+procedure P4()
+{
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ havoc x, y; // both at the same time
+ assert 0 <= x && x <= y;
+ havoc y, x; // or in the other order
+ assert 0 <= x && x <= y;
+
+ assert x == 7; // error
+}
+
+procedure R0() returns (wProc: int where wProc == xProc,
+ xProc: int where 0 <= xProc,
+ yProc: int where xProc <= yProc);
+implementation R0() returns (w: int, x: int, y: int)
+{
+ while (*) {
+ assert w == x;
+ assert 0 <= x;
+ assert x <= y;
+ }
+ while (*) {
+ assert w == x;
+ assert 0 <= x;
+ assert x <= y;
+ // the following makes w, x, y loop targets
+ w := w + 1;
+ havoc x;
+ y := w;
+ }
+ assert w == x;
+ assert 0 <= x;
+ assert x <= y;
+}
+
+procedure R1()
+{
+ var a: int;
+ var b: int;
+ var c: int;
+
+ call a, b, c := R0();
+ assert a == b;
+ assert 0 <= b;
+ assert b <= c;
+}
+
+procedure R2()
+{
+ var w: int where w == x;
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ x := 5;
+ y := 10;
+ while (*) {
+ w := w + 1;
+ assert w == 6;
+ y := y + 2;
+ assert 7 <= y;
+ }
+ assert x == 5 && 0 <= y - w;
+ assert y == 10; // error
+}
+
+procedure R3()
+{
+ var w: int where w == x;
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ // change w and x
+ y := 10;
+ while (*) {
+ w := w; x := x;
+ }
+ assert w == x;
+ assert 0 <= x;
+ assert y == 10;
+ assert w <= 10; // error
+}
+
+procedure R4()
+{
+ var w: int where w == x;
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ // change x and y
+ w := 12;
+ while (*) {
+ x := x; y := y;
+ }
+ assert 0 <= x;
+ assert x <= y;
+ assert w == 12;
+ assert 8 <= y; // error
+}
+
+procedure R5(K: int)
+{
+ var w: int where w == x;
+ var x: int where 0 <= x;
+ var y: int where x <= y;
+
+ // change w and y
+ x := K;
+ while (*) {
+ w := w; y := y;
+ }
+ assert w == K;
+ assert K <= y;
+ assert x == K;
+ assert 0 <= x; // error
+}
diff --git a/Test/test2/sk_hack.bpl b/Test/test2/sk_hack.bpl
index 7ce8e4dc..163bbc26 100644
--- a/Test/test2/sk_hack.bpl
+++ b/Test/test2/sk_hack.bpl
@@ -1,34 +1,34 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function in_set(int) returns(bool);
-function next(int) returns(int);
-function f(int) returns(bool);
-function g(int) returns(bool);
-
-// this function is treated specially by Z3 when used in triggers
-// sk_hack(f(x)) means to activate the e-node f(x0) when trying to prove
-// !(forall x : T :: {sk_hack(f(x))} p(x)) by proving !p(x0)
-// (i.e., after skolemization of x to x0).
-function sk_hack(bool) returns(bool);
-
-// PR: sk_hack cannot be defined as a polymorphic function
-// when using /quantifierTypePremisses:a, because then it would
-// get an additional explicit type parameter, and Z3 would
-// no longer recognise it.
-
-procedure foo()
-{
- assume (forall x:int :: {in_set(next(x))}
- in_set(x) ==> in_set(next(x)));
-
- assume (forall x:int :: {in_set(x)}
- in_set(x) ==> f(x));
-
- assume (forall x:int :: {f(next(x))}
- f(next(x)) ==> g(x));
-
- assert (forall x:int ::
- { sk_hack(in_set(next(x))) }
- in_set(x) ==> g(x));
- }
-
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function in_set(int) returns(bool);
+function next(int) returns(int);
+function f(int) returns(bool);
+function g(int) returns(bool);
+
+// this function is treated specially by Z3 when used in triggers
+// sk_hack(f(x)) means to activate the e-node f(x0) when trying to prove
+// !(forall x : T :: {sk_hack(f(x))} p(x)) by proving !p(x0)
+// (i.e., after skolemization of x to x0).
+function sk_hack(bool) returns(bool);
+
+// PR: sk_hack cannot be defined as a polymorphic function
+// when using /quantifierTypePremisses:a, because then it would
+// get an additional explicit type parameter, and Z3 would
+// no longer recognise it.
+
+procedure foo()
+{
+ assume (forall x:int :: {in_set(next(x))}
+ in_set(x) ==> in_set(next(x)));
+
+ assume (forall x:int :: {in_set(x)}
+ in_set(x) ==> f(x));
+
+ assume (forall x:int :: {f(next(x))}
+ f(next(x)) ==> g(x));
+
+ assert (forall x:int ::
+ { sk_hack(in_set(next(x))) }
+ in_set(x) ==> g(x));
+ }
+
diff --git a/Test/test2/strings-no-where.bpl b/Test/test2/strings-no-where.bpl
index 6a89a9b2..79dd2ddb 100644
--- a/Test/test2/strings-no-where.bpl
+++ b/Test/test2/strings-no-where.bpl
@@ -1,997 +1,997 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-type elements;
-
-type struct;
-
-var $Heap: [ref,name]any;
-function cast<S,T>(S) returns (T);
-function IsHeap(h: [ref,name]any) returns (bool);
-
-const unique $allocated: name;
-
-const unique $elements: name;
-
-const unique $inv: name;
-
-const unique $writable: name;
-
-const unique $sharingMode: name;
-
-const unique $SharingMode_Unshared: name;
-
-const unique $SharingMode_LockProtected: name;
-
-function ClassRepr(class: name) returns (ref);
-
-axiom (forall c0: name, c1: name :: c0 != c1 ==> ClassRepr(c0) != ClassRepr(c1));
-
-axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
-
-axiom (forall T: name :: ClassRepr(T) != null);
-
-axiom (forall T: name, h: [ref,name]any :: { h[ClassRepr(T), $writable] } IsHeap(h) ==> cast(h[ClassRepr(T), $writable]):bool);
-
-function IsDirectlyModifiableField(f: name) returns (bool);
-
-axiom !IsDirectlyModifiableField($allocated);
-
-axiom IsDirectlyModifiableField($elements);
-
-axiom !IsDirectlyModifiableField($inv);
-
-axiom !IsDirectlyModifiableField($writable);
-
-function IsStaticField(f: name) returns (bool);
-
-axiom !IsStaticField($allocated);
-
-axiom !IsStaticField($elements);
-
-axiom !IsStaticField($inv);
-
-axiom !IsStaticField($writable);
-
-function ValueArrayGet(elements, int) returns (any);
-
-function ValueArraySet(elements, int, any) returns (elements);
-
-function RefArrayGet(elements, int) returns (ref);
-
-function RefArraySet(elements, int, ref) returns (elements);
-
-axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
-
-function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
-
-axiom (forall a: ref, d: int, x: int, y: int, x': int, y': int :: ArrayIndex(a, d, x, y) == ArrayIndex(a, d, x', y') ==> x == x' && y == y');
-
-axiom (forall a: ref, T: name, i: int, r: int, heap: [ref,name]any :: $typeof(a) <: RefArray(T, r) ==> $Is(RefArrayGet(cast(heap[a, $elements]):elements, i), T));
-
-function $Rank(ref) returns (int);
-
-axiom (forall a: ref :: 1 <= $Rank(a));
-
-axiom (forall a: ref, T: name, r: int :: { $Is(a, ValueArray(T, r)) } $Is(a, ValueArray(T, r)) ==> $Rank(a) == r);
-
-axiom (forall a: ref, T: name, r: int :: { $Is(a, RefArray(T, r)) } $Is(a, RefArray(T, r)) ==> $Rank(a) == r);
-
-function $Length(ref) returns (int);
-
-axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a));
-
-function $DimLength(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
-
-axiom (forall a: ref :: $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
-
-function $LBound(ref, int) returns (int);
-
-function $UBound(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
-
-axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
-
-const unique System.Array: name;
-
-axiom $IsClass(System.Array);
-
-axiom System.Array <: System.Object;
-
-function $ElementType(name) returns (name);
-
-function ValueArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: System.Array);
-
-function RefArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: System.Array);
-
-axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
-
-axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
-
-axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
-
-axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
-
-axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
-
-axiom (forall A: name, r: int, T: name :: RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
-
-axiom (forall A: name, r: int, T: name :: ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
-
-function $ArrayPtr(elementType: name) returns (name);
-
-function $StructGet(struct, name) returns (any);
-
-function $StructSet(struct, name, any) returns (struct);
-
-axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
-
-axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
-
-function ZeroInit(s: struct, typ: name) returns (bool);
-
-function $typeof(ref) returns (name);
-
-function Implements(class: name, interface: name) returns (bool);
-
-axiom (forall T: name, J: name :: { Implements(T, J) } Implements(T, J) ==> T <: J);
-
-function InterfaceExtends(subIntf: name, superIntf: name) returns (bool);
-
-axiom (forall J: name, K: name :: { InterfaceExtends(J, K) } InterfaceExtends(J, K) ==> J <: K);
-
-function $IsClass(name) returns (bool);
-
-axiom (forall C: name :: { $IsClass(C) } $IsClass(C) ==> C <: C);
-
-function AsDirectSubClass(sub: name, base: name) returns (sub': name);
-
-function OneClassDown(sub: name, base: name) returns (directSub: name);
-
-axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
-
-function $IsInterface(name) returns (bool);
-
-axiom (forall J: name :: { $IsInterface(J) } $IsInterface(J) ==> J <: System.Object);
-
-function $IsValueType(name) returns (bool);
-
-axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
-
-const unique System.Object: name;
-
-axiom $IsClass(System.Object);
-
-function $IsTokenForType(struct, name) returns (bool);
-
-function TypeObject(name) returns (ref);
-
-const unique System.Type: name;
-
-axiom System.Type <: System.Object;
-
-axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
-
-function $Is(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
-
-function $IsNotNull(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
-
-function $As(ref, name) returns (ref);
-
-axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
-
-axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
-
-axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, RefArray(A, r)) ==> heap[o, $inv] == $typeof(o));
-
-axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, ValueArray(A, r)) ==> heap[o, $inv] == $typeof(o));
-
-function IsAllocated(h: [ref,name]any, o: any) returns (bool);
-
-axiom (forall h: [ref,name]any, o: ref, f: name :: { IsAllocated(h, h[o, f]) } IsHeap(h) ==> IsAllocated(h, h[o, f]));
-
-axiom (forall h: [ref,name]any, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
-
-axiom (forall h: [ref,name]any, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
-
-axiom (forall h: [ref,name]any, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> cast(h[o, $allocated]):bool);
-
-axiom (forall h: [ref,name]any, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> cast(h[ClassRepr(c), $allocated]):bool);
-
-function DeclType(field: name) returns (class: name);
-
-function AsNonNullRefField(field: name, T: name) returns (f: name);
-
-function AsRefField(field: name, T: name) returns (f: name);
-
-function AsRangeField(field: name, T: name) returns (f: name);
-
-axiom (forall f: name, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(cast(h[o, AsRefField(f, T)]):ref, T));
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) ==> cast(h[o, AsNonNullRefField(f, T)]):ref != null);
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(cast(h[o, AsRangeField(f, T)]):int, T));
-
-const unique System.String: name;
-
-axiom (forall h: [ref,name]any, s: ref :: IsHeap(h) && $typeof(s) == System.String ==> h[s, $inv] == $typeof(s) && cast(h[s, $writable]):bool);
-
-function AsOwnedField(f: name) returns (name);
-
-axiom (forall h: [ref,name]any, o: ref, f: name :: { h[o, AsOwnedField(f)] } IsHeap(h) && cast(h[o, $inv]):name <: DeclType(AsOwnedField(f)) ==> cast(h[o, AsOwnedField(f)]):ref == null || $typeof(cast(h[o, AsOwnedField(f)]):ref) == System.String || !cast(h[cast(h[o, AsOwnedField(f)]):ref, $writable]):bool);
-
-axiom (forall h: [ref,name]any, o: ref :: { h[o, $writable] } IsHeap(h) && !cast(h[o, $writable]):bool ==> cast(h[o, $inv]):name == $typeof(o));
-
-function Box(any, ref) returns (ref);
-
-function Unbox(ref) returns (any);
-
-axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
-
-axiom (forall heap: [ref,name]any, x: any, p: ref :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)));
-
-function UnboxedType(ref) returns (name);
-
-function BoxTester(p: ref, typ: name) returns (ref);
-
-axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
-
-const unique System.Int16: name;
-
-axiom $IsValueType(System.Int16);
-
-const unique System.Int32: name;
-
-axiom $IsValueType(System.Int32);
-
-const unique System.Int64: name;
-
-axiom $IsValueType(System.Int64);
-
-const unique System.Byte: name;
-
-axiom $IsValueType(System.Byte);
-
-const unique System.Int16.MinValue: int;
-
-const unique System.Int16.MaxValue: int;
-
-const unique System.Int32.MinValue: int;
-
-const unique System.Int32.MaxValue: int;
-
-const unique System.Int64.MinValue: int;
-
-const unique System.Int64.MaxValue: int;
-
-axiom System.Int64.MinValue < System.Int32.MinValue;
-
-axiom System.Int32.MinValue < System.Int16.MinValue;
-
-axiom System.Int16.MinValue < System.Int16.MaxValue;
-
-axiom System.Int16.MaxValue < System.Int32.MaxValue;
-
-axiom System.Int32.MaxValue < System.Int64.MaxValue;
-
-function InRange(i: int, T: name) returns (bool);
-
-axiom (forall i: int :: InRange(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
-
-axiom (forall i: int :: InRange(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
-
-axiom (forall i: int :: InRange(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
-
-axiom (forall i: int :: { InRange(i, System.Byte) } InRange(i, System.Byte) <==> 0 <= i && i < 256);
-
-function $RealToInt(real) returns (int);
-
-function $IntToReal(int) returns (real);
-
-function $SizeIs(name, int) returns (bool);
-
-function $IfThenElse(bool, any, any) returns (any);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
-
-function #neg(int) returns (int);
-
-function #rneg(real) returns (real);
-
-function #rdiv(real, real) returns (real);
-
-function #and(int, int) returns (int);
-
-function #or(int, int) returns (int);
-
-function #xor(int, int) returns (int);
-
-function #shl(int, int) returns (int);
-
-function #shr(int, int) returns (int);
-
-axiom (forall x: int, y: int :: { x mod y } { x div y } x mod y == x - x div y * y);
-
-axiom (forall x: int, y: int :: { x mod y } 0 <= x && 0 < y ==> 0 <= x mod y && x mod y < y);
-
-axiom (forall x: int, y: int :: { x mod y } 0 <= x && y < 0 ==> 0 <= x mod y && x mod y < 0 - y);
-
-axiom (forall x: int, y: int :: { x mod y } x <= 0 && 0 < y ==> 0 - y < x mod y && x mod y <= 0);
-
-axiom (forall x: int, y: int :: { x mod y } x <= 0 && y < 0 ==> y < x mod y && x mod y <= 0);
-
-axiom (forall x: int, y: int :: { (x + y) mod y } 0 <= x && 0 <= y ==> (x + y) mod y == x mod y);
-
-axiom (forall x: int, y: int :: { (y + x) mod y } 0 <= x && 0 <= y ==> (y + x) mod y == x mod y);
-
-axiom (forall x: int, y: int :: { (x - y) mod y } 0 <= x - y && 0 <= y ==> (x - y) mod y == x mod y);
-
-axiom (forall a: int, b: int, d: int :: { a mod d,b mod d } 2 <= d && a mod d == b mod d && a < b ==> a + d <= b);
-
-axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
-
-axiom (forall i: int, j: int :: 0 <= j ==> #shl(i, j + 1) == #shl(i, j) * 2);
-
-axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
-
-axiom (forall i: int, j: int :: 0 <= j ==> #shr(i, j + 1) == #shr(i, j) div 2);
-
-const unique $UnknownRef: ref;
-
-const unique System.IComparable: name;
-
-const unique Microsoft.Singularity.Applications.ThreadTest: name;
-
-const unique System.Threading.Thread: name;
-
-const unique System.Collections.IEnumerable: name;
-
-const unique System.Threading.ThreadStart: name;
-
-const unique System.ICloneable: name;
-
-const unique System.MulticastDelegate: name;
-
-const unique System.Delegate: name;
-
-const unique $stringLiteral0: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral0, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral0, $allocated]):bool) && $IsNotNull($stringLiteral0, System.String) && $Length($stringLiteral0) == 13;
-
-const unique $stringLiteral1: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral1, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral1, $allocated]):bool) && $IsNotNull($stringLiteral1, System.String) && $Length($stringLiteral1) == 14;
-
-const unique $stringLiteral2: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral2, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral2, $allocated]):bool) && $IsNotNull($stringLiteral2, System.String) && $Length($stringLiteral2) == 11;
-
-const unique $stringLiteral3: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral3, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral3, $allocated]):bool) && $IsNotNull($stringLiteral3, System.String) && $Length($stringLiteral3) == 18;
-
-const unique $stringLiteral4: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral4, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral4, $allocated]):bool) && $IsNotNull($stringLiteral4, System.String) && $Length($stringLiteral4) == 19;
-
-const unique $stringLiteral5: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral5, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral5, $allocated]):bool) && $IsNotNull($stringLiteral5, System.String) && $Length($stringLiteral5) == 14;
-
-const unique $stringLiteral6: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral6, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral6, $allocated]):bool) && $IsNotNull($stringLiteral6, System.String) && $Length($stringLiteral6) == 15;
-
-const unique $stringLiteral7: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral7, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral7, $allocated]):bool) && $IsNotNull($stringLiteral7, System.String) && $Length($stringLiteral7) == 11;
-
-const unique $stringLiteral8: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral8, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral8, $allocated]):bool) && $IsNotNull($stringLiteral8, System.String) && $Length($stringLiteral8) == 19;
-
-const unique $stringLiteral9: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral9, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral9, $allocated]):bool) && $IsNotNull($stringLiteral9, System.String) && $Length($stringLiteral9) == 20;
-
-const unique $stringLiteral10: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral10, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral10, $allocated]):bool) && $IsNotNull($stringLiteral10, System.String) && $Length($stringLiteral10) == 22;
-
-const unique $stringLiteral11: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral11, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral11, $allocated]):bool) && $IsNotNull($stringLiteral11, System.String) && $Length($stringLiteral11) == 21;
-
-const unique $stringLiteral12: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral12, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral12, $allocated]):bool) && $IsNotNull($stringLiteral12, System.String) && $Length($stringLiteral12) == 23;
-
-const unique $stringLiteral13: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral13, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral13, $allocated]):bool) && $IsNotNull($stringLiteral13, System.String) && $Length($stringLiteral13) == 22;
-
-axiom $IsClass(Microsoft.Singularity.Applications.ThreadTest);
-
-axiom Microsoft.Singularity.Applications.ThreadTest <: System.Object && AsDirectSubClass(Microsoft.Singularity.Applications.ThreadTest, System.Object) == Microsoft.Singularity.Applications.ThreadTest;
-
-axiom (forall $K: name :: { Microsoft.Singularity.Applications.ThreadTest <: $K } Microsoft.Singularity.Applications.ThreadTest <: $K <==> Microsoft.Singularity.Applications.ThreadTest == $K || System.Object <: $K);
-
-function Inv_Microsoft.Singularity.Applications.ThreadTest(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) } Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest } { Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest ==> Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap));
-
-procedure Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod()
-{
- var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block1513$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- goto block1479;
-
- block1479:
- goto block1496;
-
- block1496:
- // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
- stack0o := $stringLiteral0;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
- stack0o := $stringLiteral1;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,18)
- i := 0;
- goto block1513$LoopPreheader;
-
- block1513:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- assert (forall $o: ref :: $Heap$block1513$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- stack0i := 10;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- goto true1513to1547, false1513to1530;
-
- true1513to1547:
- assume stack0b == true;
- goto block1547;
-
- false1513to1530:
- assume stack0b == false;
- goto block1530;
-
- block1547:
- // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
- stack0o := $stringLiteral3;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
- stack0o := $stringLiteral4;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(31,10)
- return;
-
- block1530:
- // ----- load constant [0] ... ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
- stack0o := $stringLiteral2;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
- // Commented out, to avoid problems with the theorem prover nondeterministically choosing this error over the one 12 lines above: call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(26,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,37)
- local1 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local1 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local1;
- // ----- branch
- goto block1513;
-
- block1513$LoopPreheader:
- $Heap$block1513$LoopPreheader := $Heap;
- goto block1513;
-
-}
-
-
-
-axiom $IsClass(System.String);
-
-axiom System.String <: System.Object && AsDirectSubClass(System.String, System.Object) == System.String;
-
-axiom $IsInterface(System.IComparable);
-
-axiom (forall $K: name :: { System.IComparable <: $K } System.IComparable <: $K <==> System.IComparable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.IComparable);
-
-axiom $IsInterface(System.ICloneable);
-
-axiom (forall $K: name :: { System.ICloneable <: $K } System.ICloneable <: $K <==> System.ICloneable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.ICloneable);
-
-axiom $IsInterface(System.Collections.IEnumerable);
-
-axiom (forall $K: name :: { System.Collections.IEnumerable <: $K } System.Collections.IEnumerable <: $K <==> System.Collections.IEnumerable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.Collections.IEnumerable);
-
-axiom (forall $K: name :: { System.String <: $K } System.String <: $K <==> System.String == $K || System.Object <: $K || System.IComparable <: $K || System.ICloneable <: $K || System.Collections.IEnumerable <: $K);
-
-axiom (forall $U: name :: { $U <: System.String } $U <: System.String ==> $U == System.String);
-
-function Inv_System.String(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.String(this, heap) } Inv_System.String(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.String } { Inv_System.String($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.String ==> Inv_System.String($o, heap));
-
-procedure System.Console.WriteLine$System.String(value$in: ref);
- requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.DebugStub.Print$System.String(value$in: ref);
- requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure System.Threading.Thread.Yield();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod()
-{
- var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block2516$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- goto block2482;
-
- block2482:
- goto block2499;
-
- block2499:
- // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
- stack0o := $stringLiteral5;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
- stack0o := $stringLiteral6;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,18)
- i := 0;
- goto block2516$LoopPreheader;
-
- block2516:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- assert (forall $o: ref :: $Heap$block2516$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- stack0i := 10;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- goto true2516to2550, false2516to2533;
-
- true2516to2550:
- assume stack0b == true;
- goto block2550;
-
- false2516to2533:
- assume stack0b == false;
- goto block2533;
-
- block2550:
- // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
- stack0o := $stringLiteral8;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
- stack0o := $stringLiteral9;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(46,10)
- return;
-
- block2533:
- // ----- load constant ... [1] ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
- stack0o := $stringLiteral7;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
- // Commented out, to avoid problems with the theorem prover nondeterministically choosing this error over the one 12 lines above: call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(41,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,37)
- local1 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local1 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local1;
- // ----- branch
- goto block2516;
-
- block2516$LoopPreheader:
- $Heap$block2516$LoopPreheader := $Heap;
- goto block2516;
-
-}
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int);
- requires args$in == null || (cast($Heap[args$in, $writable]):bool == true && cast($Heap[args$in, $inv]):name == $typeof(args$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures IsAllocated($Heap, $result);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int)
-{
- var args: ref, stack0o: ref, stack1o: ref, stack50000o: ref, t1: ref, t2: ref, i: int, stack0i: int, stack0b: bool, local3: int, return.value: int, SS$Display.Return.Local: int, $Heap$block3825$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- args := args$in;
- assume $Is(args, RefArray(System.String, 1));
- assume cast($Heap[args$in, $allocated]):bool == true;
- goto block3791;
-
- block3791:
- goto block3808;
-
- block3808:
- stack0o := null;
- // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack1o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- assert stack50000o != null;
- call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- stack0o := stack50000o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- assert stack50000o != null;
- call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- stack0o := stack50000o;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- t1 := stack0o;
- stack0o := null;
- // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack1o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- assert stack50000o != null;
- call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- stack0o := stack50000o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- assert stack50000o != null;
- call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- stack0o := stack50000o;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- t2 := stack0o;
- // ----- load constant Starting first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
- stack0o := $stringLiteral10;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(55,13)
- assert t1 != null;
- call System.Threading.Thread.Start(t1);
- // ----- load constant Started first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
- stack0o := $stringLiteral11;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Starting second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
- stack0o := $stringLiteral12;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(59,13)
- assert t2 != null;
- call System.Threading.Thread.Start(t2);
- // ----- load constant Started second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
- stack0o := $stringLiteral13;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,18)
- i := 0;
- goto block3825$LoopPreheader;
-
- block3825:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- assert (forall $o: ref :: $Heap$block3825$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 30 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- stack0i := 30;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- goto true3825to3859, false3825to3842;
-
- true3825to3859:
- assume stack0b == true;
- goto block3859;
-
- false3825to3842:
- assume stack0b == false;
- goto block3842;
-
- block3859:
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(66,13)
- return.value := 0;
- // ----- branch
- goto block3876;
-
- block3842:
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(64,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,37)
- local3 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local3 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local3;
- // ----- branch
- goto block3825;
-
- block3876:
- // ----- copy
- SS$Display.Return.Local := return.value;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
- stack0i := return.value;
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
- $result := stack0i;
- return;
-
- block3825$LoopPreheader:
- $Heap$block3825$LoopPreheader := $Heap;
- goto block3825;
-
-}
-
-
-
-axiom $IsClass(System.Threading.ThreadStart);
-
-axiom $IsClass(System.MulticastDelegate);
-
-axiom $IsClass(System.Delegate);
-
-axiom System.Delegate <: System.Object && AsDirectSubClass(System.Delegate, System.Object) == System.Delegate;
-
-axiom Implements(System.Delegate, System.ICloneable);
-
-axiom (forall $K: name :: { System.Delegate <: $K } System.Delegate <: $K <==> System.Delegate == $K || System.Object <: $K || System.ICloneable <: $K);
-
-function Inv_System.Delegate(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Delegate(this, heap) } Inv_System.Delegate(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Delegate } { Inv_System.Delegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Delegate ==> Inv_System.Delegate($o, heap));
-
-axiom System.MulticastDelegate <: System.Delegate && AsDirectSubClass(System.MulticastDelegate, System.Delegate) == System.MulticastDelegate;
-
-axiom (forall $K: name :: { System.MulticastDelegate <: $K } System.MulticastDelegate <: $K <==> System.MulticastDelegate == $K || System.Delegate <: $K);
-
-function Inv_System.MulticastDelegate(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.MulticastDelegate(this, heap) } Inv_System.MulticastDelegate(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.MulticastDelegate } { Inv_System.MulticastDelegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.MulticastDelegate ==> Inv_System.MulticastDelegate($o, heap));
-
-axiom System.Threading.ThreadStart <: System.MulticastDelegate && AsDirectSubClass(System.Threading.ThreadStart, System.MulticastDelegate) == System.Threading.ThreadStart;
-
-axiom (forall $K: name :: { System.Threading.ThreadStart <: $K } System.Threading.ThreadStart <: $K <==> System.Threading.ThreadStart == $K || System.MulticastDelegate <: $K);
-
-axiom (forall $U: name :: { $U <: System.Threading.ThreadStart } $U <: System.Threading.ThreadStart ==> $U == System.Threading.ThreadStart);
-
-function Inv_System.Threading.ThreadStart(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.ThreadStart(this, heap) } Inv_System.Threading.ThreadStart(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.ThreadStart } { Inv_System.Threading.ThreadStart($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.ThreadStart ==> Inv_System.Threading.ThreadStart($o, heap));
-
-procedure System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(this: ref, object$in: ref, method$in: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.ThreadStart;
-
-
-
-axiom $IsClass(System.Threading.Thread);
-
-axiom System.Threading.Thread <: System.Object && AsDirectSubClass(System.Threading.Thread, System.Object) == System.Threading.Thread;
-
-axiom (forall $K: name :: { System.Threading.Thread <: $K } System.Threading.Thread <: $K <==> System.Threading.Thread == $K || System.Object <: $K);
-
-axiom (forall $U: name :: { $U <: System.Threading.Thread } $U <: System.Threading.Thread ==> $U == System.Threading.Thread);
-
-function Inv_System.Threading.Thread(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.Thread(this, heap) } Inv_System.Threading.Thread(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.Thread } { Inv_System.Threading.Thread($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.Thread ==> Inv_System.Threading.Thread($o, heap));
-
-procedure System.Threading.Thread..ctor$System.Threading.ThreadStart(this: ref, start$in: ref);
- requires start$in == null || (cast($Heap[start$in, $writable]):bool == true && cast($Heap[start$in, $inv]):name == $typeof(start$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Threading.Thread <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.Thread;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-procedure System.Threading.Thread.Start(this: ref);
- requires cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == $typeof(this);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(Microsoft.Singularity.Applications.ThreadTest <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == Microsoft.Singularity.Applications.ThreadTest;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref)
-{
-
- entry:
- assume IsHeap($Heap);
- assume $IsNotNull(this, Microsoft.Singularity.Applications.ThreadTest);
- assume cast($Heap[this, $allocated]):bool == true;
- assume cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- goto block4777;
-
- block4777:
- goto block4794;
-
- block4794:
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,18)
- assert this != null;
- call System.Object..ctor(this);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,28)
- assert this != null;
- assert cast($Heap[this, $writable]):bool == true && System.Object <: cast($Heap[this, $inv]):name;
- assert cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- assert Inv_Microsoft.Singularity.Applications.ThreadTest(this, $Heap);
- $Heap[this, $inv] := Microsoft.Singularity.Applications.ThreadTest;
- return;
-
-}
-
-
-
-procedure System.Object..ctor(this: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Object <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-type ref, name, any;
-const null : ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+type elements;
+
+type struct;
+
+var $Heap: [ref,name]any;
+function cast<S,T>(S) returns (T);
+function IsHeap(h: [ref,name]any) returns (bool);
+
+const unique $allocated: name;
+
+const unique $elements: name;
+
+const unique $inv: name;
+
+const unique $writable: name;
+
+const unique $sharingMode: name;
+
+const unique $SharingMode_Unshared: name;
+
+const unique $SharingMode_LockProtected: name;
+
+function ClassRepr(class: name) returns (ref);
+
+axiom (forall c0: name, c1: name :: c0 != c1 ==> ClassRepr(c0) != ClassRepr(c1));
+
+axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
+
+axiom (forall T: name :: ClassRepr(T) != null);
+
+axiom (forall T: name, h: [ref,name]any :: { h[ClassRepr(T), $writable] } IsHeap(h) ==> cast(h[ClassRepr(T), $writable]):bool);
+
+function IsDirectlyModifiableField(f: name) returns (bool);
+
+axiom !IsDirectlyModifiableField($allocated);
+
+axiom IsDirectlyModifiableField($elements);
+
+axiom !IsDirectlyModifiableField($inv);
+
+axiom !IsDirectlyModifiableField($writable);
+
+function IsStaticField(f: name) returns (bool);
+
+axiom !IsStaticField($allocated);
+
+axiom !IsStaticField($elements);
+
+axiom !IsStaticField($inv);
+
+axiom !IsStaticField($writable);
+
+function ValueArrayGet(elements, int) returns (any);
+
+function ValueArraySet(elements, int, any) returns (elements);
+
+function RefArrayGet(elements, int) returns (ref);
+
+function RefArraySet(elements, int, ref) returns (elements);
+
+axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
+
+function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
+
+axiom (forall a: ref, d: int, x: int, y: int, x': int, y': int :: ArrayIndex(a, d, x, y) == ArrayIndex(a, d, x', y') ==> x == x' && y == y');
+
+axiom (forall a: ref, T: name, i: int, r: int, heap: [ref,name]any :: $typeof(a) <: RefArray(T, r) ==> $Is(RefArrayGet(cast(heap[a, $elements]):elements, i), T));
+
+function $Rank(ref) returns (int);
+
+axiom (forall a: ref :: 1 <= $Rank(a));
+
+axiom (forall a: ref, T: name, r: int :: { $Is(a, ValueArray(T, r)) } $Is(a, ValueArray(T, r)) ==> $Rank(a) == r);
+
+axiom (forall a: ref, T: name, r: int :: { $Is(a, RefArray(T, r)) } $Is(a, RefArray(T, r)) ==> $Rank(a) == r);
+
+function $Length(ref) returns (int);
+
+axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a));
+
+function $DimLength(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
+
+axiom (forall a: ref :: $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
+
+function $LBound(ref, int) returns (int);
+
+function $UBound(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
+
+axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
+
+const unique System.Array: name;
+
+axiom $IsClass(System.Array);
+
+axiom System.Array <: System.Object;
+
+function $ElementType(name) returns (name);
+
+function ValueArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: System.Array);
+
+function RefArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: System.Array);
+
+axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
+
+axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
+
+axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
+
+axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
+
+axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
+
+axiom (forall A: name, r: int, T: name :: RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
+
+axiom (forall A: name, r: int, T: name :: ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
+
+function $ArrayPtr(elementType: name) returns (name);
+
+function $StructGet(struct, name) returns (any);
+
+function $StructSet(struct, name, any) returns (struct);
+
+axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
+
+axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
+
+function ZeroInit(s: struct, typ: name) returns (bool);
+
+function $typeof(ref) returns (name);
+
+function Implements(class: name, interface: name) returns (bool);
+
+axiom (forall T: name, J: name :: { Implements(T, J) } Implements(T, J) ==> T <: J);
+
+function InterfaceExtends(subIntf: name, superIntf: name) returns (bool);
+
+axiom (forall J: name, K: name :: { InterfaceExtends(J, K) } InterfaceExtends(J, K) ==> J <: K);
+
+function $IsClass(name) returns (bool);
+
+axiom (forall C: name :: { $IsClass(C) } $IsClass(C) ==> C <: C);
+
+function AsDirectSubClass(sub: name, base: name) returns (sub': name);
+
+function OneClassDown(sub: name, base: name) returns (directSub: name);
+
+axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
+
+function $IsInterface(name) returns (bool);
+
+axiom (forall J: name :: { $IsInterface(J) } $IsInterface(J) ==> J <: System.Object);
+
+function $IsValueType(name) returns (bool);
+
+axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
+
+const unique System.Object: name;
+
+axiom $IsClass(System.Object);
+
+function $IsTokenForType(struct, name) returns (bool);
+
+function TypeObject(name) returns (ref);
+
+const unique System.Type: name;
+
+axiom System.Type <: System.Object;
+
+axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
+
+function $Is(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
+
+function $IsNotNull(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
+
+function $As(ref, name) returns (ref);
+
+axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
+
+axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
+
+axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, RefArray(A, r)) ==> heap[o, $inv] == $typeof(o));
+
+axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, ValueArray(A, r)) ==> heap[o, $inv] == $typeof(o));
+
+function IsAllocated(h: [ref,name]any, o: any) returns (bool);
+
+axiom (forall h: [ref,name]any, o: ref, f: name :: { IsAllocated(h, h[o, f]) } IsHeap(h) ==> IsAllocated(h, h[o, f]));
+
+axiom (forall h: [ref,name]any, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
+
+axiom (forall h: [ref,name]any, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
+
+axiom (forall h: [ref,name]any, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> cast(h[o, $allocated]):bool);
+
+axiom (forall h: [ref,name]any, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> cast(h[ClassRepr(c), $allocated]):bool);
+
+function DeclType(field: name) returns (class: name);
+
+function AsNonNullRefField(field: name, T: name) returns (f: name);
+
+function AsRefField(field: name, T: name) returns (f: name);
+
+function AsRangeField(field: name, T: name) returns (f: name);
+
+axiom (forall f: name, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(cast(h[o, AsRefField(f, T)]):ref, T));
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) ==> cast(h[o, AsNonNullRefField(f, T)]):ref != null);
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(cast(h[o, AsRangeField(f, T)]):int, T));
+
+const unique System.String: name;
+
+axiom (forall h: [ref,name]any, s: ref :: IsHeap(h) && $typeof(s) == System.String ==> h[s, $inv] == $typeof(s) && cast(h[s, $writable]):bool);
+
+function AsOwnedField(f: name) returns (name);
+
+axiom (forall h: [ref,name]any, o: ref, f: name :: { h[o, AsOwnedField(f)] } IsHeap(h) && cast(h[o, $inv]):name <: DeclType(AsOwnedField(f)) ==> cast(h[o, AsOwnedField(f)]):ref == null || $typeof(cast(h[o, AsOwnedField(f)]):ref) == System.String || !cast(h[cast(h[o, AsOwnedField(f)]):ref, $writable]):bool);
+
+axiom (forall h: [ref,name]any, o: ref :: { h[o, $writable] } IsHeap(h) && !cast(h[o, $writable]):bool ==> cast(h[o, $inv]):name == $typeof(o));
+
+function Box(any, ref) returns (ref);
+
+function Unbox(ref) returns (any);
+
+axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
+
+axiom (forall heap: [ref,name]any, x: any, p: ref :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)));
+
+function UnboxedType(ref) returns (name);
+
+function BoxTester(p: ref, typ: name) returns (ref);
+
+axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
+
+const unique System.Int16: name;
+
+axiom $IsValueType(System.Int16);
+
+const unique System.Int32: name;
+
+axiom $IsValueType(System.Int32);
+
+const unique System.Int64: name;
+
+axiom $IsValueType(System.Int64);
+
+const unique System.Byte: name;
+
+axiom $IsValueType(System.Byte);
+
+const unique System.Int16.MinValue: int;
+
+const unique System.Int16.MaxValue: int;
+
+const unique System.Int32.MinValue: int;
+
+const unique System.Int32.MaxValue: int;
+
+const unique System.Int64.MinValue: int;
+
+const unique System.Int64.MaxValue: int;
+
+axiom System.Int64.MinValue < System.Int32.MinValue;
+
+axiom System.Int32.MinValue < System.Int16.MinValue;
+
+axiom System.Int16.MinValue < System.Int16.MaxValue;
+
+axiom System.Int16.MaxValue < System.Int32.MaxValue;
+
+axiom System.Int32.MaxValue < System.Int64.MaxValue;
+
+function InRange(i: int, T: name) returns (bool);
+
+axiom (forall i: int :: InRange(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
+
+axiom (forall i: int :: InRange(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
+
+axiom (forall i: int :: InRange(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
+
+axiom (forall i: int :: { InRange(i, System.Byte) } InRange(i, System.Byte) <==> 0 <= i && i < 256);
+
+function $RealToInt(real) returns (int);
+
+function $IntToReal(int) returns (real);
+
+function $SizeIs(name, int) returns (bool);
+
+function $IfThenElse(bool, any, any) returns (any);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
+
+function #neg(int) returns (int);
+
+function #rneg(real) returns (real);
+
+function #rdiv(real, real) returns (real);
+
+function #and(int, int) returns (int);
+
+function #or(int, int) returns (int);
+
+function #xor(int, int) returns (int);
+
+function #shl(int, int) returns (int);
+
+function #shr(int, int) returns (int);
+
+axiom (forall x: int, y: int :: { x mod y } { x div y } x mod y == x - x div y * y);
+
+axiom (forall x: int, y: int :: { x mod y } 0 <= x && 0 < y ==> 0 <= x mod y && x mod y < y);
+
+axiom (forall x: int, y: int :: { x mod y } 0 <= x && y < 0 ==> 0 <= x mod y && x mod y < 0 - y);
+
+axiom (forall x: int, y: int :: { x mod y } x <= 0 && 0 < y ==> 0 - y < x mod y && x mod y <= 0);
+
+axiom (forall x: int, y: int :: { x mod y } x <= 0 && y < 0 ==> y < x mod y && x mod y <= 0);
+
+axiom (forall x: int, y: int :: { (x + y) mod y } 0 <= x && 0 <= y ==> (x + y) mod y == x mod y);
+
+axiom (forall x: int, y: int :: { (y + x) mod y } 0 <= x && 0 <= y ==> (y + x) mod y == x mod y);
+
+axiom (forall x: int, y: int :: { (x - y) mod y } 0 <= x - y && 0 <= y ==> (x - y) mod y == x mod y);
+
+axiom (forall a: int, b: int, d: int :: { a mod d,b mod d } 2 <= d && a mod d == b mod d && a < b ==> a + d <= b);
+
+axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
+
+axiom (forall i: int, j: int :: 0 <= j ==> #shl(i, j + 1) == #shl(i, j) * 2);
+
+axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
+
+axiom (forall i: int, j: int :: 0 <= j ==> #shr(i, j + 1) == #shr(i, j) div 2);
+
+const unique $UnknownRef: ref;
+
+const unique System.IComparable: name;
+
+const unique Microsoft.Singularity.Applications.ThreadTest: name;
+
+const unique System.Threading.Thread: name;
+
+const unique System.Collections.IEnumerable: name;
+
+const unique System.Threading.ThreadStart: name;
+
+const unique System.ICloneable: name;
+
+const unique System.MulticastDelegate: name;
+
+const unique System.Delegate: name;
+
+const unique $stringLiteral0: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral0, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral0, $allocated]):bool) && $IsNotNull($stringLiteral0, System.String) && $Length($stringLiteral0) == 13;
+
+const unique $stringLiteral1: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral1, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral1, $allocated]):bool) && $IsNotNull($stringLiteral1, System.String) && $Length($stringLiteral1) == 14;
+
+const unique $stringLiteral2: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral2, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral2, $allocated]):bool) && $IsNotNull($stringLiteral2, System.String) && $Length($stringLiteral2) == 11;
+
+const unique $stringLiteral3: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral3, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral3, $allocated]):bool) && $IsNotNull($stringLiteral3, System.String) && $Length($stringLiteral3) == 18;
+
+const unique $stringLiteral4: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral4, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral4, $allocated]):bool) && $IsNotNull($stringLiteral4, System.String) && $Length($stringLiteral4) == 19;
+
+const unique $stringLiteral5: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral5, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral5, $allocated]):bool) && $IsNotNull($stringLiteral5, System.String) && $Length($stringLiteral5) == 14;
+
+const unique $stringLiteral6: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral6, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral6, $allocated]):bool) && $IsNotNull($stringLiteral6, System.String) && $Length($stringLiteral6) == 15;
+
+const unique $stringLiteral7: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral7, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral7, $allocated]):bool) && $IsNotNull($stringLiteral7, System.String) && $Length($stringLiteral7) == 11;
+
+const unique $stringLiteral8: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral8, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral8, $allocated]):bool) && $IsNotNull($stringLiteral8, System.String) && $Length($stringLiteral8) == 19;
+
+const unique $stringLiteral9: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral9, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral9, $allocated]):bool) && $IsNotNull($stringLiteral9, System.String) && $Length($stringLiteral9) == 20;
+
+const unique $stringLiteral10: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral10, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral10, $allocated]):bool) && $IsNotNull($stringLiteral10, System.String) && $Length($stringLiteral10) == 22;
+
+const unique $stringLiteral11: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral11, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral11, $allocated]):bool) && $IsNotNull($stringLiteral11, System.String) && $Length($stringLiteral11) == 21;
+
+const unique $stringLiteral12: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral12, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral12, $allocated]):bool) && $IsNotNull($stringLiteral12, System.String) && $Length($stringLiteral12) == 23;
+
+const unique $stringLiteral13: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral13, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral13, $allocated]):bool) && $IsNotNull($stringLiteral13, System.String) && $Length($stringLiteral13) == 22;
+
+axiom $IsClass(Microsoft.Singularity.Applications.ThreadTest);
+
+axiom Microsoft.Singularity.Applications.ThreadTest <: System.Object && AsDirectSubClass(Microsoft.Singularity.Applications.ThreadTest, System.Object) == Microsoft.Singularity.Applications.ThreadTest;
+
+axiom (forall $K: name :: { Microsoft.Singularity.Applications.ThreadTest <: $K } Microsoft.Singularity.Applications.ThreadTest <: $K <==> Microsoft.Singularity.Applications.ThreadTest == $K || System.Object <: $K);
+
+function Inv_Microsoft.Singularity.Applications.ThreadTest(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) } Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest } { Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest ==> Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap));
+
+procedure Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod()
+{
+ var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block1513$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ goto block1479;
+
+ block1479:
+ goto block1496;
+
+ block1496:
+ // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
+ stack0o := $stringLiteral0;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
+ stack0o := $stringLiteral1;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,18)
+ i := 0;
+ goto block1513$LoopPreheader;
+
+ block1513:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ assert (forall $o: ref :: $Heap$block1513$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ stack0i := 10;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ goto true1513to1547, false1513to1530;
+
+ true1513to1547:
+ assume stack0b == true;
+ goto block1547;
+
+ false1513to1530:
+ assume stack0b == false;
+ goto block1530;
+
+ block1547:
+ // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
+ stack0o := $stringLiteral3;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
+ stack0o := $stringLiteral4;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(31,10)
+ return;
+
+ block1530:
+ // ----- load constant [0] ... ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
+ stack0o := $stringLiteral2;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
+ // Commented out, to avoid problems with the theorem prover nondeterministically choosing this error over the one 12 lines above: call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(26,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,37)
+ local1 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local1 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local1;
+ // ----- branch
+ goto block1513;
+
+ block1513$LoopPreheader:
+ $Heap$block1513$LoopPreheader := $Heap;
+ goto block1513;
+
+}
+
+
+
+axiom $IsClass(System.String);
+
+axiom System.String <: System.Object && AsDirectSubClass(System.String, System.Object) == System.String;
+
+axiom $IsInterface(System.IComparable);
+
+axiom (forall $K: name :: { System.IComparable <: $K } System.IComparable <: $K <==> System.IComparable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.IComparable);
+
+axiom $IsInterface(System.ICloneable);
+
+axiom (forall $K: name :: { System.ICloneable <: $K } System.ICloneable <: $K <==> System.ICloneable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.ICloneable);
+
+axiom $IsInterface(System.Collections.IEnumerable);
+
+axiom (forall $K: name :: { System.Collections.IEnumerable <: $K } System.Collections.IEnumerable <: $K <==> System.Collections.IEnumerable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.Collections.IEnumerable);
+
+axiom (forall $K: name :: { System.String <: $K } System.String <: $K <==> System.String == $K || System.Object <: $K || System.IComparable <: $K || System.ICloneable <: $K || System.Collections.IEnumerable <: $K);
+
+axiom (forall $U: name :: { $U <: System.String } $U <: System.String ==> $U == System.String);
+
+function Inv_System.String(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.String(this, heap) } Inv_System.String(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.String } { Inv_System.String($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.String ==> Inv_System.String($o, heap));
+
+procedure System.Console.WriteLine$System.String(value$in: ref);
+ requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.DebugStub.Print$System.String(value$in: ref);
+ requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure System.Threading.Thread.Yield();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod()
+{
+ var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block2516$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ goto block2482;
+
+ block2482:
+ goto block2499;
+
+ block2499:
+ // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
+ stack0o := $stringLiteral5;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
+ stack0o := $stringLiteral6;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,18)
+ i := 0;
+ goto block2516$LoopPreheader;
+
+ block2516:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ assert (forall $o: ref :: $Heap$block2516$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ stack0i := 10;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ goto true2516to2550, false2516to2533;
+
+ true2516to2550:
+ assume stack0b == true;
+ goto block2550;
+
+ false2516to2533:
+ assume stack0b == false;
+ goto block2533;
+
+ block2550:
+ // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
+ stack0o := $stringLiteral8;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
+ stack0o := $stringLiteral9;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(46,10)
+ return;
+
+ block2533:
+ // ----- load constant ... [1] ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
+ stack0o := $stringLiteral7;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
+ // Commented out, to avoid problems with the theorem prover nondeterministically choosing this error over the one 12 lines above: call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(41,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,37)
+ local1 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local1 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local1;
+ // ----- branch
+ goto block2516;
+
+ block2516$LoopPreheader:
+ $Heap$block2516$LoopPreheader := $Heap;
+ goto block2516;
+
+}
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int);
+ requires args$in == null || (cast($Heap[args$in, $writable]):bool == true && cast($Heap[args$in, $inv]):name == $typeof(args$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures IsAllocated($Heap, $result);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int)
+{
+ var args: ref, stack0o: ref, stack1o: ref, stack50000o: ref, t1: ref, t2: ref, i: int, stack0i: int, stack0b: bool, local3: int, return.value: int, SS$Display.Return.Local: int, $Heap$block3825$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ args := args$in;
+ assume $Is(args, RefArray(System.String, 1));
+ assume cast($Heap[args$in, $allocated]):bool == true;
+ goto block3791;
+
+ block3791:
+ goto block3808;
+
+ block3808:
+ stack0o := null;
+ // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack1o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ assert stack50000o != null;
+ call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ stack0o := stack50000o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ assert stack50000o != null;
+ call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ stack0o := stack50000o;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ t1 := stack0o;
+ stack0o := null;
+ // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack1o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ assert stack50000o != null;
+ call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ stack0o := stack50000o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ assert stack50000o != null;
+ call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ stack0o := stack50000o;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ t2 := stack0o;
+ // ----- load constant Starting first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
+ stack0o := $stringLiteral10;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(55,13)
+ assert t1 != null;
+ call System.Threading.Thread.Start(t1);
+ // ----- load constant Started first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
+ stack0o := $stringLiteral11;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Starting second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
+ stack0o := $stringLiteral12;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(59,13)
+ assert t2 != null;
+ call System.Threading.Thread.Start(t2);
+ // ----- load constant Started second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
+ stack0o := $stringLiteral13;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,18)
+ i := 0;
+ goto block3825$LoopPreheader;
+
+ block3825:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ assert (forall $o: ref :: $Heap$block3825$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 30 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ stack0i := 30;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ goto true3825to3859, false3825to3842;
+
+ true3825to3859:
+ assume stack0b == true;
+ goto block3859;
+
+ false3825to3842:
+ assume stack0b == false;
+ goto block3842;
+
+ block3859:
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(66,13)
+ return.value := 0;
+ // ----- branch
+ goto block3876;
+
+ block3842:
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(64,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,37)
+ local3 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local3 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local3;
+ // ----- branch
+ goto block3825;
+
+ block3876:
+ // ----- copy
+ SS$Display.Return.Local := return.value;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
+ stack0i := return.value;
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
+ $result := stack0i;
+ return;
+
+ block3825$LoopPreheader:
+ $Heap$block3825$LoopPreheader := $Heap;
+ goto block3825;
+
+}
+
+
+
+axiom $IsClass(System.Threading.ThreadStart);
+
+axiom $IsClass(System.MulticastDelegate);
+
+axiom $IsClass(System.Delegate);
+
+axiom System.Delegate <: System.Object && AsDirectSubClass(System.Delegate, System.Object) == System.Delegate;
+
+axiom Implements(System.Delegate, System.ICloneable);
+
+axiom (forall $K: name :: { System.Delegate <: $K } System.Delegate <: $K <==> System.Delegate == $K || System.Object <: $K || System.ICloneable <: $K);
+
+function Inv_System.Delegate(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Delegate(this, heap) } Inv_System.Delegate(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Delegate } { Inv_System.Delegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Delegate ==> Inv_System.Delegate($o, heap));
+
+axiom System.MulticastDelegate <: System.Delegate && AsDirectSubClass(System.MulticastDelegate, System.Delegate) == System.MulticastDelegate;
+
+axiom (forall $K: name :: { System.MulticastDelegate <: $K } System.MulticastDelegate <: $K <==> System.MulticastDelegate == $K || System.Delegate <: $K);
+
+function Inv_System.MulticastDelegate(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.MulticastDelegate(this, heap) } Inv_System.MulticastDelegate(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.MulticastDelegate } { Inv_System.MulticastDelegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.MulticastDelegate ==> Inv_System.MulticastDelegate($o, heap));
+
+axiom System.Threading.ThreadStart <: System.MulticastDelegate && AsDirectSubClass(System.Threading.ThreadStart, System.MulticastDelegate) == System.Threading.ThreadStart;
+
+axiom (forall $K: name :: { System.Threading.ThreadStart <: $K } System.Threading.ThreadStart <: $K <==> System.Threading.ThreadStart == $K || System.MulticastDelegate <: $K);
+
+axiom (forall $U: name :: { $U <: System.Threading.ThreadStart } $U <: System.Threading.ThreadStart ==> $U == System.Threading.ThreadStart);
+
+function Inv_System.Threading.ThreadStart(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.ThreadStart(this, heap) } Inv_System.Threading.ThreadStart(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.ThreadStart } { Inv_System.Threading.ThreadStart($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.ThreadStart ==> Inv_System.Threading.ThreadStart($o, heap));
+
+procedure System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(this: ref, object$in: ref, method$in: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.ThreadStart;
+
+
+
+axiom $IsClass(System.Threading.Thread);
+
+axiom System.Threading.Thread <: System.Object && AsDirectSubClass(System.Threading.Thread, System.Object) == System.Threading.Thread;
+
+axiom (forall $K: name :: { System.Threading.Thread <: $K } System.Threading.Thread <: $K <==> System.Threading.Thread == $K || System.Object <: $K);
+
+axiom (forall $U: name :: { $U <: System.Threading.Thread } $U <: System.Threading.Thread ==> $U == System.Threading.Thread);
+
+function Inv_System.Threading.Thread(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.Thread(this, heap) } Inv_System.Threading.Thread(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.Thread } { Inv_System.Threading.Thread($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.Thread ==> Inv_System.Threading.Thread($o, heap));
+
+procedure System.Threading.Thread..ctor$System.Threading.ThreadStart(this: ref, start$in: ref);
+ requires start$in == null || (cast($Heap[start$in, $writable]):bool == true && cast($Heap[start$in, $inv]):name == $typeof(start$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Threading.Thread <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.Thread;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+procedure System.Threading.Thread.Start(this: ref);
+ requires cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == $typeof(this);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(Microsoft.Singularity.Applications.ThreadTest <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == Microsoft.Singularity.Applications.ThreadTest;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref)
+{
+
+ entry:
+ assume IsHeap($Heap);
+ assume $IsNotNull(this, Microsoft.Singularity.Applications.ThreadTest);
+ assume cast($Heap[this, $allocated]):bool == true;
+ assume cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ goto block4777;
+
+ block4777:
+ goto block4794;
+
+ block4794:
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,18)
+ assert this != null;
+ call System.Object..ctor(this);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,28)
+ assert this != null;
+ assert cast($Heap[this, $writable]):bool == true && System.Object <: cast($Heap[this, $inv]):name;
+ assert cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ assert Inv_Microsoft.Singularity.Applications.ThreadTest(this, $Heap);
+ $Heap[this, $inv] := Microsoft.Singularity.Applications.ThreadTest;
+ return;
+
+}
+
+
+
+procedure System.Object..ctor(this: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Object <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+type ref, name, any;
+const null : ref;
diff --git a/Test/test2/strings-where.bpl b/Test/test2/strings-where.bpl
index b2ad7217..c9b6772f 100644
--- a/Test/test2/strings-where.bpl
+++ b/Test/test2/strings-where.bpl
@@ -1,997 +1,997 @@
-// RUN: %boogie -noinfer "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-type elements;
-
-type struct;
-
-var $Heap: [ref,name]any where IsHeap($Heap);
-function cast<S,T>(S) returns (T);
-function IsHeap(h: [ref,name]any) returns (bool);
-
-const unique $allocated: name;
-
-const unique $elements: name;
-
-const unique $inv: name;
-
-const unique $writable: name;
-
-const unique $sharingMode: name;
-
-const unique $SharingMode_Unshared: name;
-
-const unique $SharingMode_LockProtected: name;
-
-function ClassRepr(class: name) returns (ref);
-
-axiom (forall c0: name, c1: name :: c0 != c1 ==> ClassRepr(c0) != ClassRepr(c1));
-
-axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
-
-axiom (forall T: name :: ClassRepr(T) != null);
-
-axiom (forall T: name, h: [ref,name]any :: { h[ClassRepr(T), $writable] } IsHeap(h) ==> cast(h[ClassRepr(T), $writable]):bool);
-
-function IsDirectlyModifiableField(f: name) returns (bool);
-
-axiom !IsDirectlyModifiableField($allocated);
-
-axiom IsDirectlyModifiableField($elements);
-
-axiom !IsDirectlyModifiableField($inv);
-
-axiom !IsDirectlyModifiableField($writable);
-
-function IsStaticField(f: name) returns (bool);
-
-axiom !IsStaticField($allocated);
-
-axiom !IsStaticField($elements);
-
-axiom !IsStaticField($inv);
-
-axiom !IsStaticField($writable);
-
-function ValueArrayGet(elements, int) returns (any);
-
-function ValueArraySet(elements, int, any) returns (elements);
-
-function RefArrayGet(elements, int) returns (ref);
-
-function RefArraySet(elements, int, ref) returns (elements);
-
-axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
-
-function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
-
-axiom (forall a: ref, d: int, x: int, y: int, x': int, y': int :: ArrayIndex(a, d, x, y) == ArrayIndex(a, d, x', y') ==> x == x' && y == y');
-
-axiom (forall a: ref, T: name, i: int, r: int, heap: [ref,name]any :: $typeof(a) <: RefArray(T, r) ==> $Is(RefArrayGet(cast(heap[a, $elements]):elements, i), T));
-
-function $Rank(ref) returns (int);
-
-axiom (forall a: ref :: 1 <= $Rank(a));
-
-axiom (forall a: ref, T: name, r: int :: { $Is(a, ValueArray(T, r)) } $Is(a, ValueArray(T, r)) ==> $Rank(a) == r);
-
-axiom (forall a: ref, T: name, r: int :: { $Is(a, RefArray(T, r)) } $Is(a, RefArray(T, r)) ==> $Rank(a) == r);
-
-function $Length(ref) returns (int);
-
-axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a));
-
-function $DimLength(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
-
-axiom (forall a: ref :: $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
-
-function $LBound(ref, int) returns (int);
-
-function $UBound(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
-
-axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
-
-const unique System.Array: name;
-
-axiom $IsClass(System.Array);
-
-axiom System.Array <: System.Object;
-
-function $ElementType(name) returns (name);
-
-function ValueArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: System.Array);
-
-function RefArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: System.Array);
-
-axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
-
-axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
-
-axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
-
-axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
-
-axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
-
-axiom (forall A: name, r: int, T: name :: RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
-
-axiom (forall A: name, r: int, T: name :: ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
-
-function $ArrayPtr(elementType: name) returns (name);
-
-function $StructGet(struct, name) returns (any);
-
-function $StructSet(struct, name, any) returns (struct);
-
-axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
-
-axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
-
-function ZeroInit(s: struct, typ: name) returns (bool);
-
-function $typeof(ref) returns (name);
-
-function Implements(class: name, interface: name) returns (bool);
-
-axiom (forall T: name, J: name :: { Implements(T, J) } Implements(T, J) ==> T <: J);
-
-function InterfaceExtends(subIntf: name, superIntf: name) returns (bool);
-
-axiom (forall J: name, K: name :: { InterfaceExtends(J, K) } InterfaceExtends(J, K) ==> J <: K);
-
-function $IsClass(name) returns (bool);
-
-axiom (forall C: name :: { $IsClass(C) } $IsClass(C) ==> C <: C);
-
-function AsDirectSubClass(sub: name, base: name) returns (sub': name);
-
-function OneClassDown(sub: name, base: name) returns (directSub: name);
-
-axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
-
-function $IsInterface(name) returns (bool);
-
-axiom (forall J: name :: { $IsInterface(J) } $IsInterface(J) ==> J <: System.Object);
-
-function $IsValueType(name) returns (bool);
-
-axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
-
-const unique System.Object: name;
-
-axiom $IsClass(System.Object);
-
-function $IsTokenForType(struct, name) returns (bool);
-
-function TypeObject(name) returns (ref);
-
-const unique System.Type: name;
-
-axiom System.Type <: System.Object;
-
-axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
-
-function $Is(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
-
-function $IsNotNull(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
-
-function $As(ref, name) returns (ref);
-
-axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
-
-axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
-
-axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, RefArray(A, r)) ==> heap[o, $inv] == $typeof(o));
-
-axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, ValueArray(A, r)) ==> heap[o, $inv] == $typeof(o));
-
-function IsAllocated(h: [ref,name]any, o: any) returns (bool);
-
-axiom (forall h: [ref,name]any, o: ref, f: name :: { IsAllocated(h, h[o, f]) } IsHeap(h) ==> IsAllocated(h, h[o, f]));
-
-axiom (forall h: [ref,name]any, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
-
-axiom (forall h: [ref,name]any, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
-
-axiom (forall h: [ref,name]any, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> cast(h[o, $allocated]):bool);
-
-axiom (forall h: [ref,name]any, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> cast(h[ClassRepr(c), $allocated]):bool);
-
-function DeclType(field: name) returns (class: name);
-
-function AsNonNullRefField(field: name, T: name) returns (f: name);
-
-function AsRefField(field: name, T: name) returns (f: name);
-
-function AsRangeField(field: name, T: name) returns (f: name);
-
-axiom (forall f: name, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(cast(h[o, AsRefField(f, T)]):ref, T));
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) ==> cast(h[o, AsNonNullRefField(f, T)]):ref != null);
-
-axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(cast(h[o, AsRangeField(f, T)]):int, T));
-
-const unique System.String: name;
-
-axiom (forall h: [ref,name]any, s: ref :: IsHeap(h) && $typeof(s) == System.String ==> h[s, $inv] == $typeof(s) && cast(h[s, $writable]):bool);
-
-function AsOwnedField(f: name) returns (name);
-
-axiom (forall h: [ref,name]any, o: ref, f: name :: { h[o, AsOwnedField(f)] } IsHeap(h) && cast(h[o, $inv]):name <: DeclType(AsOwnedField(f)) ==> cast(h[o, AsOwnedField(f)]):ref == null || $typeof(cast(h[o, AsOwnedField(f)]):ref) == System.String || !cast(h[cast(h[o, AsOwnedField(f)]):ref, $writable]):bool);
-
-axiom (forall h: [ref,name]any, o: ref :: { h[o, $writable] } IsHeap(h) && !cast(h[o, $writable]):bool ==> cast(h[o, $inv]):name == $typeof(o));
-
-function Box(any, ref) returns (ref);
-
-function Unbox(ref) returns (any);
-
-axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
-
-axiom (forall heap: [ref,name]any, x: any, p: ref :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)));
-
-function UnboxedType(ref) returns (name);
-
-function BoxTester(p: ref, typ: name) returns (ref);
-
-axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
-
-const unique System.Int16: name;
-
-axiom $IsValueType(System.Int16);
-
-const unique System.Int32: name;
-
-axiom $IsValueType(System.Int32);
-
-const unique System.Int64: name;
-
-axiom $IsValueType(System.Int64);
-
-const unique System.Byte: name;
-
-axiom $IsValueType(System.Byte);
-
-const unique System.Int16.MinValue: int;
-
-const unique System.Int16.MaxValue: int;
-
-const unique System.Int32.MinValue: int;
-
-const unique System.Int32.MaxValue: int;
-
-const unique System.Int64.MinValue: int;
-
-const unique System.Int64.MaxValue: int;
-
-axiom System.Int64.MinValue < System.Int32.MinValue;
-
-axiom System.Int32.MinValue < System.Int16.MinValue;
-
-axiom System.Int16.MinValue < System.Int16.MaxValue;
-
-axiom System.Int16.MaxValue < System.Int32.MaxValue;
-
-axiom System.Int32.MaxValue < System.Int64.MaxValue;
-
-function InRange(i: int, T: name) returns (bool);
-
-axiom (forall i: int :: InRange(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
-
-axiom (forall i: int :: InRange(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
-
-axiom (forall i: int :: InRange(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
-
-axiom (forall i: int :: { InRange(i, System.Byte) } InRange(i, System.Byte) <==> 0 <= i && i < 256);
-
-function $RealToInt(real) returns (int);
-
-function $IntToReal(int) returns (real);
-
-function $SizeIs(name, int) returns (bool);
-
-function $IfThenElse(bool, any, any) returns (any);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
-
-function #neg(int) returns (int);
-
-function #rneg(real) returns (real);
-
-function #rdiv(real, real) returns (real);
-
-function #and(int, int) returns (int);
-
-function #or(int, int) returns (int);
-
-function #xor(int, int) returns (int);
-
-function #shl(int, int) returns (int);
-
-function #shr(int, int) returns (int);
-
-axiom (forall x: int, y: int :: { x mod y } { x div y } x mod y == x - x div y * y);
-
-axiom (forall x: int, y: int :: { x mod y } 0 <= x && 0 < y ==> 0 <= x mod y && x mod y < y);
-
-axiom (forall x: int, y: int :: { x mod y } 0 <= x && y < 0 ==> 0 <= x mod y && x mod y < 0 - y);
-
-axiom (forall x: int, y: int :: { x mod y } x <= 0 && 0 < y ==> 0 - y < x mod y && x mod y <= 0);
-
-axiom (forall x: int, y: int :: { x mod y } x <= 0 && y < 0 ==> y < x mod y && x mod y <= 0);
-
-axiom (forall x: int, y: int :: { (x + y) mod y } 0 <= x && 0 <= y ==> (x + y) mod y == x mod y);
-
-axiom (forall x: int, y: int :: { (y + x) mod y } 0 <= x && 0 <= y ==> (y + x) mod y == x mod y);
-
-axiom (forall x: int, y: int :: { (x - y) mod y } 0 <= x - y && 0 <= y ==> (x - y) mod y == x mod y);
-
-axiom (forall a: int, b: int, d: int :: { a mod d,b mod d } 2 <= d && a mod d == b mod d && a < b ==> a + d <= b);
-
-axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
-
-axiom (forall i: int, j: int :: 0 <= j ==> #shl(i, j + 1) == #shl(i, j) * 2);
-
-axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
-
-axiom (forall i: int, j: int :: 0 <= j ==> #shr(i, j + 1) == #shr(i, j) div 2);
-
-const unique $UnknownRef: ref;
-
-const unique System.IComparable: name;
-
-const unique Microsoft.Singularity.Applications.ThreadTest: name;
-
-const unique System.Threading.Thread: name;
-
-const unique System.Collections.IEnumerable: name;
-
-const unique System.Threading.ThreadStart: name;
-
-const unique System.ICloneable: name;
-
-const unique System.MulticastDelegate: name;
-
-const unique System.Delegate: name;
-
-const unique $stringLiteral0: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral0, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral0, $allocated]):bool) && $IsNotNull($stringLiteral0, System.String) && $Length($stringLiteral0) == 13;
-
-const unique $stringLiteral1: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral1, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral1, $allocated]):bool) && $IsNotNull($stringLiteral1, System.String) && $Length($stringLiteral1) == 14;
-
-const unique $stringLiteral2: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral2, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral2, $allocated]):bool) && $IsNotNull($stringLiteral2, System.String) && $Length($stringLiteral2) == 11;
-
-const unique $stringLiteral3: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral3, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral3, $allocated]):bool) && $IsNotNull($stringLiteral3, System.String) && $Length($stringLiteral3) == 18;
-
-const unique $stringLiteral4: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral4, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral4, $allocated]):bool) && $IsNotNull($stringLiteral4, System.String) && $Length($stringLiteral4) == 19;
-
-const unique $stringLiteral5: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral5, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral5, $allocated]):bool) && $IsNotNull($stringLiteral5, System.String) && $Length($stringLiteral5) == 14;
-
-const unique $stringLiteral6: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral6, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral6, $allocated]):bool) && $IsNotNull($stringLiteral6, System.String) && $Length($stringLiteral6) == 15;
-
-const unique $stringLiteral7: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral7, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral7, $allocated]):bool) && $IsNotNull($stringLiteral7, System.String) && $Length($stringLiteral7) == 11;
-
-const unique $stringLiteral8: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral8, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral8, $allocated]):bool) && $IsNotNull($stringLiteral8, System.String) && $Length($stringLiteral8) == 19;
-
-const unique $stringLiteral9: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral9, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral9, $allocated]):bool) && $IsNotNull($stringLiteral9, System.String) && $Length($stringLiteral9) == 20;
-
-const unique $stringLiteral10: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral10, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral10, $allocated]):bool) && $IsNotNull($stringLiteral10, System.String) && $Length($stringLiteral10) == 22;
-
-const unique $stringLiteral11: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral11, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral11, $allocated]):bool) && $IsNotNull($stringLiteral11, System.String) && $Length($stringLiteral11) == 21;
-
-const unique $stringLiteral12: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral12, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral12, $allocated]):bool) && $IsNotNull($stringLiteral12, System.String) && $Length($stringLiteral12) == 23;
-
-const unique $stringLiteral13: ref;
-
-axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral13, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral13, $allocated]):bool) && $IsNotNull($stringLiteral13, System.String) && $Length($stringLiteral13) == 22;
-
-axiom $IsClass(Microsoft.Singularity.Applications.ThreadTest);
-
-axiom Microsoft.Singularity.Applications.ThreadTest <: System.Object && AsDirectSubClass(Microsoft.Singularity.Applications.ThreadTest, System.Object) == Microsoft.Singularity.Applications.ThreadTest;
-
-axiom (forall $K: name :: { Microsoft.Singularity.Applications.ThreadTest <: $K } Microsoft.Singularity.Applications.ThreadTest <: $K <==> Microsoft.Singularity.Applications.ThreadTest == $K || System.Object <: $K);
-
-function Inv_Microsoft.Singularity.Applications.ThreadTest(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) } Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest } { Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest ==> Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap));
-
-procedure Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod()
-{
- var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block1513$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- goto block1479;
-
- block1479:
- goto block1496;
-
- block1496:
- // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
- stack0o := $stringLiteral0;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
- stack0o := $stringLiteral1;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,18)
- i := 0;
- goto block1513$LoopPreheader;
-
- block1513:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- assert (forall $o: ref :: $Heap$block1513$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- stack0i := 10;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
- goto true1513to1547, false1513to1530;
-
- true1513to1547:
- assume stack0b == true;
- goto block1547;
-
- false1513to1530:
- assume stack0b == false;
- goto block1530;
-
- block1547:
- // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
- stack0o := $stringLiteral3;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
- stack0o := $stringLiteral4;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(31,10)
- return;
-
- block1530:
- // ----- load constant [0] ... ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
- stack0o := $stringLiteral2;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(26,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,37)
- local1 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local1 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local1;
- // ----- branch
- goto block1513;
-
- block1513$LoopPreheader:
- $Heap$block1513$LoopPreheader := $Heap;
- goto block1513;
-
-}
-
-
-
-axiom $IsClass(System.String);
-
-axiom System.String <: System.Object && AsDirectSubClass(System.String, System.Object) == System.String;
-
-axiom $IsInterface(System.IComparable);
-
-axiom (forall $K: name :: { System.IComparable <: $K } System.IComparable <: $K <==> System.IComparable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.IComparable);
-
-axiom $IsInterface(System.ICloneable);
-
-axiom (forall $K: name :: { System.ICloneable <: $K } System.ICloneable <: $K <==> System.ICloneable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.ICloneable);
-
-axiom $IsInterface(System.Collections.IEnumerable);
-
-axiom (forall $K: name :: { System.Collections.IEnumerable <: $K } System.Collections.IEnumerable <: $K <==> System.Collections.IEnumerable == $K || System.Object == $K);
-
-axiom Implements(System.String, System.Collections.IEnumerable);
-
-axiom (forall $K: name :: { System.String <: $K } System.String <: $K <==> System.String == $K || System.Object <: $K || System.IComparable <: $K || System.ICloneable <: $K || System.Collections.IEnumerable <: $K);
-
-axiom (forall $U: name :: { $U <: System.String } $U <: System.String ==> $U == System.String);
-
-function Inv_System.String(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.String(this, heap) } Inv_System.String(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.String } { Inv_System.String($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.String ==> Inv_System.String($o, heap));
-
-procedure System.Console.WriteLine$System.String(value$in: ref);
- requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.DebugStub.Print$System.String(value$in: ref);
- requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure System.Threading.Thread.Yield();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod();
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod()
-{
- var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block2516$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- goto block2482;
-
- block2482:
- goto block2499;
-
- block2499:
- // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
- stack0o := $stringLiteral5;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
- stack0o := $stringLiteral6;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,18)
- i := 0;
- goto block2516$LoopPreheader;
-
- block2516:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- assert (forall $o: ref :: $Heap$block2516$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- stack0i := 10;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
- goto true2516to2550, false2516to2533;
-
- true2516to2550:
- assume stack0b == true;
- goto block2550;
-
- false2516to2533:
- assume stack0b == false;
- goto block2533;
-
- block2550:
- // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
- stack0o := $stringLiteral8;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
- stack0o := $stringLiteral9;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
- call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(46,10)
- return;
-
- block2533:
- // ----- load constant ... [1] ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
- stack0o := $stringLiteral7;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(41,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,37)
- local1 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local1 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local1;
- // ----- branch
- goto block2516;
-
- block2516$LoopPreheader:
- $Heap$block2516$LoopPreheader := $Heap;
- goto block2516;
-
-}
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int);
- requires args$in == null || (cast($Heap[args$in, $writable]):bool == true && cast($Heap[args$in, $inv]):name == $typeof(args$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures IsAllocated($Heap, $result);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int)
-{
- var args: ref, stack0o: ref, stack1o: ref, stack50000o: ref, t1: ref, t2: ref, i: int, stack0i: int, stack0b: bool, local3: int, return.value: int, SS$Display.Return.Local: int, $Heap$block3825$LoopPreheader: [ref,name]any;
-
- entry:
- assume IsHeap($Heap);
- args := args$in;
- assume $Is(args, RefArray(System.String, 1));
- assume cast($Heap[args$in, $allocated]):bool == true;
- goto block3791;
-
- block3791:
- goto block3808;
-
- block3808:
- stack0o := null;
- // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack1o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- assert stack50000o != null;
- call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- stack0o := stack50000o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- assert stack50000o != null;
- call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- stack0o := stack50000o;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
- t1 := stack0o;
- stack0o := null;
- // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack1o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- assert stack50000o != null;
- call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- stack0o := stack50000o;
- // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- havoc stack50000o;
- assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
- $Heap[stack50000o, $allocated] := true;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- assert stack50000o != null;
- call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- stack0o := stack50000o;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
- t2 := stack0o;
- // ----- load constant Starting first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
- stack0o := $stringLiteral10;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(55,13)
- assert t1 != null;
- call System.Threading.Thread.Start(t1);
- // ----- load constant Started first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
- stack0o := $stringLiteral11;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant Starting second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
- stack0o := $stringLiteral12;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(59,13)
- assert t2 != null;
- call System.Threading.Thread.Start(t2);
- // ----- load constant Started second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
- stack0o := $stringLiteral13;
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
- call System.Console.WriteLine$System.String(stack0o);
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,18)
- i := 0;
- goto block3825$LoopPreheader;
-
- block3825:
- // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- assert (forall $o: ref :: $Heap$block3825$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool != true);
- assert (forall $o: ref :: cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- // ----- load constant 30 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- stack0i := 30;
- // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- stack0b := i >= stack0i;
- // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
- goto true3825to3859, false3825to3842;
-
- true3825to3859:
- assume stack0b == true;
- goto block3859;
-
- false3825to3842:
- assume stack0b == false;
- goto block3842;
-
- block3859:
- // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(66,13)
- return.value := 0;
- // ----- branch
- goto block3876;
-
- block3842:
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(64,17)
- call System.Threading.Thread.Yield();
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,37)
- local3 := i;
- // ----- load constant 1
- stack0i := 1;
- // ----- binary operator
- stack0i := local3 + stack0i;
- // ----- copy
- i := stack0i;
- // ----- copy
- stack0i := local3;
- // ----- branch
- goto block3825;
-
- block3876:
- // ----- copy
- SS$Display.Return.Local := return.value;
- // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
- stack0i := return.value;
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
- $result := stack0i;
- return;
-
- block3825$LoopPreheader:
- $Heap$block3825$LoopPreheader := $Heap;
- goto block3825;
-
-}
-
-
-
-axiom $IsClass(System.Threading.ThreadStart);
-
-axiom $IsClass(System.MulticastDelegate);
-
-axiom $IsClass(System.Delegate);
-
-axiom System.Delegate <: System.Object && AsDirectSubClass(System.Delegate, System.Object) == System.Delegate;
-
-axiom Implements(System.Delegate, System.ICloneable);
-
-axiom (forall $K: name :: { System.Delegate <: $K } System.Delegate <: $K <==> System.Delegate == $K || System.Object <: $K || System.ICloneable <: $K);
-
-function Inv_System.Delegate(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Delegate(this, heap) } Inv_System.Delegate(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Delegate } { Inv_System.Delegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Delegate ==> Inv_System.Delegate($o, heap));
-
-axiom System.MulticastDelegate <: System.Delegate && AsDirectSubClass(System.MulticastDelegate, System.Delegate) == System.MulticastDelegate;
-
-axiom (forall $K: name :: { System.MulticastDelegate <: $K } System.MulticastDelegate <: $K <==> System.MulticastDelegate == $K || System.Delegate <: $K);
-
-function Inv_System.MulticastDelegate(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.MulticastDelegate(this, heap) } Inv_System.MulticastDelegate(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.MulticastDelegate } { Inv_System.MulticastDelegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.MulticastDelegate ==> Inv_System.MulticastDelegate($o, heap));
-
-axiom System.Threading.ThreadStart <: System.MulticastDelegate && AsDirectSubClass(System.Threading.ThreadStart, System.MulticastDelegate) == System.Threading.ThreadStart;
-
-axiom (forall $K: name :: { System.Threading.ThreadStart <: $K } System.Threading.ThreadStart <: $K <==> System.Threading.ThreadStart == $K || System.MulticastDelegate <: $K);
-
-axiom (forall $U: name :: { $U <: System.Threading.ThreadStart } $U <: System.Threading.ThreadStart ==> $U == System.Threading.ThreadStart);
-
-function Inv_System.Threading.ThreadStart(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.ThreadStart(this, heap) } Inv_System.Threading.ThreadStart(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.ThreadStart } { Inv_System.Threading.ThreadStart($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.ThreadStart ==> Inv_System.Threading.ThreadStart($o, heap));
-
-procedure System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(this: ref, object$in: ref, method$in: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.ThreadStart;
-
-
-
-axiom $IsClass(System.Threading.Thread);
-
-axiom System.Threading.Thread <: System.Object && AsDirectSubClass(System.Threading.Thread, System.Object) == System.Threading.Thread;
-
-axiom (forall $K: name :: { System.Threading.Thread <: $K } System.Threading.Thread <: $K <==> System.Threading.Thread == $K || System.Object <: $K);
-
-axiom (forall $U: name :: { $U <: System.Threading.Thread } $U <: System.Threading.Thread ==> $U == System.Threading.Thread);
-
-function Inv_System.Threading.Thread(object: ref, heap: [ref,name]any) returns (result: bool);
-
-axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.Thread(this, heap) } Inv_System.Threading.Thread(this, heap) <==> true);
-
-axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.Thread } { Inv_System.Threading.Thread($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.Thread ==> Inv_System.Threading.Thread($o, heap));
-
-procedure System.Threading.Thread..ctor$System.Threading.ThreadStart(this: ref, start$in: ref);
- requires start$in == null || (cast($Heap[start$in, $writable]):bool == true && cast($Heap[start$in, $inv]):name == $typeof(start$in));
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Threading.Thread <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.Thread;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-procedure System.Threading.Thread.Start(this: ref);
- requires cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == $typeof(this);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(Microsoft.Singularity.Applications.ThreadTest <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == Microsoft.Singularity.Applications.ThreadTest;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-implementation Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref)
-{
-
- entry:
- assume IsHeap($Heap);
- assume $IsNotNull(this, Microsoft.Singularity.Applications.ThreadTest);
- assume cast($Heap[this, $allocated]):bool == true;
- assume cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- goto block4777;
-
- block4777:
- goto block4794;
-
- block4794:
- // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,18)
- assert this != null;
- call System.Object..ctor(this);
- // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,28)
- assert this != null;
- assert cast($Heap[this, $writable]):bool == true && System.Object <: cast($Heap[this, $inv]):name;
- assert cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- assert Inv_Microsoft.Singularity.Applications.ThreadTest(this, $Heap);
- $Heap[this, $inv] := Microsoft.Singularity.Applications.ThreadTest;
- return;
-
-}
-
-
-
-procedure System.Object..ctor(this: ref);
- modifies $Heap;
- free ensures IsHeap($Heap);
- free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Object <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
- free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
- free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
- free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
- ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
-
-
-
-type ref, name, any;
-const null : ref;
+// RUN: %boogie -noinfer "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+type elements;
+
+type struct;
+
+var $Heap: [ref,name]any where IsHeap($Heap);
+function cast<S,T>(S) returns (T);
+function IsHeap(h: [ref,name]any) returns (bool);
+
+const unique $allocated: name;
+
+const unique $elements: name;
+
+const unique $inv: name;
+
+const unique $writable: name;
+
+const unique $sharingMode: name;
+
+const unique $SharingMode_Unshared: name;
+
+const unique $SharingMode_LockProtected: name;
+
+function ClassRepr(class: name) returns (ref);
+
+axiom (forall c0: name, c1: name :: c0 != c1 ==> ClassRepr(c0) != ClassRepr(c1));
+
+axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
+
+axiom (forall T: name :: ClassRepr(T) != null);
+
+axiom (forall T: name, h: [ref,name]any :: { h[ClassRepr(T), $writable] } IsHeap(h) ==> cast(h[ClassRepr(T), $writable]):bool);
+
+function IsDirectlyModifiableField(f: name) returns (bool);
+
+axiom !IsDirectlyModifiableField($allocated);
+
+axiom IsDirectlyModifiableField($elements);
+
+axiom !IsDirectlyModifiableField($inv);
+
+axiom !IsDirectlyModifiableField($writable);
+
+function IsStaticField(f: name) returns (bool);
+
+axiom !IsStaticField($allocated);
+
+axiom !IsStaticField($elements);
+
+axiom !IsStaticField($inv);
+
+axiom !IsStaticField($writable);
+
+function ValueArrayGet(elements, int) returns (any);
+
+function ValueArraySet(elements, int, any) returns (elements);
+
+function RefArrayGet(elements, int) returns (ref);
+
+function RefArraySet(elements, int, ref) returns (elements);
+
+axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
+
+function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
+
+axiom (forall a: ref, d: int, x: int, y: int, x': int, y': int :: ArrayIndex(a, d, x, y) == ArrayIndex(a, d, x', y') ==> x == x' && y == y');
+
+axiom (forall a: ref, T: name, i: int, r: int, heap: [ref,name]any :: $typeof(a) <: RefArray(T, r) ==> $Is(RefArrayGet(cast(heap[a, $elements]):elements, i), T));
+
+function $Rank(ref) returns (int);
+
+axiom (forall a: ref :: 1 <= $Rank(a));
+
+axiom (forall a: ref, T: name, r: int :: { $Is(a, ValueArray(T, r)) } $Is(a, ValueArray(T, r)) ==> $Rank(a) == r);
+
+axiom (forall a: ref, T: name, r: int :: { $Is(a, RefArray(T, r)) } $Is(a, RefArray(T, r)) ==> $Rank(a) == r);
+
+function $Length(ref) returns (int);
+
+axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a));
+
+function $DimLength(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
+
+axiom (forall a: ref :: $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
+
+function $LBound(ref, int) returns (int);
+
+function $UBound(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
+
+axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
+
+const unique System.Array: name;
+
+axiom $IsClass(System.Array);
+
+axiom System.Array <: System.Object;
+
+function $ElementType(name) returns (name);
+
+function ValueArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: System.Array);
+
+function RefArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: System.Array);
+
+axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
+
+axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
+
+axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
+
+axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
+
+axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
+
+axiom (forall A: name, r: int, T: name :: RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
+
+axiom (forall A: name, r: int, T: name :: ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
+
+function $ArrayPtr(elementType: name) returns (name);
+
+function $StructGet(struct, name) returns (any);
+
+function $StructSet(struct, name, any) returns (struct);
+
+axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
+
+axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
+
+function ZeroInit(s: struct, typ: name) returns (bool);
+
+function $typeof(ref) returns (name);
+
+function Implements(class: name, interface: name) returns (bool);
+
+axiom (forall T: name, J: name :: { Implements(T, J) } Implements(T, J) ==> T <: J);
+
+function InterfaceExtends(subIntf: name, superIntf: name) returns (bool);
+
+axiom (forall J: name, K: name :: { InterfaceExtends(J, K) } InterfaceExtends(J, K) ==> J <: K);
+
+function $IsClass(name) returns (bool);
+
+axiom (forall C: name :: { $IsClass(C) } $IsClass(C) ==> C <: C);
+
+function AsDirectSubClass(sub: name, base: name) returns (sub': name);
+
+function OneClassDown(sub: name, base: name) returns (directSub: name);
+
+axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
+
+function $IsInterface(name) returns (bool);
+
+axiom (forall J: name :: { $IsInterface(J) } $IsInterface(J) ==> J <: System.Object);
+
+function $IsValueType(name) returns (bool);
+
+axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
+
+const unique System.Object: name;
+
+axiom $IsClass(System.Object);
+
+function $IsTokenForType(struct, name) returns (bool);
+
+function TypeObject(name) returns (ref);
+
+const unique System.Type: name;
+
+axiom System.Type <: System.Object;
+
+axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
+
+function $Is(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
+
+function $IsNotNull(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
+
+function $As(ref, name) returns (ref);
+
+axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
+
+axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
+
+axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, RefArray(A, r)) ==> heap[o, $inv] == $typeof(o));
+
+axiom (forall heap: [ref,name]any, o: ref, A: name, r: int :: $Is(o, ValueArray(A, r)) ==> heap[o, $inv] == $typeof(o));
+
+function IsAllocated(h: [ref,name]any, o: any) returns (bool);
+
+axiom (forall h: [ref,name]any, o: ref, f: name :: { IsAllocated(h, h[o, f]) } IsHeap(h) ==> IsAllocated(h, h[o, f]));
+
+axiom (forall h: [ref,name]any, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
+
+axiom (forall h: [ref,name]any, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
+
+axiom (forall h: [ref,name]any, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> cast(h[o, $allocated]):bool);
+
+axiom (forall h: [ref,name]any, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> cast(h[ClassRepr(c), $allocated]):bool);
+
+function DeclType(field: name) returns (class: name);
+
+function AsNonNullRefField(field: name, T: name) returns (f: name);
+
+function AsRefField(field: name, T: name) returns (f: name);
+
+function AsRangeField(field: name, T: name) returns (f: name);
+
+axiom (forall f: name, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(cast(h[o, AsRefField(f, T)]):ref, T));
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) ==> cast(h[o, AsNonNullRefField(f, T)]):ref != null);
+
+axiom (forall h: [ref,name]any, o: ref, f: name, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(cast(h[o, AsRangeField(f, T)]):int, T));
+
+const unique System.String: name;
+
+axiom (forall h: [ref,name]any, s: ref :: IsHeap(h) && $typeof(s) == System.String ==> h[s, $inv] == $typeof(s) && cast(h[s, $writable]):bool);
+
+function AsOwnedField(f: name) returns (name);
+
+axiom (forall h: [ref,name]any, o: ref, f: name :: { h[o, AsOwnedField(f)] } IsHeap(h) && cast(h[o, $inv]):name <: DeclType(AsOwnedField(f)) ==> cast(h[o, AsOwnedField(f)]):ref == null || $typeof(cast(h[o, AsOwnedField(f)]):ref) == System.String || !cast(h[cast(h[o, AsOwnedField(f)]):ref, $writable]):bool);
+
+axiom (forall h: [ref,name]any, o: ref :: { h[o, $writable] } IsHeap(h) && !cast(h[o, $writable]):bool ==> cast(h[o, $inv]):name == $typeof(o));
+
+function Box(any, ref) returns (ref);
+
+function Unbox(ref) returns (any);
+
+axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
+
+axiom (forall heap: [ref,name]any, x: any, p: ref :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)));
+
+function UnboxedType(ref) returns (name);
+
+function BoxTester(p: ref, typ: name) returns (ref);
+
+axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
+
+const unique System.Int16: name;
+
+axiom $IsValueType(System.Int16);
+
+const unique System.Int32: name;
+
+axiom $IsValueType(System.Int32);
+
+const unique System.Int64: name;
+
+axiom $IsValueType(System.Int64);
+
+const unique System.Byte: name;
+
+axiom $IsValueType(System.Byte);
+
+const unique System.Int16.MinValue: int;
+
+const unique System.Int16.MaxValue: int;
+
+const unique System.Int32.MinValue: int;
+
+const unique System.Int32.MaxValue: int;
+
+const unique System.Int64.MinValue: int;
+
+const unique System.Int64.MaxValue: int;
+
+axiom System.Int64.MinValue < System.Int32.MinValue;
+
+axiom System.Int32.MinValue < System.Int16.MinValue;
+
+axiom System.Int16.MinValue < System.Int16.MaxValue;
+
+axiom System.Int16.MaxValue < System.Int32.MaxValue;
+
+axiom System.Int32.MaxValue < System.Int64.MaxValue;
+
+function InRange(i: int, T: name) returns (bool);
+
+axiom (forall i: int :: InRange(i, System.Int16) <==> System.Int16.MinValue <= i && i <= System.Int16.MaxValue);
+
+axiom (forall i: int :: InRange(i, System.Int32) <==> System.Int32.MinValue <= i && i <= System.Int32.MaxValue);
+
+axiom (forall i: int :: InRange(i, System.Int64) <==> System.Int64.MinValue <= i && i <= System.Int64.MaxValue);
+
+axiom (forall i: int :: { InRange(i, System.Byte) } InRange(i, System.Byte) <==> 0 <= i && i < 256);
+
+function $RealToInt(real) returns (int);
+
+function $IntToReal(int) returns (real);
+
+function $SizeIs(name, int) returns (bool);
+
+function $IfThenElse(bool, any, any) returns (any);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
+
+function #neg(int) returns (int);
+
+function #rneg(real) returns (real);
+
+function #rdiv(real, real) returns (real);
+
+function #and(int, int) returns (int);
+
+function #or(int, int) returns (int);
+
+function #xor(int, int) returns (int);
+
+function #shl(int, int) returns (int);
+
+function #shr(int, int) returns (int);
+
+axiom (forall x: int, y: int :: { x mod y } { x div y } x mod y == x - x div y * y);
+
+axiom (forall x: int, y: int :: { x mod y } 0 <= x && 0 < y ==> 0 <= x mod y && x mod y < y);
+
+axiom (forall x: int, y: int :: { x mod y } 0 <= x && y < 0 ==> 0 <= x mod y && x mod y < 0 - y);
+
+axiom (forall x: int, y: int :: { x mod y } x <= 0 && 0 < y ==> 0 - y < x mod y && x mod y <= 0);
+
+axiom (forall x: int, y: int :: { x mod y } x <= 0 && y < 0 ==> y < x mod y && x mod y <= 0);
+
+axiom (forall x: int, y: int :: { (x + y) mod y } 0 <= x && 0 <= y ==> (x + y) mod y == x mod y);
+
+axiom (forall x: int, y: int :: { (y + x) mod y } 0 <= x && 0 <= y ==> (y + x) mod y == x mod y);
+
+axiom (forall x: int, y: int :: { (x - y) mod y } 0 <= x - y && 0 <= y ==> (x - y) mod y == x mod y);
+
+axiom (forall a: int, b: int, d: int :: { a mod d,b mod d } 2 <= d && a mod d == b mod d && a < b ==> a + d <= b);
+
+axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
+
+axiom (forall i: int, j: int :: 0 <= j ==> #shl(i, j + 1) == #shl(i, j) * 2);
+
+axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
+
+axiom (forall i: int, j: int :: 0 <= j ==> #shr(i, j + 1) == #shr(i, j) div 2);
+
+const unique $UnknownRef: ref;
+
+const unique System.IComparable: name;
+
+const unique Microsoft.Singularity.Applications.ThreadTest: name;
+
+const unique System.Threading.Thread: name;
+
+const unique System.Collections.IEnumerable: name;
+
+const unique System.Threading.ThreadStart: name;
+
+const unique System.ICloneable: name;
+
+const unique System.MulticastDelegate: name;
+
+const unique System.Delegate: name;
+
+const unique $stringLiteral0: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral0, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral0, $allocated]):bool) && $IsNotNull($stringLiteral0, System.String) && $Length($stringLiteral0) == 13;
+
+const unique $stringLiteral1: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral1, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral1, $allocated]):bool) && $IsNotNull($stringLiteral1, System.String) && $Length($stringLiteral1) == 14;
+
+const unique $stringLiteral2: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral2, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral2, $allocated]):bool) && $IsNotNull($stringLiteral2, System.String) && $Length($stringLiteral2) == 11;
+
+const unique $stringLiteral3: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral3, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral3, $allocated]):bool) && $IsNotNull($stringLiteral3, System.String) && $Length($stringLiteral3) == 18;
+
+const unique $stringLiteral4: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral4, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral4, $allocated]):bool) && $IsNotNull($stringLiteral4, System.String) && $Length($stringLiteral4) == 19;
+
+const unique $stringLiteral5: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral5, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral5, $allocated]):bool) && $IsNotNull($stringLiteral5, System.String) && $Length($stringLiteral5) == 14;
+
+const unique $stringLiteral6: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral6, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral6, $allocated]):bool) && $IsNotNull($stringLiteral6, System.String) && $Length($stringLiteral6) == 15;
+
+const unique $stringLiteral7: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral7, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral7, $allocated]):bool) && $IsNotNull($stringLiteral7, System.String) && $Length($stringLiteral7) == 11;
+
+const unique $stringLiteral8: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral8, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral8, $allocated]):bool) && $IsNotNull($stringLiteral8, System.String) && $Length($stringLiteral8) == 19;
+
+const unique $stringLiteral9: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral9, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral9, $allocated]):bool) && $IsNotNull($stringLiteral9, System.String) && $Length($stringLiteral9) == 20;
+
+const unique $stringLiteral10: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral10, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral10, $allocated]):bool) && $IsNotNull($stringLiteral10, System.String) && $Length($stringLiteral10) == 22;
+
+const unique $stringLiteral11: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral11, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral11, $allocated]):bool) && $IsNotNull($stringLiteral11, System.String) && $Length($stringLiteral11) == 21;
+
+const unique $stringLiteral12: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral12, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral12, $allocated]):bool) && $IsNotNull($stringLiteral12, System.String) && $Length($stringLiteral12) == 23;
+
+const unique $stringLiteral13: ref;
+
+axiom (forall heap: [ref,name]any :: { cast(heap[$stringLiteral13, $allocated]):bool } IsHeap(heap) ==> cast(heap[$stringLiteral13, $allocated]):bool) && $IsNotNull($stringLiteral13, System.String) && $Length($stringLiteral13) == 22;
+
+axiom $IsClass(Microsoft.Singularity.Applications.ThreadTest);
+
+axiom Microsoft.Singularity.Applications.ThreadTest <: System.Object && AsDirectSubClass(Microsoft.Singularity.Applications.ThreadTest, System.Object) == Microsoft.Singularity.Applications.ThreadTest;
+
+axiom (forall $K: name :: { Microsoft.Singularity.Applications.ThreadTest <: $K } Microsoft.Singularity.Applications.ThreadTest <: $K <==> Microsoft.Singularity.Applications.ThreadTest == $K || System.Object <: $K);
+
+function Inv_Microsoft.Singularity.Applications.ThreadTest(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) } Inv_Microsoft.Singularity.Applications.ThreadTest(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest } { Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: Microsoft.Singularity.Applications.ThreadTest ==> Inv_Microsoft.Singularity.Applications.ThreadTest($o, heap));
+
+procedure Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.FirstThreadMethod()
+{
+ var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block1513$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ goto block1479;
+
+ block1479:
+ goto block1496;
+
+ block1496:
+ // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
+ stack0o := $stringLiteral0;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(21,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant First thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
+ stack0o := $stringLiteral1;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(22,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,18)
+ i := 0;
+ goto block1513$LoopPreheader;
+
+ block1513:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ assert (forall $o: ref :: $Heap$block1513$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block1513$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ stack0i := 10;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,29)
+ goto true1513to1547, false1513to1530;
+
+ true1513to1547:
+ assume stack0b == true;
+ goto block1547;
+
+ false1513to1530:
+ assume stack0b == false;
+ goto block1530;
+
+ block1547:
+ // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
+ stack0o := $stringLiteral3;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(29,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant First thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
+ stack0o := $stringLiteral4;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(30,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(31,10)
+ return;
+
+ block1530:
+ // ----- load constant [0] ... ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
+ stack0o := $stringLiteral2;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(25,17)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(26,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(24,37)
+ local1 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local1 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local1;
+ // ----- branch
+ goto block1513;
+
+ block1513$LoopPreheader:
+ $Heap$block1513$LoopPreheader := $Heap;
+ goto block1513;
+
+}
+
+
+
+axiom $IsClass(System.String);
+
+axiom System.String <: System.Object && AsDirectSubClass(System.String, System.Object) == System.String;
+
+axiom $IsInterface(System.IComparable);
+
+axiom (forall $K: name :: { System.IComparable <: $K } System.IComparable <: $K <==> System.IComparable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.IComparable);
+
+axiom $IsInterface(System.ICloneable);
+
+axiom (forall $K: name :: { System.ICloneable <: $K } System.ICloneable <: $K <==> System.ICloneable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.ICloneable);
+
+axiom $IsInterface(System.Collections.IEnumerable);
+
+axiom (forall $K: name :: { System.Collections.IEnumerable <: $K } System.Collections.IEnumerable <: $K <==> System.Collections.IEnumerable == $K || System.Object == $K);
+
+axiom Implements(System.String, System.Collections.IEnumerable);
+
+axiom (forall $K: name :: { System.String <: $K } System.String <: $K <==> System.String == $K || System.Object <: $K || System.IComparable <: $K || System.ICloneable <: $K || System.Collections.IEnumerable <: $K);
+
+axiom (forall $U: name :: { $U <: System.String } $U <: System.String ==> $U == System.String);
+
+function Inv_System.String(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.String(this, heap) } Inv_System.String(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.String } { Inv_System.String($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.String ==> Inv_System.String($o, heap));
+
+procedure System.Console.WriteLine$System.String(value$in: ref);
+ requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.DebugStub.Print$System.String(value$in: ref);
+ requires value$in == null || (cast($Heap[value$in, $writable]):bool == true && cast($Heap[value$in, $inv]):name == $typeof(value$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure System.Threading.Thread.Yield();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod();
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.SecondThreadMethod()
+{
+ var stack0o: ref, i: int, stack0i: int, stack0b: bool, local1: int, $Heap$block2516$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ goto block2482;
+
+ block2482:
+ goto block2499;
+
+ block2499:
+ // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
+ stack0o := $stringLiteral5;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(35,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Second thread! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
+ stack0o := $stringLiteral6;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(36,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,18)
+ i := 0;
+ goto block2516$LoopPreheader;
+
+ block2516:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ assert (forall $o: ref :: $Heap$block2516$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block2516$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 10 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ stack0i := 10;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,29)
+ goto true2516to2550, false2516to2533;
+
+ true2516to2550:
+ assume stack0b == true;
+ goto block2550;
+
+ false2516to2533:
+ assume stack0b == false;
+ goto block2533;
+
+ block2550:
+ // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
+ stack0o := $stringLiteral8;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(44,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Second thread done! ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
+ stack0o := $stringLiteral9;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(45,13)
+ call Microsoft.Singularity.DebugStub.Print$System.String(stack0o);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(46,10)
+ return;
+
+ block2533:
+ // ----- load constant ... [1] ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
+ stack0o := $stringLiteral7;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(40,17)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(41,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(38,37)
+ local1 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local1 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local1;
+ // ----- branch
+ goto block2516;
+
+ block2516$LoopPreheader:
+ $Heap$block2516$LoopPreheader := $Heap;
+ goto block2516;
+
+}
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int);
+ requires args$in == null || (cast($Heap[args$in, $writable]):bool == true && cast($Heap[args$in, $inv]):name == $typeof(args$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures IsAllocated($Heap, $result);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest.Main$System.String.array(args$in: ref) returns ($result: int)
+{
+ var args: ref, stack0o: ref, stack1o: ref, stack50000o: ref, t1: ref, t2: ref, i: int, stack0i: int, stack0b: bool, local3: int, return.value: int, SS$Display.Return.Local: int, $Heap$block3825$LoopPreheader: [ref,name]any;
+
+ entry:
+ assume IsHeap($Heap);
+ args := args$in;
+ assume $Is(args, RefArray(System.String, 1));
+ assume cast($Heap[args$in, $allocated]):bool == true;
+ goto block3791;
+
+ block3791:
+ goto block3808;
+
+ block3808:
+ stack0o := null;
+ // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack1o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ assert stack50000o != null;
+ call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ stack0o := stack50000o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ assert stack50000o != null;
+ call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ stack0o := stack50000o;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(51,13)
+ t1 := stack0o;
+ stack0o := null;
+ // ----- load function ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack1o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.ThreadStart;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ assert stack50000o != null;
+ call System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(stack50000o, stack0o, stack1o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ stack0o := stack50000o;
+ // ----- new object ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ havoc stack50000o;
+ assume cast($Heap[stack50000o, $allocated]):bool == false && stack50000o != null && $typeof(stack50000o) == System.Threading.Thread;
+ $Heap[stack50000o, $allocated] := true;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ assert stack50000o != null;
+ call System.Threading.Thread..ctor$System.Threading.ThreadStart(stack50000o, stack0o);
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ stack0o := stack50000o;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(52,13)
+ t2 := stack0o;
+ // ----- load constant Starting first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
+ stack0o := $stringLiteral10;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(54,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(55,13)
+ assert t1 != null;
+ call System.Threading.Thread.Start(t1);
+ // ----- load constant Started first thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
+ stack0o := $stringLiteral11;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(56,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant Starting second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
+ stack0o := $stringLiteral12;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(58,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(59,13)
+ assert t2 != null;
+ call System.Threading.Thread.Start(t2);
+ // ----- load constant Started second thread. ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
+ stack0o := $stringLiteral13;
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(60,13)
+ call System.Console.WriteLine$System.String(stack0o);
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,18)
+ i := 0;
+ goto block3825$LoopPreheader;
+
+ block3825:
+ // ----- default loop invariant: $inv field ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ assert (forall $o: ref :: $Heap$block3825$LoopPreheader[$o, $inv] == $Heap[$o, $inv] || cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool != true);
+ assert (forall $o: ref :: cast($Heap$block3825$LoopPreheader[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ // ----- load constant 30 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ stack0i := 30;
+ // ----- binary operator ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ stack0b := i >= stack0i;
+ // ----- branch ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,29)
+ goto true3825to3859, false3825to3842;
+
+ true3825to3859:
+ assume stack0b == true;
+ goto block3859;
+
+ false3825to3842:
+ assume stack0b == false;
+ goto block3842;
+
+ block3859:
+ // ----- load constant 0 ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(66,13)
+ return.value := 0;
+ // ----- branch
+ goto block3876;
+
+ block3842:
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(64,17)
+ call System.Threading.Thread.Yield();
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(62,37)
+ local3 := i;
+ // ----- load constant 1
+ stack0i := 1;
+ // ----- binary operator
+ stack0i := local3 + stack0i;
+ // ----- copy
+ i := stack0i;
+ // ----- copy
+ stack0i := local3;
+ // ----- branch
+ goto block3825;
+
+ block3876:
+ // ----- copy
+ SS$Display.Return.Local := return.value;
+ // ----- copy ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
+ stack0i := return.value;
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(67,10)
+ $result := stack0i;
+ return;
+
+ block3825$LoopPreheader:
+ $Heap$block3825$LoopPreheader := $Heap;
+ goto block3825;
+
+}
+
+
+
+axiom $IsClass(System.Threading.ThreadStart);
+
+axiom $IsClass(System.MulticastDelegate);
+
+axiom $IsClass(System.Delegate);
+
+axiom System.Delegate <: System.Object && AsDirectSubClass(System.Delegate, System.Object) == System.Delegate;
+
+axiom Implements(System.Delegate, System.ICloneable);
+
+axiom (forall $K: name :: { System.Delegate <: $K } System.Delegate <: $K <==> System.Delegate == $K || System.Object <: $K || System.ICloneable <: $K);
+
+function Inv_System.Delegate(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Delegate(this, heap) } Inv_System.Delegate(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Delegate } { Inv_System.Delegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Delegate ==> Inv_System.Delegate($o, heap));
+
+axiom System.MulticastDelegate <: System.Delegate && AsDirectSubClass(System.MulticastDelegate, System.Delegate) == System.MulticastDelegate;
+
+axiom (forall $K: name :: { System.MulticastDelegate <: $K } System.MulticastDelegate <: $K <==> System.MulticastDelegate == $K || System.Delegate <: $K);
+
+function Inv_System.MulticastDelegate(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.MulticastDelegate(this, heap) } Inv_System.MulticastDelegate(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.MulticastDelegate } { Inv_System.MulticastDelegate($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.MulticastDelegate ==> Inv_System.MulticastDelegate($o, heap));
+
+axiom System.Threading.ThreadStart <: System.MulticastDelegate && AsDirectSubClass(System.Threading.ThreadStart, System.MulticastDelegate) == System.Threading.ThreadStart;
+
+axiom (forall $K: name :: { System.Threading.ThreadStart <: $K } System.Threading.ThreadStart <: $K <==> System.Threading.ThreadStart == $K || System.MulticastDelegate <: $K);
+
+axiom (forall $U: name :: { $U <: System.Threading.ThreadStart } $U <: System.Threading.ThreadStart ==> $U == System.Threading.ThreadStart);
+
+function Inv_System.Threading.ThreadStart(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.ThreadStart(this, heap) } Inv_System.Threading.ThreadStart(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.ThreadStart } { Inv_System.Threading.ThreadStart($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.ThreadStart ==> Inv_System.Threading.ThreadStart($o, heap));
+
+procedure System.Threading.ThreadStart..ctor$System.Object$System.IntPtr(this: ref, object$in: ref, method$in: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.ThreadStart;
+
+
+
+axiom $IsClass(System.Threading.Thread);
+
+axiom System.Threading.Thread <: System.Object && AsDirectSubClass(System.Threading.Thread, System.Object) == System.Threading.Thread;
+
+axiom (forall $K: name :: { System.Threading.Thread <: $K } System.Threading.Thread <: $K <==> System.Threading.Thread == $K || System.Object <: $K);
+
+axiom (forall $U: name :: { $U <: System.Threading.Thread } $U <: System.Threading.Thread ==> $U == System.Threading.Thread);
+
+function Inv_System.Threading.Thread(object: ref, heap: [ref,name]any) returns (result: bool);
+
+axiom (forall this: ref, heap: [ref,name]any :: { Inv_System.Threading.Thread(this, heap) } Inv_System.Threading.Thread(this, heap) <==> true);
+
+axiom (forall $o: ref, heap: [ref,name]any :: { cast(heap[$o, $inv]):name <: System.Threading.Thread } { Inv_System.Threading.Thread($o, heap) } IsHeap(heap) && cast(heap[$o, $inv]):name <: System.Threading.Thread ==> Inv_System.Threading.Thread($o, heap));
+
+procedure System.Threading.Thread..ctor$System.Threading.ThreadStart(this: ref, start$in: ref);
+ requires start$in == null || (cast($Heap[start$in, $writable]):bool == true && cast($Heap[start$in, $inv]):name == $typeof(start$in));
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Threading.Thread <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Threading.Thread;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+procedure System.Threading.Thread.Start(this: ref);
+ requires cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == $typeof(this);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(Microsoft.Singularity.Applications.ThreadTest <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == Microsoft.Singularity.Applications.ThreadTest;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+implementation Microsoft.Singularity.Applications.ThreadTest..ctor(this: ref)
+{
+
+ entry:
+ assume IsHeap($Heap);
+ assume $IsNotNull(this, Microsoft.Singularity.Applications.ThreadTest);
+ assume cast($Heap[this, $allocated]):bool == true;
+ assume cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ goto block4777;
+
+ block4777:
+ goto block4794;
+
+ block4794:
+ // ----- call ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,18)
+ assert this != null;
+ call System.Object..ctor(this);
+ // ----- return ----- C:\Maf\Singularity\base\Applications\Tests\ThreadTest\ThreadTest.cs(17,28)
+ assert this != null;
+ assert cast($Heap[this, $writable]):bool == true && System.Object <: cast($Heap[this, $inv]):name;
+ assert cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ assert Inv_Microsoft.Singularity.Applications.ThreadTest(this, $Heap);
+ $Heap[this, $inv] := Microsoft.Singularity.Applications.ThreadTest;
+ return;
+
+}
+
+
+
+procedure System.Object..ctor(this: ref);
+ modifies $Heap;
+ free ensures IsHeap($Heap);
+ free ensures (forall $o: ref, $f: name :: $f != $inv && $o != null && cast(old($Heap)[$o, $allocated]):bool == true && cast(old($Heap)[$o, $writable]):bool == true && (!IsStaticField($f) || !IsDirectlyModifiableField($f)) && ($o != this || !(System.Object <: DeclType($f))) ==> old($Heap[$o, $f]) == $Heap[$o, $f]);
+ free ensures (forall $o: ref :: $o == this || old($Heap)[$o, $inv] == $Heap[$o, $inv] || cast(old($Heap)[$o, $allocated]):bool != true);
+ free ensures (forall $o: ref :: cast(old($Heap)[$o, $allocated]):bool ==> cast($Heap[$o, $allocated]):bool);
+ free ensures (forall $o: ref :: $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+ ensures cast($Heap[this, $writable]):bool == true && cast($Heap[this, $inv]):name == System.Object;
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+
+
+
+type ref, name, any;
+const null : ref;
diff --git a/Test/test20/Coercions.bpl b/Test/test20/Coercions.bpl
index 0ad114a6..5487f33a 100644
--- a/Test/test20/Coercions.bpl
+++ b/Test/test20/Coercions.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-type C, D, E _;
-
-const x:int;
-const c:C;
-const d:D;
-
-axiom (x:int > 0);
-axiom (x:int < 0);
-axiom (x:E <a>[a]int < 0); // impossible coercion
-
-axiom (c:D == d); // impossible coercion
-
-axiom (15:D == d); // impossible coercion
-axiom (15:E int == d); // impossible coercion
-axiom ((18*15):int == 0);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+type C, D, E _;
+
+const x:int;
+const c:C;
+const d:D;
+
+axiom (x:int > 0);
+axiom (x:int < 0);
+axiom (x:E <a>[a]int < 0); // impossible coercion
+
+axiom (c:D == d); // impossible coercion
+
+axiom (15:D == d); // impossible coercion
+axiom (15:E int == d); // impossible coercion
+axiom ((18*15):int == 0);
diff --git a/Test/test20/EmptySeq.bpl b/Test/test20/EmptySeq.bpl
index 2eeb9589..b1758acc 100644
--- a/Test/test20/EmptySeq.bpl
+++ b/Test/test20/EmptySeq.bpl
@@ -1,8 +1,8 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type Seq T;
-
-function Seq#Length<T>(Seq T) returns (int);
-function Seq#Empty<T>() returns (Seq T);
-
-axiom (forall<T> :: Seq#Length(Seq#Empty(): Seq T) == 0);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type Seq T;
+
+function Seq#Length<T>(Seq T) returns (int);
+function Seq#Empty<T>() returns (Seq T);
+
+axiom (forall<T> :: Seq#Length(Seq#Empty(): Seq T) == 0);
diff --git a/Test/test20/ParallelAssignment.bpl b/Test/test20/ParallelAssignment.bpl
index d84b96ab..677bb476 100644
--- a/Test/test20/ParallelAssignment.bpl
+++ b/Test/test20/ParallelAssignment.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Examples from the Boogie2 language report
-// (stuff where resolution succeeds, but typechecking might fail)
-
-type C, D;
-
-var x : int;
-var y : int;
-var z : int;
-var a : [int]int;
-var b : [int][C, D]int;
-
-procedure P(i:int, j:int, m:C, n:D) returns () modifies x, y, a, b; {
- x := x+1;
- a[i] := 12;
- x, y := y, x;
- x, a[i] := x+1, x;
- x := true; // type error
- a[true] := 5; // type error
-
- z := 23; // assignment to non-modifiable variable
- b[i][m, n] := 17;
- b[i][m, n], x := a[x], y;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Examples from the Boogie2 language report
+// (stuff where resolution succeeds, but typechecking might fail)
+
+type C, D;
+
+var x : int;
+var y : int;
+var z : int;
+var a : [int]int;
+var b : [int][C, D]int;
+
+procedure P(i:int, j:int, m:C, n:D) returns () modifies x, y, a, b; {
+ x := x+1;
+ a[i] := 12;
+ x, y := y, x;
+ x, a[i] := x+1, x;
+ x := true; // type error
+ a[true] := 5; // type error
+
+ z := 23; // assignment to non-modifiable variable
+ b[i][m, n] := 17;
+ b[i][m, n], x := a[x], y;
} \ No newline at end of file
diff --git a/Test/test20/ParallelAssignment2.bpl b/Test/test20/ParallelAssignment2.bpl
index 8f309b75..df9e5655 100644
--- a/Test/test20/ParallelAssignment2.bpl
+++ b/Test/test20/ParallelAssignment2.bpl
@@ -1,13 +1,13 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Examples from the Boogie2 language report
-// (examples where already resolution fails)
-
-var x : int;
-var y : int;
-var a : [int]int;
-
-procedure P(i:int, j:int) returns () modifies x, y, a; {
- x, y := 1; // wrong number of rhss
- a[i], a[j] := a[j], a[i]; // variable assigned more than once
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Examples from the Boogie2 language report
+// (examples where already resolution fails)
+
+var x : int;
+var y : int;
+var a : [int]int;
+
+procedure P(i:int, j:int) returns () modifies x, y, a; {
+ x, y := 1; // wrong number of rhss
+ a[i], a[j] := a[j], a[i]; // variable assigned more than once
} \ No newline at end of file
diff --git a/Test/test20/PolyFuns0.bpl b/Test/test20/PolyFuns0.bpl
index c7d44b9f..b1a4a017 100644
--- a/Test/test20/PolyFuns0.bpl
+++ b/Test/test20/PolyFuns0.bpl
@@ -1,57 +1,57 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-function size<alpha>(x : alpha) returns (int);
-
-axiom (forall x:int :: size(x) == 0);
-axiom (forall<alpha> x:alpha :: size(x) >= 0);
-
-axiom (forall m:[int]int, x:int :: size(m) >= m[x]);
-axiom (forall m:<a>[a]int :: size(m) == 13);
-
-type Field a;
-
-function fieldValue<a>(ref, Field a) returns (a);
-
-const intField : Field int;
-const refField : Field ref;
-const obj : ref;
-const someInt : int;
-
-axiom someInt == fieldValue(obj, intField);
-axiom someInt == fieldValue(fieldValue(obj, refField), intField);
-
-axiom someInt == fieldValue(obj, fieldValue(obj, refField)); // error: wrong argument type
-
-axiom (forall<a> f : Field a ::
- (exists x:a :: fieldValue(obj, f) == x));
-
-axiom (forall<beta, alpha> a:alpha, b:beta ::
- a == b ==> (exists c:alpha :: c == b));
-axiom (forall<a> f : Field a ::
- (exists<b> x:b :: fieldValue(obj, f) == x));
-axiom (forall<a> f : Field a ::
- (exists x:int :: fieldValue(obj, f) == x));
-
-function lessThan<a>(x : a, y : a) returns (bool);
-
-axiom (forall x:int, y:int :: x < y ==> lessThan(x, y));
-axiom lessThan(false, true);
-
-axiom lessThan(5, true); // error: incompatible arguments
-axiom (forall<a,b> x:a, y:b :: lessThan(x, y)); // error: incompatible arguments
-
-function lessThan2<a,b>(x : a, y : b) returns (bool);
-
-axiom (forall<a> x:a, y:a :: lessThan(x,y) == lessThan2(x,y));
-axiom (forall<a> x:a :: (exists m:a :: (forall y:a :: lessThan2(m, y))));
-
-axiom (exists<a,b> x:a, y:b :: lessThan2(x, y) == lessThan2(y, x));
-
-axiom (exists<a,b> x:<c>[Field c]a, y:<d>[Field d]b :: x == y);
-axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]int :: x == y);
-axiom (exists<a> x:<c>[Field c]int, y:<d>[Field d]a :: x == y);
-axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+function size<alpha>(x : alpha) returns (int);
+
+axiom (forall x:int :: size(x) == 0);
+axiom (forall<alpha> x:alpha :: size(x) >= 0);
+
+axiom (forall m:[int]int, x:int :: size(m) >= m[x]);
+axiom (forall m:<a>[a]int :: size(m) == 13);
+
+type Field a;
+
+function fieldValue<a>(ref, Field a) returns (a);
+
+const intField : Field int;
+const refField : Field ref;
+const obj : ref;
+const someInt : int;
+
+axiom someInt == fieldValue(obj, intField);
+axiom someInt == fieldValue(fieldValue(obj, refField), intField);
+
+axiom someInt == fieldValue(obj, fieldValue(obj, refField)); // error: wrong argument type
+
+axiom (forall<a> f : Field a ::
+ (exists x:a :: fieldValue(obj, f) == x));
+
+axiom (forall<beta, alpha> a:alpha, b:beta ::
+ a == b ==> (exists c:alpha :: c == b));
+axiom (forall<a> f : Field a ::
+ (exists<b> x:b :: fieldValue(obj, f) == x));
+axiom (forall<a> f : Field a ::
+ (exists x:int :: fieldValue(obj, f) == x));
+
+function lessThan<a>(x : a, y : a) returns (bool);
+
+axiom (forall x:int, y:int :: x < y ==> lessThan(x, y));
+axiom lessThan(false, true);
+
+axiom lessThan(5, true); // error: incompatible arguments
+axiom (forall<a,b> x:a, y:b :: lessThan(x, y)); // error: incompatible arguments
+
+function lessThan2<a,b>(x : a, y : b) returns (bool);
+
+axiom (forall<a> x:a, y:a :: lessThan(x,y) == lessThan2(x,y));
+axiom (forall<a> x:a :: (exists m:a :: (forall y:a :: lessThan2(m, y))));
+
+axiom (exists<a,b> x:a, y:b :: lessThan2(x, y) == lessThan2(y, x));
+
+axiom (exists<a,b> x:<c>[Field c]a, y:<d>[Field d]b :: x == y);
+axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]int :: x == y);
+axiom (exists<a> x:<c>[Field c]int, y:<d>[Field d]a :: x == y);
+axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
+
+type ref;
diff --git a/Test/test20/PolyFuns1.bpl b/Test/test20/PolyFuns1.bpl
index 12a8a1b8..01d6638c 100644
--- a/Test/test20/PolyFuns1.bpl
+++ b/Test/test20/PolyFuns1.bpl
@@ -1,61 +1,61 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-function F<a>( <b>[b]a ) returns (bool);
-const M: <a>[ <b>[b]a ] bool;
-
-procedure P()
-{
- var f: <c>[c]c;
- var b: bool;
-
- b := F(f); // type error
- b := M[f]; // type error
- b := (forall g: <c>[c]c :: F(g)); // type error
- b := (forall g: <c>[c]c :: M[g]); // type error
-}
-
-type Field a;
-axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
-axiom (forall<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
-
-procedure Uhu<a>(x: <c>[Field c]a, y: <d>[Field d]d);
-procedure Oyeah<T>(t: T)
-{
- var xx: <cc>[Field cc]T;
- var yy: <dd>[Field dd]dd;
- var zz: <ee>[Field T]ee;
-
- call Uhu(xx, yy);
- call Uhu(yy, yy); // type error in argument 0
- call Uhu(xx, xx); // type error in argument 1
- assert xx == yy; // error: not unifiable
- assert yy == xx; // error: not unifiable
-
- call Uhu(xx, zz); // type error in argument 1
-}
-
-procedure Jitters()
-{
- var x: <a>[a,a]int;
- var y: <b>[b,int]int;
- var z: <c>[int,c]int;
- assert x == y; // error: not unifiable
- assert y == z; // error: not unifiable
- assert x == z; // error: not unifiable
-}
-
-procedure Nuther()
-{
- var x: <a,b>[a,a,b]int;
- var y: <a,b>[a,b,b]int;
- assert x == y; // error: not unifiable
-}
-
-type NagainCtor a;
-procedure Nagain()
- requires (forall<a,b> x: a, y: b :: x == y);
- ensures (forall<a,b> x: a, y: Field b, z: NagainCtor b :: x == y && x == z);
- ensures (forall<b> y: Field b, z: NagainCtor b :: y == z); // error: types not unifiable
-{
-}
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+function F<a>( <b>[b]a ) returns (bool);
+const M: <a>[ <b>[b]a ] bool;
+
+procedure P()
+{
+ var f: <c>[c]c;
+ var b: bool;
+
+ b := F(f); // type error
+ b := M[f]; // type error
+ b := (forall g: <c>[c]c :: F(g)); // type error
+ b := (forall g: <c>[c]c :: M[g]); // type error
+}
+
+type Field a;
+axiom (exists<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
+axiom (forall<a> x:<c>[Field c]a, y:<d>[Field d]d :: x == y); // error: not unifiable
+
+procedure Uhu<a>(x: <c>[Field c]a, y: <d>[Field d]d);
+procedure Oyeah<T>(t: T)
+{
+ var xx: <cc>[Field cc]T;
+ var yy: <dd>[Field dd]dd;
+ var zz: <ee>[Field T]ee;
+
+ call Uhu(xx, yy);
+ call Uhu(yy, yy); // type error in argument 0
+ call Uhu(xx, xx); // type error in argument 1
+ assert xx == yy; // error: not unifiable
+ assert yy == xx; // error: not unifiable
+
+ call Uhu(xx, zz); // type error in argument 1
+}
+
+procedure Jitters()
+{
+ var x: <a>[a,a]int;
+ var y: <b>[b,int]int;
+ var z: <c>[int,c]int;
+ assert x == y; // error: not unifiable
+ assert y == z; // error: not unifiable
+ assert x == z; // error: not unifiable
+}
+
+procedure Nuther()
+{
+ var x: <a,b>[a,a,b]int;
+ var y: <a,b>[a,b,b]int;
+ assert x == y; // error: not unifiable
+}
+
+type NagainCtor a;
+procedure Nagain()
+ requires (forall<a,b> x: a, y: b :: x == y);
+ ensures (forall<a,b> x: a, y: Field b, z: NagainCtor b :: x == y && x == z);
+ ensures (forall<b> y: Field b, z: NagainCtor b :: y == z); // error: types not unifiable
+{
+}
diff --git a/Test/test20/PolyPolyPoly.bpl b/Test/test20/PolyPolyPoly.bpl
index 718452f2..1b2f8823 100644
--- a/Test/test20/PolyPolyPoly.bpl
+++ b/Test/test20/PolyPolyPoly.bpl
@@ -1,24 +1,24 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-type C _;
-
-const p: <a>[]a;
-const q: <a>[a, a]a;
-const r: <a>[](C a);
-
-const x: C int;
-const y: C bool;
-
-axiom (p[][:= 5][:= true] == p);
-axiom (p[][:= 5][:= true] == r); // error
-axiom (p[][:= x][:= y] == p);
-axiom (p[][:= x][:= y] == r);
-axiom (p[][:= x][:= 5] == r); // error
-axiom (p[][:= x][:= y] == p[][:= 5][:= true]);
-axiom (q[p[][:= x][:= y], p[][:= 5][:= true]] == p);
-axiom (q[p[], p[]][:= 5][:= true] == p);
-
-axiom (exists<a> x:a :: p[][:= 5][:= true] == x);
-axiom (exists<a,b> x:a, y:b :: p[][:= 5][:= true] == q[x,y]); // error
-axiom (exists<a,b> x:a, y:b :: q[x, x] == q[y, y]);
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+type C _;
+
+const p: <a>[]a;
+const q: <a>[a, a]a;
+const r: <a>[](C a);
+
+const x: C int;
+const y: C bool;
+
+axiom (p[][:= 5][:= true] == p);
+axiom (p[][:= 5][:= true] == r); // error
+axiom (p[][:= x][:= y] == p);
+axiom (p[][:= x][:= y] == r);
+axiom (p[][:= x][:= 5] == r); // error
+axiom (p[][:= x][:= y] == p[][:= 5][:= true]);
+axiom (q[p[][:= x][:= y], p[][:= 5][:= true]] == p);
+axiom (q[p[], p[]][:= 5][:= true] == p);
+
+axiom (exists<a> x:a :: p[][:= 5][:= true] == x);
+axiom (exists<a,b> x:a, y:b :: p[][:= 5][:= true] == q[x,y]); // error
+axiom (exists<a,b> x:a, y:b :: q[x, x] == q[y, y]);
diff --git a/Test/test20/PolyPolyPoly2.bpl b/Test/test20/PolyPolyPoly2.bpl
index e50251c1..cf4df9c3 100644
--- a/Test/test20/PolyPolyPoly2.bpl
+++ b/Test/test20/PolyPolyPoly2.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-const p: <a>[]a;
-const q: <a,b>[a]b;
-
-axiom (p[] == p[]); // warning
-axiom (p[][13 := false] == q);
-axiom (p[][13 := false] == p[]); // warning
-
-const c: bv17;
-
-axiom (p[] ++ p[] ++ c == p[]); // warning
-axiom (p[] ++ p[] == c); // warning
-axiom (p[] == c);
-
-type List _;
-
-function emptyList<a>() returns (List a);
-function append<a>(List a, List a) returns (List a);
-
-axiom (forall<a> l:List a :: append(emptyList(), l) == l);
-axiom (forall<a> l:List a :: append(l, emptyList()) == l);
-axiom (append(emptyList(), emptyList()) == emptyList()); // warning
-axiom (forall<a> l:List a :: l==emptyList() ==> append(l, emptyList()) == emptyList());
-
-var x: <a>[]a;
-var y: <a>[a]a;
-
-procedure P() returns () modifies x, y; {
- x[] := 15;
- x[] := false;
- x[] := p[]; // warning
- x[] := q[false]; // warning
- y[13] := q[false];
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+const p: <a>[]a;
+const q: <a,b>[a]b;
+
+axiom (p[] == p[]); // warning
+axiom (p[][13 := false] == q);
+axiom (p[][13 := false] == p[]); // warning
+
+const c: bv17;
+
+axiom (p[] ++ p[] ++ c == p[]); // warning
+axiom (p[] ++ p[] == c); // warning
+axiom (p[] == c);
+
+type List _;
+
+function emptyList<a>() returns (List a);
+function append<a>(List a, List a) returns (List a);
+
+axiom (forall<a> l:List a :: append(emptyList(), l) == l);
+axiom (forall<a> l:List a :: append(l, emptyList()) == l);
+axiom (append(emptyList(), emptyList()) == emptyList()); // warning
+axiom (forall<a> l:List a :: l==emptyList() ==> append(l, emptyList()) == emptyList());
+
+var x: <a>[]a;
+var y: <a>[a]a;
+
+procedure P() returns () modifies x, y; {
+ x[] := 15;
+ x[] := false;
+ x[] := p[]; // warning
+ x[] := q[false]; // warning
+ y[13] := q[false];
} \ No newline at end of file
diff --git a/Test/test20/PolyProcs0.bpl b/Test/test20/PolyProcs0.bpl
index 698e2f12..cc0ba491 100644
--- a/Test/test20/PolyProcs0.bpl
+++ b/Test/test20/PolyProcs0.bpl
@@ -1,35 +1,35 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-type Field a;
-
-function FieldAccessFun<b>(heap : <a>[ref, Field a]a, obj : ref, f : Field b)
- returns (res:b);
-
-procedure FieldAccess<b>(heap : <a>[ref, Field a]a, obj : ref, f : Field b)
- returns (res:b) {
- start:
- res := heap[f, obj]; // error: wrong argument order
- res := heap[obj, f];
- assert res == FieldAccessFun(heap, obj, f);
- return;
-}
-
-procedure UseHeap(heap : <a>[ref, Field a]a) {
- var f1 : Field int; var f2 : Field bool; var obj : ref;
- var x : int; var y : bool;
-
- call x := FieldAccess(heap, f1, obj); // error: wrong argument order
- call x := FieldAccess(heap, obj, f1);
- call y := FieldAccess(heap, obj, f2);
-
- call y := FieldAccess(heap, obj, f1); // error: wrong result type
- call x := FieldAccess(heap, obj, obj); // error: wrong argument type
-}
-
-procedure injective<b>(heap : <a>[ref, Field a]a, obj0 : ref, obj1 : ref, f : Field b);
- requires obj0 != obj1;
- ensures heap[obj0, f] != heap[obj1, f];
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+type Field a;
+
+function FieldAccessFun<b>(heap : <a>[ref, Field a]a, obj : ref, f : Field b)
+ returns (res:b);
+
+procedure FieldAccess<b>(heap : <a>[ref, Field a]a, obj : ref, f : Field b)
+ returns (res:b) {
+ start:
+ res := heap[f, obj]; // error: wrong argument order
+ res := heap[obj, f];
+ assert res == FieldAccessFun(heap, obj, f);
+ return;
+}
+
+procedure UseHeap(heap : <a>[ref, Field a]a) {
+ var f1 : Field int; var f2 : Field bool; var obj : ref;
+ var x : int; var y : bool;
+
+ call x := FieldAccess(heap, f1, obj); // error: wrong argument order
+ call x := FieldAccess(heap, obj, f1);
+ call y := FieldAccess(heap, obj, f2);
+
+ call y := FieldAccess(heap, obj, f1); // error: wrong result type
+ call x := FieldAccess(heap, obj, obj); // error: wrong argument type
+}
+
+procedure injective<b>(heap : <a>[ref, Field a]a, obj0 : ref, obj1 : ref, f : Field b);
+ requires obj0 != obj1;
+ ensures heap[obj0, f] != heap[obj1, f];
+
+type ref;
diff --git a/Test/test20/ProcParamReordering.bpl b/Test/test20/ProcParamReordering.bpl
index 2532964f..225eed33 100644
--- a/Test/test20/ProcParamReordering.bpl
+++ b/Test/test20/ProcParamReordering.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-type C _;
-
-
-procedure P<a, b>(x : a, y : b) returns ();
-
-implementation P<a, b>(x : a, y : b) returns () {}
-
-implementation P<c, d>(a : c, b : d) returns () {}
-
-implementation P<d, c>(a : c, b : d) returns () {}
-
-implementation P<d, c>(a : c, b : C d) returns () {}
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+type C _;
+
+
+procedure P<a, b>(x : a, y : b) returns ();
+
+implementation P<a, b>(x : a, y : b) returns () {}
+
+implementation P<c, d>(a : c, b : d) returns () {}
+
+implementation P<d, c>(a : c, b : d) returns () {}
+
+implementation P<d, c>(a : c, b : C d) returns () {}
+
implementation P<a>(x : a, y : a) returns () {} \ No newline at end of file
diff --git a/Test/test20/Prog0.bpl b/Test/test20/Prog0.bpl
index 26642737..512984dc 100644
--- a/Test/test20/Prog0.bpl
+++ b/Test/test20/Prog0.bpl
@@ -1,37 +1,37 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Let's test some Boogie 2 features ...
-
-type elements;
-
-type Field a;
-var heap : <a> [ref, Field a] a;
-
-const emptyset : <a> [a] bool;
-
-function union(<a> [a] bool, <a> [a] bool) returns (<a> [a] bool);
-
-axiom (forall x : <a> [a] bool, y : <a> [a] bool,
- z : int ::
- { union(x, y)[z] }
- union(x, y)[z] == (x[z] || y[z]));
-
-var tau : <a> [ref] int; // error: type variable has to occur in arguments
-
-axiom (forall x : int :: !emptyset[x]);
-
-// the more general version of the axiom that also uses type quantifiers
-
-axiom (forall<alpha>
- x : <a> [a] bool, y : <a> [a] bool,
- z : alpha ::
- { union(x, y)[z] }
- union(x, y)[z] == (x[z] || y[z]));
-
-axiom (forall<beta, alpha, beta> a:alpha, b:beta :: // error: variable bound twice
- a == b ==> (exists c:alpha :: c == b));
-
-axiom (forall<beta> a:alpha, b:beta :: // error: alpha is not declared
- a == b ==> (exists c:alpha :: c == b));
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Let's test some Boogie 2 features ...
+
+type elements;
+
+type Field a;
+var heap : <a> [ref, Field a] a;
+
+const emptyset : <a> [a] bool;
+
+function union(<a> [a] bool, <a> [a] bool) returns (<a> [a] bool);
+
+axiom (forall x : <a> [a] bool, y : <a> [a] bool,
+ z : int ::
+ { union(x, y)[z] }
+ union(x, y)[z] == (x[z] || y[z]));
+
+var tau : <a> [ref] int; // error: type variable has to occur in arguments
+
+axiom (forall x : int :: !emptyset[x]);
+
+// the more general version of the axiom that also uses type quantifiers
+
+axiom (forall<alpha>
+ x : <a> [a] bool, y : <a> [a] bool,
+ z : alpha ::
+ { union(x, y)[z] }
+ union(x, y)[z] == (x[z] || y[z]));
+
+axiom (forall<beta, alpha, beta> a:alpha, b:beta :: // error: variable bound twice
+ a == b ==> (exists c:alpha :: c == b));
+
+axiom (forall<beta> a:alpha, b:beta :: // error: alpha is not declared
+ a == b ==> (exists c:alpha :: c == b));
+
+type ref;
diff --git a/Test/test20/Prog1.bpl b/Test/test20/Prog1.bpl
index 7fcf91b6..1c26db31 100644
--- a/Test/test20/Prog1.bpl
+++ b/Test/test20/Prog1.bpl
@@ -1,28 +1,28 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Let's test some Boogie 2 features ...
-
-type elements;
-
-type Field a;
-var heap : <a> [ref, Field a] a;
-
-
-
-procedure p (x:int, y:ref, z:<a> [ref, Field a] a) returns (newHeap : <a> [ref, Field a] a) {
-
- var f : Field int;
- var g : Field bool;
-
- var heap : <a> [ref, Field a] a;
-
- assert z[y, f] >= 0;
- assert z[x, f] >= 0; // error: x has wrong type
- assert z[y, x] >= 0; // error: x has wrong type
- assert z[y, g] >= 0; // error: result of map select has wrong type
-
- heap[y, g] := false;
-
-}
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Let's test some Boogie 2 features ...
+
+type elements;
+
+type Field a;
+var heap : <a> [ref, Field a] a;
+
+
+
+procedure p (x:int, y:ref, z:<a> [ref, Field a] a) returns (newHeap : <a> [ref, Field a] a) {
+
+ var f : Field int;
+ var g : Field bool;
+
+ var heap : <a> [ref, Field a] a;
+
+ assert z[y, f] >= 0;
+ assert z[x, f] >= 0; // error: x has wrong type
+ assert z[y, x] >= 0; // error: x has wrong type
+ assert z[y, g] >= 0; // error: result of map select has wrong type
+
+ heap[y, g] := false;
+
+}
+
+type ref;
diff --git a/Test/test20/Prog2.bpl b/Test/test20/Prog2.bpl
index 79555d28..67d9396e 100644
--- a/Test/test20/Prog2.bpl
+++ b/Test/test20/Prog2.bpl
@@ -1,18 +1,18 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-function union(<a> [a] bool, <a> [a] bool) returns (<a> [a] bool);
-
-axiom (forall<alpha> // error: alpha has to occur in dummy types
- x : <a> [a] bool, y : <a> [a] bool,
- z : int ::
- { union(x, y)[z] }
- union(x, y)[z] == (x[z] || y[z]));
-
-function poly<a>() returns (a);
-
-axiom (forall<alpha>
- x : <a> [a] bool, y : <a> [a] bool,
- z : int ::
- { union(x, y)[z], poly() : alpha }
- union(x, y)[z] == (x[z] || y[z]));
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+function union(<a> [a] bool, <a> [a] bool) returns (<a> [a] bool);
+
+axiom (forall<alpha> // error: alpha has to occur in dummy types
+ x : <a> [a] bool, y : <a> [a] bool,
+ z : int ::
+ { union(x, y)[z] }
+ union(x, y)[z] == (x[z] || y[z]));
+
+function poly<a>() returns (a);
+
+axiom (forall<alpha>
+ x : <a> [a] bool, y : <a> [a] bool,
+ z : int ::
+ { union(x, y)[z], poly() : alpha }
+ union(x, y)[z] == (x[z] || y[z]));
+
diff --git a/Test/test20/TypeDecls0.bpl b/Test/test20/TypeDecls0.bpl
index a78008c2..42b988d8 100644
--- a/Test/test20/TypeDecls0.bpl
+++ b/Test/test20/TypeDecls0.bpl
@@ -1,47 +1,47 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-type C a _ b;
-type D;
-type E _;
-
-var A0 : D;
-
-var A1 : C D D D;
-
-var A2 : <a,b> [b, C a b D] C a D [D]a;
-
-var A3 : <a,b> [b, C a int D] C bool ref [bv32]a;
-
-var A4 : <a,a> [a] a; // error: a bound twice
-var A5 : <a> [a] <a> [a] int; // error: a bound twice
-
-var A6 : <a> [a] <b> [b] int;
-
-var A7 : <a> [a] <b> [int] int; // error: b does not occur as map argument
-
-type C _ _; // error: C is already declared
-
-var A8 : C int ref; // error: wrong number of arguments
-
-var A9 : A0; // error: undeclared type
-var A10: F int; // error: undeclared type
-
-var A11: E D;
-var A12: E E D; // error: wrong number of arguments
-var A13: E (E D);
-var A14: E E E D; // error: wrong number of arguments
-
-var A15: E E int; // error: wrong number of arguments
-var A16: E (E int);
-
-var A17: bv64;
-var A18: [int] bv64;
-
-var A19: C E E D; // error: wrong number of arguments
-var A20: C (E (E D)) int [int] int;
-var A21: C (<a> [a] <b> [b] int) int [int] int;
-
-var A22: (D);
-var A23: ((D));
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+type C a _ b;
+type D;
+type E _;
+
+var A0 : D;
+
+var A1 : C D D D;
+
+var A2 : <a,b> [b, C a b D] C a D [D]a;
+
+var A3 : <a,b> [b, C a int D] C bool ref [bv32]a;
+
+var A4 : <a,a> [a] a; // error: a bound twice
+var A5 : <a> [a] <a> [a] int; // error: a bound twice
+
+var A6 : <a> [a] <b> [b] int;
+
+var A7 : <a> [a] <b> [int] int; // error: b does not occur as map argument
+
+type C _ _; // error: C is already declared
+
+var A8 : C int ref; // error: wrong number of arguments
+
+var A9 : A0; // error: undeclared type
+var A10: F int; // error: undeclared type
+
+var A11: E D;
+var A12: E E D; // error: wrong number of arguments
+var A13: E (E D);
+var A14: E E E D; // error: wrong number of arguments
+
+var A15: E E int; // error: wrong number of arguments
+var A16: E (E int);
+
+var A17: bv64;
+var A18: [int] bv64;
+
+var A19: C E E D; // error: wrong number of arguments
+var A20: C (E (E D)) int [int] int;
+var A21: C (<a> [a] <b> [b] int) int [int] int;
+
+var A22: (D);
+var A23: ((D));
+
+type ref;
diff --git a/Test/test20/TypeDecls1.bpl b/Test/test20/TypeDecls1.bpl
index 52f28e06..a4fa7de6 100644
--- a/Test/test20/TypeDecls1.bpl
+++ b/Test/test20/TypeDecls1.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-// set of maps from anything to a specific type a
-const mapSet : <a>[<b>[b]a]bool;
-
-const emptySet : <a>[a]bool;
-
-axiom mapSet[5]; // type error
-
-axiom mapSet[emptySet] == true;
-
-axiom mapSet[emptySet := false] != mapSet;
-
-axiom mapSet[emptySet := 5] == mapSet; // type error
-
-axiom emptySet[13 := true][13] == true;
-
-axiom (forall f : <c>[c]int, x : ref :: mapSet[f] ==> f[x] >= 0);
-
-axiom (forall f : <c>[c]c :: mapSet[f]); // type error
-
-axiom mapSet[mapSet] == true; // type error
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+// set of maps from anything to a specific type a
+const mapSet : <a>[<b>[b]a]bool;
+
+const emptySet : <a>[a]bool;
+
+axiom mapSet[5]; // type error
+
+axiom mapSet[emptySet] == true;
+
+axiom mapSet[emptySet := false] != mapSet;
+
+axiom mapSet[emptySet := 5] == mapSet; // type error
+
+axiom emptySet[13 := true][13] == true;
+
+axiom (forall f : <c>[c]int, x : ref :: mapSet[f] ==> f[x] >= 0);
+
+axiom (forall f : <c>[c]c :: mapSet[f]); // type error
+
+axiom mapSet[mapSet] == true; // type error
+
+type ref;
diff --git a/Test/test20/TypeSynonyms0.bpl b/Test/test20/TypeSynonyms0.bpl
index 1703f4a7..261b94cf 100644
--- a/Test/test20/TypeSynonyms0.bpl
+++ b/Test/test20/TypeSynonyms0.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
-// RUN: %diff "%s.print.expect" "%t"
-
-
-type Set a = [a]bool;
-
-type Field a, Heap = <a>[ref, Field a]a;
-
-type notAllParams a b = Field b;
-
-type Cyclic0 = Cyclic1;
-type Cyclic1 = Cyclic0;
-
-type AlsoCyclic a = <b>[AlsoCyclic b]int;
-
-type C a b;
-
-type C2 b a = C a b;
-
-function f(C int bool) returns (int);
-const x : C2 bool int;
-
-
-const y : Field int bool; // wrong number of arguments
-const z : Set int bool; // wrong number of arguments
-
-
-const d : <a,b>[notAllParams a b]int; // error: not all parameters are used
-
-
-type ref;
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noVerify -print:- -env:0 "%s" > "%t"
+// RUN: %diff "%s.print.expect" "%t"
+
+
+type Set a = [a]bool;
+
+type Field a, Heap = <a>[ref, Field a]a;
+
+type notAllParams a b = Field b;
+
+type Cyclic0 = Cyclic1;
+type Cyclic1 = Cyclic0;
+
+type AlsoCyclic a = <b>[AlsoCyclic b]int;
+
+type C a b;
+
+type C2 b a = C a b;
+
+function f(C int bool) returns (int);
+const x : C2 bool int;
+
+
+const y : Field int bool; // wrong number of arguments
+const z : Set int bool; // wrong number of arguments
+
+
+const d : <a,b>[notAllParams a b]int; // error: not all parameters are used
+
+
+type ref;
diff --git a/Test/test20/TypeSynonyms1.bpl b/Test/test20/TypeSynonyms1.bpl
index 98ecedca..9f61335c 100644
--- a/Test/test20/TypeSynonyms1.bpl
+++ b/Test/test20/TypeSynonyms1.bpl
@@ -1,49 +1,49 @@
-// RUN: %boogie -noVerify "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-
-
-
-type C a b;
-type C2 b a = C a b;
-
-
-// ordering of map type parameters
-function g0(<a,b>[C2 a b]int) returns (int);
-function g1(<a,b>[C2 b a]int) returns (int);
-function g2(<a,b>[C a b]int) returns (int);
-function g3(<a,b>[C b a]int) returns (int);
-
-const c0 : <a,b>[C2 a b]int;
-const c1 : <a,b>[C2 b a]int;
-const c2 : <a,b>[C a b]int;
-const c3 : <a,b>[C b a]int;
-
-axiom g0(c0) == 0;
-axiom g1(c0) == 0;
-axiom g2(c0) == 0;
-axiom g3(c0) == 0;
-axiom g0(c1) == 0;
-axiom g1(c1) == 0;
-axiom g2(c1) == 0;
-axiom g3(c1) == 0;
-axiom g0(c2) == 0;
-axiom g1(c2) == 0;
-axiom g2(c2) == 0;
-axiom g3(c2) == 0;
-axiom g0(c3) == 0;
-axiom g1(c3) == 0;
-axiom g2(c3) == 0;
-axiom g3(c3) == 0;
-
-
-type nested a = <b>[b, b, a]int;
-type nested2 = nested (nested int);
-
-
-function h(nested2) returns (bool);
-const e : <b>[b, b, <b2>[b2, b2, int]int]int;
-axiom h(e);
-
-const e2 : <b>[b, b, <b2>[b2, b, int]int]int; // wrong binding
-axiom h(e2);
-
+// RUN: %boogie -noVerify "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+
+
+type C a b;
+type C2 b a = C a b;
+
+
+// ordering of map type parameters
+function g0(<a,b>[C2 a b]int) returns (int);
+function g1(<a,b>[C2 b a]int) returns (int);
+function g2(<a,b>[C a b]int) returns (int);
+function g3(<a,b>[C b a]int) returns (int);
+
+const c0 : <a,b>[C2 a b]int;
+const c1 : <a,b>[C2 b a]int;
+const c2 : <a,b>[C a b]int;
+const c3 : <a,b>[C b a]int;
+
+axiom g0(c0) == 0;
+axiom g1(c0) == 0;
+axiom g2(c0) == 0;
+axiom g3(c0) == 0;
+axiom g0(c1) == 0;
+axiom g1(c1) == 0;
+axiom g2(c1) == 0;
+axiom g3(c1) == 0;
+axiom g0(c2) == 0;
+axiom g1(c2) == 0;
+axiom g2(c2) == 0;
+axiom g3(c2) == 0;
+axiom g0(c3) == 0;
+axiom g1(c3) == 0;
+axiom g2(c3) == 0;
+axiom g3(c3) == 0;
+
+
+type nested a = <b>[b, b, a]int;
+type nested2 = nested (nested int);
+
+
+function h(nested2) returns (bool);
+const e : <b>[b, b, <b2>[b2, b2, int]int]int;
+axiom h(e);
+
+const e2 : <b>[b, b, <b2>[b2, b, int]int]int; // wrong binding
+axiom h(e2);
+
diff --git a/Test/test20/TypeSynonyms2.bpl b/Test/test20/TypeSynonyms2.bpl
index 1cb6e781..87a7451f 100644
--- a/Test/test20/TypeSynonyms2.bpl
+++ b/Test/test20/TypeSynonyms2.bpl
@@ -1,24 +1,24 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// RUN: %boogie -noVerify -print:- -pretty:0 -env:0 -printDesugared "%s" > "%t"
-// RUN: %diff "%s.print.expect" "%t"
-
-
-type Set a = [a]bool;
-
-function union<a>(x : Set a, y : Set a) returns (Set a);
-axiom (forall<a> x : Set a, y : Set a, z : a :: (x[z] || y[z]) == union(x, y)[z]);
-
-
-const intSet0 : Set int;
-axiom (forall x:int :: intSet0[x] == (x == 0 || x == 2 || x == 3));
-
-const intSet1 : Set int;
-axiom (forall x:int :: intSet1[x] == (x == -5 || x == 3));
-
-
-procedure P() returns () {
- assert (forall x:int :: union(intSet0, intSet1)[x] ==
- (x == -5 || x == 0 || x == 2 || x == 3));
-}
-
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// RUN: %boogie -noVerify -print:- -pretty:0 -env:0 -printDesugared "%s" > "%t"
+// RUN: %diff "%s.print.expect" "%t"
+
+
+type Set a = [a]bool;
+
+function union<a>(x : Set a, y : Set a) returns (Set a);
+axiom (forall<a> x : Set a, y : Set a, z : a :: (x[z] || y[z]) == union(x, y)[z]);
+
+
+const intSet0 : Set int;
+axiom (forall x:int :: intSet0[x] == (x == 0 || x == 2 || x == 3));
+
+const intSet1 : Set int;
+axiom (forall x:int :: intSet1[x] == (x == -5 || x == 3));
+
+
+procedure P() returns () {
+ assert (forall x:int :: union(intSet0, intSet1)[x] ==
+ (x == -5 || x == 0 || x == 2 || x == 3));
+}
+
diff --git a/Test/test21/BooleanQuantification.bpl b/Test/test21/BooleanQuantification.bpl
index 1493269b..7a0c66d5 100644
--- a/Test/test21/BooleanQuantification.bpl
+++ b/Test/test21/BooleanQuantification.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-
-function f(bool) returns (int);
-
-axiom f(true) == 17;
-axiom f(false) == 19;
-
-procedure P() returns () {
- assert (forall x:bool :: f(x) >= 0);
-}
-
-procedure Q() returns () {
- assert (forall x:int :: (x==7 || x==9) ==> x >= 0);
-}
-
-procedure R() returns () {
- assert f((forall x:bool :: f(x) >= 10)) < 19;
- assert (exists x:bool :: f(x) > 20); // should not be provable
-}
-
-
-function g<a>(a) returns (int);
-
-axiom g(true) == 17;
-axiom g(false) == 21;
-
-procedure S() returns () {
- assert (forall x:bool :: g(x) >= 0);
- assert g((forall x:bool :: g(x) >= 0)) >= 17;
- assert (forall x:bool :: f(x) == g(x)); // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+
+function f(bool) returns (int);
+
+axiom f(true) == 17;
+axiom f(false) == 19;
+
+procedure P() returns () {
+ assert (forall x:bool :: f(x) >= 0);
+}
+
+procedure Q() returns () {
+ assert (forall x:int :: (x==7 || x==9) ==> x >= 0);
+}
+
+procedure R() returns () {
+ assert f((forall x:bool :: f(x) >= 10)) < 19;
+ assert (exists x:bool :: f(x) > 20); // should not be provable
+}
+
+
+function g<a>(a) returns (int);
+
+axiom g(true) == 17;
+axiom g(false) == 21;
+
+procedure S() returns () {
+ assert (forall x:bool :: g(x) >= 0);
+ assert g((forall x:bool :: g(x) >= 0)) >= 17;
+ assert (forall x:bool :: f(x) == g(x)); // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/BooleanQuantification2.bpl b/Test/test21/BooleanQuantification2.bpl
index 22dfd217..77ac26c8 100644
--- a/Test/test21/BooleanQuantification2.bpl
+++ b/Test/test21/BooleanQuantification2.bpl
@@ -1,20 +1,20 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-axiom (forall x:bool :: x || !x);
-axiom (forall x:bool :: x == true || x == false);
-
-procedure P() returns () {
- var i : int;
- var j : bool;
-
- assert i != 3 || i != 4;
- assert j || !j;
-
- assert false;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+axiom (forall x:bool :: x || !x);
+axiom (forall x:bool :: x == true || x == false);
+
+procedure P() returns () {
+ var i : int;
+ var j : bool;
+
+ assert i != 3 || i != 4;
+ assert j || !j;
+
+ assert false;
} \ No newline at end of file
diff --git a/Test/test21/Boxing.bpl b/Test/test21/Boxing.bpl
index 0112b6cf..aa7c53b3 100644
--- a/Test/test21/Boxing.bpl
+++ b/Test/test21/Boxing.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-type Box;
-
-function box<a>(a) returns (Box);
-function unbox<a>(Box) returns (a);
-
-axiom (forall<a> x:a :: unbox(box(x)) == x);
-
-var b1: Box;
-var b2: Box;
-var b3: Box;
-
-procedure P() returns ()
- modifies b1, b2, b3; {
- b1 := box(13);
- b2 := box(true);
- b3 := box(b1);
-
- assert unbox(b1) == 13 && unbox(b2) == true && unbox(unbox(b3)) == 13;
- assert unbox(b1) == true; // error
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+type Box;
+
+function box<a>(a) returns (Box);
+function unbox<a>(Box) returns (a);
+
+axiom (forall<a> x:a :: unbox(box(x)) == x);
+
+var b1: Box;
+var b2: Box;
+var b3: Box;
+
+procedure P() returns ()
+ modifies b1, b2, b3; {
+ b1 := box(13);
+ b2 := box(true);
+ b3 := box(b1);
+
+ assert unbox(b1) == 13 && unbox(b2) == true && unbox(unbox(b3)) == 13;
+ assert unbox(b1) == true; // error
} \ No newline at end of file
diff --git a/Test/test21/Casts.bpl b/Test/test21/Casts.bpl
index 739185ea..07494ec1 100644
--- a/Test/test21/Casts.bpl
+++ b/Test/test21/Casts.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-procedure P() returns () {
- var m : [int]int, n : [int]int, x : int;
-
- assume m[x] == x;
- assume n[x] == 1;
-
- assert n[m[x]] == 1;
- assert m[n[x]] == 1; // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+procedure P() returns () {
+ var m : [int]int, n : [int]int, x : int;
+
+ assume m[x] == x;
+ assume n[x] == 1;
+
+ assert n[m[x]] == 1;
+ assert m[n[x]] == 1; // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/Coercions2.bpl b/Test/test21/Coercions2.bpl
index e9a78574..a52fb0e6 100644
--- a/Test/test21/Coercions2.bpl
+++ b/Test/test21/Coercions2.bpl
@@ -1,30 +1,30 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Box, C;
-
-function box<a>(a) returns (Box);
-function unbox<a>(Box) returns (a);
-
-axiom (forall<a> x:a :: unbox(box(x)) == x);
-
-axiom (forall<a> x:Box :: {unbox(x):a} box(unbox(x):a) == x);
-
-axiom (forall x:Box :: box(unbox(x)) == x); // warning
-
-procedure P() {
- var b : Box;
- var i : C;
-
- assert unbox(box(13)) == 13;
-
- i := unbox(b);
- assert b == box(i);
-
- assert false;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Box, C;
+
+function box<a>(a) returns (Box);
+function unbox<a>(Box) returns (a);
+
+axiom (forall<a> x:a :: unbox(box(x)) == x);
+
+axiom (forall<a> x:Box :: {unbox(x):a} box(unbox(x):a) == x);
+
+axiom (forall x:Box :: box(unbox(x)) == x); // warning
+
+procedure P() {
+ var b : Box;
+ var i : C;
+
+ assert unbox(box(13)) == 13;
+
+ i := unbox(b);
+ assert b == box(i);
+
+ assert false;
} \ No newline at end of file
diff --git a/Test/test21/Colors.bpl b/Test/test21/Colors.bpl
index f0e12672..fdfc307f 100644
--- a/Test/test21/Colors.bpl
+++ b/Test/test21/Colors.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Color;
-
-const Blue, Red, Green : Color;
-
-axiom (forall x : Color :: x == Blue || x == Red || x == Green);
-
-procedure P() returns () {
- var x : Color;
-
- assume x != Blue;
- assert x == Red; // should not be provable
-}
-
-procedure Q() returns () {
- var x : Color;
-
- assume x != Blue && x != Green;
- assert x == Red;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Color;
+
+const Blue, Red, Green : Color;
+
+axiom (forall x : Color :: x == Blue || x == Red || x == Green);
+
+procedure P() returns () {
+ var x : Color;
+
+ assume x != Blue;
+ assert x == Red; // should not be provable
+}
+
+procedure Q() returns () {
+ var x : Color;
+
+ assume x != Blue && x != Green;
+ assert x == Red;
} \ No newline at end of file
diff --git a/Test/test21/DisjointDomains.bpl b/Test/test21/DisjointDomains.bpl
index 30d8a6f7..405d325c 100644
--- a/Test/test21/DisjointDomains.bpl
+++ b/Test/test21/DisjointDomains.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-type C _;
-
-function f<a>(C a) returns (int);
-
-axiom (forall x : C int :: f(x) == 3);
-axiom (forall x : C bool :: f(x) == 7);
-
-procedure P() returns () {
- var a : C int, b : C bool, c : C ref;
-
- start:
- assert f(a) == 3;
- assert f(b) == 7;
- assert f(b) == 8; // should not be provable
-}
-
-procedure Q() returns () {
- var c : C ref;
-
- start:
- assert f(c) == 7; // should not be provable
-}
-
-procedure R<a>(c : C a) returns () {
-
- start:
- assert f(c) == 7; // should not be provable
-}
-
-type ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+type C _;
+
+function f<a>(C a) returns (int);
+
+axiom (forall x : C int :: f(x) == 3);
+axiom (forall x : C bool :: f(x) == 7);
+
+procedure P() returns () {
+ var a : C int, b : C bool, c : C ref;
+
+ start:
+ assert f(a) == 3;
+ assert f(b) == 7;
+ assert f(b) == 8; // should not be provable
+}
+
+procedure Q() returns () {
+ var c : C ref;
+
+ start:
+ assert f(c) == 7; // should not be provable
+}
+
+procedure R<a>(c : C a) returns () {
+
+ start:
+ assert f(c) == 7; // should not be provable
+}
+
+type ref;
diff --git a/Test/test21/DisjointDomains2.bpl b/Test/test21/DisjointDomains2.bpl
index ae25a20e..9f9dd63e 100644
--- a/Test/test21/DisjointDomains2.bpl
+++ b/Test/test21/DisjointDomains2.bpl
@@ -1,70 +1,70 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-type C _;
-
-function f<a>(C a) returns (int);
-
-axiom (forall<a> x : C a :: f(x) == 42);
-
-procedure P(a : C int) returns () {
-
- start:
- assert f(a) == 42;
- assert f(a) == 43; // should not be provable
-}
-
-procedure Q<a>(c : C a) returns () {
-
- start:
- assert f(c) == 42;
- assert f(c) == 43; // should not be provable
-}
-
-function g<a,b>(a, b) returns (int);
-
-
-axiom (forall x : int, y : bool :: g(x,y) == 13);
-axiom (forall<a> x : int, y : C a :: g(x,y) == 42);
-axiom (forall<a,z> x : C z, y : C a :: g(x,y) == 43);
-
-procedure R() returns () {
-
- start:
- assert g(7, true) == 13;
- assert g(7, false) == 15; // should not be provable
-}
-
-procedure S<b>(y : C b) returns () {
-
- start:
- assert g(3, y) == f(y);
- assert g(y, false) == 15; // should not be provable
-}
-
-procedure T<a,b>(y : C b, param : a) returns () {
- var x : C a; var z : C b;
-
- start:
- assert g(y, x) == g(x, y);
- assert g(y, x) == 43;
- assert g(f(x), y) == 42;
- assert g(y, z) == 15; // should not be provable
-}
-
-
-type D _ _;
-
-procedure U() returns () {
- var u : D int bool, v : D bool int;
-
- start:
- assume (forall<a,b> x:D a b, y:b :: g(x, y) == -3);
-
- assert g(v, 32) == -3;
- assert g(v, 716371398712982312321) == -3;
- assert g(u, 1) == -3; // should not be provable
-}
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+type C _;
+
+function f<a>(C a) returns (int);
+
+axiom (forall<a> x : C a :: f(x) == 42);
+
+procedure P(a : C int) returns () {
+
+ start:
+ assert f(a) == 42;
+ assert f(a) == 43; // should not be provable
+}
+
+procedure Q<a>(c : C a) returns () {
+
+ start:
+ assert f(c) == 42;
+ assert f(c) == 43; // should not be provable
+}
+
+function g<a,b>(a, b) returns (int);
+
+
+axiom (forall x : int, y : bool :: g(x,y) == 13);
+axiom (forall<a> x : int, y : C a :: g(x,y) == 42);
+axiom (forall<a,z> x : C z, y : C a :: g(x,y) == 43);
+
+procedure R() returns () {
+
+ start:
+ assert g(7, true) == 13;
+ assert g(7, false) == 15; // should not be provable
+}
+
+procedure S<b>(y : C b) returns () {
+
+ start:
+ assert g(3, y) == f(y);
+ assert g(y, false) == 15; // should not be provable
+}
+
+procedure T<a,b>(y : C b, param : a) returns () {
+ var x : C a; var z : C b;
+
+ start:
+ assert g(y, x) == g(x, y);
+ assert g(y, x) == 43;
+ assert g(f(x), y) == 42;
+ assert g(y, z) == 15; // should not be provable
+}
+
+
+type D _ _;
+
+procedure U() returns () {
+ var u : D int bool, v : D bool int;
+
+ start:
+ assume (forall<a,b> x:D a b, y:b :: g(x, y) == -3);
+
+ assert g(v, 32) == -3;
+ assert g(v, 716371398712982312321) == -3;
+ assert g(u, 1) == -3; // should not be provable
+}
diff --git a/Test/test21/EmptyList.bpl b/Test/test21/EmptyList.bpl
index 450cd064..6acd2736 100644
--- a/Test/test21/EmptyList.bpl
+++ b/Test/test21/EmptyList.bpl
@@ -1,53 +1,53 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type List _;
-
-function NIL<a>() returns (List a);
-function Cons<a>(a, List a) returns (List a);
-
-function car<a>(List a) returns (a);
-function cdr<a>(List a) returns (List a);
-
-axiom (forall<a> x:a, l:List a :: car(Cons(x, l)) == x);
-axiom (forall<a> x:a, l:List a :: cdr(Cons(x, l)) == l);
-
-axiom (forall<a> x:a, l:List a :: Cons(x, l) != NIL());
-
-var l:List bool;
-
-var m:List int;
-var mar:[int](List int);
-
-procedure P() returns ()
- requires m != NIL();
- requires mar[0] == m && (forall i:int :: i > 0 ==> mar[i] == cdr(mar[i-1]));
- modifies l, m, mar; {
-
- l := Cons(true, NIL());
-
- assert l != NIL();
- l := cdr(l);
-
- assert l == NIL();
- l := Cons(true, l);
- l := Cons(false, l);
-
- assert car(mar[1]) == car(cdr(m));
- mar[0] := NIL();
- assert mar[0] != m;
-
- assert !car(l) && car(cdr(l));
- l := cdr(cdr(l));
-
- assert (forall i:int :: i > 0 ==> mar[i] == cdr(mar[i-1])); // error
-}
-
-procedure Q() returns () {
- assert Cons(NIL(), NIL()) != NIL(); // warning, but provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type List _;
+
+function NIL<a>() returns (List a);
+function Cons<a>(a, List a) returns (List a);
+
+function car<a>(List a) returns (a);
+function cdr<a>(List a) returns (List a);
+
+axiom (forall<a> x:a, l:List a :: car(Cons(x, l)) == x);
+axiom (forall<a> x:a, l:List a :: cdr(Cons(x, l)) == l);
+
+axiom (forall<a> x:a, l:List a :: Cons(x, l) != NIL());
+
+var l:List bool;
+
+var m:List int;
+var mar:[int](List int);
+
+procedure P() returns ()
+ requires m != NIL();
+ requires mar[0] == m && (forall i:int :: i > 0 ==> mar[i] == cdr(mar[i-1]));
+ modifies l, m, mar; {
+
+ l := Cons(true, NIL());
+
+ assert l != NIL();
+ l := cdr(l);
+
+ assert l == NIL();
+ l := Cons(true, l);
+ l := Cons(false, l);
+
+ assert car(mar[1]) == car(cdr(m));
+ mar[0] := NIL();
+ assert mar[0] != m;
+
+ assert !car(l) && car(cdr(l));
+ l := cdr(cdr(l));
+
+ assert (forall i:int :: i > 0 ==> mar[i] == cdr(mar[i-1])); // error
+}
+
+procedure Q() returns () {
+ assert Cons(NIL(), NIL()) != NIL(); // warning, but provable
} \ No newline at end of file
diff --git a/Test/test21/EmptySetBug.bpl b/Test/test21/EmptySetBug.bpl
index e3feb16c..6c25ed95 100644
--- a/Test/test21/EmptySetBug.bpl
+++ b/Test/test21/EmptySetBug.bpl
@@ -1,36 +1,36 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-type ref;
-
-const null: ref;
-
-type Set T = [T]bool;
-
-function Set#Empty<T>() returns (Set T);
-
-axiom (forall<T> o: T :: { Set#Empty()[o] } !Set#Empty()[o]);
-
-function Set#Singleton<T>(T) returns (Set T);
-
-axiom (forall<T> r: T :: { Set#Singleton(r) } Set#Singleton(r)[r]);
-
-axiom (forall<T> r: T, o: T :: { Set#Singleton(r)[o] } Set#Singleton(r)[o] <==> r == o);
-
-function Set#UnionOne<T>(Set T, T) returns (Set T);
-
-axiom (forall<T> a: Set T, x: T, o: T :: { Set#UnionOne(a, x)[o] } Set#UnionOne(a, x)[o] <==> o == x || a[o]);
-
-procedure Test(this: ref)
-{
- var s: Set ref;
-
- s := Set#UnionOne(Set#Empty(), this);
- assert s[this];
- assert !Set#Empty()[this];
-
- assert Set#Singleton(this)[null]; // should not be provable
-}
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+type ref;
+
+const null: ref;
+
+type Set T = [T]bool;
+
+function Set#Empty<T>() returns (Set T);
+
+axiom (forall<T> o: T :: { Set#Empty()[o] } !Set#Empty()[o]);
+
+function Set#Singleton<T>(T) returns (Set T);
+
+axiom (forall<T> r: T :: { Set#Singleton(r) } Set#Singleton(r)[r]);
+
+axiom (forall<T> r: T, o: T :: { Set#Singleton(r)[o] } Set#Singleton(r)[o] <==> r == o);
+
+function Set#UnionOne<T>(Set T, T) returns (Set T);
+
+axiom (forall<T> a: Set T, x: T, o: T :: { Set#UnionOne(a, x)[o] } Set#UnionOne(a, x)[o] <==> o == x || a[o]);
+
+procedure Test(this: ref)
+{
+ var s: Set ref;
+
+ s := Set#UnionOne(Set#Empty(), this);
+ assert s[this];
+ assert !Set#Empty()[this];
+
+ assert Set#Singleton(this)[null]; // should not be provable
+}
diff --git a/Test/test21/Flattening.bpl b/Test/test21/Flattening.bpl
index ddebd9ab..3931c573 100644
--- a/Test/test21/Flattening.bpl
+++ b/Test/test21/Flattening.bpl
@@ -1,19 +1,19 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-function g(int) returns (int);
-function f(bool) returns (int);
-
-
-axiom (f((exists x:int :: g(x) >= 12)) == 3);
-axiom (f((exists x:int :: g(f((forall y:int :: g(x+y) >= 0))) >= 12)) == 3);
-
-
-procedure P() returns () {
- assert false;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+function g(int) returns (int);
+function f(bool) returns (int);
+
+
+axiom (f((exists x:int :: g(x) >= 12)) == 3);
+axiom (f((exists x:int :: g(f((forall y:int :: g(x+y) >= 0))) >= 12)) == 3);
+
+
+procedure P() returns () {
+ assert false;
} \ No newline at end of file
diff --git a/Test/test21/FunAxioms.bpl b/Test/test21/FunAxioms.bpl
index 5964b4e8..2baa2169 100644
--- a/Test/test21/FunAxioms.bpl
+++ b/Test/test21/FunAxioms.bpl
@@ -1,46 +1,46 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Pair a b;
-
-function MP<a,b>(x:a, y:b) returns (Pair a b);
-function Left<a,b>(Pair a b) returns (a);
-function Right<a,b>(Pair a b) returns (b);
-
-axiom (forall<a,b> x:a, y:b :: Left(MP(x,y)) == x);
-axiom (forall<a,b> x:a, y:b :: Right(MP(x,y)) == y);
-
-type A, B;
-
-procedure P() returns () {
-
- var x:A, y:B, z:A, p : Pair A B;
-
- assert Left(MP(x,y)) == x;
- assert Right(MP(x,y)) == y;
- assert Right(MP(x,MP(x,y))) == MP(x,y);
- assert Left(MP(x,MP(x,y))) == x;
- assert Right(Right(MP(x,MP(x,y)))) == y;
-
- p := MP(x, y);
-
- p := MP(Left(p), y);
-
- assert Left(p) == x && Right(p) == y;
-
- assert Left(p) == z; // should not be provable
-
-}
-
-procedure Q() returns () {
-
- assert Left(MP(1,3)) == 1;
- assert Right(MP(1,3)) == 3;
- assert Right(MP(1,true)) == true;
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Pair a b;
+
+function MP<a,b>(x:a, y:b) returns (Pair a b);
+function Left<a,b>(Pair a b) returns (a);
+function Right<a,b>(Pair a b) returns (b);
+
+axiom (forall<a,b> x:a, y:b :: Left(MP(x,y)) == x);
+axiom (forall<a,b> x:a, y:b :: Right(MP(x,y)) == y);
+
+type A, B;
+
+procedure P() returns () {
+
+ var x:A, y:B, z:A, p : Pair A B;
+
+ assert Left(MP(x,y)) == x;
+ assert Right(MP(x,y)) == y;
+ assert Right(MP(x,MP(x,y))) == MP(x,y);
+ assert Left(MP(x,MP(x,y))) == x;
+ assert Right(Right(MP(x,MP(x,y)))) == y;
+
+ p := MP(x, y);
+
+ p := MP(Left(p), y);
+
+ assert Left(p) == x && Right(p) == y;
+
+ assert Left(p) == z; // should not be provable
+
+}
+
+procedure Q() returns () {
+
+ assert Left(MP(1,3)) == 1;
+ assert Right(MP(1,3)) == 3;
+ assert Right(MP(1,true)) == true;
+
} \ No newline at end of file
diff --git a/Test/test21/FunAxioms2.bpl b/Test/test21/FunAxioms2.bpl
index 6e087baf..341807f8 100644
--- a/Test/test21/FunAxioms2.bpl
+++ b/Test/test21/FunAxioms2.bpl
@@ -1,27 +1,27 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-type T;
-
-function f() returns (int); // functions without arguments
-function g() returns (T);
-
-
-const c : T;
-
-axiom (f() >= 13);
-axiom (g() != c);
-
-procedure P() returns () {
- var x : int;
-
- x := f();
-
- assert x >= 0 && f() >= 7;
- assert g() != c;
- assert f() >= 20; // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+type T;
+
+function f() returns (int); // functions without arguments
+function g() returns (T);
+
+
+const c : T;
+
+axiom (f() >= 13);
+axiom (g() != c);
+
+procedure P() returns () {
+ var x : int;
+
+ x := f();
+
+ assert x >= 0 && f() >= 7;
+ assert g() != c;
+ assert f() >= 20; // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/HeapAbstraction.bpl b/Test/test21/HeapAbstraction.bpl
index 0fb2f007..b1abf0c0 100644
--- a/Test/test21/HeapAbstraction.bpl
+++ b/Test/test21/HeapAbstraction.bpl
@@ -1,25 +1,25 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Field a, Heap = <a>[ref, Field a]a;
-
-function f<b>(<a>[b, Field a]a) returns (int);
-
-axiom (forall x:<a>[int, Field a]a :: f(x) == 17);
-
-axiom (forall x:<a>[ref, Field a]a :: f(x) == 42);
-
-procedure P() returns () {
- var h : Heap, g : <a>[bool, Field a]a;
-
- assert f(h) == 42;
- assert f(g) >= 0; // should not be provable
-}
-
-type ref;
-const null : ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Field a, Heap = <a>[ref, Field a]a;
+
+function f<b>(<a>[b, Field a]a) returns (int);
+
+axiom (forall x:<a>[int, Field a]a :: f(x) == 17);
+
+axiom (forall x:<a>[ref, Field a]a :: f(x) == 42);
+
+procedure P() returns () {
+ var h : Heap, g : <a>[bool, Field a]a;
+
+ assert f(h) == 42;
+ assert f(g) >= 0; // should not be provable
+}
+
+type ref;
+const null : ref;
diff --git a/Test/test21/HeapAxiom.bpl b/Test/test21/HeapAxiom.bpl
index 691c97f9..a49ddd36 100644
--- a/Test/test21/HeapAxiom.bpl
+++ b/Test/test21/HeapAxiom.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Field a, Heap = <a>[ref, Field a]a;
-
-function IsHeap(Heap) returns (bool);
-const alloc : Field bool;
-
-axiom (forall H:Heap, o:ref, f:Field ref ::
- IsHeap(H) && H[o,alloc] ==> H[H[o,f], alloc]);
-
-procedure P() returns () {
- var h : Heap, o : ref, g : Field ref, i : Field ref, o2 : ref;
- assume IsHeap(h) && h[o, alloc];
-
- o2 := h[o, g];
- assert h[o2, alloc];
-
- o2 := h[o2, g];
- assert h[o2, alloc];
-
- h[o2, alloc] := false;
-
- o2 := h[o2, g];
- assert h[o2, alloc]; // should not be provable
-}
-
-type ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Field a, Heap = <a>[ref, Field a]a;
+
+function IsHeap(Heap) returns (bool);
+const alloc : Field bool;
+
+axiom (forall H:Heap, o:ref, f:Field ref ::
+ IsHeap(H) && H[o,alloc] ==> H[H[o,f], alloc]);
+
+procedure P() returns () {
+ var h : Heap, o : ref, g : Field ref, i : Field ref, o2 : ref;
+ assume IsHeap(h) && h[o, alloc];
+
+ o2 := h[o, g];
+ assert h[o2, alloc];
+
+ o2 := h[o2, g];
+ assert h[o2, alloc];
+
+ h[o2, alloc] := false;
+
+ o2 := h[o2, g];
+ assert h[o2, alloc]; // should not be provable
+}
+
+type ref;
diff --git a/Test/test21/InterestingExamples0.bpl b/Test/test21/InterestingExamples0.bpl
index 08ed61d4..fd79ad5c 100644
--- a/Test/test21/InterestingExamples0.bpl
+++ b/Test/test21/InterestingExamples0.bpl
@@ -1,14 +1,14 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-procedure P() returns () {
-var a : <t>[t]int;
-
-a[5] := 0;
-a[true] := 1;
-assert a[5] == 0;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+procedure P() returns () {
+var a : <t>[t]int;
+
+a[5] := 0;
+a[true] := 1;
+assert a[5] == 0;
} \ No newline at end of file
diff --git a/Test/test21/InterestingExamples1.bpl b/Test/test21/InterestingExamples1.bpl
index 247e2c5b..48b4a5dd 100644
--- a/Test/test21/InterestingExamples1.bpl
+++ b/Test/test21/InterestingExamples1.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-type Set = <a> [a] bool;
-type Field a;
-type Heap = <b> [ref, Field b] b;
-
-
-const emptySet : Set;
-axiom (forall<t> x:t :: !emptySet[x]);
-
-procedure P() returns () {
- var x : Set, f : Field Set, g : Field int, heap : Heap, o : ref;
-
- x := emptySet;
- heap[o, f] := x;
- heap[o, g] := 13;
- assert heap[o, f] == emptySet && heap[o, g] == 13;
-
- heap[o, f] := heap[o, f][17 := true];
- heap[o, f] := heap[o, f][g := true];
-
- assert (forall<t> y:t :: heap[o, f][y] == (y == 17 || y == g));
- assert (forall<t> y:t :: heap[o, f][y] == (y == 16 || y == g)); // should not hold
-
-}
-
-type ref;
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+type Set = <a> [a] bool;
+type Field a;
+type Heap = <b> [ref, Field b] b;
+
+
+const emptySet : Set;
+axiom (forall<t> x:t :: !emptySet[x]);
+
+procedure P() returns () {
+ var x : Set, f : Field Set, g : Field int, heap : Heap, o : ref;
+
+ x := emptySet;
+ heap[o, f] := x;
+ heap[o, g] := 13;
+ assert heap[o, f] == emptySet && heap[o, g] == 13;
+
+ heap[o, f] := heap[o, f][17 := true];
+ heap[o, f] := heap[o, f][g := true];
+
+ assert (forall<t> y:t :: heap[o, f][y] == (y == 17 || y == g));
+ assert (forall<t> y:t :: heap[o, f][y] == (y == 16 || y == g)); // should not hold
+
+}
+
+type ref;
+
diff --git a/Test/test21/InterestingExamples2.bpl b/Test/test21/InterestingExamples2.bpl
index 6fc8d259..4c07ee68 100644
--- a/Test/test21/InterestingExamples2.bpl
+++ b/Test/test21/InterestingExamples2.bpl
@@ -1,20 +1,20 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-procedure P() returns () {
-var m : <a>[a]ref;
-var n : <b>[b]b;
-var o : ref;
-
-m[5] := null;
-assert m[true := o][5] == null;
-assert m[n[true] := o][5] == null;
-}
-
-type ref;
-const null : ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+procedure P() returns () {
+var m : <a>[a]ref;
+var n : <b>[b]b;
+var o : ref;
+
+m[5] := null;
+assert m[true := o][5] == null;
+assert m[n[true] := o][5] == null;
+}
+
+type ref;
+const null : ref;
diff --git a/Test/test21/InterestingExamples3.bpl b/Test/test21/InterestingExamples3.bpl
index 24e89b2b..4990fb72 100644
--- a/Test/test21/InterestingExamples3.bpl
+++ b/Test/test21/InterestingExamples3.bpl
@@ -1,33 +1,33 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-procedure P() returns () {
-
- assume (forall<t> m : [t]bool :: // uses "infinitely many" map types
- (forall x : t :: m[x] == false));
-
-}
-
-
-procedure Q() returns () {
- var h : [int] bool;
-
- assume (forall<t> m : [t]bool, x : t :: m[x] == false);
- assert !h[42];
- assert false; // should really be provable
-}
-
-
-
-procedure R() returns () {
- var h : [int] bool;
-
- assume (forall<t> m : [t]bool, x : t :: m[x] == false);
- assert !h[42];
- assert !h[42 := true][42];
- assert false; // wow
-}
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+procedure P() returns () {
+
+ assume (forall<t> m : [t]bool :: // uses "infinitely many" map types
+ (forall x : t :: m[x] == false));
+
+}
+
+
+procedure Q() returns () {
+ var h : [int] bool;
+
+ assume (forall<t> m : [t]bool, x : t :: m[x] == false);
+ assert !h[42];
+ assert false; // should really be provable
+}
+
+
+
+procedure R() returns () {
+ var h : [int] bool;
+
+ assume (forall<t> m : [t]bool, x : t :: m[x] == false);
+ assert !h[42];
+ assert !h[42 := true][42];
+ assert false; // wow
+}
diff --git a/Test/test21/InterestingExamples4.bpl b/Test/test21/InterestingExamples4.bpl
index 941c9020..aa8993db 100644
--- a/Test/test21/InterestingExamples4.bpl
+++ b/Test/test21/InterestingExamples4.bpl
@@ -1,48 +1,48 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// a property that should hold according to the Boogie semantics
-// (but no automatic theorem prover will be able to prove it)
-
-
-type C a;
-
-function sameType<a,b>(x:a, y:b) returns (bool);
-
-axiom (forall<a,b> x:a, y:b :: sameType(x,y) == (exists z:a :: y==z));
-
-// Will be defined to hold whenever the type of y (i.e., b)
-// can be reached from the type of x (a) by applying the type
-// constructor C a finite number of times. In order words,
-// b = C^n(a)
-function rel<a,b>(x:a, y:b) returns (bool);
-
-function relHelp<a,b>(x:a, y:b, z:int) returns (bool);
-
-axiom (forall<a, b> x:a, y:b :: relHelp(x, y, 0) == sameType(x, y));
-axiom (forall<a, b> n:int, x:a, y:b ::
- (n >= 0 ==>
- relHelp(x, y, n+1) ==
- (exists<c> z:c, y' : C c :: relHelp(x, z, n) && y==y')));
-
-axiom (forall<a, b> x:a, y:b ::
- rel(x, y) == (exists n:int :: n >= 0 && relHelp(x, y, n)));
-
-// Assert that from every type we can reach a type that is
-// minimal, i.e., that cannot be reached by applying C to some
-// other type. This will only hold in well-founded type
-// hierarchies
-
-procedure P() returns () {
- var v : C int;
-
- assert relHelp(7, 13, 0);
- assert rel(7, 13);
-
- assert (forall<b> y:b :: (exists<a> x:a :: // too hard for a theorem prover
- rel(x, y) &&
- (forall<c> z:c :: (rel(z, x) ==> sameType(z, x)))));
-}
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// a property that should hold according to the Boogie semantics
+// (but no automatic theorem prover will be able to prove it)
+
+
+type C a;
+
+function sameType<a,b>(x:a, y:b) returns (bool);
+
+axiom (forall<a,b> x:a, y:b :: sameType(x,y) == (exists z:a :: y==z));
+
+// Will be defined to hold whenever the type of y (i.e., b)
+// can be reached from the type of x (a) by applying the type
+// constructor C a finite number of times. In order words,
+// b = C^n(a)
+function rel<a,b>(x:a, y:b) returns (bool);
+
+function relHelp<a,b>(x:a, y:b, z:int) returns (bool);
+
+axiom (forall<a, b> x:a, y:b :: relHelp(x, y, 0) == sameType(x, y));
+axiom (forall<a, b> n:int, x:a, y:b ::
+ (n >= 0 ==>
+ relHelp(x, y, n+1) ==
+ (exists<c> z:c, y' : C c :: relHelp(x, z, n) && y==y')));
+
+axiom (forall<a, b> x:a, y:b ::
+ rel(x, y) == (exists n:int :: n >= 0 && relHelp(x, y, n)));
+
+// Assert that from every type we can reach a type that is
+// minimal, i.e., that cannot be reached by applying C to some
+// other type. This will only hold in well-founded type
+// hierarchies
+
+procedure P() returns () {
+ var v : C int;
+
+ assert relHelp(7, 13, 0);
+ assert rel(7, 13);
+
+ assert (forall<b> y:b :: (exists<a> x:a :: // too hard for a theorem prover
+ rel(x, y) &&
+ (forall<c> z:c :: (rel(z, x) ==> sameType(z, x)))));
+}
diff --git a/Test/test21/InterestingExamples5.bpl b/Test/test21/InterestingExamples5.bpl
index 3f4e4f34..8eadadc9 100644
--- a/Test/test21/InterestingExamples5.bpl
+++ b/Test/test21/InterestingExamples5.bpl
@@ -1,22 +1,22 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type C a;
-
-function f<a>(C a) returns (int);
-
-//axiom (forall<a> x:C a :: {f(x)} (exists y:C a :: f(y) == 42));
-
-function g<a>(C a) returns (C a);
-axiom (forall<a> x:C a :: f(g(x)) == 42);
-
-procedure P() returns () {
- var z : C int;
- assume g(z) == z;
- assert (exists x : C int :: f(x) == 42);
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type C a;
+
+function f<a>(C a) returns (int);
+
+//axiom (forall<a> x:C a :: {f(x)} (exists y:C a :: f(y) == 42));
+
+function g<a>(C a) returns (C a);
+axiom (forall<a> x:C a :: f(g(x)) == 42);
+
+procedure P() returns () {
+ var z : C int;
+ assume g(z) == z;
+ assert (exists x : C int :: f(x) == 42);
} \ No newline at end of file
diff --git a/Test/test21/Keywords.bpl b/Test/test21/Keywords.bpl
index 3be91fa8..53a323cd 100644
--- a/Test/test21/Keywords.bpl
+++ b/Test/test21/Keywords.bpl
@@ -1,15 +1,15 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-function NOT(x:int) returns(int);
-
-axiom (forall x:int :: NOT(x) == 1 - x);
-
-procedure P() returns () {
- assert NOT(5) == -4;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+function NOT(x:int) returns(int);
+
+axiom (forall x:int :: NOT(x) == 1 - x);
+
+procedure P() returns () {
+ assert NOT(5) == -4;
} \ No newline at end of file
diff --git a/Test/test21/LargeLiterals0.bpl b/Test/test21/LargeLiterals0.bpl
index 57877f9d..0f602910 100644
--- a/Test/test21/LargeLiterals0.bpl
+++ b/Test/test21/LargeLiterals0.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-var x : int;
-
-procedure P() modifies x; {
-
- x := 1000000;
- assert x > 0 && x < 2000000;
-
- x := x + 256;
- assert x == 1000256;
-
- x := 1000000000000;
- x := x + 100100;
- x := x - 100;
- assert x == 1000000100000;
-
- assert x < -123456789; // error
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+var x : int;
+
+procedure P() modifies x; {
+
+ x := 1000000;
+ assert x > 0 && x < 2000000;
+
+ x := x + 256;
+ assert x == 1000256;
+
+ x := 1000000000000;
+ x := x + 100100;
+ x := x - 100;
+ assert x == 1000000100000;
+
+ assert x < -123456789; // error
+
} \ No newline at end of file
diff --git a/Test/test21/LetSorting.bpl b/Test/test21/LetSorting.bpl
index c58c6242..9c76dbea 100644
--- a/Test/test21/LetSorting.bpl
+++ b/Test/test21/LetSorting.bpl
@@ -1,21 +1,21 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-procedure Array0() returns (z: int)
- ensures z >= 5;
-{
-L0:
- goto L1, L2;
-L1:
- z := 10;
-L2:
- z := 20;
- return;
-}
-
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+procedure Array0() returns (z: int)
+ ensures z >= 5;
+{
+L0:
+ goto L1, L2;
+L1:
+ z := 10;
+L2:
+ z := 20;
+ return;
+}
+
+
diff --git a/Test/test21/MapAxiomsConsistency.bpl b/Test/test21/MapAxiomsConsistency.bpl
index 4c8302a4..4020c00d 100644
--- a/Test/test21/MapAxiomsConsistency.bpl
+++ b/Test/test21/MapAxiomsConsistency.bpl
@@ -1,103 +1,103 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// Dafny program verifier version 0.92, Copyright (c) 2003-2008, Microsoft.
-// Command Line Options: /trace /typeEncoding:arguments /print:test.bpl test.dfy
-
-type ref;
-
-const null: ref;
-
-type Set T = [T]bool;
-function Set#Empty<T>() returns (Set T);
-function Set#Singleton<T>(T) returns (Set T);
-function Set#UnionOne<T>(Set T, T) returns (Set T);
-function Set#Union<T>(Set T, Set T) returns (Set T);
-function Set#Intersection<T>(Set T, Set T) returns (Set T);
-function Set#Difference<T>(Set T, Set T) returns (Set T);
-function Set#Subset<T>(Set T, Set T) returns (bool);
-function Set#Equal<T>(Set T, Set T) returns (bool);
-function Set#Disjoint<T>(Set T, Set T) returns (bool);
-
-type Seq _;
-function Seq#Length<T>(Seq T) returns (int);
-function Seq#Empty<T>() returns (Seq T);
-function Seq#Singleton<T>(T) returns (Seq T);
-function Seq#Build<T>(s: Seq T, index: int, val: T, newLength: int) returns (Seq T);
-function Seq#Append<T>(Seq T, Seq T) returns (Seq T);
-function Seq#Index<T>(Seq T, int) returns (T);
-function Seq#Contains<T>(Seq T, T) returns (bool);
-function Seq#Equal<T>(Seq T, Seq T) returns (bool);
-function Seq#SameUntil<T>(Seq T, Seq T, int) returns (bool);
-function Seq#Take<T>(s:Seq T, howMany: int) returns (Seq T);
-function Seq#Drop<T>(s:Seq T, howMany: int) returns (Seq T);
-
-type Field _;
-type HeapType = <alpha>[ref,Field alpha]alpha;
-function $IsGoodHeap(HeapType) returns (bool);
-var $Heap: HeapType where $IsGoodHeap($Heap);
-const alloc: Field bool;
-function $HeapSucc(HeapType, HeapType) returns (bool);
-
-const unique Node.list: Field (Seq ref);
-const unique Node.footprint: Field [ref]bool;
-const unique Node.data: Field ref;
-const unique Node.next: Field ref;
-function Node.Valid($heap: HeapType, this: ref) returns (bool);
-
-
-
-
-axiom (forall<T> r: T, o: T :: { Set#Singleton(r)[o] } Set#Singleton(r)[o] <==> r == o);
-
-axiom (forall $Heap: HeapType, this: ref :: { Node.Valid($Heap, this) } this != null && $IsGoodHeap($Heap) ==> Node.Valid($Heap, this) == ($Heap[this, Node.footprint][this] && !$Heap[this, Node.footprint][null] && (forall n: ref :: $Heap[this, Node.footprint][n] ==> $Heap[n, Node.footprint][n] && !$Heap[n, Node.footprint][null] && Set#Subset($Heap[n, Node.footprint], $Heap[this, Node.footprint]) && ($Heap[n, Node.next] == null ==> Seq#Equal($Heap[n, Node.list], Seq#Build(Seq#Empty(), 0, $Heap[n, Node.data], 1))) && ($Heap[n, Node.next] != null ==> $Heap[n, Node.footprint][$Heap[n, Node.next]] && Set#Subset($Heap[$Heap[n, Node.next], Node.footprint], $Heap[n, Node.footprint]) && !$Heap[$Heap[n, Node.next], Node.footprint][n] && Seq#Equal($Heap[n, Node.list], Seq#Append(Seq#Build(Seq#Empty(), 0, $Heap[n, Node.data], 1), $Heap[$Heap[n, Node.next], Node.list])))) && ($Heap[this, Node.next] != null ==> Node.Valid($Heap, $Heap[this, Node.next]))));
-
-
-
-
-procedure Node.ReverseInPlace(this: ref where this != null && $Heap[this, alloc]) returns (reverse: ref where reverse == null || $Heap[reverse, alloc]);
- // user-defined preconditions
- free requires Node.Valid($Heap, this);
- requires $Heap[this, Node.footprint][this];
- requires !$Heap[this, Node.footprint][null];
- requires $Heap[this, Node.next] != null ==> Node.Valid($Heap, $Heap[this, Node.next]);
- modifies $Heap;
- // frame condition
- // boilerplate
- free ensures $HeapSucc(old($Heap), $Heap);
-
-
-
-procedure CheckWellformed$$Node.Valid(this: ref where this != null && $Heap[this, alloc]);
-
-
-
-
-
-implementation Node.ReverseInPlace(this: ref) returns (reverse: ref)
-{
- var current: ref where current == null || $Heap[current, alloc], $PreLoopHeap0: HeapType, nx: ref where nx == null || $Heap[nx, alloc];
-
- // ----- var-declaration statement ----- test.dfy(28,9)
- current := $Heap[this, Node.next];
-
-
- // ----- assignment statement ----- test.dfy(29,13)
- reverse := this;
- // ----- assignment statement ----- test.dfy(30,18)
- $Heap[reverse, Node.next] := null;
- assume $IsGoodHeap($Heap);
-
-
-
- // ----- assignment statement ----- test.dfy(31,23)
- $Heap[reverse, Node.footprint] := // Set#UnionOne(Set#Empty(), reverse);
- Set#Singleton(reverse);
-
- assert current == null;
-}
-
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// Dafny program verifier version 0.92, Copyright (c) 2003-2008, Microsoft.
+// Command Line Options: /trace /typeEncoding:arguments /print:test.bpl test.dfy
+
+type ref;
+
+const null: ref;
+
+type Set T = [T]bool;
+function Set#Empty<T>() returns (Set T);
+function Set#Singleton<T>(T) returns (Set T);
+function Set#UnionOne<T>(Set T, T) returns (Set T);
+function Set#Union<T>(Set T, Set T) returns (Set T);
+function Set#Intersection<T>(Set T, Set T) returns (Set T);
+function Set#Difference<T>(Set T, Set T) returns (Set T);
+function Set#Subset<T>(Set T, Set T) returns (bool);
+function Set#Equal<T>(Set T, Set T) returns (bool);
+function Set#Disjoint<T>(Set T, Set T) returns (bool);
+
+type Seq _;
+function Seq#Length<T>(Seq T) returns (int);
+function Seq#Empty<T>() returns (Seq T);
+function Seq#Singleton<T>(T) returns (Seq T);
+function Seq#Build<T>(s: Seq T, index: int, val: T, newLength: int) returns (Seq T);
+function Seq#Append<T>(Seq T, Seq T) returns (Seq T);
+function Seq#Index<T>(Seq T, int) returns (T);
+function Seq#Contains<T>(Seq T, T) returns (bool);
+function Seq#Equal<T>(Seq T, Seq T) returns (bool);
+function Seq#SameUntil<T>(Seq T, Seq T, int) returns (bool);
+function Seq#Take<T>(s:Seq T, howMany: int) returns (Seq T);
+function Seq#Drop<T>(s:Seq T, howMany: int) returns (Seq T);
+
+type Field _;
+type HeapType = <alpha>[ref,Field alpha]alpha;
+function $IsGoodHeap(HeapType) returns (bool);
+var $Heap: HeapType where $IsGoodHeap($Heap);
+const alloc: Field bool;
+function $HeapSucc(HeapType, HeapType) returns (bool);
+
+const unique Node.list: Field (Seq ref);
+const unique Node.footprint: Field [ref]bool;
+const unique Node.data: Field ref;
+const unique Node.next: Field ref;
+function Node.Valid($heap: HeapType, this: ref) returns (bool);
+
+
+
+
+axiom (forall<T> r: T, o: T :: { Set#Singleton(r)[o] } Set#Singleton(r)[o] <==> r == o);
+
+axiom (forall $Heap: HeapType, this: ref :: { Node.Valid($Heap, this) } this != null && $IsGoodHeap($Heap) ==> Node.Valid($Heap, this) == ($Heap[this, Node.footprint][this] && !$Heap[this, Node.footprint][null] && (forall n: ref :: $Heap[this, Node.footprint][n] ==> $Heap[n, Node.footprint][n] && !$Heap[n, Node.footprint][null] && Set#Subset($Heap[n, Node.footprint], $Heap[this, Node.footprint]) && ($Heap[n, Node.next] == null ==> Seq#Equal($Heap[n, Node.list], Seq#Build(Seq#Empty(), 0, $Heap[n, Node.data], 1))) && ($Heap[n, Node.next] != null ==> $Heap[n, Node.footprint][$Heap[n, Node.next]] && Set#Subset($Heap[$Heap[n, Node.next], Node.footprint], $Heap[n, Node.footprint]) && !$Heap[$Heap[n, Node.next], Node.footprint][n] && Seq#Equal($Heap[n, Node.list], Seq#Append(Seq#Build(Seq#Empty(), 0, $Heap[n, Node.data], 1), $Heap[$Heap[n, Node.next], Node.list])))) && ($Heap[this, Node.next] != null ==> Node.Valid($Heap, $Heap[this, Node.next]))));
+
+
+
+
+procedure Node.ReverseInPlace(this: ref where this != null && $Heap[this, alloc]) returns (reverse: ref where reverse == null || $Heap[reverse, alloc]);
+ // user-defined preconditions
+ free requires Node.Valid($Heap, this);
+ requires $Heap[this, Node.footprint][this];
+ requires !$Heap[this, Node.footprint][null];
+ requires $Heap[this, Node.next] != null ==> Node.Valid($Heap, $Heap[this, Node.next]);
+ modifies $Heap;
+ // frame condition
+ // boilerplate
+ free ensures $HeapSucc(old($Heap), $Heap);
+
+
+
+procedure CheckWellformed$$Node.Valid(this: ref where this != null && $Heap[this, alloc]);
+
+
+
+
+
+implementation Node.ReverseInPlace(this: ref) returns (reverse: ref)
+{
+ var current: ref where current == null || $Heap[current, alloc], $PreLoopHeap0: HeapType, nx: ref where nx == null || $Heap[nx, alloc];
+
+ // ----- var-declaration statement ----- test.dfy(28,9)
+ current := $Heap[this, Node.next];
+
+
+ // ----- assignment statement ----- test.dfy(29,13)
+ reverse := this;
+ // ----- assignment statement ----- test.dfy(30,18)
+ $Heap[reverse, Node.next] := null;
+ assume $IsGoodHeap($Heap);
+
+
+
+ // ----- assignment statement ----- test.dfy(31,23)
+ $Heap[reverse, Node.footprint] := // Set#UnionOne(Set#Empty(), reverse);
+ Set#Singleton(reverse);
+
+ assert current == null;
+}
+
+
diff --git a/Test/test21/MapOutputTypeParams.bpl b/Test/test21/MapOutputTypeParams.bpl
index c9304d34..484c7dc1 100644
--- a/Test/test21/MapOutputTypeParams.bpl
+++ b/Test/test21/MapOutputTypeParams.bpl
@@ -1,39 +1,39 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-
-var p : <a>[int]a;
-
-procedure P() returns () modifies p; {
- p[13] := 5;
- p[17] := true;
- p[13] := false;
- p[17] := 8;
-
- assert p[13] == 5 && !p[13] && p[17] == 8 && p[17];
- assert p == p[28 := p]; // error
-}
-
-var q : <a, b>[int][a]b;
-
-procedure Q() returns () modifies q; {
- q[17] := q[17][true := 13];
- q[17] := q[17][true := false];
- q[16] := q[17][true := 14];
-
- assert q[17][true] == 13 && !q[17][true];
- assert q[17][true] == 14; // error
-}
-
-procedure R() returns () modifies p; {
- p[7] := 28;
- p[5] := p[7];
-
- assert p[7] == 28;
- assert p[6] == 28; // error
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+
+var p : <a>[int]a;
+
+procedure P() returns () modifies p; {
+ p[13] := 5;
+ p[17] := true;
+ p[13] := false;
+ p[17] := 8;
+
+ assert p[13] == 5 && !p[13] && p[17] == 8 && p[17];
+ assert p == p[28 := p]; // error
+}
+
+var q : <a, b>[int][a]b;
+
+procedure Q() returns () modifies q; {
+ q[17] := q[17][true := 13];
+ q[17] := q[17][true := false];
+ q[16] := q[17][true := 14];
+
+ assert q[17][true] == 13 && !q[17][true];
+ assert q[17][true] == 14; // error
+}
+
+procedure R() returns () modifies p; {
+ p[7] := 28;
+ p[5] := p[7];
+
+ assert p[7] == 28;
+ assert p[6] == 28; // error
} \ No newline at end of file
diff --git a/Test/test21/Maps0.bpl b/Test/test21/Maps0.bpl
index 125730ef..f64bd336 100644
--- a/Test/test21/Maps0.bpl
+++ b/Test/test21/Maps0.bpl
@@ -1,62 +1,62 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-const a : [int] bool;
-const b : [int, bool] int;
-
-function f<a>(a) returns (int);
-
-axiom (forall x : [int] bool :: f(x) == 7);
-axiom (forall y : [int, bool] int :: f(y) == 7);
-
-procedure P() returns () {
- var x : [int] bool;
-
- assert f(a) > 0;
- assert f(b) > 0;
-
- x := a;
- x[17] := false;
- x[16] := true;
-
- assert x[15] == a[15] && !x[17];
- assert f(x) == 7;
- assert f(x) == 8; // should not be provable
-}
-
-
-type Field a;
-
-const heap : <a>[ref, Field a] a;
-
-procedure Q() returns () {
- assert f(heap) > 0; // should not be provable
-}
-
-
-procedure R() returns () {
- var o : ref;
- var e : Field int, g : Field bool, h : Field (Field int), i : Field int;
- var heap2 : <a>[ref, Field a] a;
-
- heap2 := heap;
- heap2[o, e] := 17;
- assert heap2 == heap[o, e := 17];
-
- heap2[o, g] := true;
- assert heap2[o, e] == 17 && heap2[o, g];
-
- heap2[o, h] := e;
- assert heap2[o, heap2[o, h]] == 17;
-
- heap2[o, i] := 16;
- assert heap2[o, g];
- assert heap2[o, heap2[o, h]] == 17; // should no longer be provable
-}
-
-type ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+const a : [int] bool;
+const b : [int, bool] int;
+
+function f<a>(a) returns (int);
+
+axiom (forall x : [int] bool :: f(x) == 7);
+axiom (forall y : [int, bool] int :: f(y) == 7);
+
+procedure P() returns () {
+ var x : [int] bool;
+
+ assert f(a) > 0;
+ assert f(b) > 0;
+
+ x := a;
+ x[17] := false;
+ x[16] := true;
+
+ assert x[15] == a[15] && !x[17];
+ assert f(x) == 7;
+ assert f(x) == 8; // should not be provable
+}
+
+
+type Field a;
+
+const heap : <a>[ref, Field a] a;
+
+procedure Q() returns () {
+ assert f(heap) > 0; // should not be provable
+}
+
+
+procedure R() returns () {
+ var o : ref;
+ var e : Field int, g : Field bool, h : Field (Field int), i : Field int;
+ var heap2 : <a>[ref, Field a] a;
+
+ heap2 := heap;
+ heap2[o, e] := 17;
+ assert heap2 == heap[o, e := 17];
+
+ heap2[o, g] := true;
+ assert heap2[o, e] == 17 && heap2[o, g];
+
+ heap2[o, h] := e;
+ assert heap2[o, heap2[o, h]] == 17;
+
+ heap2[o, i] := 16;
+ assert heap2[o, g];
+ assert heap2[o, heap2[o, h]] == 17; // should no longer be provable
+}
+
+type ref;
diff --git a/Test/test21/Maps1.bpl b/Test/test21/Maps1.bpl
index 024cd50f..bcb5a9b9 100644
--- a/Test/test21/Maps1.bpl
+++ b/Test/test21/Maps1.bpl
@@ -1,42 +1,42 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-// different map type classes with the same arity
-
-const c : [int] bool;
-const d : [ref] bool;
-const e : <a> [a] bool;
-const f : <a> [a] a;
-
-axiom (c[17] ==> c[19]);
-axiom (forall<t> x:t :: e[x]);
-axiom (!d[null]);
-axiom (forall<t> x:t :: f[x] == x);
-
-procedure P() returns () {
-
- var x : <a> [a] bool;
-
- assume !c[19];
- assert !c[17];
-
- x := e;
- x[true] := false;
- x[17] := true;
-
- assert !x[true];
- assert !(forall<t> y:t :: x[y]);
- assert x != e;
-
- assert f[x] == x;
- assert f[17] > 17; // should not be provable
-
-}
-
-type ref;
-const null : ref;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+// different map type classes with the same arity
+
+const c : [int] bool;
+const d : [ref] bool;
+const e : <a> [a] bool;
+const f : <a> [a] a;
+
+axiom (c[17] ==> c[19]);
+axiom (forall<t> x:t :: e[x]);
+axiom (!d[null]);
+axiom (forall<t> x:t :: f[x] == x);
+
+procedure P() returns () {
+
+ var x : <a> [a] bool;
+
+ assume !c[19];
+ assert !c[17];
+
+ x := e;
+ x[true] := false;
+ x[17] := true;
+
+ assert !x[true];
+ assert !(forall<t> y:t :: x[y]);
+ assert x != e;
+
+ assert f[x] == x;
+ assert f[17] > 17; // should not be provable
+
+}
+
+type ref;
+const null : ref;
diff --git a/Test/test21/Maps2.bpl b/Test/test21/Maps2.bpl
index 0b7980c7..9713875f 100644
--- a/Test/test21/Maps2.bpl
+++ b/Test/test21/Maps2.bpl
@@ -1,31 +1,31 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// XFAIL: *
-
-type T;
-
-function f(x : [T][int]int) returns (int);
-
-axiom (forall x:[T][int]int :: {f(x)}
- (exists t:T :: x[t][13] == 42) ==> f(x) == 5);
-
-procedure P() returns () {
- var x : [T][int]int, t : T;
-
- x[t] := x[t][13 := 42];
-
- assert f(x) == 5;
-}
-
-
-type name;
-
-function Field(int) returns (name);
-function Unified([name][int]int) returns ([int]int);
-
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])}
- Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// XFAIL: *
+
+type T;
+
+function f(x : [T][int]int) returns (int);
+
+axiom (forall x:[T][int]int :: {f(x)}
+ (exists t:T :: x[t][13] == 42) ==> f(x) == 5);
+
+procedure P() returns () {
+ var x : [T][int]int, t : T;
+
+ x[t] := x[t][13 := 42];
+
+ assert f(x) == 5;
+}
+
+
+type name;
+
+function Field(int) returns (name);
+function Unified([name][int]int) returns ([int]int);
+
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])}
+ Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
diff --git a/Test/test21/NameClash.bpl b/Test/test21/NameClash.bpl
index d31a6e01..59f361a8 100644
--- a/Test/test21/NameClash.bpl
+++ b/Test/test21/NameClash.bpl
@@ -1,14 +1,14 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-function f(int) returns (int);
-axiom f(13) == 0;
-
-procedure P() returns () {
- assert (exists f:int :: 0 == f(f));
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+function f(int) returns (int);
+axiom f(13) == 0;
+
+procedure P() returns () {
+ assert (exists f:int :: 0 == f(f));
} \ No newline at end of file
diff --git a/Test/test21/Orderings.bpl b/Test/test21/Orderings.bpl
index 39361461..158f0e89 100644
--- a/Test/test21/Orderings.bpl
+++ b/Test/test21/Orderings.bpl
@@ -1,26 +1,26 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-const a, b:int;
-const c:int extends a, b;
-
-procedure P() returns () {
- var x:int;
-
- assert c <: a;
-
- assume c <: x && x <: a;
- assert x == c || a == x;
-
- assert x == b; // should not be provable
-}
-
-procedure Q() returns () {
- assume b <: a;
- assert b == a;
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+const a, b:int;
+const c:int extends a, b;
+
+procedure P() returns () {
+ var x:int;
+
+ assert c <: a;
+
+ assume c <: x && x <: a;
+ assert x == c || a == x;
+
+ assert x == b; // should not be provable
+}
+
+procedure Q() returns () {
+ assume b <: a;
+ assert b == a;
} \ No newline at end of file
diff --git a/Test/test21/Orderings2.bpl b/Test/test21/Orderings2.bpl
index 01d3be15..c475ca79 100644
--- a/Test/test21/Orderings2.bpl
+++ b/Test/test21/Orderings2.bpl
@@ -1,24 +1,24 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-const b:int;
-const a:int extends b complete;
-
-const c:int extends a;
-const d:int extends a;
-
-procedure P() returns () {
- var x:int;
-
- assert c <: b && d <: a;
-
- assume x <: a && !(x <: c) && x != a;
- assert x <: d;
-
- assert b <: x; // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+const b:int;
+const a:int extends b complete;
+
+const c:int extends a;
+const d:int extends a;
+
+procedure P() returns () {
+ var x:int;
+
+ assert c <: b && d <: a;
+
+ assume x <: a && !(x <: c) && x != a;
+ assert x <: d;
+
+ assert b <: x; // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/Orderings3.bpl b/Test/test21/Orderings3.bpl
index 3036d8e6..82b619ac 100644
--- a/Test/test21/Orderings3.bpl
+++ b/Test/test21/Orderings3.bpl
@@ -1,44 +1,44 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// Example from the Boogie 2 language report
-
-
-type Wicket;
-
-
-const unique a: Wicket extends complete;
-const unique b: Wicket;
-const unique c: Wicket extends a, b complete;
-const unique d: Wicket extends c;
-const unique e: Wicket;
-
-procedure P() returns () {
-
- assert !(exists x:Wicket :: a <: x && a != x);
- assert (forall x:Wicket :: x <: a ==> x == a || x <: c);
-
- assert c <: b && !(exists x:Wicket :: c <: x && x <: b && x != c && x != b);
-
- assert !(b <: a) && !(b <: c);
-
- assert c <: a && c <: b && d <: c;
- assert (forall x:Wicket :: c <: x ==> c==x || a <: x || b <: x);
- assert (forall x:Wicket :: x <: c ==> c==x || x <: d);
-
- assert d <: c;
- assert !(a <: d) && !(b <: d) && !(c <: d);
-
- assert false; // unprovable
-}
-
-procedure Q() returns () {
-
- assert (forall x:Wicket :: x <: b && x != b ==> x <: c); // unprovable
-
- assert !(exists x:Wicket :: b <: x && b != x); // unprovable
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// Example from the Boogie 2 language report
+
+
+type Wicket;
+
+
+const unique a: Wicket extends complete;
+const unique b: Wicket;
+const unique c: Wicket extends a, b complete;
+const unique d: Wicket extends c;
+const unique e: Wicket;
+
+procedure P() returns () {
+
+ assert !(exists x:Wicket :: a <: x && a != x);
+ assert (forall x:Wicket :: x <: a ==> x == a || x <: c);
+
+ assert c <: b && !(exists x:Wicket :: c <: x && x <: b && x != c && x != b);
+
+ assert !(b <: a) && !(b <: c);
+
+ assert c <: a && c <: b && d <: c;
+ assert (forall x:Wicket :: c <: x ==> c==x || a <: x || b <: x);
+ assert (forall x:Wicket :: x <: c ==> c==x || x <: d);
+
+ assert d <: c;
+ assert !(a <: d) && !(b <: d) && !(c <: d);
+
+ assert false; // unprovable
+}
+
+procedure Q() returns () {
+
+ assert (forall x:Wicket :: x <: b && x != b ==> x <: c); // unprovable
+
+ assert !(exists x:Wicket :: b <: x && b != x); // unprovable
+
} \ No newline at end of file
diff --git a/Test/test21/Orderings4.bpl b/Test/test21/Orderings4.bpl
index 00da92dc..9b4bbf0a 100644
--- a/Test/test21/Orderings4.bpl
+++ b/Test/test21/Orderings4.bpl
@@ -1,17 +1,17 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-type Wicket;
-
-const unique r: Wicket;
-const unique s, t: Wicket extends unique r;
-
-procedure P() returns () {
- assert (forall x:Wicket, y:Wicket :: x <: s && y <: t ==> x != y);
- assert false; // unprovable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+type Wicket;
+
+const unique r: Wicket;
+const unique s, t: Wicket extends unique r;
+
+procedure P() returns () {
+ assert (forall x:Wicket, y:Wicket :: x <: s && y <: t ==> x != y);
+ assert false; // unprovable
} \ No newline at end of file
diff --git a/Test/test21/ParallelAssignment.bpl b/Test/test21/ParallelAssignment.bpl
index 6f7c7639..8ff3b1de 100644
--- a/Test/test21/ParallelAssignment.bpl
+++ b/Test/test21/ParallelAssignment.bpl
@@ -1,62 +1,62 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// Examples from the Boogie2 language report
-
-type C, D;
-
-var x : int;
-var y : int;
-var z : int;
-var a : [int]int;
-var b : [int][C, D]int;
-
-procedure P(i:int, j:int, m:C, n:D) returns () modifies x, y, a, b; {
- var x1 : int;
- var y1 : int;
-
- x := x+1;
- a[i] := 12;
-
- assert a[i] == 12;
-
- x1 := x;
- y1 := y;
-
- x, y := y, x;
-
- assert x == y1 && y == x1;
- assert x == x1; // error
-
- x, a[i] := x+1, x;
- assert x == y1+1 && a[i] == y1;
-
- b[i][m, n] := 17;
- b[i][m, n], x := a[x], y;
-
- assert b[i][m, n] == a[y1+1];
- assert false; // error
-}
-
-procedure Q() returns () modifies x, y, z; {
-
- x, y, z := 1, 2, 3;
-
- x, y, z := y, z, x;
- x, y, z := y, z, x;
- x, y, z := y, z, x;
-
- assert x == 1 && y == 2 && z == 3;
-
- x, y, z := y+1, z+1, x+1;
- x, y, z := y+1, z+1, x+1;
- x, y, z := y+1, z+1, x+1;
-
- assert x == 4 && y == 5 && z == 6;
-
- assert a[x] == a[y]; // error
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// Examples from the Boogie2 language report
+
+type C, D;
+
+var x : int;
+var y : int;
+var z : int;
+var a : [int]int;
+var b : [int][C, D]int;
+
+procedure P(i:int, j:int, m:C, n:D) returns () modifies x, y, a, b; {
+ var x1 : int;
+ var y1 : int;
+
+ x := x+1;
+ a[i] := 12;
+
+ assert a[i] == 12;
+
+ x1 := x;
+ y1 := y;
+
+ x, y := y, x;
+
+ assert x == y1 && y == x1;
+ assert x == x1; // error
+
+ x, a[i] := x+1, x;
+ assert x == y1+1 && a[i] == y1;
+
+ b[i][m, n] := 17;
+ b[i][m, n], x := a[x], y;
+
+ assert b[i][m, n] == a[y1+1];
+ assert false; // error
+}
+
+procedure Q() returns () modifies x, y, z; {
+
+ x, y, z := 1, 2, 3;
+
+ x, y, z := y, z, x;
+ x, y, z := y, z, x;
+ x, y, z := y, z, x;
+
+ assert x == 1 && y == 2 && z == 3;
+
+ x, y, z := y+1, z+1, x+1;
+ x, y, z := y+1, z+1, x+1;
+ x, y, z := y+1, z+1, x+1;
+
+ assert x == 4 && y == 5 && z == 6;
+
+ assert a[x] == a[y]; // error
+
} \ No newline at end of file
diff --git a/Test/test21/PolyList.bpl b/Test/test21/PolyList.bpl
index 08fcd637..f7ff40d6 100644
--- a/Test/test21/PolyList.bpl
+++ b/Test/test21/PolyList.bpl
@@ -1,68 +1,68 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-
-type List a;
-
-function Cons<a>(x:a, y:List a) returns (List a);
-
-// we need some argument ... ugly
-function Nil<a>(a) returns (List a);
-
-function Car<a>(List a) returns (a);
-function Cdr<a>(List a) returns (List a);
-
-axiom (forall<a> x:a, y:List a :: Car(Cons(x, y)) == x);
-axiom (forall<a> x:a, y:List a :: Cdr(Cons(x, y)) == y);
-
-function Len<a>(List a) returns (int);
-
-axiom (forall <a> x:a :: Len(Nil(x)) == 0);
-axiom (forall <a> x:a, y:List a :: Len(Cons(x, y)) == 1 + Len(y));
-
-
-
-procedure P<a>(param : a) returns () {
- var x:a, NIL : List a, l : List a;
-
- NIL := Nil(x);
-
- assert Len(NIL) == 0;
- assert Len(Cons(x,Cons(x,NIL))) == 2;
-
- l := Cons(x,Cons(x,NIL));
- assert Len(l) == 2;
-
- l := Cons(x, l);
- assert Len(l) == 3 && Car(l) == x && Len(Cdr(l)) < Len(l);
- assert (forall m : List a, y : a :: Len(Cons(y, m)) > Len(m));
-
- l := Cdr(l);
- assert Len(l) == 2 && Car(l) == x;
-
- assert Len(Cons(x,Cons(x,Cons(x,NIL)))) == 2; // should not be provable
-
-}
-
-procedure Q() returns () {
- var NIL : List int, l : List int;
-
- NIL := Nil(0);
-
- assert Len(NIL) == 0;
- assert Len(Cons(1,Cons(2,NIL))) == 2;
-
- l := NIL;
- l := Cons(42, l);
- l := Cons(Car(l) + 17, Cdr(l));
-
- assert Len(l) == 1 && Car(l) == 59;
-
- assert Len(Cons(1,Cons(2,Cons(3,NIL)))) == 2; // should not be provable
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+
+type List a;
+
+function Cons<a>(x:a, y:List a) returns (List a);
+
+// we need some argument ... ugly
+function Nil<a>(a) returns (List a);
+
+function Car<a>(List a) returns (a);
+function Cdr<a>(List a) returns (List a);
+
+axiom (forall<a> x:a, y:List a :: Car(Cons(x, y)) == x);
+axiom (forall<a> x:a, y:List a :: Cdr(Cons(x, y)) == y);
+
+function Len<a>(List a) returns (int);
+
+axiom (forall <a> x:a :: Len(Nil(x)) == 0);
+axiom (forall <a> x:a, y:List a :: Len(Cons(x, y)) == 1 + Len(y));
+
+
+
+procedure P<a>(param : a) returns () {
+ var x:a, NIL : List a, l : List a;
+
+ NIL := Nil(x);
+
+ assert Len(NIL) == 0;
+ assert Len(Cons(x,Cons(x,NIL))) == 2;
+
+ l := Cons(x,Cons(x,NIL));
+ assert Len(l) == 2;
+
+ l := Cons(x, l);
+ assert Len(l) == 3 && Car(l) == x && Len(Cdr(l)) < Len(l);
+ assert (forall m : List a, y : a :: Len(Cons(y, m)) > Len(m));
+
+ l := Cdr(l);
+ assert Len(l) == 2 && Car(l) == x;
+
+ assert Len(Cons(x,Cons(x,Cons(x,NIL)))) == 2; // should not be provable
+
+}
+
+procedure Q() returns () {
+ var NIL : List int, l : List int;
+
+ NIL := Nil(0);
+
+ assert Len(NIL) == 0;
+ assert Len(Cons(1,Cons(2,NIL))) == 2;
+
+ l := NIL;
+ l := Cons(42, l);
+ l := Cons(Car(l) + 17, Cdr(l));
+
+ assert Len(l) == 1 && Car(l) == 59;
+
+ assert Len(Cons(1,Cons(2,Cons(3,NIL)))) == 2; // should not be provable
+
} \ No newline at end of file
diff --git a/Test/test21/Real.bpl b/Test/test21/Real.bpl
index eb140f77..584fb77b 100644
--- a/Test/test21/Real.bpl
+++ b/Test/test21/Real.bpl
@@ -1,66 +1,66 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-axiom (forall r: real :: r == 0.0 || r / r == 1.0);
-
-procedure P(a: real, b: real) returns () {
- assume a >= b && a != 0.0 && a >= 1.3579;
-
- assert 2e0 * (a + 3.0) - 0.5 >= b;
- assert 2e0 * (a + 3.0) - 0.5 > b;
- assert b <= 2e0 * (a + 3.0) - 0.5;
- assert b < 2e0 * (a + 3.0) - 0.5;
-
- assert 1/2 <= 0.65;
- assert a > 100e-2 ==> 1 / a <= a;
- assert a / 2 != a || a == 0.00;
- assert a != 0.0 ==> a / a == 1.0;
-
- assert int(a) >= 0 ==> real(3) * a > a;
-}
-
-procedure ManyDigitReals()
-{
- var x: real;
- var y: real;
- x := 15e-1;
- y := real(3);
- if (*) {
- assert x == y / 2000000000000000000000000001e-27; // error
- } else {
- assert x == y / 2000000000000000000000000000e-27;
- }
-}
-
-procedure Rounding()
-{
- assert real(3) == 3.0;
- assert int(2.2) == int(2.8);
- assert int(2.2) == 2;
- assert int(-2.2) == int(-2.8);
- if (*) {
- assert int(-2.2) == -3;
- } else {
- assert int(-2.2) == -2; // error: int truncates downward
- }
-}
-
-procedure VariousCornerCaseBigDecPrintingTests()
-{
- assert 200e-2 == 2.0;
- assert 000e-2 == 0.0;
- assert 000e-1 == 0.0;
- assert 000e-4 == 0.0;
- assert 000e0 == 0.0;
- assert 0e-300 == 0.0;
- assert 12300e-4 == 1.230;
- assert 12300e-5 == 0.123;
- assert 12300e-8 == 000.000123;
- assert 1.9850404e5 == 198504.04;
- assert 19850404e-4 == 1985.0404;
- assert 19850404e-12 == 0.00001985040400000;
- assert 19850404e0000000000000000 == 1985.0404e4;
-}
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+axiom (forall r: real :: r == 0.0 || r / r == 1.0);
+
+procedure P(a: real, b: real) returns () {
+ assume a >= b && a != 0.0 && a >= 1.3579;
+
+ assert 2e0 * (a + 3.0) - 0.5 >= b;
+ assert 2e0 * (a + 3.0) - 0.5 > b;
+ assert b <= 2e0 * (a + 3.0) - 0.5;
+ assert b < 2e0 * (a + 3.0) - 0.5;
+
+ assert 1/2 <= 0.65;
+ assert a > 100e-2 ==> 1 / a <= a;
+ assert a / 2 != a || a == 0.00;
+ assert a != 0.0 ==> a / a == 1.0;
+
+ assert int(a) >= 0 ==> real(3) * a > a;
+}
+
+procedure ManyDigitReals()
+{
+ var x: real;
+ var y: real;
+ x := 15e-1;
+ y := real(3);
+ if (*) {
+ assert x == y / 2000000000000000000000000001e-27; // error
+ } else {
+ assert x == y / 2000000000000000000000000000e-27;
+ }
+}
+
+procedure Rounding()
+{
+ assert real(3) == 3.0;
+ assert int(2.2) == int(2.8);
+ assert int(2.2) == 2;
+ assert int(-2.2) == int(-2.8);
+ if (*) {
+ assert int(-2.2) == -3;
+ } else {
+ assert int(-2.2) == -2; // error: int truncates downward
+ }
+}
+
+procedure VariousCornerCaseBigDecPrintingTests()
+{
+ assert 200e-2 == 2.0;
+ assert 000e-2 == 0.0;
+ assert 000e-1 == 0.0;
+ assert 000e-4 == 0.0;
+ assert 000e0 == 0.0;
+ assert 0e-300 == 0.0;
+ assert 12300e-4 == 1.230;
+ assert 12300e-5 == 0.123;
+ assert 12300e-8 == 000.000123;
+ assert 1.9850404e5 == 198504.04;
+ assert 19850404e-4 == 1985.0404;
+ assert 19850404e-12 == 0.00001985040400000;
+ assert 19850404e0000000000000000 == 1985.0404e4;
+}
diff --git a/Test/test21/Triggers0.bpl b/Test/test21/Triggers0.bpl
index c3ff14d5..c4b610f2 100644
--- a/Test/test21/Triggers0.bpl
+++ b/Test/test21/Triggers0.bpl
@@ -1,50 +1,50 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-const ar : [int]bool;
-axiom (forall x:int :: {ar[x]} !ar[x]);
-
-type S, T, C a b;
-
-function m(T,S) returns (bool);
-function n(T,T) returns (bool);
-function f<a>(C a T, a) returns (int);
-function f2<a>(C a T, a) returns (int);
-function g(T) returns (T);
-function h<a>(a) returns (a);
-function k<a>(C a a) returns (bool);
-function l<a>(a) returns (bool);
-function o<a>(a) returns (bool);
-
-const con : T;
-const someConst : int;
-
-axiom (forall <b> x:C b b :: k(x));
-axiom (forall x:C S T, y : S :: f(x,y) == f2(x,y));
-axiom (forall x:S, y:T :: l(x) && n(y, con) == m(y,x));
-axiom (forall x:T :: {g(h(x))} {g(x)} x == x);
-axiom (forall <b> x:b :: {h(x)} x == x);
-axiom (forall <b> x:b, y:b :: {o(x), o(y)} o(x) ==> someConst == 42);
-axiom (forall <b> x:C b b :: {k(x)} k(x));
-
-procedure P() returns () {
- var v0 : C S S, v1 : C S T, v2 : S, v3 : T;
-
- assert ar[27] == false;
- assert k(v0);
- assert f(v1, v2) == f2(v1, v2);
- assert n(v3, con) == m(v3, v2);
-}
-
-procedure Q<a>(x : a) returns () {
- assert someConst == 42; // should not be provable
-
- assume o(x) == o(x);
- assert someConst == 42;
- assert someConst == 43; // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+const ar : [int]bool;
+axiom (forall x:int :: {ar[x]} !ar[x]);
+
+type S, T, C a b;
+
+function m(T,S) returns (bool);
+function n(T,T) returns (bool);
+function f<a>(C a T, a) returns (int);
+function f2<a>(C a T, a) returns (int);
+function g(T) returns (T);
+function h<a>(a) returns (a);
+function k<a>(C a a) returns (bool);
+function l<a>(a) returns (bool);
+function o<a>(a) returns (bool);
+
+const con : T;
+const someConst : int;
+
+axiom (forall <b> x:C b b :: k(x));
+axiom (forall x:C S T, y : S :: f(x,y) == f2(x,y));
+axiom (forall x:S, y:T :: l(x) && n(y, con) == m(y,x));
+axiom (forall x:T :: {g(h(x))} {g(x)} x == x);
+axiom (forall <b> x:b :: {h(x)} x == x);
+axiom (forall <b> x:b, y:b :: {o(x), o(y)} o(x) ==> someConst == 42);
+axiom (forall <b> x:C b b :: {k(x)} k(x));
+
+procedure P() returns () {
+ var v0 : C S S, v1 : C S T, v2 : S, v3 : T;
+
+ assert ar[27] == false;
+ assert k(v0);
+ assert f(v1, v2) == f2(v1, v2);
+ assert n(v3, con) == m(v3, v2);
+}
+
+procedure Q<a>(x : a) returns () {
+ assert someConst == 42; // should not be provable
+
+ assume o(x) == o(x);
+ assert someConst == 42;
+ assert someConst == 43; // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/Triggers1.bpl b/Test/test21/Triggers1.bpl
index a4199040..3d8c95af 100644
--- a/Test/test21/Triggers1.bpl
+++ b/Test/test21/Triggers1.bpl
@@ -1,23 +1,23 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-
-
-
-function f<a>(a) returns (bool);
-function g(int) returns (bool);
-
-axiom (forall x:int :: f(x));
-axiom (forall x:int :: g(x));
-
-procedure P() returns () {
- var x : int, m : [int]int;
- assert f(x);
- assert f(m[x]);
- assert g(x);
- assert g(m[x]);
- assert f(true); // should not be provable
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+
+
+
+function f<a>(a) returns (bool);
+function g(int) returns (bool);
+
+axiom (forall x:int :: f(x));
+axiom (forall x:int :: g(x));
+
+procedure P() returns () {
+ var x : int, m : [int]int;
+ assert f(x);
+ assert f(m[x]);
+ assert g(x);
+ assert g(m[x]);
+ assert f(true); // should not be provable
} \ No newline at end of file
diff --git a/Test/test21/test3_AddMethod_conv.bpl b/Test/test21/test3_AddMethod_conv.bpl
index 89c34c45..beb848f0 100644
--- a/Test/test21/test3_AddMethod_conv.bpl
+++ b/Test/test21/test3_AddMethod_conv.bpl
@@ -1,1827 +1,1827 @@
-// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
-// RUN: %diff "%s.n.expect" "%t"
-// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
-// RUN: %diff "%s.p.expect" "%t"
-// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
-// RUN: %diff "%s.a.expect" "%t"
-// XFAIL: *
-// Spec# program verifier version 0.90, Copyright (c) 2003-2008, Microsoft.
-// Command Line Options: /print:debug.txt AddMethod.dll
-
-type real;
-
-type elements;
-
-type struct;
-
-type name;
-type any;
-
-type exposeVersionType;
-
-type Field a;
-type Heap = <x>[ref,Field x]x;
-
-var $Heap: Heap where IsHeap($Heap);
-
-type ActivityType;
-
-var $ActivityIndicator: ActivityType;
-
-function IsHeap(h: Heap) returns (bool);
-
-const unique $allocated: Field bool;
-
-const unique $elements: Field elements;
-
-function DeclType222<a>(Field a) returns (name);
-
-axiom DeclType222($elements) == System.Object;
-
-const unique $inv: Field name;
-
-const unique $localinv: Field name;
-
-const unique $exposeVersion: Field exposeVersionType;
-
-axiom DeclType222($exposeVersion) == System.Object;
-
-const unique $sharingMode: Field any;
-
-const unique $SharingMode_Unshared: any;
-
-const unique $SharingMode_LockProtected: any;
-
-const unique $ownerRef: Field ref;
-
-const unique $ownerFrame: Field name;
-
-const unique $PeerGroupPlaceholder: name;
-
-function ClassRepr(class: name) returns (ref);
-
-function ClassReprInv(ref) returns (name);
-
-axiom (forall c: name :: { ClassRepr(c) } ClassReprInv(ClassRepr(c)) == c);
-
-axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
-
-axiom (forall T: name :: ClassRepr(T) != null);
-
-axiom (forall T: name, h: Heap :: { h[ClassRepr(T), $ownerFrame] } IsHeap(h) ==> h[ClassRepr(T), $ownerFrame] == $PeerGroupPlaceholder);
-
-function IncludeInMainFrameCondition<a>(f: Field a) returns (bool);
-
-axiom IncludeInMainFrameCondition($allocated);
-
-axiom IncludeInMainFrameCondition($elements);
-
-axiom !IncludeInMainFrameCondition($inv);
-
-axiom !IncludeInMainFrameCondition($localinv);
-
-axiom IncludeInMainFrameCondition($ownerRef);
-
-axiom IncludeInMainFrameCondition($ownerFrame);
-
-axiom IncludeInMainFrameCondition($exposeVersion);
-
-axiom !IncludeInMainFrameCondition($FirstConsistentOwner);
-
-function IsStaticField<a>(f: Field a) returns (bool);
-
-axiom !IsStaticField($allocated);
-
-axiom !IsStaticField($elements);
-
-axiom !IsStaticField($inv);
-
-axiom !IsStaticField($localinv);
-
-axiom !IsStaticField($exposeVersion);
-
-function $IncludedInModifiesStar<a>(f: Field a) returns (bool);
-
-axiom !$IncludedInModifiesStar($ownerRef);
-
-axiom !$IncludedInModifiesStar($ownerFrame);
-
-axiom $IncludedInModifiesStar($exposeVersion);
-
-axiom $IncludedInModifiesStar($elements);
-
-function ValueArrayGet(elements, int) returns (any);
-
-function ValueArraySet(elements, int, any) returns (elements);
-
-function IntArrayGet(elements, int) returns (int);
-
-function IntArraySet(elements, int, int) returns (elements);
-
-function RefArrayGet(elements, int) returns (ref);
-
-function RefArraySet(elements, int, ref) returns (elements);
-
-axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: int :: IntArrayGet(IntArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: int :: i != j ==> IntArrayGet(IntArraySet(A, i, x), j) == IntArrayGet(A, j));
-
-axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
-
-axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
-
-function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
-
-function ArrayIndexInvX(arrayIndex: int) returns (indexAtDim: int);
-
-function ArrayIndexInvY(arrayIndex: int) returns (remainingIndexContribution: int);
-
-axiom (forall a: ref, d: int, x: int, y: int :: { ArrayIndex(a, d, x, y) } ArrayIndexInvX(ArrayIndex(a, d, x, y)) == x);
-
-axiom (forall a: ref, d: int, x: int, y: int :: { ArrayIndex(a, d, x, y) } ArrayIndexInvY(ArrayIndex(a, d, x, y)) == y);
-
-axiom (forall a: ref, i: int, heap: Heap :: { IntArrayGet(heap[a, $elements], i) } IsHeap(heap) ==> InRange(IntArrayGet(heap[a, $elements], i), $ElementType($typeof(a))));
-
-axiom (forall a: ref, i: int, heap: Heap :: { $typeof(RefArrayGet(heap[a, $elements], i)) } IsHeap(heap) && RefArrayGet(heap[a, $elements], i) != null ==> $typeof(RefArrayGet(heap[a, $elements], i)) <: $ElementType($typeof(a)));
-
-axiom (forall a: ref, T: name, i: int, r: int, heap: Heap :: { $typeof(a) <: NonNullRefArray(T, r), RefArrayGet(heap[a, $elements], i) } IsHeap(heap) && $typeof(a) <: NonNullRefArray(T, r) ==> RefArrayGet(heap[a, $elements], i) != null);
-
-function $Rank(ref) returns (int);
-
-axiom (forall a: ref :: 1 <= $Rank(a));
-
-axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: RefArray(T, r) } a != null && $typeof(a) <: RefArray(T, r) ==> $Rank(a) == r);
-
-axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: NonNullRefArray(T, r) } a != null && $typeof(a) <: NonNullRefArray(T, r) ==> $Rank(a) == r);
-
-axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: ValueArray(T, r) } a != null && $typeof(a) <: ValueArray(T, r) ==> $Rank(a) == r);
-
-axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: IntArray(T, r) } a != null && $typeof(a) <: IntArray(T, r) ==> $Rank(a) == r);
-
-function $Length(ref) returns (int);
-
-axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a) && $Length(a) <= int#2147483647);
-
-function $DimLength(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
-
-axiom (forall a: ref :: { $DimLength(a, 0) } $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
-
-function $LBound(ref, int) returns (int);
-
-function $UBound(ref, int) returns (int);
-
-axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
-
-axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
-
-const unique $ArrayCategoryValue: name;
-
-const unique $ArrayCategoryInt: name;
-
-const unique $ArrayCategoryRef: name;
-
-const unique $ArrayCategoryNonNullRef: name;
-
-function $ArrayCategory(arrayType: name) returns (arrayCategory: name);
-
-axiom (forall T: name, ET: name, r: int :: { T <: ValueArray(ET, r) } T <: ValueArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryValue);
-
-axiom (forall T: name, ET: name, r: int :: { T <: IntArray(ET, r) } T <: IntArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryInt);
-
-axiom (forall T: name, ET: name, r: int :: { T <: RefArray(ET, r) } T <: RefArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryRef);
-
-axiom (forall T: name, ET: name, r: int :: { T <: NonNullRefArray(ET, r) } T <: NonNullRefArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryNonNullRef);
-
-const unique System.Array: name;
-
-axiom System.Array <: System.Object;
-
-function $ElementType(name) returns (name);
-
-function ValueArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: ValueArray(T, r) && ValueArray(T, r) <: System.Array);
-
-function IntArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { IntArray(T, r) } IntArray(T, r) <: IntArray(T, r) && IntArray(T, r) <: System.Array);
-
-function RefArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: RefArray(T, r) && RefArray(T, r) <: System.Array);
-
-function NonNullRefArray(elementType: name, rank: int) returns (name);
-
-axiom (forall T: name, r: int :: { NonNullRefArray(T, r) } NonNullRefArray(T, r) <: NonNullRefArray(T, r) && NonNullRefArray(T, r) <: System.Array);
-
-function NonNullRefArrayRaw(array: ref, elementType: name, rank: int) returns (bool);
-
-axiom (forall array: ref, elementType: name, rank: int :: { NonNullRefArrayRaw(array, elementType, rank) } NonNullRefArrayRaw(array, elementType, rank) ==> $typeof(array) <: System.Array && $Rank(array) == rank && elementType <: $ElementType($typeof(array)));
-
-axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
-
-axiom (forall T: name, U: name, r: int :: U <: T ==> NonNullRefArray(U, r) <: NonNullRefArray(T, r));
-
-axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
-
-axiom (forall A: name, r: int :: $ElementType(IntArray(A, r)) == A);
-
-axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
-
-axiom (forall A: name, r: int :: $ElementType(NonNullRefArray(A, r)) == A);
-
-axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T != A && T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
-
-axiom (forall A: name, r: int, T: name :: { T <: NonNullRefArray(A, r) } T <: NonNullRefArray(A, r) ==> T != A && T == NonNullRefArray($ElementType(T), r) && $ElementType(T) <: A);
-
-axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
-
-axiom (forall A: name, r: int, T: name :: { T <: IntArray(A, r) } T <: IntArray(A, r) ==> T == IntArray(A, r));
-
-axiom (forall A: name, r: int, T: name :: { RefArray(A, r) <: T } RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
-
-axiom (forall A: name, r: int, T: name :: { NonNullRefArray(A, r) <: T } NonNullRefArray(A, r) <: T ==> System.Array <: T || (T == NonNullRefArray($ElementType(T), r) && A <: $ElementType(T)));
-
-axiom (forall A: name, r: int, T: name :: { ValueArray(A, r) <: T } ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
-
-axiom (forall A: name, r: int, T: name :: { IntArray(A, r) <: T } IntArray(A, r) <: T ==> System.Array <: T || T == IntArray(A, r));
-
-function $ArrayPtr(elementType: name) returns (name);
-
-function $ElementProxy(ref, int) returns (ref);
-
-function $ElementProxyStruct(struct, int) returns (ref);
-
-axiom (forall a: ref, i: int, heap: Heap :: { heap[RefArrayGet(heap[a, $elements], i), $ownerRef] } { heap[RefArrayGet(heap[a, $elements], i), $ownerFrame] } IsHeap(heap) && $typeof(a) <: System.Array ==> RefArrayGet(heap[a, $elements], i) == null || $IsImmutable($typeof(RefArrayGet(heap[a, $elements], i))) || (heap[RefArrayGet(heap[a, $elements], i), $ownerRef] == heap[$ElementProxy(a, 0 - 1), $ownerRef] && heap[RefArrayGet(heap[a, $elements], i), $ownerFrame] == heap[$ElementProxy(a, 0 - 1), $ownerFrame]));
-
-axiom (forall a: ref, heap: Heap :: { IsAllocated(heap, a) } IsHeap(heap) && IsAllocated(heap, a) && $typeof(a) <: System.Array ==> IsAllocated(heap, $ElementProxy(a, 0 - 1)));
-
-axiom (forall o: ref, pos: int :: { $typeof($ElementProxy(o, pos)) } $typeof($ElementProxy(o, pos)) == System.Object);
-
-axiom (forall o: struct, pos: int :: { $typeof($ElementProxyStruct(o, pos)) } $typeof($ElementProxyStruct(o, pos)) == System.Object);
-
-function $StructGet(struct, name) returns (any);
-
-function $StructSet(struct, name, any) returns (struct);
-
-axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
-
-axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
-
-function ZeroInit(s: struct, typ: name) returns (bool);
-
-function $typeof(ref) returns (name);
-
-function $BaseClass(sub: name) returns (base: name);
-
-axiom (forall T: name :: { $BaseClass(T) } T <: $BaseClass(T) && (T != System.Object ==> T != $BaseClass(T)));
-
-function AsDirectSubClass(sub: name, base: name) returns (sub': name);
-
-function OneClassDown(sub: name, base: name) returns (directSub: name);
-
-axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
-
-function $IsValueType(name) returns (bool);
-
-axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
-
-const unique System.Boolean: name;
-
-axiom $IsValueType(System.Boolean);
-
-const unique System.Object: name;
-
-function $IsTokenForType(struct, name) returns (bool);
-
-function TypeObject(name) returns (ref);
-
-const unique System.Type: name;
-
-axiom System.Type <: System.Object;
-
-axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
-
-function TypeName(ref) returns (name);
-
-axiom (forall T: name :: { TypeObject(T) } TypeName(TypeObject(T)) == T);
-
-function $Is(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
-
-function $IsNotNull(ref, name) returns (bool);
-
-axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
-
-function $As(ref, name) returns (ref);
-
-axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
-
-axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
-
-axiom (forall h: Heap, o: ref :: { $typeof(o) <: System.Array, h[o, $inv] } IsHeap(h) && o != null && $typeof(o) <: System.Array ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o));
-
-function IsAllocated<a>(h: Heap, o: a) returns (bool);
-
-axiom (forall<a> h: Heap, o: ref, f: Field a :: { IsAllocated(h, h[o, f]) } IsHeap(h) && h[o, $allocated] ==> IsAllocated(h, h[o, f]));
-
-axiom (forall h: Heap, o: ref, f: Field ref :: { h[h[o, f], $allocated] } IsHeap(h) && h[o, $allocated] ==> h[h[o, f], $allocated]);
-
-axiom (forall h: Heap, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
-
-axiom (forall h: Heap, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
-
-axiom (forall h: Heap, e: elements, i: int :: { IsAllocated(h, ValueArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, ValueArrayGet(e, i)));
-
-axiom (forall h: Heap, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> h[o, $allocated]);
-
-axiom (forall h: Heap, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> h[ClassRepr(c), $allocated]);
-
-const $BeingConstructed: ref;
-
-const unique $NonNullFieldsAreInitialized: Field bool;
-
-const $PurityAxiomsCanBeAssumed: bool;
-
-axiom DeclType222($NonNullFieldsAreInitialized) == System.Object;
-
-
-
-function AsNonNullRefField(field: Field ref, T: name) returns (f: Field ref);
-
-function AsRefField(field: Field ref, T: name) returns (f: Field ref);
-
-function AsRangeField(field: Field int, T: name) returns (f: Field int);
-
-axiom (forall f: Field ref, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
-
-axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(h[o, AsRefField(f, T)], T));
-
-axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) && o != null && (o != $BeingConstructed || h[$BeingConstructed, $NonNullFieldsAreInitialized] == true) ==> h[o, AsNonNullRefField(f, T)] != null);
-
-axiom (forall h: Heap, o: ref, f: Field int, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(h[o, AsRangeField(f, T)], T));
-
-function $IsMemberlessType(name) returns (bool);
-
-axiom (forall o: ref :: { $IsMemberlessType($typeof(o)) } !$IsMemberlessType($typeof(o)));
-
-function $AsInterface(name) returns (name);
-
-axiom (forall $J: name, s: any, b: ref :: { UnboxedType(Box(s, b)) <: $AsInterface($J) } $AsInterface($J) == $J && Box(s, b) == b && UnboxedType(Box(s, b)) <: $AsInterface($J) ==> $typeof(b) <: $J);
-
-function $HeapSucc(oldHeap: Heap, newHeap: Heap) returns (bool);
-
-function $IsImmutable(T: name) returns (bool);
-
-axiom !$IsImmutable(System.Object);
-
-function $AsImmutable(T: name) returns (theType: name);
-
-function $AsMutable(T: name) returns (theType: name);
-
-axiom (forall T: name, U: name :: { U <: $AsImmutable(T) } U <: $AsImmutable(T) ==> $IsImmutable(U) && $AsImmutable(U) == U);
-
-axiom (forall T: name, U: name :: { U <: $AsMutable(T) } U <: $AsMutable(T) ==> !$IsImmutable(U) && $AsMutable(U) == U);
-
-function AsOwner(string: ref, owner: ref) returns (theString: ref);
-
-axiom (forall o: ref, T: name :: { $typeof(o) <: $AsImmutable(T) } o != null && o != $BeingConstructed && $typeof(o) <: $AsImmutable(T) ==> (forall h: Heap :: { IsHeap(h) } IsHeap(h) ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o) && h[o, $ownerFrame] == $PeerGroupPlaceholder && AsOwner(o, h[o, $ownerRef]) == o && (forall t: ref :: { AsOwner(o, h[t, $ownerRef]) } AsOwner(o, h[t, $ownerRef]) == o ==> t == o || h[t, $ownerFrame] != $PeerGroupPlaceholder)));
-
-const unique System.String: name;
-
-function $StringLength(ref) returns (int);
-
-axiom (forall s: ref :: { $StringLength(s) } 0 <= $StringLength(s));
-
-function AsRepField(f: Field ref, declaringType: name) returns (theField: Field ref);
-
-axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsRepField(f, T)] } IsHeap(h) && h[o, AsRepField(f, T)] != null ==> h[h[o, AsRepField(f, T)], $ownerRef] == o && h[h[o, AsRepField(f, T)], $ownerFrame] == T);
-
-function AsPeerField(f: Field ref) returns (theField: Field ref);
-
-axiom (forall h: Heap, o: ref, f: Field ref :: { h[o, AsPeerField(f)] } IsHeap(h) && h[o, AsPeerField(f)] != null ==> h[h[o, AsPeerField(f)], $ownerRef] == h[o, $ownerRef] && h[h[o, AsPeerField(f)], $ownerFrame] == h[o, $ownerFrame]);
-
-function AsElementsRepField(f: Field ref, declaringType: name, position: int) returns (theField: Field ref);
-
-axiom (forall h: Heap, o: ref, f: Field ref, T: name, i: int :: { h[o, AsElementsRepField(f, T, i)] } IsHeap(h) && h[o, AsElementsRepField(f, T, i)] != null ==> h[$ElementProxy(h[o, AsElementsRepField(f, T, i)], i), $ownerRef] == o && h[$ElementProxy(h[o, AsElementsRepField(f, T, i)], i), $ownerFrame] == T);
-
-function AsElementsPeerField(f: Field ref, position: int) returns (theField: Field ref);
-
-axiom (forall h: Heap, o: ref, f: Field ref, i: int :: { h[o, AsElementsPeerField(f, i)] } IsHeap(h) && h[o, AsElementsPeerField(f, i)] != null ==> h[$ElementProxy(h[o, AsElementsPeerField(f, i)], i), $ownerRef] == h[o, $ownerRef] && h[$ElementProxy(h[o, AsElementsPeerField(f, i)], i), $ownerFrame] == h[o, $ownerFrame]);
-
-axiom (forall h: Heap, o: ref :: { h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] } IsHeap(h) && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o));
-
-procedure $SetOwner(o: ref, ow: ref, fr: name);
- modifies $Heap;
- ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[o, $ownerRef]) || old($Heap[p, $ownerFrame] != $Heap[o, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
- ensures (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[o, $ownerRef]) && old($Heap[p, $ownerFrame] == $Heap[o, $ownerFrame]) ==> $Heap[p, $ownerRef] == ow && $Heap[p, $ownerFrame] == fr);
- free ensures $HeapSucc(old($Heap), $Heap);
-
-
-
-procedure $UpdateOwnersForRep(o: ref, T: name, e: ref);
- modifies $Heap;
- ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[e, $ownerRef]) || old($Heap[p, $ownerFrame] != $Heap[e, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
- ensures e == null ==> $Heap == old($Heap);
- ensures e != null ==> (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[e, $ownerRef]) && old($Heap[p, $ownerFrame] == $Heap[e, $ownerFrame]) ==> $Heap[p, $ownerRef] == o && $Heap[p, $ownerFrame] == T);
- free ensures $HeapSucc(old($Heap), $Heap);
-
-
-
-procedure $UpdateOwnersForPeer(c: ref, d: ref);
- modifies $Heap;
- ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[d, $ownerRef] || $Heap[p, $ownerFrame] != $Heap[d, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
- ensures d == null ==> $Heap == old($Heap);
- ensures d != null ==> (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[d, $ownerRef] && $Heap[p, $ownerFrame] == $Heap[d, $ownerFrame]) ==> $Heap[p, $ownerRef] == old($Heap)[c, $ownerRef] && $Heap[p, $ownerFrame] == old($Heap)[c, $ownerFrame]);
- free ensures $HeapSucc(old($Heap), $Heap);
-
-
-
-const unique $FirstConsistentOwner: Field ref;
-
-function $AsPureObject(ref) returns (ref);
-
-function ##FieldDependsOnFCO<a>(o: ref, f: Field a, ev: exposeVersionType) returns (value: any);
-
-axiom (forall<a> o: ref, f: Field a, h: Heap :: { h[$AsPureObject(o), f] } IsHeap(h) && o != null && h[o, $allocated] == true && $AsPureObject(o) == o && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, f] == ##FieldDependsOnFCO(o, f, h[h[o, $FirstConsistentOwner], $exposeVersion]));
-
-axiom (forall o: ref, h: Heap :: { h[o, $FirstConsistentOwner] } IsHeap(h) && o != null && h[o, $allocated] == true && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, $FirstConsistentOwner] != null && h[h[o, $FirstConsistentOwner], $allocated] == true && (h[h[o, $FirstConsistentOwner], $ownerFrame] == $PeerGroupPlaceholder || !(h[h[h[o, $FirstConsistentOwner], $ownerRef], $inv] <: h[h[o, $FirstConsistentOwner], $ownerFrame]) || h[h[h[o, $FirstConsistentOwner], $ownerRef], $localinv] == $BaseClass(h[h[o, $FirstConsistentOwner], $ownerFrame])));
-
-function Box<a>(a, ref) returns (ref);
-
-function Unbox(ref) returns (any);
-
-type NondetType;
-
-function MeldNondets(NondetType, any) returns (NondetType);
-
-function BoxFunc<a>(value: a, typ: name) returns (boxedValue: ref);
-
-function AllocFunc(typ: name) returns (newValue: ref);
-
-function NewInstance(object: ref, occurrence: NondetType, activity: ActivityType) returns (newInstance: ref);
-
-axiom (forall value: any, typ: name, occurrence: NondetType, activity: ActivityType :: { NewInstance(BoxFunc(value, typ), occurrence, activity) } Box(value, NewInstance(BoxFunc(value, typ), occurrence, activity)) == NewInstance(BoxFunc(value, typ), occurrence, activity) && UnboxedType(NewInstance(BoxFunc(value, typ), occurrence, activity)) == typ);
-
-axiom (forall x: ref, typ: name, occurrence: NondetType, activity: ActivityType :: !$IsValueType(UnboxedType(x)) ==> NewInstance(BoxFunc(x, typ), occurrence, activity) == x);
-
-axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
-
-function UnboxedType(ref) returns (name);
-
-axiom (forall p: ref :: { $IsValueType(UnboxedType(p)) } $IsValueType(UnboxedType(p)) ==> (forall heap: Heap, x: any :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)) && heap[Box(x, p), $localinv] == $typeof(Box(x, p))));
-
-axiom (forall<a> x: a, p: ref :: { UnboxedType(Box(x, p)) <: System.Object } UnboxedType(Box(x, p)) <: System.Object && Box(x, p) == p ==> x == p);
-
-function BoxTester(p: ref, typ: name) returns (ref);
-
-axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
-
-axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } BoxTester(p, typ) != null ==> Box(Unbox(p), p) == p);
-
-axiom (forall typ: name, occurrence: NondetType, activity: ActivityType :: { NewInstance(AllocFunc(typ), occurrence, activity) } $typeof(NewInstance(AllocFunc(typ), occurrence, activity)) == typ && NewInstance(AllocFunc(typ), occurrence, activity) != null);
-
-axiom (forall typ: name, occurrence: NondetType, activity: ActivityType, heap: Heap :: { heap[NewInstance(AllocFunc(typ), occurrence, activity), $allocated] } IsHeap(heap) ==> heap[NewInstance(AllocFunc(typ), occurrence, activity), $allocated]);
-
-const unique System.SByte: name;
-
-axiom $IsValueType(System.SByte);
-
-const unique System.Byte: name;
-
-axiom $IsValueType(System.Byte);
-
-const unique System.Int16: name;
-
-axiom $IsValueType(System.Int16);
-
-const unique System.UInt16: name;
-
-axiom $IsValueType(System.UInt16);
-
-const unique System.Int32: name;
-
-axiom $IsValueType(System.Int32);
-
-const unique System.UInt32: name;
-
-axiom $IsValueType(System.UInt32);
-
-const unique System.Int64: name;
-
-axiom $IsValueType(System.Int64);
-
-const unique System.UInt64: name;
-
-axiom $IsValueType(System.UInt64);
-
-const unique System.Char: name;
-
-axiom $IsValueType(System.Char);
-
-const unique System.UIntPtr: name;
-
-axiom $IsValueType(System.UIntPtr);
-
-const unique System.IntPtr: name;
-
-axiom $IsValueType(System.IntPtr);
-
-const int#m2147483648: int;
-
-const int#2147483647: int;
-
-const int#4294967295: int;
-
-const int#m9223372036854775808: int;
-
-const int#9223372036854775807: int;
-
-const int#18446744073709551615: int;
-
-axiom int#m9223372036854775808 < int#m2147483648;
-
-axiom int#m2147483648 < 0 - 100000;
-
-axiom 100000 < int#2147483647;
-
-axiom int#2147483647 < int#4294967295;
-
-axiom int#4294967295 < int#9223372036854775807;
-
-axiom int#9223372036854775807 < int#18446744073709551615;
-
-axiom int#m9223372036854775808 + 1 == 0 - int#9223372036854775807;
-
-axiom int#m2147483648 + 1 == 0 - int#2147483647;
-
-function InRange(i: int, T: name) returns (bool);
-
-axiom (forall i: int :: InRange(i, System.SByte) <==> 0 - 128 <= i && i < 128);
-
-axiom (forall i: int :: InRange(i, System.Byte) <==> 0 <= i && i < 256);
-
-axiom (forall i: int :: InRange(i, System.Int16) <==> 0 - 32768 <= i && i < 32768);
-
-axiom (forall i: int :: InRange(i, System.UInt16) <==> 0 <= i && i < 65536);
-
-axiom (forall i: int :: InRange(i, System.Int32) <==> int#m2147483648 <= i && i <= int#2147483647);
-
-axiom (forall i: int :: InRange(i, System.UInt32) <==> 0 <= i && i <= int#4294967295);
-
-axiom (forall i: int :: InRange(i, System.Int64) <==> int#m9223372036854775808 <= i && i <= int#9223372036854775807);
-
-axiom (forall i: int :: InRange(i, System.UInt64) <==> 0 <= i && i <= int#18446744073709551615);
-
-axiom (forall i: int :: InRange(i, System.Char) <==> 0 <= i && i < 65536);
-
-function $IntToInt(val: int, fromType: name, toType: name) returns (int);
-
-function $IntToReal(int, fromType: name, toType: name) returns (real);
-
-function $RealToInt(real, fromType: name, toType: name) returns (int);
-
-function $RealToReal(val: real, fromType: name, toType: name) returns (real);
-
-axiom (forall z: int, B: name, C: name :: InRange(z, C) ==> $IntToInt(z, B, C) == z);
-
-function $SizeIs(name, int) returns (bool);
-
-function $IfThenElse(bool, any, any) returns (any);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
-
-axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
-
-function #neg(int) returns (int);
-
-function #and(int, int) returns (int);
-
-function #or(int, int) returns (int);
-
-function #xor(int, int) returns (int);
-
-function #shl(int, int) returns (int);
-
-function #shr(int, int) returns (int);
-
-function #rneg(real) returns (real);
-
-function #radd(real, real) returns (real);
-
-function #rsub(real, real) returns (real);
-
-function #rmul(real, real) returns (real);
-
-function #rdiv(real, real) returns (real);
-
-function #rmod(real, real) returns (real);
-
-function #rLess(real, real) returns (bool);
-
-function #rAtmost(real, real) returns (bool);
-
-function #rEq(real, real) returns (bool);
-
-function #rNeq(real, real) returns (bool);
-
-function #rAtleast(real, real) returns (bool);
-
-function #rGreater(real, real) returns (bool);
-
-axiom (forall x: int, y: int :: { x % y } { x / y } x % y == x - x / y * y);
-
-axiom (forall x: int, y: int :: { x % y } 0 <= x && 0 < y ==> 0 <= x % y && x % y < y);
-
-axiom (forall x: int, y: int :: { x % y } 0 <= x && y < 0 ==> 0 <= x % y && x % y < 0 - y);
-
-axiom (forall x: int, y: int :: { x % y } x <= 0 && 0 < y ==> 0 - y < x % y && x % y <= 0);
-
-axiom (forall x: int, y: int :: { x % y } x <= 0 && y < 0 ==> y < x % y && x % y <= 0);
-
-axiom (forall x: int, y: int :: { (x + y) % y } 0 <= x && 0 <= y ==> (x + y) % y == x % y);
-
-axiom (forall x: int, y: int :: { (y + x) % y } 0 <= x && 0 <= y ==> (y + x) % y == x % y);
-
-axiom (forall x: int, y: int :: { (x - y) % y } 0 <= x - y && 0 <= y ==> (x - y) % y == x % y);
-
-axiom (forall a: int, b: int, d: int :: { a % d, b % d } 2 <= d && a % d == b % d && a < b ==> a + d <= b);
-
-axiom (forall x: int, y: int :: { #and(x, y) } 0 <= x || 0 <= y ==> 0 <= #and(x, y));
-
-axiom (forall x: int, y: int :: { #or(x, y) } 0 <= x && 0 <= y ==> 0 <= #or(x, y) && #or(x, y) <= x + y);
-
-axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
-
-axiom (forall i: int, j: int :: { #shl(i, j) } 1 <= j ==> #shl(i, j) == #shl(i, j - 1) * 2);
-
-axiom (forall i: int, j: int :: { #shl(i, j) } 0 <= i && i < 32768 && 0 <= j && j <= 16 ==> 0 <= #shl(i, j) && #shl(i, j) <= int#2147483647);
-
-axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
-
-axiom (forall i: int, j: int :: { #shr(i, j) } 1 <= j ==> #shr(i, j) == #shr(i, j - 1) / 2);
-
-function #min(int, int) returns (int);
-
-function #max(int, int) returns (int);
-
-axiom (forall x: int, y: int :: { #min(x, y) } (#min(x, y) == x || #min(x, y) == y) && #min(x, y) <= x && #min(x, y) <= y);
-
-axiom (forall x: int, y: int :: { #max(x, y) } (#max(x, y) == x || #max(x, y) == y) && x <= #max(x, y) && y <= #max(x, y));
-
-function #System.String.IsInterned$System.String$notnull(Heap, ref) returns (ref);
-
-function #System.String.Equals$System.String(Heap, ref, ref) returns (bool);
-
-function #System.String.Equals$System.String$System.String(Heap, ref, ref) returns (bool);
-
-function ##StringEquals(ref, ref) returns (bool);
-
-axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String(h, a, b) } #System.String.Equals$System.String(h, a, b) == #System.String.Equals$System.String$System.String(h, a, b));
-
-axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String$System.String(h, a, b) } #System.String.Equals$System.String$System.String(h, a, b) == ##StringEquals(a, b) && #System.String.Equals$System.String$System.String(h, a, b) == ##StringEquals(b, a) && (a == b ==> ##StringEquals(a, b)));
-
-axiom (forall a: ref, b: ref, c: ref :: ##StringEquals(a, b) && ##StringEquals(b, c) ==> ##StringEquals(a, c));
-
-axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String$System.String(h, a, b) } a != null && b != null && #System.String.Equals$System.String$System.String(h, a, b) ==> #System.String.IsInterned$System.String$notnull(h, a) == #System.String.IsInterned$System.String$notnull(h, b));
-
-const $UnknownRef: ref;
-
-const unique Bag.a: Field ref;
-
-const unique Bag.n: Field int;
-
-const unique Microsoft.Contracts.GuardException: name;
-
-const unique System.ICloneable: name;
-
-const unique Microsoft.Contracts.ObjectInvariantException: name;
-
-const unique System.Exception: name;
-
-const unique System.Collections.IEnumerable: name;
-
-const unique System.Collections.IList: name;
-
-const unique Microsoft.Contracts.ICheckedException: name;
-
-const unique System.Reflection.MemberInfo: name;
-
-const unique Bag: name;
-
-const unique System.Reflection.IReflect: name;
-
-const unique System.Runtime.InteropServices._MemberInfo: name;
-
-const unique System.Runtime.InteropServices._Type: name;
-
-const unique System.Collections.ICollection: name;
-
-const unique System.Runtime.InteropServices._Exception: name;
-
-const unique System.Runtime.Serialization.ISerializable: name;
-
-const unique System.Reflection.ICustomAttributeProvider: name;
-
-axiom !IsStaticField(Bag.n);
-
-axiom IncludeInMainFrameCondition(Bag.n);
-
-axiom $IncludedInModifiesStar(Bag.n);
-
-axiom DeclType222(Bag.n) == Bag;
-
-axiom AsRangeField(Bag.n, System.Int32) == Bag.n;
-
-axiom !IsStaticField(Bag.a);
-
-axiom IncludeInMainFrameCondition(Bag.a);
-
-axiom $IncludedInModifiesStar(Bag.a);
-
-axiom AsRepField(Bag.a, Bag) == Bag.a;
-
-axiom DeclType222(Bag.a) == Bag;
-
-axiom AsNonNullRefField(Bag.a, IntArray(System.Int32, 1)) == Bag.a;
-
-axiom Bag <: Bag;
-
-axiom $BaseClass(Bag) == System.Object && AsDirectSubClass(Bag, $BaseClass(Bag)) == Bag;
-
-axiom !$IsImmutable(Bag) && $AsMutable(Bag) == Bag;
-
-axiom System.Array <: System.Array;
-
-axiom $BaseClass(System.Array) == System.Object && AsDirectSubClass(System.Array, $BaseClass(System.Array)) == System.Array;
-
-axiom !$IsImmutable(System.Array) && $AsMutable(System.Array) == System.Array;
-
-axiom System.ICloneable <: System.ICloneable;
-
-axiom System.ICloneable <: System.Object;
-
-axiom $IsMemberlessType(System.ICloneable);
-
-axiom $AsInterface(System.ICloneable) == System.ICloneable;
-
-axiom System.Array <: System.ICloneable;
-
-axiom System.Collections.IList <: System.Collections.IList;
-
-axiom System.Collections.IList <: System.Object;
-
-axiom System.Collections.ICollection <: System.Collections.ICollection;
-
-axiom System.Collections.ICollection <: System.Object;
-
-axiom System.Collections.IEnumerable <: System.Collections.IEnumerable;
-
-axiom System.Collections.IEnumerable <: System.Object;
-
-axiom $IsMemberlessType(System.Collections.IEnumerable);
-
-axiom $AsInterface(System.Collections.IEnumerable) == System.Collections.IEnumerable;
-
-axiom System.Collections.ICollection <: System.Collections.IEnumerable;
-
-axiom $IsMemberlessType(System.Collections.ICollection);
-
-axiom $AsInterface(System.Collections.ICollection) == System.Collections.ICollection;
-
-axiom System.Collections.IList <: System.Collections.ICollection;
-
-axiom System.Collections.IList <: System.Collections.IEnumerable;
-
-axiom $IsMemberlessType(System.Collections.IList);
-
-axiom $AsInterface(System.Collections.IList) == System.Collections.IList;
-
-axiom System.Array <: System.Collections.IList;
-
-axiom System.Array <: System.Collections.ICollection;
-
-axiom System.Array <: System.Collections.IEnumerable;
-
-axiom $IsMemberlessType(System.Array);
-
-// System.Array object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Array } IsHeap($h) && $h[$oi, $inv] <: System.Array && $h[$oi, $localinv] != $BaseClass(System.Array) ==> true);
-
-// Bag object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Bag } IsHeap($h) && $h[$oi, $inv] <: Bag && $h[$oi, $localinv] != $BaseClass(Bag) ==> 0 <= $h[$oi, Bag.n] && $h[$oi, Bag.n] <= $Length($h[$oi, Bag.a]));
-
-procedure Bag.SpecSharp.CheckInvariant$System.Boolean(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], throwException$in: bool where true) returns ($result: bool where true);
- // user-declared preconditions
- requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this) && (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == this && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Bag.SpecSharp.CheckInvariant$System.Boolean(this: ref, throwException$in: bool) returns ($result: bool)
-{
- var throwException: bool where true, stack0i: int, stack1i: int, stack0b: bool, stack1o: ref, return.value: bool where true, stack50000o: ref, stack0o: ref, SS$Display.Return.Local: bool where true;
-
- entry:
- throwException := throwException$in;
- goto block2380;
-
- block2380:
- goto block2482;
-
- block2482:
- // ----- nop
- // ----- load constant 0
- stack0i := 0;
- // ----- load field
- assert this != null;
- stack1i := $Heap[this, Bag.n];
- // ----- binary operator
- // ----- branch
- goto true2482to2550, false2482to2414;
-
- true2482to2550:
- assume stack0i > stack1i;
- goto block2550;
-
- false2482to2414:
- assume stack0i <= stack1i;
- goto block2414;
-
- block2550:
- // ----- copy
- stack0b := throwException;
- // ----- unary operator
- // ----- branch
- goto true2550to2584, false2550to2601;
-
- block2414:
- // ----- load field
- assert this != null;
- stack0i := $Heap[this, Bag.n];
- // ----- load field
- assert this != null;
- stack1o := $Heap[this, Bag.a];
- // ----- unary operator
- assert stack1o != null;
- stack1i := $Length(stack1o);
- // ----- unary operator
- stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
- // ----- binary operator
- // ----- branch
- goto true2414to2550, false2414to2465;
-
- true2414to2550:
- assume stack0i > stack1i;
- goto block2550;
-
- false2414to2465:
- assume stack0i <= stack1i;
- goto block2465;
-
- block2465:
- // ----- branch
- goto block2448;
-
- true2550to2584:
- assume !stack0b;
- goto block2584;
-
- false2550to2601:
- assume stack0b;
- goto block2601;
-
- block2584:
- // ----- load constant 0
- return.value := false;
- // ----- branch
- goto block2567;
-
- block2601:
- assume false;
- // ----- new object
- havoc stack50000o;
- assume $Heap[stack50000o, $allocated] == false && stack50000o != null && $typeof(stack50000o) == Microsoft.Contracts.ObjectInvariantException;
- assume $Heap[stack50000o, $ownerRef] == stack50000o && $Heap[stack50000o, $ownerFrame] == $PeerGroupPlaceholder;
- // ----- call
- assert stack50000o != null;
- call Microsoft.Contracts.ObjectInvariantException..ctor(stack50000o);
- // ----- copy
- stack0o := stack50000o;
- // ----- throw
- assert stack0o != null;
- assume false;
- return;
-
- block2448:
- // ----- load constant 1
- return.value := true;
- // ----- branch
- goto block2567;
-
- block2567:
- // ----- copy
- SS$Display.Return.Local := return.value;
- // ----- copy
- stack0b := return.value;
- // ----- return
- $result := stack0b;
- return;
-}
-
-
-
-axiom Microsoft.Contracts.ObjectInvariantException <: Microsoft.Contracts.ObjectInvariantException;
-
-axiom Microsoft.Contracts.GuardException <: Microsoft.Contracts.GuardException;
-
-axiom System.Exception <: System.Exception;
-
-axiom $BaseClass(System.Exception) == System.Object && AsDirectSubClass(System.Exception, $BaseClass(System.Exception)) == System.Exception;
-
-axiom !$IsImmutable(System.Exception) && $AsMutable(System.Exception) == System.Exception;
-
-axiom System.Runtime.Serialization.ISerializable <: System.Runtime.Serialization.ISerializable;
-
-axiom System.Runtime.Serialization.ISerializable <: System.Object;
-
-axiom $IsMemberlessType(System.Runtime.Serialization.ISerializable);
-
-axiom $AsInterface(System.Runtime.Serialization.ISerializable) == System.Runtime.Serialization.ISerializable;
-
-axiom System.Exception <: System.Runtime.Serialization.ISerializable;
-
-axiom System.Runtime.InteropServices._Exception <: System.Runtime.InteropServices._Exception;
-
-axiom System.Runtime.InteropServices._Exception <: System.Object;
-
-axiom $IsMemberlessType(System.Runtime.InteropServices._Exception);
-
-axiom $AsInterface(System.Runtime.InteropServices._Exception) == System.Runtime.InteropServices._Exception;
-
-axiom System.Exception <: System.Runtime.InteropServices._Exception;
-
-// System.Exception object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Exception } IsHeap($h) && $h[$oi, $inv] <: System.Exception && $h[$oi, $localinv] != $BaseClass(System.Exception) ==> true);
-
-axiom $BaseClass(Microsoft.Contracts.GuardException) == System.Exception && AsDirectSubClass(Microsoft.Contracts.GuardException, $BaseClass(Microsoft.Contracts.GuardException)) == Microsoft.Contracts.GuardException;
-
-axiom !$IsImmutable(Microsoft.Contracts.GuardException) && $AsMutable(Microsoft.Contracts.GuardException) == Microsoft.Contracts.GuardException;
-
-// Microsoft.Contracts.GuardException object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Microsoft.Contracts.GuardException } IsHeap($h) && $h[$oi, $inv] <: Microsoft.Contracts.GuardException && $h[$oi, $localinv] != $BaseClass(Microsoft.Contracts.GuardException) ==> true);
-
-axiom $BaseClass(Microsoft.Contracts.ObjectInvariantException) == Microsoft.Contracts.GuardException && AsDirectSubClass(Microsoft.Contracts.ObjectInvariantException, $BaseClass(Microsoft.Contracts.ObjectInvariantException)) == Microsoft.Contracts.ObjectInvariantException;
-
-axiom !$IsImmutable(Microsoft.Contracts.ObjectInvariantException) && $AsMutable(Microsoft.Contracts.ObjectInvariantException) == Microsoft.Contracts.ObjectInvariantException;
-
-// Microsoft.Contracts.ObjectInvariantException object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Microsoft.Contracts.ObjectInvariantException } IsHeap($h) && $h[$oi, $inv] <: Microsoft.Contracts.ObjectInvariantException && $h[$oi, $localinv] != $BaseClass(Microsoft.Contracts.ObjectInvariantException) ==> true);
-
-procedure Microsoft.Contracts.ObjectInvariantException..ctor(this: ref where $IsNotNull(this, Microsoft.Contracts.ObjectInvariantException) && $Heap[this, $allocated]);
- // object is fully unpacked: this.inv == Object
- free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
- // nothing is owned by [this,*] and 'this' is alone in its own peer group
- free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
- free requires $BeingConstructed == this;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // target object is allocated upon return
- free ensures $Heap[this, $allocated];
- // target object is additively exposable for Microsoft.Contracts.ObjectInvariantException
- ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == Microsoft.Contracts.ObjectInvariantException && $Heap[this, $localinv] == $typeof(this);
- ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(Microsoft.Contracts.ObjectInvariantException <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Bag..ctor$System.Int32.array$notnull(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], initialElements$in: ref where $IsNotNull(initialElements$in, IntArray(System.Int32, 1)) && $Heap[initialElements$in, $allocated]);
- // object is fully unpacked: this.inv == Object
- free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
- // initialElements is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[initialElements$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[initialElements$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // initialElements is peer consistent (owner must not be valid)
- requires $Heap[initialElements$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[initialElements$in, $ownerRef], $inv] <: $Heap[initialElements$in, $ownerFrame]) || $Heap[$Heap[initialElements$in, $ownerRef], $localinv] == $BaseClass($Heap[initialElements$in, $ownerFrame]);
- // nothing is owned by [this,*] and 'this' is alone in its own peer group
- free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
- free requires $BeingConstructed == this;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // target object is allocated upon return
- free ensures $Heap[this, $allocated];
- // target object is additively exposable for Bag
- ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == Bag && $Heap[this, $localinv] == $typeof(this);
- ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(Bag <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Bag..ctor$System.Int32.array$notnull(this: ref, initialElements$in: ref)
-{
- var initialElements: ref where $IsNotNull(initialElements, IntArray(System.Int32, 1)) && $Heap[initialElements, $allocated], stack0o: ref, stack0i: int, temp0: exposeVersionType, temp1: ref, temp2: exposeVersionType, stack1i: int, temp3: ref;
-
- entry:
- initialElements := initialElements$in;
- assume $Heap[this, Bag.n] == 0;
- goto block3332;
-
- block3332:
- goto block3468;
-
- block3468:
- // ----- nop
- // ----- copy ----- AddMethod.ssc(13,5)
- stack0o := initialElements;
- // ----- unary operator ----- AddMethod.ssc(13,5)
- assert stack0o != null;
- stack0i := $Length(stack0o);
- // ----- unary operator ----- AddMethod.ssc(13,5)
- stack0i := $IntToInt(stack0i, System.UIntPtr, System.Int32);
- // ----- store field ----- AddMethod.ssc(13,5)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- havoc temp0;
- $Heap[this, $exposeVersion] := temp0;
- $Heap[this, Bag.n] := stack0i;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- // ----- copy ----- AddMethod.ssc(14,5)
- stack0o := initialElements;
- // ----- unary operator ----- AddMethod.ssc(14,5)
- assert stack0o != null;
- stack0i := $Length(stack0o);
- // ----- unary operator ----- AddMethod.ssc(14,5)
- stack0i := $IntToInt(stack0i, System.UIntPtr, System.Int32);
- // ----- new array ----- AddMethod.ssc(14,5)
- assert 0 <= stack0i;
- havoc temp1;
- assume $Heap[temp1, $allocated] == false && $Length(temp1) == stack0i;
- assume $Heap[$ElementProxy(temp1, -1), $allocated] == false && $ElementProxy(temp1, -1) != temp1 && $ElementProxy(temp1, -1) != null;
- assume temp1 != null;
- assume $typeof(temp1) == IntArray(System.Int32, 1);
- assume $Heap[temp1, $ownerRef] == temp1 && $Heap[temp1, $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[$ElementProxy(temp1, -1), $ownerRef] == $ElementProxy(temp1, -1) && $Heap[$ElementProxy(temp1, -1), $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[temp1, $inv] == $typeof(temp1) && $Heap[temp1, $localinv] == $typeof(temp1);
- assume (forall $i: int :: IntArrayGet($Heap[temp1, $elements], $i) == 0);
- $Heap[temp1, $allocated] := true;
- call System.Object..ctor($ElementProxy(temp1, -1));
- stack0o := temp1;
- assume IsHeap($Heap);
- // ----- store field ----- AddMethod.ssc(14,5)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- assert ($Heap[stack0o, $ownerRef] == this && $Heap[stack0o, $ownerFrame] == Bag) || $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder;
- assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[stack0o, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[stack0o, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[stack0o, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[stack0o, $ownerFrame];
- call $UpdateOwnersForRep(this, Bag, stack0o);
- havoc temp2;
- $Heap[this, $exposeVersion] := temp2;
- $Heap[this, Bag.a] := stack0o;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- // ----- call ----- AddMethod.ssc(15,5)
- assert this != null;
- call System.Object..ctor(this);
- $Heap[this, $NonNullFieldsAreInitialized] := true;
- assume IsHeap($Heap);
- goto block3417;
-
- block3417:
- // ----- load field ----- AddMethod.ssc(16,5)
- assert this != null;
- stack0o := $Heap[this, Bag.a];
- // ----- load constant 0 ----- AddMethod.ssc(16,5)
- stack1i := 0;
- // ----- call ----- AddMethod.ssc(16,5)
- assert initialElements != null;
- call System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(initialElements, stack0o, stack1i);
- // ----- FrameGuard processing ----- AddMethod.ssc(17,3)
- temp3 := this;
- // ----- classic pack ----- AddMethod.ssc(17,3)
- assert temp3 != null;
- assert $Heap[temp3, $inv] == System.Object && $Heap[temp3, $localinv] == $typeof(temp3);
- assert 0 <= $Heap[temp3, Bag.n];
- assert $Heap[temp3, Bag.n] <= $Length($Heap[temp3, Bag.a]);
- assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp3 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
- $Heap[temp3, $inv] := Bag;
- assume IsHeap($Heap);
- // ----- return
- return;
-}
-
-
-
-procedure System.Object..ctor(this: ref where $IsNotNull(this, System.Object) && $Heap[this, $allocated]);
- // object is fully unpacked: this.inv == Object
- free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
- // nothing is owned by [this,*] and 'this' is alone in its own peer group
- free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
- free requires $BeingConstructed == this;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // target object is allocated upon return
- free ensures $Heap[this, $allocated];
- // target object is additively exposable for System.Object
- ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
- ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
- ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(System.Object <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(this: ref where $IsNotNull(this, System.Array) && $Heap[this, $allocated], array$in: ref where $IsNotNull(array$in, System.Array) && $Heap[array$in, $allocated], index$in: int where InRange(index$in, System.Int32));
- // user-declared preconditions
- requires array$in != null;
- requires $LBound(array$in, 0) <= index$in;
- requires $Rank(this) == 1;
- requires $Rank(array$in) == 1;
- requires $Length(this) <= $UBound(array$in, 0) + 1 - index$in;
- // target object is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // target object is peer consistent (owner must not be valid)
- requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- // array is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[array$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[array$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // array is peer consistent (owner must not be valid)
- requires $Heap[array$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[array$in, $ownerRef], $inv] <: $Heap[array$in, $ownerFrame]) || $Heap[$Heap[array$in, $ownerRef], $localinv] == $BaseClass($Heap[array$in, $ownerFrame]);
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // hard-coded postcondition
- ensures (forall $k: int :: { ValueArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(ValueArrayGet($Heap[this, $elements], $k + 0 - index$in)) == ValueArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(ValueArrayGet($Heap[array$in, $elements], $k)) == ValueArrayGet($Heap[array$in, $elements], $k)));
- ensures (forall $k: int :: { IntArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(IntArrayGet($Heap[this, $elements], $k + 0 - index$in)) == IntArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(IntArrayGet($Heap[array$in, $elements], $k)) == IntArrayGet($Heap[array$in, $elements], $k)));
- ensures (forall $k: int :: { RefArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(RefArrayGet($Heap[this, $elements], $k + 0 - index$in)) == RefArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(RefArrayGet($Heap[array$in, $elements], $k)) == RefArrayGet($Heap[array$in, $elements], $k)));
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != array$in || !($typeof(array$in) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != array$in || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-procedure Bag.Add$System.Int32(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], x$in: int where InRange(x$in, System.Int32));
- // target object is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // target object is peer consistent (owner must not be valid)
- requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != this || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Bag.Add$System.Int32(this: ref, x$in: int)
-{
- var x: int where InRange(x, System.Int32), temp0: ref, stack1s: struct, stack1o: ref, temp1: exposeVersionType, local2: ref where $Is(local2, System.Exception) && $Heap[local2, $allocated], stack0i: int, stack1i: int, stack0b: bool, b: ref where $Is(b, IntArray(System.Int32, 1)) && $Heap[b, $allocated], temp2: ref, stack0o: ref, stack2o: ref, stack3i: int, stack4o: ref, stack4i: int, temp3: exposeVersionType, local4: int where InRange(local4, System.Int32), temp4: exposeVersionType, stack0s: struct;
-
- entry:
- x := x$in;
- goto block4335;
-
- block4335:
- goto block4488;
-
- block4488:
- // ----- nop
- // ----- FrameGuard processing ----- AddMethod.ssc(22,13)
- temp0 := this;
- // ----- load token ----- AddMethod.ssc(22,13)
- havoc stack1s;
- assume $IsTokenForType(stack1s, Bag);
- // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(22,13)
- stack1o := TypeObject(Bag);
- // ----- local unpack ----- AddMethod.ssc(22,13)
- assert temp0 != null;
- assert ($Heap[temp0, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[temp0, $ownerRef], $inv] <: $Heap[temp0, $ownerFrame]) || $Heap[$Heap[temp0, $ownerRef], $localinv] == $BaseClass($Heap[temp0, $ownerFrame])) && $Heap[temp0, $inv] <: Bag && $Heap[temp0, $localinv] == $typeof(temp0);
- $Heap[temp0, $localinv] := System.Object;
- havoc temp1;
- $Heap[temp0, $exposeVersion] := temp1;
- assume IsHeap($Heap);
- local2 := null;
- goto block4505;
-
- block4505:
- // ----- load field ----- AddMethod.ssc(24,7)
- assert this != null;
- stack0i := $Heap[this, Bag.n];
- // ----- load field ----- AddMethod.ssc(24,7)
- assert this != null;
- stack1o := $Heap[this, Bag.a];
- // ----- unary operator ----- AddMethod.ssc(24,7)
- assert stack1o != null;
- stack1i := $Length(stack1o);
- // ----- unary operator ----- AddMethod.ssc(24,7)
- stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
- // ----- binary operator ----- AddMethod.ssc(24,7)
- // ----- branch ----- AddMethod.ssc(24,7)
- goto true4505to4539, false4505to4522;
-
- true4505to4539:
- assume stack0i != stack1i;
- goto block4539;
-
- false4505to4522:
- assume stack0i == stack1i;
- goto block4522;
-
- block4539:
- // ----- load field ----- AddMethod.ssc(30,7)
- assert this != null;
- stack0o := $Heap[this, Bag.a];
- // ----- load field ----- AddMethod.ssc(30,7)
- assert this != null;
- stack1i := $Heap[this, Bag.n];
- // ----- store element ----- AddMethod.ssc(30,7)
- assert stack0o != null;
- assert 0 <= stack1i;
- assert stack1i < $Length(stack0o);
- assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[stack0o, $ownerRef], $inv] <: $Heap[stack0o, $ownerFrame]) || $Heap[$Heap[stack0o, $ownerRef], $localinv] == $BaseClass($Heap[stack0o, $ownerFrame]);
- $Heap[stack0o, $elements] := IntArraySet($Heap[stack0o, $elements], stack1i, x);
- assume IsHeap($Heap);
- // ----- load field ----- AddMethod.ssc(31,7)
- assert this != null;
- local4 := $Heap[this, Bag.n];
- // ----- load constant 1 ----- AddMethod.ssc(31,7)
- stack0i := 1;
- // ----- binary operator ----- AddMethod.ssc(31,7)
- stack0i := local4 + stack0i;
- // ----- store field ----- AddMethod.ssc(31,7)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- havoc temp4;
- $Heap[this, $exposeVersion] := temp4;
- $Heap[this, Bag.n] := stack0i;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- // ----- copy
- stack0i := local4;
- // ----- branch
- goto block4658;
-
- block4522:
- // ----- load constant 2 ----- AddMethod.ssc(26,15)
- stack0i := 2;
- // ----- load field ----- AddMethod.ssc(26,15)
- assert this != null;
- stack1o := $Heap[this, Bag.a];
- // ----- unary operator ----- AddMethod.ssc(26,15)
- assert stack1o != null;
- stack1i := $Length(stack1o);
- // ----- unary operator ----- AddMethod.ssc(26,15)
- stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
- // ----- binary operator ----- AddMethod.ssc(26,15)
- stack0i := stack0i * stack1i;
- // ----- load constant 1 ----- AddMethod.ssc(26,15)
- stack1i := 1;
- // ----- binary operator ----- AddMethod.ssc(26,15)
- stack0i := stack0i + stack1i;
- // ----- new array ----- AddMethod.ssc(26,15)
- assert 0 <= stack0i;
- havoc temp2;
- assume $Heap[temp2, $allocated] == false && $Length(temp2) == stack0i;
- assume $Heap[$ElementProxy(temp2, -1), $allocated] == false && $ElementProxy(temp2, -1) != temp2 && $ElementProxy(temp2, -1) != null;
- assume temp2 != null;
- assume $typeof(temp2) == IntArray(System.Int32, 1);
- assume $Heap[temp2, $ownerRef] == temp2 && $Heap[temp2, $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[$ElementProxy(temp2, -1), $ownerRef] == $ElementProxy(temp2, -1) && $Heap[$ElementProxy(temp2, -1), $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[temp2, $inv] == $typeof(temp2) && $Heap[temp2, $localinv] == $typeof(temp2);
- assume (forall $i: int :: IntArrayGet($Heap[temp2, $elements], $i) == 0);
- $Heap[temp2, $allocated] := true;
- call System.Object..ctor($ElementProxy(temp2, -1));
- b := temp2;
- assume IsHeap($Heap);
- // ----- load field ----- AddMethod.ssc(27,9)
- assert this != null;
- stack0o := $Heap[this, Bag.a];
- // ----- load constant 0 ----- AddMethod.ssc(27,9)
- stack1i := 0;
- // ----- copy ----- AddMethod.ssc(27,9)
- stack2o := b;
- // ----- load constant 0 ----- AddMethod.ssc(27,9)
- stack3i := 0;
- // ----- load field ----- AddMethod.ssc(27,9)
- assert this != null;
- stack4o := $Heap[this, Bag.a];
- // ----- unary operator ----- AddMethod.ssc(27,9)
- assert stack4o != null;
- stack4i := $Length(stack4o);
- // ----- unary operator ----- AddMethod.ssc(27,9)
- stack4i := $IntToInt(stack4i, System.UIntPtr, System.Int32);
- // ----- call ----- AddMethod.ssc(27,9)
- call System.Array.Copy$System.Array$notnull$System.Int32$System.Array$notnull$System.Int32$System.Int32(stack0o, stack1i, stack2o, stack3i, stack4i);
- // ----- store field ----- AddMethod.ssc(28,9)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- assert ($Heap[b, $ownerRef] == this && $Heap[b, $ownerFrame] == Bag) || $Heap[b, $ownerFrame] == $PeerGroupPlaceholder;
- assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[b, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[b, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[b, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[b, $ownerFrame];
- call $UpdateOwnersForRep(this, Bag, b);
- havoc temp3;
- $Heap[this, $exposeVersion] := temp3;
- $Heap[this, Bag.a] := b;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- goto block4539;
-
- block4658:
- stack0o := null;
- // ----- binary operator
- // ----- branch
- goto true4658to4624, false4658to4641;
-
- true4658to4624:
- assume local2 == stack0o;
- goto block4624;
-
- false4658to4641:
- assume local2 != stack0o;
- goto block4641;
-
- block4624:
- // ----- load token ----- AddMethod.ssc(32,5)
- havoc stack0s;
- assume $IsTokenForType(stack0s, Bag);
- // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(32,5)
- stack0o := TypeObject(Bag);
- // ----- local pack ----- AddMethod.ssc(32,5)
- assert temp0 != null;
- assert $Heap[temp0, $localinv] == System.Object;
- assert 0 <= $Heap[temp0, Bag.n];
- assert $Heap[temp0, Bag.n] <= $Length($Heap[temp0, Bag.a]);
- assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp0 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
- $Heap[temp0, $localinv] := $typeof(temp0);
- assume IsHeap($Heap);
- goto block4726;
-
- block4641:
- // ----- is instance
- // ----- branch
- goto true4641to4624, false4641to4692;
-
- true4641to4624:
- assume $As(local2, Microsoft.Contracts.ICheckedException) != null;
- goto block4624;
-
- false4641to4692:
- assume $As(local2, Microsoft.Contracts.ICheckedException) == null;
- goto block4692;
-
- block4692:
- // ----- branch
- goto block4726;
-
- block4726:
- // ----- nop
- // ----- branch
- goto block4590;
-
- block4590:
- // ----- return
- return;
-}
-
-
-
-axiom System.Type <: System.Type;
-
-axiom System.Reflection.MemberInfo <: System.Reflection.MemberInfo;
-
-axiom $BaseClass(System.Reflection.MemberInfo) == System.Object && AsDirectSubClass(System.Reflection.MemberInfo, $BaseClass(System.Reflection.MemberInfo)) == System.Reflection.MemberInfo;
-
-axiom $IsImmutable(System.Reflection.MemberInfo) && $AsImmutable(System.Reflection.MemberInfo) == System.Reflection.MemberInfo;
-
-axiom System.Reflection.ICustomAttributeProvider <: System.Reflection.ICustomAttributeProvider;
-
-axiom System.Reflection.ICustomAttributeProvider <: System.Object;
-
-axiom $IsMemberlessType(System.Reflection.ICustomAttributeProvider);
-
-axiom $AsInterface(System.Reflection.ICustomAttributeProvider) == System.Reflection.ICustomAttributeProvider;
-
-axiom System.Reflection.MemberInfo <: System.Reflection.ICustomAttributeProvider;
-
-axiom System.Runtime.InteropServices._MemberInfo <: System.Runtime.InteropServices._MemberInfo;
-
-axiom System.Runtime.InteropServices._MemberInfo <: System.Object;
-
-axiom $IsMemberlessType(System.Runtime.InteropServices._MemberInfo);
-
-axiom $AsInterface(System.Runtime.InteropServices._MemberInfo) == System.Runtime.InteropServices._MemberInfo;
-
-axiom System.Reflection.MemberInfo <: System.Runtime.InteropServices._MemberInfo;
-
-axiom $IsMemberlessType(System.Reflection.MemberInfo);
-
-// System.Reflection.MemberInfo object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Reflection.MemberInfo } IsHeap($h) && $h[$oi, $inv] <: System.Reflection.MemberInfo && $h[$oi, $localinv] != $BaseClass(System.Reflection.MemberInfo) ==> true);
-
-axiom $BaseClass(System.Type) == System.Reflection.MemberInfo && AsDirectSubClass(System.Type, $BaseClass(System.Type)) == System.Type;
-
-axiom $IsImmutable(System.Type) && $AsImmutable(System.Type) == System.Type;
-
-axiom System.Runtime.InteropServices._Type <: System.Runtime.InteropServices._Type;
-
-axiom System.Runtime.InteropServices._Type <: System.Object;
-
-axiom $IsMemberlessType(System.Runtime.InteropServices._Type);
-
-axiom $AsInterface(System.Runtime.InteropServices._Type) == System.Runtime.InteropServices._Type;
-
-axiom System.Type <: System.Runtime.InteropServices._Type;
-
-axiom System.Reflection.IReflect <: System.Reflection.IReflect;
-
-axiom System.Reflection.IReflect <: System.Object;
-
-axiom $IsMemberlessType(System.Reflection.IReflect);
-
-axiom $AsInterface(System.Reflection.IReflect) == System.Reflection.IReflect;
-
-axiom System.Type <: System.Reflection.IReflect;
-
-axiom $IsMemberlessType(System.Type);
-
-// System.Type object invariant
-axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Type } IsHeap($h) && $h[$oi, $inv] <: System.Type && $h[$oi, $localinv] != $BaseClass(System.Type) ==> true);
-
-procedure System.Array.Copy$System.Array$notnull$System.Int32$System.Array$notnull$System.Int32$System.Int32(sourceArray$in: ref where $IsNotNull(sourceArray$in, System.Array) && $Heap[sourceArray$in, $allocated], sourceIndex$in: int where InRange(sourceIndex$in, System.Int32), destinationArray$in: ref where $IsNotNull(destinationArray$in, System.Array) && $Heap[destinationArray$in, $allocated], destinationIndex$in: int where InRange(destinationIndex$in, System.Int32), length$in: int where InRange(length$in, System.Int32));
- // user-declared preconditions
- requires sourceArray$in != null;
- requires destinationArray$in != null;
- requires $Rank(sourceArray$in) == $Rank(destinationArray$in);
- requires sourceIndex$in >= $LBound(sourceArray$in, 0);
- requires destinationIndex$in >= $LBound(destinationArray$in, 0);
- requires length$in >= 0;
- requires sourceIndex$in + length$in <= $LBound(sourceArray$in, 0) + $Length(sourceArray$in);
- requires destinationIndex$in + length$in <= $LBound(destinationArray$in, 0) + $Length(destinationArray$in);
- // sourceArray is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[sourceArray$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[sourceArray$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // sourceArray is peer consistent (owner must not be valid)
- requires $Heap[sourceArray$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[sourceArray$in, $ownerRef], $inv] <: $Heap[sourceArray$in, $ownerFrame]) || $Heap[$Heap[sourceArray$in, $ownerRef], $localinv] == $BaseClass($Heap[sourceArray$in, $ownerFrame]);
- // destinationArray is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[destinationArray$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[destinationArray$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // destinationArray is peer consistent (owner must not be valid)
- requires $Heap[destinationArray$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[destinationArray$in, $ownerRef], $inv] <: $Heap[destinationArray$in, $ownerFrame]) || $Heap[$Heap[destinationArray$in, $ownerRef], $localinv] == $BaseClass($Heap[destinationArray$in, $ownerFrame]);
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // hard-coded postcondition
- ensures (forall $k: int :: { ValueArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(ValueArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == ValueArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(ValueArrayGet($Heap[destinationArray$in, $elements], $k)) == ValueArrayGet($Heap[destinationArray$in, $elements], $k)));
- ensures (forall $k: int :: { IntArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(IntArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == IntArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(IntArrayGet($Heap[destinationArray$in, $elements], $k)) == IntArrayGet($Heap[destinationArray$in, $elements], $k)));
- ensures (forall $k: int :: { RefArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(RefArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == RefArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(RefArrayGet($Heap[destinationArray$in, $elements], $k)) == RefArrayGet($Heap[destinationArray$in, $elements], $k)));
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != destinationArray$in || !($typeof(destinationArray$in) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != destinationArray$in || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-axiom Microsoft.Contracts.ICheckedException <: Microsoft.Contracts.ICheckedException;
-
-axiom Microsoft.Contracts.ICheckedException <: System.Object;
-
-axiom $IsMemberlessType(Microsoft.Contracts.ICheckedException);
-
-axiom $AsInterface(Microsoft.Contracts.ICheckedException) == Microsoft.Contracts.ICheckedException;
-
-procedure Bag.AddAgain$System.Int32(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], x$in: int where InRange(x$in, System.Int32));
- // target object is peer consistent
- requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- // target object is peer consistent (owner must not be valid)
- requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != this || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Bag.AddAgain$System.Int32(this: ref, x$in: int)
-{
- var x: int where InRange(x, System.Int32), temp0: ref, stack1s: struct, stack1o: ref, temp1: exposeVersionType, local2: ref where $Is(local2, System.Exception) && $Heap[local2, $allocated], stack0i: int, stack1i: int, stack0b: bool, stack0o: ref, local4: int where InRange(local4, System.Int32), temp2: exposeVersionType, b: ref where $Is(b, IntArray(System.Int32, 1)) && $Heap[b, $allocated], temp3: ref, stack2i: int, temp4: exposeVersionType, stack0s: struct;
-
- entry:
- x := x$in;
- goto block6188;
-
- block6188:
- goto block6341;
-
- block6341:
- // ----- nop
- // ----- FrameGuard processing ----- AddMethod.ssc(38,13)
- temp0 := this;
- // ----- load token ----- AddMethod.ssc(38,13)
- havoc stack1s;
- assume $IsTokenForType(stack1s, Bag);
- // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(38,13)
- stack1o := TypeObject(Bag);
- // ----- local unpack ----- AddMethod.ssc(38,13)
- assert temp0 != null;
- assert ($Heap[temp0, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[temp0, $ownerRef], $inv] <: $Heap[temp0, $ownerFrame]) || $Heap[$Heap[temp0, $ownerRef], $localinv] == $BaseClass($Heap[temp0, $ownerFrame])) && $Heap[temp0, $inv] <: Bag && $Heap[temp0, $localinv] == $typeof(temp0);
- $Heap[temp0, $localinv] := System.Object;
- havoc temp1;
- $Heap[temp0, $exposeVersion] := temp1;
- assume IsHeap($Heap);
- local2 := null;
- goto block6358;
-
- block6358:
- // ----- load field ----- AddMethod.ssc(40,7)
- assert this != null;
- stack0i := $Heap[this, Bag.n];
- // ----- load field ----- AddMethod.ssc(40,7)
- assert this != null;
- stack1o := $Heap[this, Bag.a];
- // ----- unary operator ----- AddMethod.ssc(40,7)
- assert stack1o != null;
- stack1i := $Length(stack1o);
- // ----- unary operator ----- AddMethod.ssc(40,7)
- stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
- // ----- binary operator ----- AddMethod.ssc(40,7)
- // ----- branch ----- AddMethod.ssc(40,7)
- goto true6358to6392, false6358to6375;
-
- true6358to6392:
- assume stack0i != stack1i;
- goto block6392;
-
- false6358to6375:
- assume stack0i == stack1i;
- goto block6375;
-
- block6392:
- // ----- load field ----- AddMethod.ssc(46,7)
- assert this != null;
- stack0o := $Heap[this, Bag.a];
- // ----- load field ----- AddMethod.ssc(46,7)
- assert this != null;
- stack1i := $Heap[this, Bag.n];
- // ----- store element ----- AddMethod.ssc(46,7)
- assert stack0o != null;
- assert 0 <= stack1i;
- assert stack1i < $Length(stack0o);
- assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[stack0o, $ownerRef], $inv] <: $Heap[stack0o, $ownerFrame]) || $Heap[$Heap[stack0o, $ownerRef], $localinv] == $BaseClass($Heap[stack0o, $ownerFrame]);
- $Heap[stack0o, $elements] := IntArraySet($Heap[stack0o, $elements], stack1i, x);
- assume IsHeap($Heap);
- // ----- load field ----- AddMethod.ssc(47,7)
- assert this != null;
- local4 := $Heap[this, Bag.n];
- // ----- load constant 1 ----- AddMethod.ssc(47,7)
- stack0i := 1;
- // ----- binary operator ----- AddMethod.ssc(47,7)
- stack0i := local4 + stack0i;
- // ----- store field ----- AddMethod.ssc(47,7)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- havoc temp2;
- $Heap[this, $exposeVersion] := temp2;
- $Heap[this, Bag.n] := stack0i;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- // ----- copy
- stack0i := local4;
- // ----- branch
- goto block6562;
-
- block6375:
- // ----- load constant 2 ----- AddMethod.ssc(42,15)
- stack0i := 2;
- // ----- load field ----- AddMethod.ssc(42,15)
- assert this != null;
- stack1o := $Heap[this, Bag.a];
- // ----- unary operator ----- AddMethod.ssc(42,15)
- assert stack1o != null;
- stack1i := $Length(stack1o);
- // ----- unary operator ----- AddMethod.ssc(42,15)
- stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
- // ----- binary operator ----- AddMethod.ssc(42,15)
- stack0i := stack0i * stack1i;
- // ----- load constant 1 ----- AddMethod.ssc(42,15)
- stack1i := 1;
- // ----- binary operator ----- AddMethod.ssc(42,15)
- stack0i := stack0i + stack1i;
- // ----- new array ----- AddMethod.ssc(42,15)
- assert 0 <= stack0i;
- havoc temp3;
- assume $Heap[temp3, $allocated] == false && $Length(temp3) == stack0i;
- assume $Heap[$ElementProxy(temp3, -1), $allocated] == false && $ElementProxy(temp3, -1) != temp3 && $ElementProxy(temp3, -1) != null;
- assume temp3 != null;
- assume $typeof(temp3) == IntArray(System.Int32, 1);
- assume $Heap[temp3, $ownerRef] == temp3 && $Heap[temp3, $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[$ElementProxy(temp3, -1), $ownerRef] == $ElementProxy(temp3, -1) && $Heap[$ElementProxy(temp3, -1), $ownerFrame] == $PeerGroupPlaceholder;
- assume $Heap[temp3, $inv] == $typeof(temp3) && $Heap[temp3, $localinv] == $typeof(temp3);
- assume (forall $i: int :: IntArrayGet($Heap[temp3, $elements], $i) == 0);
- $Heap[temp3, $allocated] := true;
- call System.Object..ctor($ElementProxy(temp3, -1));
- b := temp3;
- assume IsHeap($Heap);
- // ----- load field ----- AddMethod.ssc(43,9)
- assert this != null;
- stack0o := $Heap[this, Bag.a];
- // ----- copy ----- AddMethod.ssc(43,9)
- stack1o := b;
- // ----- load constant 0 ----- AddMethod.ssc(43,9)
- stack2i := 0;
- // ----- call ----- AddMethod.ssc(43,9)
- assert stack0o != null;
- call System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(stack0o, stack1o, stack2i);
- // ----- store field ----- AddMethod.ssc(44,9)
- assert this != null;
- assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
- assert ($Heap[b, $ownerRef] == this && $Heap[b, $ownerFrame] == Bag) || $Heap[b, $ownerFrame] == $PeerGroupPlaceholder;
- assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[b, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[b, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
- assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[b, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[b, $ownerFrame];
- call $UpdateOwnersForRep(this, Bag, b);
- havoc temp4;
- $Heap[this, $exposeVersion] := temp4;
- $Heap[this, Bag.a] := b;
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
- assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
- assume IsHeap($Heap);
- goto block6392;
-
- block6562:
- stack0o := null;
- // ----- binary operator
- // ----- branch
- goto true6562to6477, false6562to6579;
-
- true6562to6477:
- assume local2 == stack0o;
- goto block6477;
-
- false6562to6579:
- assume local2 != stack0o;
- goto block6579;
-
- block6477:
- // ----- load token ----- AddMethod.ssc(48,5)
- havoc stack0s;
- assume $IsTokenForType(stack0s, Bag);
- // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(48,5)
- stack0o := TypeObject(Bag);
- // ----- local pack ----- AddMethod.ssc(48,5)
- assert temp0 != null;
- assert $Heap[temp0, $localinv] == System.Object;
- assert 0 <= $Heap[temp0, Bag.n];
- assert $Heap[temp0, Bag.n] <= $Length($Heap[temp0, Bag.a]);
- assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp0 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
- $Heap[temp0, $localinv] := $typeof(temp0);
- assume IsHeap($Heap);
- goto block6545;
-
- block6579:
- // ----- is instance
- // ----- branch
- goto true6579to6477, false6579to6528;
-
- true6579to6477:
- assume $As(local2, Microsoft.Contracts.ICheckedException) != null;
- goto block6477;
-
- false6579to6528:
- assume $As(local2, Microsoft.Contracts.ICheckedException) == null;
- goto block6528;
-
- block6528:
- // ----- branch
- goto block6545;
-
- block6545:
- // ----- nop
- // ----- branch
- goto block6443;
-
- block6443:
- // ----- return
- return;
-}
-
-
-
-procedure Bag..cctor();
- free requires $BeingConstructed == null;
- free requires $PurityAxiomsCanBeAssumed;
- modifies $Heap, $ActivityIndicator;
- // newly allocated objects are fully valid
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
- // first consistent owner unchanged if its exposeVersion is
- free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
- // frame condition
- ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
- free ensures $HeapSucc(old($Heap), $Heap);
- // inv/localinv change only in blocks
- free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
- free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
- free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
-
-
-
-implementation Bag..cctor()
-{
-
- entry:
- goto block7650;
-
- block7650:
- goto block7701;
-
- block7701:
- // ----- nop
- // ----- return
- return;
-}
-
-
+// RUN: %boogie -typeEncoding:n -logPrefix:0n "%s" > "%t"
+// RUN: %diff "%s.n.expect" "%t"
+// RUN: %boogie -typeEncoding:p -logPrefix:0p "%s" > "%t"
+// RUN: %diff "%s.p.expect" "%t"
+// RUN: %boogie -typeEncoding:a -logPrefix:0a "%s" > "%t"
+// RUN: %diff "%s.a.expect" "%t"
+// XFAIL: *
+// Spec# program verifier version 0.90, Copyright (c) 2003-2008, Microsoft.
+// Command Line Options: /print:debug.txt AddMethod.dll
+
+type real;
+
+type elements;
+
+type struct;
+
+type name;
+type any;
+
+type exposeVersionType;
+
+type Field a;
+type Heap = <x>[ref,Field x]x;
+
+var $Heap: Heap where IsHeap($Heap);
+
+type ActivityType;
+
+var $ActivityIndicator: ActivityType;
+
+function IsHeap(h: Heap) returns (bool);
+
+const unique $allocated: Field bool;
+
+const unique $elements: Field elements;
+
+function DeclType222<a>(Field a) returns (name);
+
+axiom DeclType222($elements) == System.Object;
+
+const unique $inv: Field name;
+
+const unique $localinv: Field name;
+
+const unique $exposeVersion: Field exposeVersionType;
+
+axiom DeclType222($exposeVersion) == System.Object;
+
+const unique $sharingMode: Field any;
+
+const unique $SharingMode_Unshared: any;
+
+const unique $SharingMode_LockProtected: any;
+
+const unique $ownerRef: Field ref;
+
+const unique $ownerFrame: Field name;
+
+const unique $PeerGroupPlaceholder: name;
+
+function ClassRepr(class: name) returns (ref);
+
+function ClassReprInv(ref) returns (name);
+
+axiom (forall c: name :: { ClassRepr(c) } ClassReprInv(ClassRepr(c)) == c);
+
+axiom (forall T: name :: !($typeof(ClassRepr(T)) <: System.Object));
+
+axiom (forall T: name :: ClassRepr(T) != null);
+
+axiom (forall T: name, h: Heap :: { h[ClassRepr(T), $ownerFrame] } IsHeap(h) ==> h[ClassRepr(T), $ownerFrame] == $PeerGroupPlaceholder);
+
+function IncludeInMainFrameCondition<a>(f: Field a) returns (bool);
+
+axiom IncludeInMainFrameCondition($allocated);
+
+axiom IncludeInMainFrameCondition($elements);
+
+axiom !IncludeInMainFrameCondition($inv);
+
+axiom !IncludeInMainFrameCondition($localinv);
+
+axiom IncludeInMainFrameCondition($ownerRef);
+
+axiom IncludeInMainFrameCondition($ownerFrame);
+
+axiom IncludeInMainFrameCondition($exposeVersion);
+
+axiom !IncludeInMainFrameCondition($FirstConsistentOwner);
+
+function IsStaticField<a>(f: Field a) returns (bool);
+
+axiom !IsStaticField($allocated);
+
+axiom !IsStaticField($elements);
+
+axiom !IsStaticField($inv);
+
+axiom !IsStaticField($localinv);
+
+axiom !IsStaticField($exposeVersion);
+
+function $IncludedInModifiesStar<a>(f: Field a) returns (bool);
+
+axiom !$IncludedInModifiesStar($ownerRef);
+
+axiom !$IncludedInModifiesStar($ownerFrame);
+
+axiom $IncludedInModifiesStar($exposeVersion);
+
+axiom $IncludedInModifiesStar($elements);
+
+function ValueArrayGet(elements, int) returns (any);
+
+function ValueArraySet(elements, int, any) returns (elements);
+
+function IntArrayGet(elements, int) returns (int);
+
+function IntArraySet(elements, int, int) returns (elements);
+
+function RefArrayGet(elements, int) returns (ref);
+
+function RefArraySet(elements, int, ref) returns (elements);
+
+axiom (forall A: elements, i: int, x: any :: ValueArrayGet(ValueArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: any :: i != j ==> ValueArrayGet(ValueArraySet(A, i, x), j) == ValueArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: int :: IntArrayGet(IntArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: int :: i != j ==> IntArrayGet(IntArraySet(A, i, x), j) == IntArrayGet(A, j));
+
+axiom (forall A: elements, i: int, x: ref :: RefArrayGet(RefArraySet(A, i, x), i) == x);
+
+axiom (forall A: elements, i: int, j: int, x: ref :: i != j ==> RefArrayGet(RefArraySet(A, i, x), j) == RefArrayGet(A, j));
+
+function ArrayIndex(arr: ref, dim: int, indexAtDim: int, remainingIndexContribution: int) returns (int);
+
+function ArrayIndexInvX(arrayIndex: int) returns (indexAtDim: int);
+
+function ArrayIndexInvY(arrayIndex: int) returns (remainingIndexContribution: int);
+
+axiom (forall a: ref, d: int, x: int, y: int :: { ArrayIndex(a, d, x, y) } ArrayIndexInvX(ArrayIndex(a, d, x, y)) == x);
+
+axiom (forall a: ref, d: int, x: int, y: int :: { ArrayIndex(a, d, x, y) } ArrayIndexInvY(ArrayIndex(a, d, x, y)) == y);
+
+axiom (forall a: ref, i: int, heap: Heap :: { IntArrayGet(heap[a, $elements], i) } IsHeap(heap) ==> InRange(IntArrayGet(heap[a, $elements], i), $ElementType($typeof(a))));
+
+axiom (forall a: ref, i: int, heap: Heap :: { $typeof(RefArrayGet(heap[a, $elements], i)) } IsHeap(heap) && RefArrayGet(heap[a, $elements], i) != null ==> $typeof(RefArrayGet(heap[a, $elements], i)) <: $ElementType($typeof(a)));
+
+axiom (forall a: ref, T: name, i: int, r: int, heap: Heap :: { $typeof(a) <: NonNullRefArray(T, r), RefArrayGet(heap[a, $elements], i) } IsHeap(heap) && $typeof(a) <: NonNullRefArray(T, r) ==> RefArrayGet(heap[a, $elements], i) != null);
+
+function $Rank(ref) returns (int);
+
+axiom (forall a: ref :: 1 <= $Rank(a));
+
+axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: RefArray(T, r) } a != null && $typeof(a) <: RefArray(T, r) ==> $Rank(a) == r);
+
+axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: NonNullRefArray(T, r) } a != null && $typeof(a) <: NonNullRefArray(T, r) ==> $Rank(a) == r);
+
+axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: ValueArray(T, r) } a != null && $typeof(a) <: ValueArray(T, r) ==> $Rank(a) == r);
+
+axiom (forall a: ref, T: name, r: int :: { $typeof(a) <: IntArray(T, r) } a != null && $typeof(a) <: IntArray(T, r) ==> $Rank(a) == r);
+
+function $Length(ref) returns (int);
+
+axiom (forall a: ref :: { $Length(a) } 0 <= $Length(a) && $Length(a) <= int#2147483647);
+
+function $DimLength(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: 0 <= $DimLength(a, i));
+
+axiom (forall a: ref :: { $DimLength(a, 0) } $Rank(a) == 1 ==> $DimLength(a, 0) == $Length(a));
+
+function $LBound(ref, int) returns (int);
+
+function $UBound(ref, int) returns (int);
+
+axiom (forall a: ref, i: int :: { $LBound(a, i) } $LBound(a, i) == 0);
+
+axiom (forall a: ref, i: int :: { $UBound(a, i) } $UBound(a, i) == $DimLength(a, i) - 1);
+
+const unique $ArrayCategoryValue: name;
+
+const unique $ArrayCategoryInt: name;
+
+const unique $ArrayCategoryRef: name;
+
+const unique $ArrayCategoryNonNullRef: name;
+
+function $ArrayCategory(arrayType: name) returns (arrayCategory: name);
+
+axiom (forall T: name, ET: name, r: int :: { T <: ValueArray(ET, r) } T <: ValueArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryValue);
+
+axiom (forall T: name, ET: name, r: int :: { T <: IntArray(ET, r) } T <: IntArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryInt);
+
+axiom (forall T: name, ET: name, r: int :: { T <: RefArray(ET, r) } T <: RefArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryRef);
+
+axiom (forall T: name, ET: name, r: int :: { T <: NonNullRefArray(ET, r) } T <: NonNullRefArray(ET, r) ==> $ArrayCategory(T) == $ArrayCategoryNonNullRef);
+
+const unique System.Array: name;
+
+axiom System.Array <: System.Object;
+
+function $ElementType(name) returns (name);
+
+function ValueArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { ValueArray(T, r) } ValueArray(T, r) <: ValueArray(T, r) && ValueArray(T, r) <: System.Array);
+
+function IntArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { IntArray(T, r) } IntArray(T, r) <: IntArray(T, r) && IntArray(T, r) <: System.Array);
+
+function RefArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { RefArray(T, r) } RefArray(T, r) <: RefArray(T, r) && RefArray(T, r) <: System.Array);
+
+function NonNullRefArray(elementType: name, rank: int) returns (name);
+
+axiom (forall T: name, r: int :: { NonNullRefArray(T, r) } NonNullRefArray(T, r) <: NonNullRefArray(T, r) && NonNullRefArray(T, r) <: System.Array);
+
+function NonNullRefArrayRaw(array: ref, elementType: name, rank: int) returns (bool);
+
+axiom (forall array: ref, elementType: name, rank: int :: { NonNullRefArrayRaw(array, elementType, rank) } NonNullRefArrayRaw(array, elementType, rank) ==> $typeof(array) <: System.Array && $Rank(array) == rank && elementType <: $ElementType($typeof(array)));
+
+axiom (forall T: name, U: name, r: int :: U <: T ==> RefArray(U, r) <: RefArray(T, r));
+
+axiom (forall T: name, U: name, r: int :: U <: T ==> NonNullRefArray(U, r) <: NonNullRefArray(T, r));
+
+axiom (forall A: name, r: int :: $ElementType(ValueArray(A, r)) == A);
+
+axiom (forall A: name, r: int :: $ElementType(IntArray(A, r)) == A);
+
+axiom (forall A: name, r: int :: $ElementType(RefArray(A, r)) == A);
+
+axiom (forall A: name, r: int :: $ElementType(NonNullRefArray(A, r)) == A);
+
+axiom (forall A: name, r: int, T: name :: { T <: RefArray(A, r) } T <: RefArray(A, r) ==> T != A && T == RefArray($ElementType(T), r) && $ElementType(T) <: A);
+
+axiom (forall A: name, r: int, T: name :: { T <: NonNullRefArray(A, r) } T <: NonNullRefArray(A, r) ==> T != A && T == NonNullRefArray($ElementType(T), r) && $ElementType(T) <: A);
+
+axiom (forall A: name, r: int, T: name :: { T <: ValueArray(A, r) } T <: ValueArray(A, r) ==> T == ValueArray(A, r));
+
+axiom (forall A: name, r: int, T: name :: { T <: IntArray(A, r) } T <: IntArray(A, r) ==> T == IntArray(A, r));
+
+axiom (forall A: name, r: int, T: name :: { RefArray(A, r) <: T } RefArray(A, r) <: T ==> System.Array <: T || (T == RefArray($ElementType(T), r) && A <: $ElementType(T)));
+
+axiom (forall A: name, r: int, T: name :: { NonNullRefArray(A, r) <: T } NonNullRefArray(A, r) <: T ==> System.Array <: T || (T == NonNullRefArray($ElementType(T), r) && A <: $ElementType(T)));
+
+axiom (forall A: name, r: int, T: name :: { ValueArray(A, r) <: T } ValueArray(A, r) <: T ==> System.Array <: T || T == ValueArray(A, r));
+
+axiom (forall A: name, r: int, T: name :: { IntArray(A, r) <: T } IntArray(A, r) <: T ==> System.Array <: T || T == IntArray(A, r));
+
+function $ArrayPtr(elementType: name) returns (name);
+
+function $ElementProxy(ref, int) returns (ref);
+
+function $ElementProxyStruct(struct, int) returns (ref);
+
+axiom (forall a: ref, i: int, heap: Heap :: { heap[RefArrayGet(heap[a, $elements], i), $ownerRef] } { heap[RefArrayGet(heap[a, $elements], i), $ownerFrame] } IsHeap(heap) && $typeof(a) <: System.Array ==> RefArrayGet(heap[a, $elements], i) == null || $IsImmutable($typeof(RefArrayGet(heap[a, $elements], i))) || (heap[RefArrayGet(heap[a, $elements], i), $ownerRef] == heap[$ElementProxy(a, 0 - 1), $ownerRef] && heap[RefArrayGet(heap[a, $elements], i), $ownerFrame] == heap[$ElementProxy(a, 0 - 1), $ownerFrame]));
+
+axiom (forall a: ref, heap: Heap :: { IsAllocated(heap, a) } IsHeap(heap) && IsAllocated(heap, a) && $typeof(a) <: System.Array ==> IsAllocated(heap, $ElementProxy(a, 0 - 1)));
+
+axiom (forall o: ref, pos: int :: { $typeof($ElementProxy(o, pos)) } $typeof($ElementProxy(o, pos)) == System.Object);
+
+axiom (forall o: struct, pos: int :: { $typeof($ElementProxyStruct(o, pos)) } $typeof($ElementProxyStruct(o, pos)) == System.Object);
+
+function $StructGet(struct, name) returns (any);
+
+function $StructSet(struct, name, any) returns (struct);
+
+axiom (forall s: struct, f: name, x: any :: $StructGet($StructSet(s, f, x), f) == x);
+
+axiom (forall s: struct, f: name, f': name, x: any :: f != f' ==> $StructGet($StructSet(s, f, x), f') == $StructGet(s, f'));
+
+function ZeroInit(s: struct, typ: name) returns (bool);
+
+function $typeof(ref) returns (name);
+
+function $BaseClass(sub: name) returns (base: name);
+
+axiom (forall T: name :: { $BaseClass(T) } T <: $BaseClass(T) && (T != System.Object ==> T != $BaseClass(T)));
+
+function AsDirectSubClass(sub: name, base: name) returns (sub': name);
+
+function OneClassDown(sub: name, base: name) returns (directSub: name);
+
+axiom (forall A: name, B: name, C: name :: { C <: AsDirectSubClass(B, A) } C <: AsDirectSubClass(B, A) ==> OneClassDown(C, A) == B);
+
+function $IsValueType(name) returns (bool);
+
+axiom (forall T: name :: $IsValueType(T) ==> (forall U: name :: T <: U ==> T == U) && (forall U: name :: U <: T ==> T == U));
+
+const unique System.Boolean: name;
+
+axiom $IsValueType(System.Boolean);
+
+const unique System.Object: name;
+
+function $IsTokenForType(struct, name) returns (bool);
+
+function TypeObject(name) returns (ref);
+
+const unique System.Type: name;
+
+axiom System.Type <: System.Object;
+
+axiom (forall T: name :: { TypeObject(T) } $IsNotNull(TypeObject(T), System.Type));
+
+function TypeName(ref) returns (name);
+
+axiom (forall T: name :: { TypeObject(T) } TypeName(TypeObject(T)) == T);
+
+function $Is(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $Is(o, T) } $Is(o, T) <==> o == null || $typeof(o) <: T);
+
+function $IsNotNull(ref, name) returns (bool);
+
+axiom (forall o: ref, T: name :: { $IsNotNull(o, T) } $IsNotNull(o, T) <==> o != null && $Is(o, T));
+
+function $As(ref, name) returns (ref);
+
+axiom (forall o: ref, T: name :: $Is(o, T) ==> $As(o, T) == o);
+
+axiom (forall o: ref, T: name :: !$Is(o, T) ==> $As(o, T) == null);
+
+axiom (forall h: Heap, o: ref :: { $typeof(o) <: System.Array, h[o, $inv] } IsHeap(h) && o != null && $typeof(o) <: System.Array ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o));
+
+function IsAllocated<a>(h: Heap, o: a) returns (bool);
+
+axiom (forall<a> h: Heap, o: ref, f: Field a :: { IsAllocated(h, h[o, f]) } IsHeap(h) && h[o, $allocated] ==> IsAllocated(h, h[o, f]));
+
+axiom (forall h: Heap, o: ref, f: Field ref :: { h[h[o, f], $allocated] } IsHeap(h) && h[o, $allocated] ==> h[h[o, f], $allocated]);
+
+axiom (forall h: Heap, s: struct, f: name :: { IsAllocated(h, $StructGet(s, f)) } IsAllocated(h, s) ==> IsAllocated(h, $StructGet(s, f)));
+
+axiom (forall h: Heap, e: elements, i: int :: { IsAllocated(h, RefArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, RefArrayGet(e, i)));
+
+axiom (forall h: Heap, e: elements, i: int :: { IsAllocated(h, ValueArrayGet(e, i)) } IsAllocated(h, e) ==> IsAllocated(h, ValueArrayGet(e, i)));
+
+axiom (forall h: Heap, o: ref :: { h[o, $allocated] } IsAllocated(h, o) ==> h[o, $allocated]);
+
+axiom (forall h: Heap, c: name :: { h[ClassRepr(c), $allocated] } IsHeap(h) ==> h[ClassRepr(c), $allocated]);
+
+const $BeingConstructed: ref;
+
+const unique $NonNullFieldsAreInitialized: Field bool;
+
+const $PurityAxiomsCanBeAssumed: bool;
+
+axiom DeclType222($NonNullFieldsAreInitialized) == System.Object;
+
+
+
+function AsNonNullRefField(field: Field ref, T: name) returns (f: Field ref);
+
+function AsRefField(field: Field ref, T: name) returns (f: Field ref);
+
+function AsRangeField(field: Field int, T: name) returns (f: Field int);
+
+axiom (forall f: Field ref, T: name :: { AsNonNullRefField(f, T) } AsNonNullRefField(f, T) == f ==> AsRefField(f, T) == f);
+
+axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsRefField(f, T)] } IsHeap(h) ==> $Is(h[o, AsRefField(f, T)], T));
+
+axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsNonNullRefField(f, T)] } IsHeap(h) && o != null && (o != $BeingConstructed || h[$BeingConstructed, $NonNullFieldsAreInitialized] == true) ==> h[o, AsNonNullRefField(f, T)] != null);
+
+axiom (forall h: Heap, o: ref, f: Field int, T: name :: { h[o, AsRangeField(f, T)] } IsHeap(h) ==> InRange(h[o, AsRangeField(f, T)], T));
+
+function $IsMemberlessType(name) returns (bool);
+
+axiom (forall o: ref :: { $IsMemberlessType($typeof(o)) } !$IsMemberlessType($typeof(o)));
+
+function $AsInterface(name) returns (name);
+
+axiom (forall $J: name, s: any, b: ref :: { UnboxedType(Box(s, b)) <: $AsInterface($J) } $AsInterface($J) == $J && Box(s, b) == b && UnboxedType(Box(s, b)) <: $AsInterface($J) ==> $typeof(b) <: $J);
+
+function $HeapSucc(oldHeap: Heap, newHeap: Heap) returns (bool);
+
+function $IsImmutable(T: name) returns (bool);
+
+axiom !$IsImmutable(System.Object);
+
+function $AsImmutable(T: name) returns (theType: name);
+
+function $AsMutable(T: name) returns (theType: name);
+
+axiom (forall T: name, U: name :: { U <: $AsImmutable(T) } U <: $AsImmutable(T) ==> $IsImmutable(U) && $AsImmutable(U) == U);
+
+axiom (forall T: name, U: name :: { U <: $AsMutable(T) } U <: $AsMutable(T) ==> !$IsImmutable(U) && $AsMutable(U) == U);
+
+function AsOwner(string: ref, owner: ref) returns (theString: ref);
+
+axiom (forall o: ref, T: name :: { $typeof(o) <: $AsImmutable(T) } o != null && o != $BeingConstructed && $typeof(o) <: $AsImmutable(T) ==> (forall h: Heap :: { IsHeap(h) } IsHeap(h) ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o) && h[o, $ownerFrame] == $PeerGroupPlaceholder && AsOwner(o, h[o, $ownerRef]) == o && (forall t: ref :: { AsOwner(o, h[t, $ownerRef]) } AsOwner(o, h[t, $ownerRef]) == o ==> t == o || h[t, $ownerFrame] != $PeerGroupPlaceholder)));
+
+const unique System.String: name;
+
+function $StringLength(ref) returns (int);
+
+axiom (forall s: ref :: { $StringLength(s) } 0 <= $StringLength(s));
+
+function AsRepField(f: Field ref, declaringType: name) returns (theField: Field ref);
+
+axiom (forall h: Heap, o: ref, f: Field ref, T: name :: { h[o, AsRepField(f, T)] } IsHeap(h) && h[o, AsRepField(f, T)] != null ==> h[h[o, AsRepField(f, T)], $ownerRef] == o && h[h[o, AsRepField(f, T)], $ownerFrame] == T);
+
+function AsPeerField(f: Field ref) returns (theField: Field ref);
+
+axiom (forall h: Heap, o: ref, f: Field ref :: { h[o, AsPeerField(f)] } IsHeap(h) && h[o, AsPeerField(f)] != null ==> h[h[o, AsPeerField(f)], $ownerRef] == h[o, $ownerRef] && h[h[o, AsPeerField(f)], $ownerFrame] == h[o, $ownerFrame]);
+
+function AsElementsRepField(f: Field ref, declaringType: name, position: int) returns (theField: Field ref);
+
+axiom (forall h: Heap, o: ref, f: Field ref, T: name, i: int :: { h[o, AsElementsRepField(f, T, i)] } IsHeap(h) && h[o, AsElementsRepField(f, T, i)] != null ==> h[$ElementProxy(h[o, AsElementsRepField(f, T, i)], i), $ownerRef] == o && h[$ElementProxy(h[o, AsElementsRepField(f, T, i)], i), $ownerFrame] == T);
+
+function AsElementsPeerField(f: Field ref, position: int) returns (theField: Field ref);
+
+axiom (forall h: Heap, o: ref, f: Field ref, i: int :: { h[o, AsElementsPeerField(f, i)] } IsHeap(h) && h[o, AsElementsPeerField(f, i)] != null ==> h[$ElementProxy(h[o, AsElementsPeerField(f, i)], i), $ownerRef] == h[o, $ownerRef] && h[$ElementProxy(h[o, AsElementsPeerField(f, i)], i), $ownerFrame] == h[o, $ownerFrame]);
+
+axiom (forall h: Heap, o: ref :: { h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] } IsHeap(h) && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, $inv] == $typeof(o) && h[o, $localinv] == $typeof(o));
+
+procedure $SetOwner(o: ref, ow: ref, fr: name);
+ modifies $Heap;
+ ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[o, $ownerRef]) || old($Heap[p, $ownerFrame] != $Heap[o, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
+ ensures (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[o, $ownerRef]) && old($Heap[p, $ownerFrame] == $Heap[o, $ownerFrame]) ==> $Heap[p, $ownerRef] == ow && $Heap[p, $ownerFrame] == fr);
+ free ensures $HeapSucc(old($Heap), $Heap);
+
+
+
+procedure $UpdateOwnersForRep(o: ref, T: name, e: ref);
+ modifies $Heap;
+ ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[e, $ownerRef]) || old($Heap[p, $ownerFrame] != $Heap[e, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
+ ensures e == null ==> $Heap == old($Heap);
+ ensures e != null ==> (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[e, $ownerRef]) && old($Heap[p, $ownerFrame] == $Heap[e, $ownerFrame]) ==> $Heap[p, $ownerRef] == o && $Heap[p, $ownerFrame] == T);
+ free ensures $HeapSucc(old($Heap), $Heap);
+
+
+
+procedure $UpdateOwnersForPeer(c: ref, d: ref);
+ modifies $Heap;
+ ensures (forall<a> p: ref, F: Field a :: { $Heap[p, F] } (F != $ownerRef && F != $ownerFrame) || old($Heap[p, $ownerRef] != $Heap[d, $ownerRef] || $Heap[p, $ownerFrame] != $Heap[d, $ownerFrame]) ==> old($Heap[p, F]) == $Heap[p, F]);
+ ensures d == null ==> $Heap == old($Heap);
+ ensures d != null ==> (forall p: ref :: { $Heap[p, $ownerRef] } { $Heap[p, $ownerFrame] } old($Heap[p, $ownerRef] == $Heap[d, $ownerRef] && $Heap[p, $ownerFrame] == $Heap[d, $ownerFrame]) ==> $Heap[p, $ownerRef] == old($Heap)[c, $ownerRef] && $Heap[p, $ownerFrame] == old($Heap)[c, $ownerFrame]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+
+
+
+const unique $FirstConsistentOwner: Field ref;
+
+function $AsPureObject(ref) returns (ref);
+
+function ##FieldDependsOnFCO<a>(o: ref, f: Field a, ev: exposeVersionType) returns (value: any);
+
+axiom (forall<a> o: ref, f: Field a, h: Heap :: { h[$AsPureObject(o), f] } IsHeap(h) && o != null && h[o, $allocated] == true && $AsPureObject(o) == o && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, f] == ##FieldDependsOnFCO(o, f, h[h[o, $FirstConsistentOwner], $exposeVersion]));
+
+axiom (forall o: ref, h: Heap :: { h[o, $FirstConsistentOwner] } IsHeap(h) && o != null && h[o, $allocated] == true && h[o, $ownerFrame] != $PeerGroupPlaceholder && h[h[o, $ownerRef], $inv] <: h[o, $ownerFrame] && h[h[o, $ownerRef], $localinv] != $BaseClass(h[o, $ownerFrame]) ==> h[o, $FirstConsistentOwner] != null && h[h[o, $FirstConsistentOwner], $allocated] == true && (h[h[o, $FirstConsistentOwner], $ownerFrame] == $PeerGroupPlaceholder || !(h[h[h[o, $FirstConsistentOwner], $ownerRef], $inv] <: h[h[o, $FirstConsistentOwner], $ownerFrame]) || h[h[h[o, $FirstConsistentOwner], $ownerRef], $localinv] == $BaseClass(h[h[o, $FirstConsistentOwner], $ownerFrame])));
+
+function Box<a>(a, ref) returns (ref);
+
+function Unbox(ref) returns (any);
+
+type NondetType;
+
+function MeldNondets(NondetType, any) returns (NondetType);
+
+function BoxFunc<a>(value: a, typ: name) returns (boxedValue: ref);
+
+function AllocFunc(typ: name) returns (newValue: ref);
+
+function NewInstance(object: ref, occurrence: NondetType, activity: ActivityType) returns (newInstance: ref);
+
+axiom (forall value: any, typ: name, occurrence: NondetType, activity: ActivityType :: { NewInstance(BoxFunc(value, typ), occurrence, activity) } Box(value, NewInstance(BoxFunc(value, typ), occurrence, activity)) == NewInstance(BoxFunc(value, typ), occurrence, activity) && UnboxedType(NewInstance(BoxFunc(value, typ), occurrence, activity)) == typ);
+
+axiom (forall x: ref, typ: name, occurrence: NondetType, activity: ActivityType :: !$IsValueType(UnboxedType(x)) ==> NewInstance(BoxFunc(x, typ), occurrence, activity) == x);
+
+axiom (forall x: any, p: ref :: { Unbox(Box(x, p)) } Unbox(Box(x, p)) == x);
+
+function UnboxedType(ref) returns (name);
+
+axiom (forall p: ref :: { $IsValueType(UnboxedType(p)) } $IsValueType(UnboxedType(p)) ==> (forall heap: Heap, x: any :: { heap[Box(x, p), $inv] } IsHeap(heap) ==> heap[Box(x, p), $inv] == $typeof(Box(x, p)) && heap[Box(x, p), $localinv] == $typeof(Box(x, p))));
+
+axiom (forall<a> x: a, p: ref :: { UnboxedType(Box(x, p)) <: System.Object } UnboxedType(Box(x, p)) <: System.Object && Box(x, p) == p ==> x == p);
+
+function BoxTester(p: ref, typ: name) returns (ref);
+
+axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } UnboxedType(p) == typ <==> BoxTester(p, typ) != null);
+
+axiom (forall p: ref, typ: name :: { BoxTester(p, typ) } BoxTester(p, typ) != null ==> Box(Unbox(p), p) == p);
+
+axiom (forall typ: name, occurrence: NondetType, activity: ActivityType :: { NewInstance(AllocFunc(typ), occurrence, activity) } $typeof(NewInstance(AllocFunc(typ), occurrence, activity)) == typ && NewInstance(AllocFunc(typ), occurrence, activity) != null);
+
+axiom (forall typ: name, occurrence: NondetType, activity: ActivityType, heap: Heap :: { heap[NewInstance(AllocFunc(typ), occurrence, activity), $allocated] } IsHeap(heap) ==> heap[NewInstance(AllocFunc(typ), occurrence, activity), $allocated]);
+
+const unique System.SByte: name;
+
+axiom $IsValueType(System.SByte);
+
+const unique System.Byte: name;
+
+axiom $IsValueType(System.Byte);
+
+const unique System.Int16: name;
+
+axiom $IsValueType(System.Int16);
+
+const unique System.UInt16: name;
+
+axiom $IsValueType(System.UInt16);
+
+const unique System.Int32: name;
+
+axiom $IsValueType(System.Int32);
+
+const unique System.UInt32: name;
+
+axiom $IsValueType(System.UInt32);
+
+const unique System.Int64: name;
+
+axiom $IsValueType(System.Int64);
+
+const unique System.UInt64: name;
+
+axiom $IsValueType(System.UInt64);
+
+const unique System.Char: name;
+
+axiom $IsValueType(System.Char);
+
+const unique System.UIntPtr: name;
+
+axiom $IsValueType(System.UIntPtr);
+
+const unique System.IntPtr: name;
+
+axiom $IsValueType(System.IntPtr);
+
+const int#m2147483648: int;
+
+const int#2147483647: int;
+
+const int#4294967295: int;
+
+const int#m9223372036854775808: int;
+
+const int#9223372036854775807: int;
+
+const int#18446744073709551615: int;
+
+axiom int#m9223372036854775808 < int#m2147483648;
+
+axiom int#m2147483648 < 0 - 100000;
+
+axiom 100000 < int#2147483647;
+
+axiom int#2147483647 < int#4294967295;
+
+axiom int#4294967295 < int#9223372036854775807;
+
+axiom int#9223372036854775807 < int#18446744073709551615;
+
+axiom int#m9223372036854775808 + 1 == 0 - int#9223372036854775807;
+
+axiom int#m2147483648 + 1 == 0 - int#2147483647;
+
+function InRange(i: int, T: name) returns (bool);
+
+axiom (forall i: int :: InRange(i, System.SByte) <==> 0 - 128 <= i && i < 128);
+
+axiom (forall i: int :: InRange(i, System.Byte) <==> 0 <= i && i < 256);
+
+axiom (forall i: int :: InRange(i, System.Int16) <==> 0 - 32768 <= i && i < 32768);
+
+axiom (forall i: int :: InRange(i, System.UInt16) <==> 0 <= i && i < 65536);
+
+axiom (forall i: int :: InRange(i, System.Int32) <==> int#m2147483648 <= i && i <= int#2147483647);
+
+axiom (forall i: int :: InRange(i, System.UInt32) <==> 0 <= i && i <= int#4294967295);
+
+axiom (forall i: int :: InRange(i, System.Int64) <==> int#m9223372036854775808 <= i && i <= int#9223372036854775807);
+
+axiom (forall i: int :: InRange(i, System.UInt64) <==> 0 <= i && i <= int#18446744073709551615);
+
+axiom (forall i: int :: InRange(i, System.Char) <==> 0 <= i && i < 65536);
+
+function $IntToInt(val: int, fromType: name, toType: name) returns (int);
+
+function $IntToReal(int, fromType: name, toType: name) returns (real);
+
+function $RealToInt(real, fromType: name, toType: name) returns (int);
+
+function $RealToReal(val: real, fromType: name, toType: name) returns (real);
+
+axiom (forall z: int, B: name, C: name :: InRange(z, C) ==> $IntToInt(z, B, C) == z);
+
+function $SizeIs(name, int) returns (bool);
+
+function $IfThenElse(bool, any, any) returns (any);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } b ==> $IfThenElse(b, x, y) == x);
+
+axiom (forall b: bool, x: any, y: any :: { $IfThenElse(b, x, y) } !b ==> $IfThenElse(b, x, y) == y);
+
+function #neg(int) returns (int);
+
+function #and(int, int) returns (int);
+
+function #or(int, int) returns (int);
+
+function #xor(int, int) returns (int);
+
+function #shl(int, int) returns (int);
+
+function #shr(int, int) returns (int);
+
+function #rneg(real) returns (real);
+
+function #radd(real, real) returns (real);
+
+function #rsub(real, real) returns (real);
+
+function #rmul(real, real) returns (real);
+
+function #rdiv(real, real) returns (real);
+
+function #rmod(real, real) returns (real);
+
+function #rLess(real, real) returns (bool);
+
+function #rAtmost(real, real) returns (bool);
+
+function #rEq(real, real) returns (bool);
+
+function #rNeq(real, real) returns (bool);
+
+function #rAtleast(real, real) returns (bool);
+
+function #rGreater(real, real) returns (bool);
+
+axiom (forall x: int, y: int :: { x % y } { x / y } x % y == x - x / y * y);
+
+axiom (forall x: int, y: int :: { x % y } 0 <= x && 0 < y ==> 0 <= x % y && x % y < y);
+
+axiom (forall x: int, y: int :: { x % y } 0 <= x && y < 0 ==> 0 <= x % y && x % y < 0 - y);
+
+axiom (forall x: int, y: int :: { x % y } x <= 0 && 0 < y ==> 0 - y < x % y && x % y <= 0);
+
+axiom (forall x: int, y: int :: { x % y } x <= 0 && y < 0 ==> y < x % y && x % y <= 0);
+
+axiom (forall x: int, y: int :: { (x + y) % y } 0 <= x && 0 <= y ==> (x + y) % y == x % y);
+
+axiom (forall x: int, y: int :: { (y + x) % y } 0 <= x && 0 <= y ==> (y + x) % y == x % y);
+
+axiom (forall x: int, y: int :: { (x - y) % y } 0 <= x - y && 0 <= y ==> (x - y) % y == x % y);
+
+axiom (forall a: int, b: int, d: int :: { a % d, b % d } 2 <= d && a % d == b % d && a < b ==> a + d <= b);
+
+axiom (forall x: int, y: int :: { #and(x, y) } 0 <= x || 0 <= y ==> 0 <= #and(x, y));
+
+axiom (forall x: int, y: int :: { #or(x, y) } 0 <= x && 0 <= y ==> 0 <= #or(x, y) && #or(x, y) <= x + y);
+
+axiom (forall i: int :: { #shl(i, 0) } #shl(i, 0) == i);
+
+axiom (forall i: int, j: int :: { #shl(i, j) } 1 <= j ==> #shl(i, j) == #shl(i, j - 1) * 2);
+
+axiom (forall i: int, j: int :: { #shl(i, j) } 0 <= i && i < 32768 && 0 <= j && j <= 16 ==> 0 <= #shl(i, j) && #shl(i, j) <= int#2147483647);
+
+axiom (forall i: int :: { #shr(i, 0) } #shr(i, 0) == i);
+
+axiom (forall i: int, j: int :: { #shr(i, j) } 1 <= j ==> #shr(i, j) == #shr(i, j - 1) / 2);
+
+function #min(int, int) returns (int);
+
+function #max(int, int) returns (int);
+
+axiom (forall x: int, y: int :: { #min(x, y) } (#min(x, y) == x || #min(x, y) == y) && #min(x, y) <= x && #min(x, y) <= y);
+
+axiom (forall x: int, y: int :: { #max(x, y) } (#max(x, y) == x || #max(x, y) == y) && x <= #max(x, y) && y <= #max(x, y));
+
+function #System.String.IsInterned$System.String$notnull(Heap, ref) returns (ref);
+
+function #System.String.Equals$System.String(Heap, ref, ref) returns (bool);
+
+function #System.String.Equals$System.String$System.String(Heap, ref, ref) returns (bool);
+
+function ##StringEquals(ref, ref) returns (bool);
+
+axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String(h, a, b) } #System.String.Equals$System.String(h, a, b) == #System.String.Equals$System.String$System.String(h, a, b));
+
+axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String$System.String(h, a, b) } #System.String.Equals$System.String$System.String(h, a, b) == ##StringEquals(a, b) && #System.String.Equals$System.String$System.String(h, a, b) == ##StringEquals(b, a) && (a == b ==> ##StringEquals(a, b)));
+
+axiom (forall a: ref, b: ref, c: ref :: ##StringEquals(a, b) && ##StringEquals(b, c) ==> ##StringEquals(a, c));
+
+axiom (forall h: Heap, a: ref, b: ref :: { #System.String.Equals$System.String$System.String(h, a, b) } a != null && b != null && #System.String.Equals$System.String$System.String(h, a, b) ==> #System.String.IsInterned$System.String$notnull(h, a) == #System.String.IsInterned$System.String$notnull(h, b));
+
+const $UnknownRef: ref;
+
+const unique Bag.a: Field ref;
+
+const unique Bag.n: Field int;
+
+const unique Microsoft.Contracts.GuardException: name;
+
+const unique System.ICloneable: name;
+
+const unique Microsoft.Contracts.ObjectInvariantException: name;
+
+const unique System.Exception: name;
+
+const unique System.Collections.IEnumerable: name;
+
+const unique System.Collections.IList: name;
+
+const unique Microsoft.Contracts.ICheckedException: name;
+
+const unique System.Reflection.MemberInfo: name;
+
+const unique Bag: name;
+
+const unique System.Reflection.IReflect: name;
+
+const unique System.Runtime.InteropServices._MemberInfo: name;
+
+const unique System.Runtime.InteropServices._Type: name;
+
+const unique System.Collections.ICollection: name;
+
+const unique System.Runtime.InteropServices._Exception: name;
+
+const unique System.Runtime.Serialization.ISerializable: name;
+
+const unique System.Reflection.ICustomAttributeProvider: name;
+
+axiom !IsStaticField(Bag.n);
+
+axiom IncludeInMainFrameCondition(Bag.n);
+
+axiom $IncludedInModifiesStar(Bag.n);
+
+axiom DeclType222(Bag.n) == Bag;
+
+axiom AsRangeField(Bag.n, System.Int32) == Bag.n;
+
+axiom !IsStaticField(Bag.a);
+
+axiom IncludeInMainFrameCondition(Bag.a);
+
+axiom $IncludedInModifiesStar(Bag.a);
+
+axiom AsRepField(Bag.a, Bag) == Bag.a;
+
+axiom DeclType222(Bag.a) == Bag;
+
+axiom AsNonNullRefField(Bag.a, IntArray(System.Int32, 1)) == Bag.a;
+
+axiom Bag <: Bag;
+
+axiom $BaseClass(Bag) == System.Object && AsDirectSubClass(Bag, $BaseClass(Bag)) == Bag;
+
+axiom !$IsImmutable(Bag) && $AsMutable(Bag) == Bag;
+
+axiom System.Array <: System.Array;
+
+axiom $BaseClass(System.Array) == System.Object && AsDirectSubClass(System.Array, $BaseClass(System.Array)) == System.Array;
+
+axiom !$IsImmutable(System.Array) && $AsMutable(System.Array) == System.Array;
+
+axiom System.ICloneable <: System.ICloneable;
+
+axiom System.ICloneable <: System.Object;
+
+axiom $IsMemberlessType(System.ICloneable);
+
+axiom $AsInterface(System.ICloneable) == System.ICloneable;
+
+axiom System.Array <: System.ICloneable;
+
+axiom System.Collections.IList <: System.Collections.IList;
+
+axiom System.Collections.IList <: System.Object;
+
+axiom System.Collections.ICollection <: System.Collections.ICollection;
+
+axiom System.Collections.ICollection <: System.Object;
+
+axiom System.Collections.IEnumerable <: System.Collections.IEnumerable;
+
+axiom System.Collections.IEnumerable <: System.Object;
+
+axiom $IsMemberlessType(System.Collections.IEnumerable);
+
+axiom $AsInterface(System.Collections.IEnumerable) == System.Collections.IEnumerable;
+
+axiom System.Collections.ICollection <: System.Collections.IEnumerable;
+
+axiom $IsMemberlessType(System.Collections.ICollection);
+
+axiom $AsInterface(System.Collections.ICollection) == System.Collections.ICollection;
+
+axiom System.Collections.IList <: System.Collections.ICollection;
+
+axiom System.Collections.IList <: System.Collections.IEnumerable;
+
+axiom $IsMemberlessType(System.Collections.IList);
+
+axiom $AsInterface(System.Collections.IList) == System.Collections.IList;
+
+axiom System.Array <: System.Collections.IList;
+
+axiom System.Array <: System.Collections.ICollection;
+
+axiom System.Array <: System.Collections.IEnumerable;
+
+axiom $IsMemberlessType(System.Array);
+
+// System.Array object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Array } IsHeap($h) && $h[$oi, $inv] <: System.Array && $h[$oi, $localinv] != $BaseClass(System.Array) ==> true);
+
+// Bag object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Bag } IsHeap($h) && $h[$oi, $inv] <: Bag && $h[$oi, $localinv] != $BaseClass(Bag) ==> 0 <= $h[$oi, Bag.n] && $h[$oi, Bag.n] <= $Length($h[$oi, Bag.a]));
+
+procedure Bag.SpecSharp.CheckInvariant$System.Boolean(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], throwException$in: bool where true) returns ($result: bool where true);
+ // user-declared preconditions
+ requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this) && (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == this && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Bag.SpecSharp.CheckInvariant$System.Boolean(this: ref, throwException$in: bool) returns ($result: bool)
+{
+ var throwException: bool where true, stack0i: int, stack1i: int, stack0b: bool, stack1o: ref, return.value: bool where true, stack50000o: ref, stack0o: ref, SS$Display.Return.Local: bool where true;
+
+ entry:
+ throwException := throwException$in;
+ goto block2380;
+
+ block2380:
+ goto block2482;
+
+ block2482:
+ // ----- nop
+ // ----- load constant 0
+ stack0i := 0;
+ // ----- load field
+ assert this != null;
+ stack1i := $Heap[this, Bag.n];
+ // ----- binary operator
+ // ----- branch
+ goto true2482to2550, false2482to2414;
+
+ true2482to2550:
+ assume stack0i > stack1i;
+ goto block2550;
+
+ false2482to2414:
+ assume stack0i <= stack1i;
+ goto block2414;
+
+ block2550:
+ // ----- copy
+ stack0b := throwException;
+ // ----- unary operator
+ // ----- branch
+ goto true2550to2584, false2550to2601;
+
+ block2414:
+ // ----- load field
+ assert this != null;
+ stack0i := $Heap[this, Bag.n];
+ // ----- load field
+ assert this != null;
+ stack1o := $Heap[this, Bag.a];
+ // ----- unary operator
+ assert stack1o != null;
+ stack1i := $Length(stack1o);
+ // ----- unary operator
+ stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
+ // ----- binary operator
+ // ----- branch
+ goto true2414to2550, false2414to2465;
+
+ true2414to2550:
+ assume stack0i > stack1i;
+ goto block2550;
+
+ false2414to2465:
+ assume stack0i <= stack1i;
+ goto block2465;
+
+ block2465:
+ // ----- branch
+ goto block2448;
+
+ true2550to2584:
+ assume !stack0b;
+ goto block2584;
+
+ false2550to2601:
+ assume stack0b;
+ goto block2601;
+
+ block2584:
+ // ----- load constant 0
+ return.value := false;
+ // ----- branch
+ goto block2567;
+
+ block2601:
+ assume false;
+ // ----- new object
+ havoc stack50000o;
+ assume $Heap[stack50000o, $allocated] == false && stack50000o != null && $typeof(stack50000o) == Microsoft.Contracts.ObjectInvariantException;
+ assume $Heap[stack50000o, $ownerRef] == stack50000o && $Heap[stack50000o, $ownerFrame] == $PeerGroupPlaceholder;
+ // ----- call
+ assert stack50000o != null;
+ call Microsoft.Contracts.ObjectInvariantException..ctor(stack50000o);
+ // ----- copy
+ stack0o := stack50000o;
+ // ----- throw
+ assert stack0o != null;
+ assume false;
+ return;
+
+ block2448:
+ // ----- load constant 1
+ return.value := true;
+ // ----- branch
+ goto block2567;
+
+ block2567:
+ // ----- copy
+ SS$Display.Return.Local := return.value;
+ // ----- copy
+ stack0b := return.value;
+ // ----- return
+ $result := stack0b;
+ return;
+}
+
+
+
+axiom Microsoft.Contracts.ObjectInvariantException <: Microsoft.Contracts.ObjectInvariantException;
+
+axiom Microsoft.Contracts.GuardException <: Microsoft.Contracts.GuardException;
+
+axiom System.Exception <: System.Exception;
+
+axiom $BaseClass(System.Exception) == System.Object && AsDirectSubClass(System.Exception, $BaseClass(System.Exception)) == System.Exception;
+
+axiom !$IsImmutable(System.Exception) && $AsMutable(System.Exception) == System.Exception;
+
+axiom System.Runtime.Serialization.ISerializable <: System.Runtime.Serialization.ISerializable;
+
+axiom System.Runtime.Serialization.ISerializable <: System.Object;
+
+axiom $IsMemberlessType(System.Runtime.Serialization.ISerializable);
+
+axiom $AsInterface(System.Runtime.Serialization.ISerializable) == System.Runtime.Serialization.ISerializable;
+
+axiom System.Exception <: System.Runtime.Serialization.ISerializable;
+
+axiom System.Runtime.InteropServices._Exception <: System.Runtime.InteropServices._Exception;
+
+axiom System.Runtime.InteropServices._Exception <: System.Object;
+
+axiom $IsMemberlessType(System.Runtime.InteropServices._Exception);
+
+axiom $AsInterface(System.Runtime.InteropServices._Exception) == System.Runtime.InteropServices._Exception;
+
+axiom System.Exception <: System.Runtime.InteropServices._Exception;
+
+// System.Exception object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Exception } IsHeap($h) && $h[$oi, $inv] <: System.Exception && $h[$oi, $localinv] != $BaseClass(System.Exception) ==> true);
+
+axiom $BaseClass(Microsoft.Contracts.GuardException) == System.Exception && AsDirectSubClass(Microsoft.Contracts.GuardException, $BaseClass(Microsoft.Contracts.GuardException)) == Microsoft.Contracts.GuardException;
+
+axiom !$IsImmutable(Microsoft.Contracts.GuardException) && $AsMutable(Microsoft.Contracts.GuardException) == Microsoft.Contracts.GuardException;
+
+// Microsoft.Contracts.GuardException object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Microsoft.Contracts.GuardException } IsHeap($h) && $h[$oi, $inv] <: Microsoft.Contracts.GuardException && $h[$oi, $localinv] != $BaseClass(Microsoft.Contracts.GuardException) ==> true);
+
+axiom $BaseClass(Microsoft.Contracts.ObjectInvariantException) == Microsoft.Contracts.GuardException && AsDirectSubClass(Microsoft.Contracts.ObjectInvariantException, $BaseClass(Microsoft.Contracts.ObjectInvariantException)) == Microsoft.Contracts.ObjectInvariantException;
+
+axiom !$IsImmutable(Microsoft.Contracts.ObjectInvariantException) && $AsMutable(Microsoft.Contracts.ObjectInvariantException) == Microsoft.Contracts.ObjectInvariantException;
+
+// Microsoft.Contracts.ObjectInvariantException object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: Microsoft.Contracts.ObjectInvariantException } IsHeap($h) && $h[$oi, $inv] <: Microsoft.Contracts.ObjectInvariantException && $h[$oi, $localinv] != $BaseClass(Microsoft.Contracts.ObjectInvariantException) ==> true);
+
+procedure Microsoft.Contracts.ObjectInvariantException..ctor(this: ref where $IsNotNull(this, Microsoft.Contracts.ObjectInvariantException) && $Heap[this, $allocated]);
+ // object is fully unpacked: this.inv == Object
+ free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
+ // nothing is owned by [this,*] and 'this' is alone in its own peer group
+ free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
+ free requires $BeingConstructed == this;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // target object is allocated upon return
+ free ensures $Heap[this, $allocated];
+ // target object is additively exposable for Microsoft.Contracts.ObjectInvariantException
+ ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == Microsoft.Contracts.ObjectInvariantException && $Heap[this, $localinv] == $typeof(this);
+ ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(Microsoft.Contracts.ObjectInvariantException <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Bag..ctor$System.Int32.array$notnull(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], initialElements$in: ref where $IsNotNull(initialElements$in, IntArray(System.Int32, 1)) && $Heap[initialElements$in, $allocated]);
+ // object is fully unpacked: this.inv == Object
+ free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
+ // initialElements is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[initialElements$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[initialElements$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // initialElements is peer consistent (owner must not be valid)
+ requires $Heap[initialElements$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[initialElements$in, $ownerRef], $inv] <: $Heap[initialElements$in, $ownerFrame]) || $Heap[$Heap[initialElements$in, $ownerRef], $localinv] == $BaseClass($Heap[initialElements$in, $ownerFrame]);
+ // nothing is owned by [this,*] and 'this' is alone in its own peer group
+ free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
+ free requires $BeingConstructed == this;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // target object is allocated upon return
+ free ensures $Heap[this, $allocated];
+ // target object is additively exposable for Bag
+ ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == Bag && $Heap[this, $localinv] == $typeof(this);
+ ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(Bag <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Bag..ctor$System.Int32.array$notnull(this: ref, initialElements$in: ref)
+{
+ var initialElements: ref where $IsNotNull(initialElements, IntArray(System.Int32, 1)) && $Heap[initialElements, $allocated], stack0o: ref, stack0i: int, temp0: exposeVersionType, temp1: ref, temp2: exposeVersionType, stack1i: int, temp3: ref;
+
+ entry:
+ initialElements := initialElements$in;
+ assume $Heap[this, Bag.n] == 0;
+ goto block3332;
+
+ block3332:
+ goto block3468;
+
+ block3468:
+ // ----- nop
+ // ----- copy ----- AddMethod.ssc(13,5)
+ stack0o := initialElements;
+ // ----- unary operator ----- AddMethod.ssc(13,5)
+ assert stack0o != null;
+ stack0i := $Length(stack0o);
+ // ----- unary operator ----- AddMethod.ssc(13,5)
+ stack0i := $IntToInt(stack0i, System.UIntPtr, System.Int32);
+ // ----- store field ----- AddMethod.ssc(13,5)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ havoc temp0;
+ $Heap[this, $exposeVersion] := temp0;
+ $Heap[this, Bag.n] := stack0i;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ // ----- copy ----- AddMethod.ssc(14,5)
+ stack0o := initialElements;
+ // ----- unary operator ----- AddMethod.ssc(14,5)
+ assert stack0o != null;
+ stack0i := $Length(stack0o);
+ // ----- unary operator ----- AddMethod.ssc(14,5)
+ stack0i := $IntToInt(stack0i, System.UIntPtr, System.Int32);
+ // ----- new array ----- AddMethod.ssc(14,5)
+ assert 0 <= stack0i;
+ havoc temp1;
+ assume $Heap[temp1, $allocated] == false && $Length(temp1) == stack0i;
+ assume $Heap[$ElementProxy(temp1, -1), $allocated] == false && $ElementProxy(temp1, -1) != temp1 && $ElementProxy(temp1, -1) != null;
+ assume temp1 != null;
+ assume $typeof(temp1) == IntArray(System.Int32, 1);
+ assume $Heap[temp1, $ownerRef] == temp1 && $Heap[temp1, $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[$ElementProxy(temp1, -1), $ownerRef] == $ElementProxy(temp1, -1) && $Heap[$ElementProxy(temp1, -1), $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[temp1, $inv] == $typeof(temp1) && $Heap[temp1, $localinv] == $typeof(temp1);
+ assume (forall $i: int :: IntArrayGet($Heap[temp1, $elements], $i) == 0);
+ $Heap[temp1, $allocated] := true;
+ call System.Object..ctor($ElementProxy(temp1, -1));
+ stack0o := temp1;
+ assume IsHeap($Heap);
+ // ----- store field ----- AddMethod.ssc(14,5)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ assert ($Heap[stack0o, $ownerRef] == this && $Heap[stack0o, $ownerFrame] == Bag) || $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder;
+ assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[stack0o, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[stack0o, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[stack0o, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[stack0o, $ownerFrame];
+ call $UpdateOwnersForRep(this, Bag, stack0o);
+ havoc temp2;
+ $Heap[this, $exposeVersion] := temp2;
+ $Heap[this, Bag.a] := stack0o;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ // ----- call ----- AddMethod.ssc(15,5)
+ assert this != null;
+ call System.Object..ctor(this);
+ $Heap[this, $NonNullFieldsAreInitialized] := true;
+ assume IsHeap($Heap);
+ goto block3417;
+
+ block3417:
+ // ----- load field ----- AddMethod.ssc(16,5)
+ assert this != null;
+ stack0o := $Heap[this, Bag.a];
+ // ----- load constant 0 ----- AddMethod.ssc(16,5)
+ stack1i := 0;
+ // ----- call ----- AddMethod.ssc(16,5)
+ assert initialElements != null;
+ call System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(initialElements, stack0o, stack1i);
+ // ----- FrameGuard processing ----- AddMethod.ssc(17,3)
+ temp3 := this;
+ // ----- classic pack ----- AddMethod.ssc(17,3)
+ assert temp3 != null;
+ assert $Heap[temp3, $inv] == System.Object && $Heap[temp3, $localinv] == $typeof(temp3);
+ assert 0 <= $Heap[temp3, Bag.n];
+ assert $Heap[temp3, Bag.n] <= $Length($Heap[temp3, Bag.a]);
+ assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp3 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
+ $Heap[temp3, $inv] := Bag;
+ assume IsHeap($Heap);
+ // ----- return
+ return;
+}
+
+
+
+procedure System.Object..ctor(this: ref where $IsNotNull(this, System.Object) && $Heap[this, $allocated]);
+ // object is fully unpacked: this.inv == Object
+ free requires ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
+ // nothing is owned by [this,*] and 'this' is alone in its own peer group
+ free requires (forall $o: ref :: $o != this ==> $Heap[$o, $ownerRef] != this) && $Heap[this, $ownerRef] == this && $Heap[this, $ownerFrame] == $PeerGroupPlaceholder;
+ free requires $BeingConstructed == this;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // target object is allocated upon return
+ free ensures $Heap[this, $allocated];
+ // target object is additively exposable for System.Object
+ ensures ($Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame])) && $Heap[this, $inv] == System.Object && $Heap[this, $localinv] == $typeof(this);
+ ensures $Heap[this, $ownerRef] == old($Heap)[this, $ownerRef] && $Heap[this, $ownerFrame] == old($Heap)[this, $ownerFrame];
+ ensures $Heap[this, $sharingMode] == $SharingMode_Unshared;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && ($o != this || !(System.Object <: DeclType222($f))) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] && $o != this ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } $o == this || old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(this: ref where $IsNotNull(this, System.Array) && $Heap[this, $allocated], array$in: ref where $IsNotNull(array$in, System.Array) && $Heap[array$in, $allocated], index$in: int where InRange(index$in, System.Int32));
+ // user-declared preconditions
+ requires array$in != null;
+ requires $LBound(array$in, 0) <= index$in;
+ requires $Rank(this) == 1;
+ requires $Rank(array$in) == 1;
+ requires $Length(this) <= $UBound(array$in, 0) + 1 - index$in;
+ // target object is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // target object is peer consistent (owner must not be valid)
+ requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ // array is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[array$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[array$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // array is peer consistent (owner must not be valid)
+ requires $Heap[array$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[array$in, $ownerRef], $inv] <: $Heap[array$in, $ownerFrame]) || $Heap[$Heap[array$in, $ownerRef], $localinv] == $BaseClass($Heap[array$in, $ownerFrame]);
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // hard-coded postcondition
+ ensures (forall $k: int :: { ValueArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(ValueArrayGet($Heap[this, $elements], $k + 0 - index$in)) == ValueArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(ValueArrayGet($Heap[array$in, $elements], $k)) == ValueArrayGet($Heap[array$in, $elements], $k)));
+ ensures (forall $k: int :: { IntArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(IntArrayGet($Heap[this, $elements], $k + 0 - index$in)) == IntArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(IntArrayGet($Heap[array$in, $elements], $k)) == IntArrayGet($Heap[array$in, $elements], $k)));
+ ensures (forall $k: int :: { RefArrayGet($Heap[array$in, $elements], $k) } (index$in <= $k && $k < index$in + $Length(this) ==> old(RefArrayGet($Heap[this, $elements], $k + 0 - index$in)) == RefArrayGet($Heap[array$in, $elements], $k)) && (!(index$in <= $k && $k < index$in + $Length(this)) ==> old(RefArrayGet($Heap[array$in, $elements], $k)) == RefArrayGet($Heap[array$in, $elements], $k)));
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != array$in || !($typeof(array$in) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != array$in || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+procedure Bag.Add$System.Int32(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], x$in: int where InRange(x$in, System.Int32));
+ // target object is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // target object is peer consistent (owner must not be valid)
+ requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != this || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Bag.Add$System.Int32(this: ref, x$in: int)
+{
+ var x: int where InRange(x, System.Int32), temp0: ref, stack1s: struct, stack1o: ref, temp1: exposeVersionType, local2: ref where $Is(local2, System.Exception) && $Heap[local2, $allocated], stack0i: int, stack1i: int, stack0b: bool, b: ref where $Is(b, IntArray(System.Int32, 1)) && $Heap[b, $allocated], temp2: ref, stack0o: ref, stack2o: ref, stack3i: int, stack4o: ref, stack4i: int, temp3: exposeVersionType, local4: int where InRange(local4, System.Int32), temp4: exposeVersionType, stack0s: struct;
+
+ entry:
+ x := x$in;
+ goto block4335;
+
+ block4335:
+ goto block4488;
+
+ block4488:
+ // ----- nop
+ // ----- FrameGuard processing ----- AddMethod.ssc(22,13)
+ temp0 := this;
+ // ----- load token ----- AddMethod.ssc(22,13)
+ havoc stack1s;
+ assume $IsTokenForType(stack1s, Bag);
+ // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(22,13)
+ stack1o := TypeObject(Bag);
+ // ----- local unpack ----- AddMethod.ssc(22,13)
+ assert temp0 != null;
+ assert ($Heap[temp0, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[temp0, $ownerRef], $inv] <: $Heap[temp0, $ownerFrame]) || $Heap[$Heap[temp0, $ownerRef], $localinv] == $BaseClass($Heap[temp0, $ownerFrame])) && $Heap[temp0, $inv] <: Bag && $Heap[temp0, $localinv] == $typeof(temp0);
+ $Heap[temp0, $localinv] := System.Object;
+ havoc temp1;
+ $Heap[temp0, $exposeVersion] := temp1;
+ assume IsHeap($Heap);
+ local2 := null;
+ goto block4505;
+
+ block4505:
+ // ----- load field ----- AddMethod.ssc(24,7)
+ assert this != null;
+ stack0i := $Heap[this, Bag.n];
+ // ----- load field ----- AddMethod.ssc(24,7)
+ assert this != null;
+ stack1o := $Heap[this, Bag.a];
+ // ----- unary operator ----- AddMethod.ssc(24,7)
+ assert stack1o != null;
+ stack1i := $Length(stack1o);
+ // ----- unary operator ----- AddMethod.ssc(24,7)
+ stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
+ // ----- binary operator ----- AddMethod.ssc(24,7)
+ // ----- branch ----- AddMethod.ssc(24,7)
+ goto true4505to4539, false4505to4522;
+
+ true4505to4539:
+ assume stack0i != stack1i;
+ goto block4539;
+
+ false4505to4522:
+ assume stack0i == stack1i;
+ goto block4522;
+
+ block4539:
+ // ----- load field ----- AddMethod.ssc(30,7)
+ assert this != null;
+ stack0o := $Heap[this, Bag.a];
+ // ----- load field ----- AddMethod.ssc(30,7)
+ assert this != null;
+ stack1i := $Heap[this, Bag.n];
+ // ----- store element ----- AddMethod.ssc(30,7)
+ assert stack0o != null;
+ assert 0 <= stack1i;
+ assert stack1i < $Length(stack0o);
+ assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[stack0o, $ownerRef], $inv] <: $Heap[stack0o, $ownerFrame]) || $Heap[$Heap[stack0o, $ownerRef], $localinv] == $BaseClass($Heap[stack0o, $ownerFrame]);
+ $Heap[stack0o, $elements] := IntArraySet($Heap[stack0o, $elements], stack1i, x);
+ assume IsHeap($Heap);
+ // ----- load field ----- AddMethod.ssc(31,7)
+ assert this != null;
+ local4 := $Heap[this, Bag.n];
+ // ----- load constant 1 ----- AddMethod.ssc(31,7)
+ stack0i := 1;
+ // ----- binary operator ----- AddMethod.ssc(31,7)
+ stack0i := local4 + stack0i;
+ // ----- store field ----- AddMethod.ssc(31,7)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ havoc temp4;
+ $Heap[this, $exposeVersion] := temp4;
+ $Heap[this, Bag.n] := stack0i;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ // ----- copy
+ stack0i := local4;
+ // ----- branch
+ goto block4658;
+
+ block4522:
+ // ----- load constant 2 ----- AddMethod.ssc(26,15)
+ stack0i := 2;
+ // ----- load field ----- AddMethod.ssc(26,15)
+ assert this != null;
+ stack1o := $Heap[this, Bag.a];
+ // ----- unary operator ----- AddMethod.ssc(26,15)
+ assert stack1o != null;
+ stack1i := $Length(stack1o);
+ // ----- unary operator ----- AddMethod.ssc(26,15)
+ stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
+ // ----- binary operator ----- AddMethod.ssc(26,15)
+ stack0i := stack0i * stack1i;
+ // ----- load constant 1 ----- AddMethod.ssc(26,15)
+ stack1i := 1;
+ // ----- binary operator ----- AddMethod.ssc(26,15)
+ stack0i := stack0i + stack1i;
+ // ----- new array ----- AddMethod.ssc(26,15)
+ assert 0 <= stack0i;
+ havoc temp2;
+ assume $Heap[temp2, $allocated] == false && $Length(temp2) == stack0i;
+ assume $Heap[$ElementProxy(temp2, -1), $allocated] == false && $ElementProxy(temp2, -1) != temp2 && $ElementProxy(temp2, -1) != null;
+ assume temp2 != null;
+ assume $typeof(temp2) == IntArray(System.Int32, 1);
+ assume $Heap[temp2, $ownerRef] == temp2 && $Heap[temp2, $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[$ElementProxy(temp2, -1), $ownerRef] == $ElementProxy(temp2, -1) && $Heap[$ElementProxy(temp2, -1), $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[temp2, $inv] == $typeof(temp2) && $Heap[temp2, $localinv] == $typeof(temp2);
+ assume (forall $i: int :: IntArrayGet($Heap[temp2, $elements], $i) == 0);
+ $Heap[temp2, $allocated] := true;
+ call System.Object..ctor($ElementProxy(temp2, -1));
+ b := temp2;
+ assume IsHeap($Heap);
+ // ----- load field ----- AddMethod.ssc(27,9)
+ assert this != null;
+ stack0o := $Heap[this, Bag.a];
+ // ----- load constant 0 ----- AddMethod.ssc(27,9)
+ stack1i := 0;
+ // ----- copy ----- AddMethod.ssc(27,9)
+ stack2o := b;
+ // ----- load constant 0 ----- AddMethod.ssc(27,9)
+ stack3i := 0;
+ // ----- load field ----- AddMethod.ssc(27,9)
+ assert this != null;
+ stack4o := $Heap[this, Bag.a];
+ // ----- unary operator ----- AddMethod.ssc(27,9)
+ assert stack4o != null;
+ stack4i := $Length(stack4o);
+ // ----- unary operator ----- AddMethod.ssc(27,9)
+ stack4i := $IntToInt(stack4i, System.UIntPtr, System.Int32);
+ // ----- call ----- AddMethod.ssc(27,9)
+ call System.Array.Copy$System.Array$notnull$System.Int32$System.Array$notnull$System.Int32$System.Int32(stack0o, stack1i, stack2o, stack3i, stack4i);
+ // ----- store field ----- AddMethod.ssc(28,9)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ assert ($Heap[b, $ownerRef] == this && $Heap[b, $ownerFrame] == Bag) || $Heap[b, $ownerFrame] == $PeerGroupPlaceholder;
+ assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[b, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[b, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[b, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[b, $ownerFrame];
+ call $UpdateOwnersForRep(this, Bag, b);
+ havoc temp3;
+ $Heap[this, $exposeVersion] := temp3;
+ $Heap[this, Bag.a] := b;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ goto block4539;
+
+ block4658:
+ stack0o := null;
+ // ----- binary operator
+ // ----- branch
+ goto true4658to4624, false4658to4641;
+
+ true4658to4624:
+ assume local2 == stack0o;
+ goto block4624;
+
+ false4658to4641:
+ assume local2 != stack0o;
+ goto block4641;
+
+ block4624:
+ // ----- load token ----- AddMethod.ssc(32,5)
+ havoc stack0s;
+ assume $IsTokenForType(stack0s, Bag);
+ // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(32,5)
+ stack0o := TypeObject(Bag);
+ // ----- local pack ----- AddMethod.ssc(32,5)
+ assert temp0 != null;
+ assert $Heap[temp0, $localinv] == System.Object;
+ assert 0 <= $Heap[temp0, Bag.n];
+ assert $Heap[temp0, Bag.n] <= $Length($Heap[temp0, Bag.a]);
+ assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp0 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
+ $Heap[temp0, $localinv] := $typeof(temp0);
+ assume IsHeap($Heap);
+ goto block4726;
+
+ block4641:
+ // ----- is instance
+ // ----- branch
+ goto true4641to4624, false4641to4692;
+
+ true4641to4624:
+ assume $As(local2, Microsoft.Contracts.ICheckedException) != null;
+ goto block4624;
+
+ false4641to4692:
+ assume $As(local2, Microsoft.Contracts.ICheckedException) == null;
+ goto block4692;
+
+ block4692:
+ // ----- branch
+ goto block4726;
+
+ block4726:
+ // ----- nop
+ // ----- branch
+ goto block4590;
+
+ block4590:
+ // ----- return
+ return;
+}
+
+
+
+axiom System.Type <: System.Type;
+
+axiom System.Reflection.MemberInfo <: System.Reflection.MemberInfo;
+
+axiom $BaseClass(System.Reflection.MemberInfo) == System.Object && AsDirectSubClass(System.Reflection.MemberInfo, $BaseClass(System.Reflection.MemberInfo)) == System.Reflection.MemberInfo;
+
+axiom $IsImmutable(System.Reflection.MemberInfo) && $AsImmutable(System.Reflection.MemberInfo) == System.Reflection.MemberInfo;
+
+axiom System.Reflection.ICustomAttributeProvider <: System.Reflection.ICustomAttributeProvider;
+
+axiom System.Reflection.ICustomAttributeProvider <: System.Object;
+
+axiom $IsMemberlessType(System.Reflection.ICustomAttributeProvider);
+
+axiom $AsInterface(System.Reflection.ICustomAttributeProvider) == System.Reflection.ICustomAttributeProvider;
+
+axiom System.Reflection.MemberInfo <: System.Reflection.ICustomAttributeProvider;
+
+axiom System.Runtime.InteropServices._MemberInfo <: System.Runtime.InteropServices._MemberInfo;
+
+axiom System.Runtime.InteropServices._MemberInfo <: System.Object;
+
+axiom $IsMemberlessType(System.Runtime.InteropServices._MemberInfo);
+
+axiom $AsInterface(System.Runtime.InteropServices._MemberInfo) == System.Runtime.InteropServices._MemberInfo;
+
+axiom System.Reflection.MemberInfo <: System.Runtime.InteropServices._MemberInfo;
+
+axiom $IsMemberlessType(System.Reflection.MemberInfo);
+
+// System.Reflection.MemberInfo object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Reflection.MemberInfo } IsHeap($h) && $h[$oi, $inv] <: System.Reflection.MemberInfo && $h[$oi, $localinv] != $BaseClass(System.Reflection.MemberInfo) ==> true);
+
+axiom $BaseClass(System.Type) == System.Reflection.MemberInfo && AsDirectSubClass(System.Type, $BaseClass(System.Type)) == System.Type;
+
+axiom $IsImmutable(System.Type) && $AsImmutable(System.Type) == System.Type;
+
+axiom System.Runtime.InteropServices._Type <: System.Runtime.InteropServices._Type;
+
+axiom System.Runtime.InteropServices._Type <: System.Object;
+
+axiom $IsMemberlessType(System.Runtime.InteropServices._Type);
+
+axiom $AsInterface(System.Runtime.InteropServices._Type) == System.Runtime.InteropServices._Type;
+
+axiom System.Type <: System.Runtime.InteropServices._Type;
+
+axiom System.Reflection.IReflect <: System.Reflection.IReflect;
+
+axiom System.Reflection.IReflect <: System.Object;
+
+axiom $IsMemberlessType(System.Reflection.IReflect);
+
+axiom $AsInterface(System.Reflection.IReflect) == System.Reflection.IReflect;
+
+axiom System.Type <: System.Reflection.IReflect;
+
+axiom $IsMemberlessType(System.Type);
+
+// System.Type object invariant
+axiom (forall $oi: ref, $h: Heap :: { $h[$oi, $inv] <: System.Type } IsHeap($h) && $h[$oi, $inv] <: System.Type && $h[$oi, $localinv] != $BaseClass(System.Type) ==> true);
+
+procedure System.Array.Copy$System.Array$notnull$System.Int32$System.Array$notnull$System.Int32$System.Int32(sourceArray$in: ref where $IsNotNull(sourceArray$in, System.Array) && $Heap[sourceArray$in, $allocated], sourceIndex$in: int where InRange(sourceIndex$in, System.Int32), destinationArray$in: ref where $IsNotNull(destinationArray$in, System.Array) && $Heap[destinationArray$in, $allocated], destinationIndex$in: int where InRange(destinationIndex$in, System.Int32), length$in: int where InRange(length$in, System.Int32));
+ // user-declared preconditions
+ requires sourceArray$in != null;
+ requires destinationArray$in != null;
+ requires $Rank(sourceArray$in) == $Rank(destinationArray$in);
+ requires sourceIndex$in >= $LBound(sourceArray$in, 0);
+ requires destinationIndex$in >= $LBound(destinationArray$in, 0);
+ requires length$in >= 0;
+ requires sourceIndex$in + length$in <= $LBound(sourceArray$in, 0) + $Length(sourceArray$in);
+ requires destinationIndex$in + length$in <= $LBound(destinationArray$in, 0) + $Length(destinationArray$in);
+ // sourceArray is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[sourceArray$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[sourceArray$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // sourceArray is peer consistent (owner must not be valid)
+ requires $Heap[sourceArray$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[sourceArray$in, $ownerRef], $inv] <: $Heap[sourceArray$in, $ownerFrame]) || $Heap[$Heap[sourceArray$in, $ownerRef], $localinv] == $BaseClass($Heap[sourceArray$in, $ownerFrame]);
+ // destinationArray is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[destinationArray$in, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[destinationArray$in, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // destinationArray is peer consistent (owner must not be valid)
+ requires $Heap[destinationArray$in, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[destinationArray$in, $ownerRef], $inv] <: $Heap[destinationArray$in, $ownerFrame]) || $Heap[$Heap[destinationArray$in, $ownerRef], $localinv] == $BaseClass($Heap[destinationArray$in, $ownerFrame]);
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // hard-coded postcondition
+ ensures (forall $k: int :: { ValueArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(ValueArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == ValueArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(ValueArrayGet($Heap[destinationArray$in, $elements], $k)) == ValueArrayGet($Heap[destinationArray$in, $elements], $k)));
+ ensures (forall $k: int :: { IntArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(IntArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == IntArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(IntArrayGet($Heap[destinationArray$in, $elements], $k)) == IntArrayGet($Heap[destinationArray$in, $elements], $k)));
+ ensures (forall $k: int :: { RefArrayGet($Heap[destinationArray$in, $elements], $k) } (destinationIndex$in <= $k && $k < destinationIndex$in + length$in ==> old(RefArrayGet($Heap[sourceArray$in, $elements], $k + sourceIndex$in - destinationIndex$in)) == RefArrayGet($Heap[destinationArray$in, $elements], $k)) && (!(destinationIndex$in <= $k && $k < destinationIndex$in + length$in) ==> old(RefArrayGet($Heap[destinationArray$in, $elements], $k)) == RefArrayGet($Heap[destinationArray$in, $elements], $k)));
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != destinationArray$in || !($typeof(destinationArray$in) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != destinationArray$in || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+axiom Microsoft.Contracts.ICheckedException <: Microsoft.Contracts.ICheckedException;
+
+axiom Microsoft.Contracts.ICheckedException <: System.Object;
+
+axiom $IsMemberlessType(Microsoft.Contracts.ICheckedException);
+
+axiom $AsInterface(Microsoft.Contracts.ICheckedException) == Microsoft.Contracts.ICheckedException;
+
+procedure Bag.AddAgain$System.Int32(this: ref where $IsNotNull(this, Bag) && $Heap[this, $allocated], x$in: int where InRange(x$in, System.Int32));
+ // target object is peer consistent
+ requires (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[this, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[this, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ // target object is peer consistent (owner must not be valid)
+ requires $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old($o != this || !($typeof(this) <: DeclType222($f)) || !$IncludedInModifiesStar($f)) && old($o != this || $f != $exposeVersion) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Bag.AddAgain$System.Int32(this: ref, x$in: int)
+{
+ var x: int where InRange(x, System.Int32), temp0: ref, stack1s: struct, stack1o: ref, temp1: exposeVersionType, local2: ref where $Is(local2, System.Exception) && $Heap[local2, $allocated], stack0i: int, stack1i: int, stack0b: bool, stack0o: ref, local4: int where InRange(local4, System.Int32), temp2: exposeVersionType, b: ref where $Is(b, IntArray(System.Int32, 1)) && $Heap[b, $allocated], temp3: ref, stack2i: int, temp4: exposeVersionType, stack0s: struct;
+
+ entry:
+ x := x$in;
+ goto block6188;
+
+ block6188:
+ goto block6341;
+
+ block6341:
+ // ----- nop
+ // ----- FrameGuard processing ----- AddMethod.ssc(38,13)
+ temp0 := this;
+ // ----- load token ----- AddMethod.ssc(38,13)
+ havoc stack1s;
+ assume $IsTokenForType(stack1s, Bag);
+ // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(38,13)
+ stack1o := TypeObject(Bag);
+ // ----- local unpack ----- AddMethod.ssc(38,13)
+ assert temp0 != null;
+ assert ($Heap[temp0, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[temp0, $ownerRef], $inv] <: $Heap[temp0, $ownerFrame]) || $Heap[$Heap[temp0, $ownerRef], $localinv] == $BaseClass($Heap[temp0, $ownerFrame])) && $Heap[temp0, $inv] <: Bag && $Heap[temp0, $localinv] == $typeof(temp0);
+ $Heap[temp0, $localinv] := System.Object;
+ havoc temp1;
+ $Heap[temp0, $exposeVersion] := temp1;
+ assume IsHeap($Heap);
+ local2 := null;
+ goto block6358;
+
+ block6358:
+ // ----- load field ----- AddMethod.ssc(40,7)
+ assert this != null;
+ stack0i := $Heap[this, Bag.n];
+ // ----- load field ----- AddMethod.ssc(40,7)
+ assert this != null;
+ stack1o := $Heap[this, Bag.a];
+ // ----- unary operator ----- AddMethod.ssc(40,7)
+ assert stack1o != null;
+ stack1i := $Length(stack1o);
+ // ----- unary operator ----- AddMethod.ssc(40,7)
+ stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
+ // ----- binary operator ----- AddMethod.ssc(40,7)
+ // ----- branch ----- AddMethod.ssc(40,7)
+ goto true6358to6392, false6358to6375;
+
+ true6358to6392:
+ assume stack0i != stack1i;
+ goto block6392;
+
+ false6358to6375:
+ assume stack0i == stack1i;
+ goto block6375;
+
+ block6392:
+ // ----- load field ----- AddMethod.ssc(46,7)
+ assert this != null;
+ stack0o := $Heap[this, Bag.a];
+ // ----- load field ----- AddMethod.ssc(46,7)
+ assert this != null;
+ stack1i := $Heap[this, Bag.n];
+ // ----- store element ----- AddMethod.ssc(46,7)
+ assert stack0o != null;
+ assert 0 <= stack1i;
+ assert stack1i < $Length(stack0o);
+ assert $Heap[stack0o, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[stack0o, $ownerRef], $inv] <: $Heap[stack0o, $ownerFrame]) || $Heap[$Heap[stack0o, $ownerRef], $localinv] == $BaseClass($Heap[stack0o, $ownerFrame]);
+ $Heap[stack0o, $elements] := IntArraySet($Heap[stack0o, $elements], stack1i, x);
+ assume IsHeap($Heap);
+ // ----- load field ----- AddMethod.ssc(47,7)
+ assert this != null;
+ local4 := $Heap[this, Bag.n];
+ // ----- load constant 1 ----- AddMethod.ssc(47,7)
+ stack0i := 1;
+ // ----- binary operator ----- AddMethod.ssc(47,7)
+ stack0i := local4 + stack0i;
+ // ----- store field ----- AddMethod.ssc(47,7)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ havoc temp2;
+ $Heap[this, $exposeVersion] := temp2;
+ $Heap[this, Bag.n] := stack0i;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ // ----- copy
+ stack0i := local4;
+ // ----- branch
+ goto block6562;
+
+ block6375:
+ // ----- load constant 2 ----- AddMethod.ssc(42,15)
+ stack0i := 2;
+ // ----- load field ----- AddMethod.ssc(42,15)
+ assert this != null;
+ stack1o := $Heap[this, Bag.a];
+ // ----- unary operator ----- AddMethod.ssc(42,15)
+ assert stack1o != null;
+ stack1i := $Length(stack1o);
+ // ----- unary operator ----- AddMethod.ssc(42,15)
+ stack1i := $IntToInt(stack1i, System.UIntPtr, System.Int32);
+ // ----- binary operator ----- AddMethod.ssc(42,15)
+ stack0i := stack0i * stack1i;
+ // ----- load constant 1 ----- AddMethod.ssc(42,15)
+ stack1i := 1;
+ // ----- binary operator ----- AddMethod.ssc(42,15)
+ stack0i := stack0i + stack1i;
+ // ----- new array ----- AddMethod.ssc(42,15)
+ assert 0 <= stack0i;
+ havoc temp3;
+ assume $Heap[temp3, $allocated] == false && $Length(temp3) == stack0i;
+ assume $Heap[$ElementProxy(temp3, -1), $allocated] == false && $ElementProxy(temp3, -1) != temp3 && $ElementProxy(temp3, -1) != null;
+ assume temp3 != null;
+ assume $typeof(temp3) == IntArray(System.Int32, 1);
+ assume $Heap[temp3, $ownerRef] == temp3 && $Heap[temp3, $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[$ElementProxy(temp3, -1), $ownerRef] == $ElementProxy(temp3, -1) && $Heap[$ElementProxy(temp3, -1), $ownerFrame] == $PeerGroupPlaceholder;
+ assume $Heap[temp3, $inv] == $typeof(temp3) && $Heap[temp3, $localinv] == $typeof(temp3);
+ assume (forall $i: int :: IntArrayGet($Heap[temp3, $elements], $i) == 0);
+ $Heap[temp3, $allocated] := true;
+ call System.Object..ctor($ElementProxy(temp3, -1));
+ b := temp3;
+ assume IsHeap($Heap);
+ // ----- load field ----- AddMethod.ssc(43,9)
+ assert this != null;
+ stack0o := $Heap[this, Bag.a];
+ // ----- copy ----- AddMethod.ssc(43,9)
+ stack1o := b;
+ // ----- load constant 0 ----- AddMethod.ssc(43,9)
+ stack2i := 0;
+ // ----- call ----- AddMethod.ssc(43,9)
+ assert stack0o != null;
+ call System.Array.CopyTo$System.Array$notnull$System.Int32$.Virtual.$(stack0o, stack1o, stack2i);
+ // ----- store field ----- AddMethod.ssc(44,9)
+ assert this != null;
+ assert $Heap[this, $ownerFrame] == $PeerGroupPlaceholder || !($Heap[$Heap[this, $ownerRef], $inv] <: $Heap[this, $ownerFrame]) || $Heap[$Heap[this, $ownerRef], $localinv] == $BaseClass($Heap[this, $ownerFrame]);
+ assert ($Heap[b, $ownerRef] == this && $Heap[b, $ownerFrame] == Bag) || $Heap[b, $ownerFrame] == $PeerGroupPlaceholder;
+ assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> (forall $pc: ref :: { $typeof($pc) } { $Heap[$pc, $localinv] } { $Heap[$pc, $inv] } { $Heap[$pc, $ownerFrame] } { $Heap[$pc, $ownerRef] } $pc != null && $Heap[$pc, $allocated] && $Heap[$pc, $ownerRef] == $Heap[b, $ownerRef] && $Heap[$pc, $ownerFrame] == $Heap[b, $ownerFrame] ==> $Heap[$pc, $inv] == $typeof($pc) && $Heap[$pc, $localinv] == $typeof($pc));
+ assert $Heap[b, $ownerFrame] == $PeerGroupPlaceholder && $Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag) ==> $Heap[this, $ownerRef] != $Heap[b, $ownerRef] || $Heap[this, $ownerFrame] != $Heap[b, $ownerFrame];
+ call $UpdateOwnersForRep(this, Bag, b);
+ havoc temp4;
+ $Heap[this, $exposeVersion] := temp4;
+ $Heap[this, Bag.a] := b;
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || 0 <= $Heap[this, Bag.n];
+ assert !($Heap[this, $inv] <: Bag && $Heap[this, $localinv] != $BaseClass(Bag)) || $Heap[this, Bag.n] <= $Length($Heap[this, Bag.a]);
+ assume IsHeap($Heap);
+ goto block6392;
+
+ block6562:
+ stack0o := null;
+ // ----- binary operator
+ // ----- branch
+ goto true6562to6477, false6562to6579;
+
+ true6562to6477:
+ assume local2 == stack0o;
+ goto block6477;
+
+ false6562to6579:
+ assume local2 != stack0o;
+ goto block6579;
+
+ block6477:
+ // ----- load token ----- AddMethod.ssc(48,5)
+ havoc stack0s;
+ assume $IsTokenForType(stack0s, Bag);
+ // ----- statically resolved GetTypeFromHandle call ----- AddMethod.ssc(48,5)
+ stack0o := TypeObject(Bag);
+ // ----- local pack ----- AddMethod.ssc(48,5)
+ assert temp0 != null;
+ assert $Heap[temp0, $localinv] == System.Object;
+ assert 0 <= $Heap[temp0, Bag.n];
+ assert $Heap[temp0, Bag.n] <= $Length($Heap[temp0, Bag.a]);
+ assert (forall $p: ref :: $p != null && $Heap[$p, $allocated] && $Heap[$p, $ownerRef] == temp0 && $Heap[$p, $ownerFrame] == Bag ==> $Heap[$p, $inv] == $typeof($p) && $Heap[$p, $localinv] == $typeof($p));
+ $Heap[temp0, $localinv] := $typeof(temp0);
+ assume IsHeap($Heap);
+ goto block6545;
+
+ block6579:
+ // ----- is instance
+ // ----- branch
+ goto true6579to6477, false6579to6528;
+
+ true6579to6477:
+ assume $As(local2, Microsoft.Contracts.ICheckedException) != null;
+ goto block6477;
+
+ false6579to6528:
+ assume $As(local2, Microsoft.Contracts.ICheckedException) == null;
+ goto block6528;
+
+ block6528:
+ // ----- branch
+ goto block6545;
+
+ block6545:
+ // ----- nop
+ // ----- branch
+ goto block6443;
+
+ block6443:
+ // ----- return
+ return;
+}
+
+
+
+procedure Bag..cctor();
+ free requires $BeingConstructed == null;
+ free requires $PurityAxiomsCanBeAssumed;
+ modifies $Heap, $ActivityIndicator;
+ // newly allocated objects are fully valid
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } $o != null && !old($Heap)[$o, $allocated] && $Heap[$o, $allocated] ==> $Heap[$o, $inv] == $typeof($o) && $Heap[$o, $localinv] == $typeof($o));
+ // first consistent owner unchanged if its exposeVersion is
+ free ensures (forall $o: ref :: { $Heap[$o, $FirstConsistentOwner] } old($Heap)[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] == $Heap[old($Heap)[$o, $FirstConsistentOwner], $exposeVersion] ==> old($Heap)[$o, $FirstConsistentOwner] == $Heap[$o, $FirstConsistentOwner]);
+ // frame condition
+ ensures (forall<a> $o: ref, $f: Field a :: { $Heap[$o, $f] } IncludeInMainFrameCondition($f) && $o != null && old($Heap)[$o, $allocated] && (old($Heap)[$o, $ownerFrame] == $PeerGroupPlaceholder || !(old($Heap)[old($Heap)[$o, $ownerRef], $inv] <: old($Heap)[$o, $ownerFrame]) || old($Heap)[old($Heap)[$o, $ownerRef], $localinv] == $BaseClass(old($Heap)[$o, $ownerFrame])) && old(true) && old(true) ==> old($Heap)[$o, $f] == $Heap[$o, $f]);
+ free ensures $HeapSucc(old($Heap), $Heap);
+ // inv/localinv change only in blocks
+ free ensures (forall $o: ref :: { $Heap[$o, $localinv] } { $Heap[$o, $inv] } old($Heap)[$o, $allocated] ==> old($Heap)[$o, $inv] == $Heap[$o, $inv] && old($Heap)[$o, $localinv] == $Heap[$o, $localinv]);
+ free ensures (forall $o: ref :: { $Heap[$o, $allocated] } old($Heap)[$o, $allocated] ==> $Heap[$o, $allocated]) && (forall $ot: ref :: { $Heap[$ot, $ownerFrame] } { $Heap[$ot, $ownerRef] } old($Heap)[$ot, $allocated] && old($Heap)[$ot, $ownerFrame] != $PeerGroupPlaceholder ==> $Heap[$ot, $ownerRef] == old($Heap)[$ot, $ownerRef] && $Heap[$ot, $ownerFrame] == old($Heap)[$ot, $ownerFrame]) && old($Heap)[$BeingConstructed, $NonNullFieldsAreInitialized] == $Heap[$BeingConstructed, $NonNullFieldsAreInitialized];
+ free ensures (forall $o: ref :: { $Heap[$o, $sharingMode] } old($Heap[$o, $sharingMode]) == $Heap[$o, $sharingMode]);
+
+
+
+implementation Bag..cctor()
+{
+
+ entry:
+ goto block7650;
+
+ block7650:
+ goto block7701;
+
+ block7701:
+ // ----- nop
+ // ----- return
+ return;
+}
+
+
diff --git a/Test/test7/MultipleErrors.bpl b/Test/test7/MultipleErrors.bpl
index 6f5944fc..8d07841a 100644
--- a/Test/test7/MultipleErrors.bpl
+++ b/Test/test7/MultipleErrors.bpl
@@ -1,38 +1,38 @@
-// RUN: %boogie -vc:block -errorLimit:1 -errorTrace:1 -logPrefix:-1block "%s" > "%t1"
-// RUN: %diff "%s.e1.block.expect" "%t1"
-// RUN: %boogie -vc:local -errorLimit:1 -errorTrace:1 -logPrefix:-1local "%s" > "%t2"
-// RUN: %diff "%s.e1.local.expect" "%t2"
-// RUN: %boogie -vc:dag -errorLimit:1 -errorTrace:1 -logPrefix:-1dag "%s" > "%t3"
-// RUN: %diff "%s.e1.dag.expect" "%t3"
-// RUN: %boogie -vc:local -errorLimit:10 -errorTrace:1 -logPrefix:-10local "%s" > "%t4"
-// RUN: %diff "%s.e10.local.expect" "%t4"
-// RUN: %boogie -vc:dag -errorLimit:10 -errorTrace:1 -logPrefix:-10dag "%s" > "%t5"
-// RUN: %diff "%s.e10.dag.expect" "%t5"
-
-// Author of this comment: mikebarnett ec02177eefb5
-// The following tests are rather fickle at the moment--different errors
-// may be reported during different runs. Moreover, it is conceivable that
-// the error trace would be reported in different orders, since we do not
-// attempt to sort the trace labels at this time.
-// An interesting thing is that /vc:local can with Simplify report more than one
-// error for this file, even with /errorLimit:1. Other than that, only
-// local and dag produce VCs to which Simplify actually produces different
-// counterexamples.
-
-procedure P(x: int)
-{
-start:
- goto A, B;
-
-A:
- assert 0 <= x;
- goto C;
-
-B:
- assert x < 100;
- goto C;
-
-C:
- assert x == 87;
- return;
-}
+// RUN: %boogie -vc:block -errorLimit:1 -errorTrace:1 -logPrefix:-1block "%s" > "%t1"
+// RUN: %diff "%s.e1.block.expect" "%t1"
+// RUN: %boogie -vc:local -errorLimit:1 -errorTrace:1 -logPrefix:-1local "%s" > "%t2"
+// RUN: %diff "%s.e1.local.expect" "%t2"
+// RUN: %boogie -vc:dag -errorLimit:1 -errorTrace:1 -logPrefix:-1dag "%s" > "%t3"
+// RUN: %diff "%s.e1.dag.expect" "%t3"
+// RUN: %boogie -vc:local -errorLimit:10 -errorTrace:1 -logPrefix:-10local "%s" > "%t4"
+// RUN: %diff "%s.e10.local.expect" "%t4"
+// RUN: %boogie -vc:dag -errorLimit:10 -errorTrace:1 -logPrefix:-10dag "%s" > "%t5"
+// RUN: %diff "%s.e10.dag.expect" "%t5"
+
+// Author of this comment: mikebarnett ec02177eefb5
+// The following tests are rather fickle at the moment--different errors
+// may be reported during different runs. Moreover, it is conceivable that
+// the error trace would be reported in different orders, since we do not
+// attempt to sort the trace labels at this time.
+// An interesting thing is that /vc:local can with Simplify report more than one
+// error for this file, even with /errorLimit:1. Other than that, only
+// local and dag produce VCs to which Simplify actually produces different
+// counterexamples.
+
+procedure P(x: int)
+{
+start:
+ goto A, B;
+
+A:
+ assert 0 <= x;
+ goto C;
+
+B:
+ assert x < 100;
+ goto C;
+
+C:
+ assert x == 87;
+ return;
+}
diff --git a/Test/test7/NestedVC.bpl b/Test/test7/NestedVC.bpl
index 6865be93..4fd22d22 100644
--- a/Test/test7/NestedVC.bpl
+++ b/Test/test7/NestedVC.bpl
@@ -1,23 +1,23 @@
-// RUN: %boogie -vc:nested "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-procedure P()
-{
-A: goto B, C;
-B: goto G;
-C: goto D, E;
-D: goto F;
-E: goto F;
-F: goto G;
-G: return;
-}
-
-procedure Q(x: bool)
-{
-A: goto B, C;
-B: assert x; goto G;
-C: goto D, E;
-D: goto F;
-E: goto F;
-F: goto G;
-G: return;
-}
+// RUN: %boogie -vc:nested "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+procedure P()
+{
+A: goto B, C;
+B: goto G;
+C: goto D, E;
+D: goto F;
+E: goto F;
+F: goto G;
+G: return;
+}
+
+procedure Q(x: bool)
+{
+A: goto B, C;
+B: assert x; goto G;
+C: goto D, E;
+D: goto F;
+E: goto F;
+F: goto G;
+G: return;
+}
diff --git a/Test/test7/UnreachableBlocks.bpl b/Test/test7/UnreachableBlocks.bpl
index 95c35029..90f0b0cb 100644
--- a/Test/test7/UnreachableBlocks.bpl
+++ b/Test/test7/UnreachableBlocks.bpl
@@ -1,42 +1,42 @@
-// RUN: %boogie -vc:nested "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// In the following program, block "A" has no dominator, which would cause Boogie
-// to crash if Boogie didn't first remove unreachable blocks. That is essentially
-// what this test tests
-procedure P()
-{
-entry:
- goto A;
-A:
- return;
-B:
- goto A;
-}
-
-procedure Q()
-{
-entry:
- goto entry, A;
-A:
- return;
-}
-
-procedure R()
-{
-entry:
- return;
-A:
- goto A;
-}
-
-procedure S()
-{
-entry:
- return;
-A:
- goto C;
-B:
- goto C;
-C: // C has no dominator
- return;
-}
+// RUN: %boogie -vc:nested "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// In the following program, block "A" has no dominator, which would cause Boogie
+// to crash if Boogie didn't first remove unreachable blocks. That is essentially
+// what this test tests
+procedure P()
+{
+entry:
+ goto A;
+A:
+ return;
+B:
+ goto A;
+}
+
+procedure Q()
+{
+entry:
+ goto entry, A;
+A:
+ return;
+}
+
+procedure R()
+{
+entry:
+ return;
+A:
+ goto A;
+}
+
+procedure S()
+{
+entry:
+ return;
+A:
+ goto C;
+B:
+ goto C;
+C: // C has no dominator
+ return;
+}
diff --git a/Test/textbook/BQueue.bpl b/Test/textbook/BQueue.bpl
index f224334c..3fdc407c 100644
--- a/Test/textbook/BQueue.bpl
+++ b/Test/textbook/BQueue.bpl
@@ -1,432 +1,432 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// BQueue.bpl
-// A queue program specified in the style of dynamic frames.
-// Rustan Leino, Michal Moskal, and Wolfram Schulte, 2007.
-
-// ---------------------------------------------------------------
-
-type ref;
-const null: ref;
-
-type Field x;
-
-// this variable represents the heap; read its type as \forall \alpha. ref * Field \alpha --> \alpha
-type HeapType = <x>[ref, Field x]x;
-var H: HeapType;
-
-// every object has an 'alloc' field, which says whether or not the object has been allocated
-const unique alloc: Field bool;
-
-// for simplicity, we say that every object has one field representing its abstract value and one
-// field representing its footprint (aka frame aka data group).
-
-const unique abstractValue: Field Seq;
-const unique footprint: Field [ref]bool;
-
-// ---------------------------------------------------------------
-
-type T; // the type of the elements of the queue
-const NullT: T; // some value of type T
-
-// ---------------------------------------------------------------
-
-// Queue:
-const unique head: Field ref;
-const unique tail: Field ref;
-const unique mynodes: Field [ref]bool;
-// Node:
-const unique data: Field T;
-const unique next: Field ref;
-
-function ValidQueue(HeapType, ref) returns (bool);
-axiom (forall h: HeapType, q: ref ::
- { ValidQueue(h, q) }
- q != null && h[q,alloc] ==>
- (ValidQueue(h, q) <==>
- h[q,head] != null && h[h[q,head],alloc] &&
- h[q,tail] != null && h[h[q,tail],alloc] &&
- h[h[q,tail], next] == null &&
- // The following line can be suppressed now that we have a ValidFootprint invariant
- (forall o: ref :: { h[q,footprint][o] } o != null && h[q,footprint][o] ==> h[o,alloc]) &&
- h[q,footprint][q] &&
- h[q,mynodes][h[q,head]] && h[q,mynodes][h[q,tail]] &&
- (forall n: ref :: { h[q,mynodes][n] }
- h[q,mynodes][n] ==>
- n != null && h[n,alloc] && ValidNode(h, n) &&
- SubSet(h[n,footprint], h[q,footprint]) &&
- !h[n,footprint][q] &&
- (h[n,next] == null ==> n == h[q,tail])
- ) &&
- (forall n: ref :: { h[n,next] }
- h[q,mynodes][n] ==>
- (h[n,next] != null ==> h[q,mynodes][h[n,next]])
- ) &&
- h[q,abstractValue] == h[h[q,head],abstractValue]
- ));
-
-// frame axiom for ValidQueue
-axiom (forall h0: HeapType, h1: HeapType, n: ref ::
- { ValidQueue(h0,n), ValidQueue(h1,n) }
- (forall<alpha> o: ref, f: Field alpha :: o != null && h0[o,alloc] && h0[n,footprint][o]
- ==> h0[o,f] == h1[o,f])
- &&
- (forall<alpha> o: ref, f: Field alpha :: o != null && h1[o,alloc] && h1[n,footprint][o]
- ==> h0[o,f] == h1[o,f])
- ==>
- ValidQueue(h0,n) == ValidQueue(h1,n));
-
-function ValidNode(HeapType, ref) returns (bool);
-axiom (forall h: HeapType, n: ref ::
- { ValidNode(h, n) }
- n != null && h[n,alloc] ==>
- (ValidNode(h, n) <==>
- // The following line can be suppressed now that we have a ValidFootprint invariant
- (forall o: ref :: { h[n,footprint][o] } o != null && h[n,footprint][o] ==> h[o,alloc]) &&
- h[n,footprint][n] &&
- (h[n,next] != null ==>
- h[h[n,next],alloc] &&
- SubSet(h[h[n,next], footprint], h[n,footprint]) &&
- !h[h[n,next], footprint][n]) &&
- (h[n,next] == null ==> EqualSeq(h[n,abstractValue], EmptySeq)) &&
- (h[n,next] != null ==> EqualSeq(h[n,abstractValue],
- Append(Singleton(h[h[n,next],data]), h[h[n,next],abstractValue])))
- ));
-
-// frame axiom for ValidNode
-axiom (forall h0: HeapType, h1: HeapType, n: ref ::
- { ValidNode(h0,n), ValidNode(h1,n) }
- (forall<alpha> o: ref, f: Field alpha :: o != null && h0[o,alloc] && h0[n,footprint][o]
- ==> h0[o,f] == h1[o,f])
- &&
- (forall<alpha> o: ref, f: Field alpha :: o != null && h1[o,alloc] && h1[n,footprint][o]
- ==> h0[o,f] == h1[o,f])
- ==>
- ValidNode(h0,n) == ValidNode(h1,n));
-
-// ---------------------------------------------------------------
-
-procedure MakeQueue() returns (q: ref)
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, EmptySet);
- ensures q != null && H[q,alloc];
- ensures AllNewSet(old(H), H[q,footprint]);
- ensures ValidQueue(H, q);
- ensures Length(H[q,abstractValue]) == 0;
-{
- var n: ref;
-
- assume Fresh(H,q);
- H[q,alloc] := true;
-
- call n := MakeNode(NullT);
- H[q,head] := n;
- H[q,tail] := n;
- H[q,mynodes] := SingletonSet(n);
- H[q,footprint] := UnionSet(SingletonSet(q), H[n,footprint]);
- H[q,abstractValue] := H[n,abstractValue];
-}
-
-procedure IsEmpty(q: ref) returns (isEmpty: bool)
- requires ValidFootprints(H);
- requires q != null && H[q,alloc] && ValidQueue(H, q);
- ensures isEmpty <==> Length(H[q,abstractValue]) == 0;
-{
- isEmpty := H[q,head] == H[q,tail];
-}
-
-procedure Enqueue(q: ref, t: T)
- requires ValidFootprints(H);
- requires q != null && H[q,alloc] && ValidQueue(H, q);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, old(H)[q,footprint]);
- ensures DifferenceIsNew(old(H), old(H)[q,footprint], H[q,footprint]);
- ensures ValidQueue(H, q);
- ensures EqualSeq(H[q,abstractValue], Append(old(H)[q,abstractValue], Singleton(t)));
-{
- var n: ref;
-
- call n := MakeNode(t);
-
- // foreach m in q.mynodes { m.footprint := m.footprint U n.footprint }
- call BulkUpdateFootprint(H[q,mynodes], H[n,footprint]);
- H[q,footprint] := UnionSet(H[q,footprint], H[n,footprint]);
-
- // foreach m in q.mynodes { m.abstractValue := Append(m.abstractValue, Singleton(t)) }
- call BulkUpdateAbstractValue(H[q,mynodes], t);
- H[q,abstractValue] := H[H[q,head],abstractValue];
-
- H[q,mynodes] := UnionSet(H[q,mynodes], SingletonSet(n));
-
- H[H[q,tail], next] := n;
- H[q,tail] := n;
-}
-
-procedure BulkUpdateFootprint(targetSet: [ref]bool, delta: [ref]bool);
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySetField(old(H), H, targetSet, footprint);
- ensures (forall o: ref ::
- o != null && old(H)[o,alloc] && targetSet[o]
- ==> H[o,footprint] == UnionSet(old(H)[o,footprint], delta));
-
-procedure BulkUpdateAbstractValue(targetSet: [ref]bool, t: T);
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySetField(old(H), H, targetSet, abstractValue);
- ensures (forall o: ref ::
- o != null && old(H)[o,alloc] && targetSet[o]
- ==> EqualSeq(H[o,abstractValue], Append(old(H)[o,abstractValue], Singleton(t))));
-
-procedure Front(q: ref) returns (t: T)
- requires ValidFootprints(H);
- requires q != null && H[q,alloc] && ValidQueue(H, q);
- requires 0 < Length(H[q,abstractValue]);
- ensures t == Index(H[q,abstractValue], 0);
-{
- t := H[H[H[q,head], next], data];
-}
-
-procedure Dequeue(q: ref)
- requires ValidFootprints(H);
- requires q != null && H[q,alloc] && ValidQueue(H, q);
- requires 0 < Length(H[q,abstractValue]);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, old(H)[q,footprint]);
- ensures DifferenceIsNew(old(H), old(H)[q,footprint], H[q,footprint]);
- ensures ValidQueue(H, q);
- ensures EqualSeq(H[q,abstractValue], Drop(old(H)[q,abstractValue], 1));
-{
- var n: ref;
-
- n := H[H[q,head], next];
- H[q,head] := n;
- // we could also remove old(H)[q,head] from H[q,mynodes], and similar for the footprints
- H[q,abstractValue] := H[n,abstractValue];
-}
-
-// --------------------------------------------------------------------------------
-
-procedure MakeNode(t: T) returns (n: ref)
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, EmptySet);
- ensures n != null && H[n,alloc];
- ensures AllNewSet(old(H), H[n,footprint]);
- ensures ValidNode(H, n);
- ensures H[n,data] == t && H[n,next] == null;
-{
- assume Fresh(H,n);
- H[n,alloc] := true;
-
- H[n,next] := null;
- H[n,data] := t;
- H[n,footprint] := SingletonSet(n);
- H[n,abstractValue] := EmptySeq;
-}
-
-// --------------------------------------------------------------------------------
-
-procedure Main(t: T, u: T, v: T)
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, EmptySet);
-{
- var q0, q1: ref;
- var w: T;
-
- call q0 := MakeQueue();
- call q1 := MakeQueue();
-
- call Enqueue(q0, t);
- call Enqueue(q0, u);
-
- call Enqueue(q1, v);
-
- assert Length(H[q0,abstractValue]) == 2;
-
- call w := Front(q0);
- assert w == t;
- call Dequeue(q0);
-
- call w := Front(q0);
- assert w == u;
-
- assert Length(H[q0,abstractValue]) == 1;
- assert Length(H[q1,abstractValue]) == 1;
-}
-
-// --------------------------------------------------------------------------------
-
-procedure Main2(t: T, u: T, v: T, q0: ref, q1: ref)
- requires q0 != null && H[q0,alloc] && ValidQueue(H, q0);
- requires q1 != null && H[q1,alloc] && ValidQueue(H, q1);
- requires DisjointSet(H[q0,footprint], H[q1,footprint]);
- requires Length(H[q0,abstractValue]) == 0;
-
- requires ValidFootprints(H);
- modifies H;
- ensures ValidFootprints(H);
- ensures ModifiesOnlySet(old(H), H, UnionSet(old(H)[q0,footprint], old(H)[q1,footprint]));
-{
- var w: T;
-
- call Enqueue(q0, t);
- call Enqueue(q0, u);
-
- call Enqueue(q1, v);
-
- assert Length(H[q0,abstractValue]) == 2;
-
- call w := Front(q0);
- assert w == t;
- call Dequeue(q0);
-
- call w := Front(q0);
- assert w == u;
-
- assert Length(H[q0,abstractValue]) == 1;
- assert Length(H[q1,abstractValue]) == old(Length(H[q1,abstractValue])) + 1;
-}
-
-// ---------------------------------------------------------------
-
-// Helpful predicates used in specs
-
-function ModifiesOnlySet(oldHeap: HeapType, newHeap: HeapType, set: [ref]bool) returns (bool);
-axiom (forall oldHeap: HeapType, newHeap: HeapType, set: [ref]bool ::
- { ModifiesOnlySet(oldHeap, newHeap, set) }
- ModifiesOnlySet(oldHeap, newHeap, set) <==>
- NoDeallocs(oldHeap, newHeap) &&
- (forall<alpha> o: ref, f: Field alpha :: { newHeap[o,f] }
- o != null && oldHeap[o,alloc] ==>
- oldHeap[o,f] == newHeap[o,f] || set[o]));
-
-function ModifiesOnlySetField<alpha>(oldHeap: HeapType, newHeap: HeapType,
- set: [ref]bool, field: Field alpha) returns (bool);
-axiom (forall<alpha> oldHeap: HeapType, newHeap: HeapType, set: [ref]bool, field: Field alpha ::
- { ModifiesOnlySetField(oldHeap, newHeap, set, field) }
- ModifiesOnlySetField(oldHeap, newHeap, set, field) <==>
- NoDeallocs(oldHeap, newHeap) &&
- (forall<beta> o: ref, f: Field beta :: { newHeap[o,f] }
- o != null && oldHeap[o,alloc] ==>
- oldHeap[o,f] == newHeap[o,f] || (set[o] && f == field)));
-
-function NoDeallocs(oldHeap: HeapType, newHeap: HeapType) returns (bool);
-axiom (forall oldHeap: HeapType, newHeap: HeapType ::
- { NoDeallocs(oldHeap, newHeap) }
- NoDeallocs(oldHeap, newHeap) <==>
- (forall o: ref :: { newHeap[o,alloc] }
- o != null && oldHeap[o,alloc] ==> newHeap[o,alloc]));
-
-function AllNewSet(oldHeap: HeapType, set: [ref]bool) returns (bool);
-axiom (forall oldHeap: HeapType, set: [ref]bool ::
- { AllNewSet(oldHeap, set) }
- AllNewSet(oldHeap, set) <==>
- (forall o: ref :: { oldHeap[o,alloc] }
- o != null && set[o] ==> !oldHeap[o,alloc]));
-
-function DifferenceIsNew(oldHeap: HeapType, oldSet: [ref]bool, newSet: [ref]bool) returns (bool);
-axiom (forall oldHeap: HeapType, oldSet: [ref]bool, newSet: [ref]bool ::
- { DifferenceIsNew(oldHeap, oldSet, newSet) }
- DifferenceIsNew(oldHeap, oldSet, newSet) <==>
- (forall o: ref :: { oldHeap[o,alloc] }
- o != null && !oldSet[o] && newSet[o] ==> !oldHeap[o,alloc]));
-
-function ValidFootprints(h: HeapType) returns (bool);
-axiom (forall h: HeapType ::
- { ValidFootprints(h) }
- ValidFootprints(h) <==>
- (forall o: ref, r: ref :: { h[o,footprint][r] }
- o != null && h[o,alloc] && r != null && h[o,footprint][r] ==> h[r,alloc]));
-
-function Fresh(h: HeapType, o: ref) returns (bool);
-axiom (forall h: HeapType, o: ref ::
- { Fresh(h,o) }
- Fresh(h,o) <==>
- o != null && !h[o,alloc] && h[o,footprint] == SingletonSet(o));
-
-// ---------------------------------------------------------------
-
-const EmptySet: [ref]bool;
-axiom (forall o: ref :: { EmptySet[o] } !EmptySet[o]);
-
-function SingletonSet(ref) returns ([ref]bool);
-axiom (forall r: ref :: { SingletonSet(r) } SingletonSet(r)[r]);
-axiom (forall r: ref, o: ref :: { SingletonSet(r)[o] } SingletonSet(r)[o] <==> r == o);
-
-function UnionSet([ref]bool, [ref]bool) returns ([ref]bool);
-axiom (forall a: [ref]bool, b: [ref]bool, o: ref :: { UnionSet(a,b)[o] }
- UnionSet(a,b)[o] <==> a[o] || b[o]);
-
-function SubSet([ref]bool, [ref]bool) returns (bool);
-axiom(forall a: [ref]bool, b: [ref]bool :: { SubSet(a,b) }
- SubSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} a[o] ==> b[o]));
-
-function EqualSet([ref]bool, [ref]bool) returns (bool);
-axiom(forall a: [ref]bool, b: [ref]bool :: { EqualSet(a,b) }
- EqualSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} a[o] <==> b[o]));
-
-function DisjointSet([ref]bool, [ref]bool) returns (bool);
-axiom (forall a: [ref]bool, b: [ref]bool :: { DisjointSet(a,b) }
- DisjointSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} !a[o] || !b[o]));
-
-// ---------------------------------------------------------------
-
-// Sequence of T
-type Seq;
-
-function Length(Seq) returns (int);
-axiom (forall s: Seq :: { Length(s) } 0 <= Length(s));
-
-const EmptySeq: Seq;
-axiom Length(EmptySeq) == 0;
-axiom (forall s: Seq :: { Length(s) } Length(s) == 0 ==> s == EmptySeq);
-
-function Singleton(T) returns (Seq);
-axiom (forall t: T :: { Length(Singleton(t)) } Length(Singleton(t)) == 1);
-
-function Append(Seq, Seq) returns (Seq);
-axiom (forall s0: Seq, s1: Seq :: { Length(Append(s0,s1)) }
- Length(Append(s0,s1)) == Length(s0) + Length(s1));
-
-function Index(Seq, int) returns (T);
-axiom (forall t: T :: { Index(Singleton(t), 0) } Index(Singleton(t), 0) == t);
-axiom (forall s0: Seq, s1: Seq, n: int :: { Index(Append(s0,s1), n) }
- (n < Length(s0) ==> Index(Append(s0,s1), n) == Index(s0, n)) &&
- (Length(s0) <= n ==> Index(Append(s0,s1), n) == Index(s1, n - Length(s0))));
-
-function EqualSeq(Seq, Seq) returns (bool);
-axiom (forall s0: Seq, s1: Seq :: { EqualSeq(s0,s1) }
- EqualSeq(s0,s1) <==>
- Length(s0) == Length(s1) &&
- (forall j: int :: { Index(s0,j) } { Index(s1,j) }
- 0 <= j && j < Length(s0) ==> Index(s0,j) == Index(s1,j)));
-
-function Take(s: Seq, howMany: int) returns (Seq);
-axiom (forall s: Seq, n: int :: { Length(Take(s,n)) }
- 0 <= n ==>
- (n <= Length(s) ==> Length(Take(s,n)) == n) &&
- (Length(s) < n ==> Length(Take(s,n)) == Length(s)));
-axiom (forall s: Seq, n: int, j: int :: { Index(Take(s,n), j) }
- 0 <= j && j < n && j < Length(s) ==>
- Index(Take(s,n), j) == Index(s, j));
-
-function Drop(s: Seq, howMany: int) returns (Seq);
-axiom (forall s: Seq, n: int :: { Length(Drop(s,n)) }
- 0 <= n ==>
- (n <= Length(s) ==> Length(Drop(s,n)) == Length(s) - n) &&
- (Length(s) < n ==> Length(Drop(s,n)) == 0));
-axiom (forall s: Seq, n: int, j: int :: { Index(Drop(s,n), j) }
- 0 <= n && 0 <= j && j < Length(s)-n ==>
- Index(Drop(s,n), j) == Index(s, j+n));
-
-// ---------------------------------------------------------------
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// BQueue.bpl
+// A queue program specified in the style of dynamic frames.
+// Rustan Leino, Michal Moskal, and Wolfram Schulte, 2007.
+
+// ---------------------------------------------------------------
+
+type ref;
+const null: ref;
+
+type Field x;
+
+// this variable represents the heap; read its type as \forall \alpha. ref * Field \alpha --> \alpha
+type HeapType = <x>[ref, Field x]x;
+var H: HeapType;
+
+// every object has an 'alloc' field, which says whether or not the object has been allocated
+const unique alloc: Field bool;
+
+// for simplicity, we say that every object has one field representing its abstract value and one
+// field representing its footprint (aka frame aka data group).
+
+const unique abstractValue: Field Seq;
+const unique footprint: Field [ref]bool;
+
+// ---------------------------------------------------------------
+
+type T; // the type of the elements of the queue
+const NullT: T; // some value of type T
+
+// ---------------------------------------------------------------
+
+// Queue:
+const unique head: Field ref;
+const unique tail: Field ref;
+const unique mynodes: Field [ref]bool;
+// Node:
+const unique data: Field T;
+const unique next: Field ref;
+
+function ValidQueue(HeapType, ref) returns (bool);
+axiom (forall h: HeapType, q: ref ::
+ { ValidQueue(h, q) }
+ q != null && h[q,alloc] ==>
+ (ValidQueue(h, q) <==>
+ h[q,head] != null && h[h[q,head],alloc] &&
+ h[q,tail] != null && h[h[q,tail],alloc] &&
+ h[h[q,tail], next] == null &&
+ // The following line can be suppressed now that we have a ValidFootprint invariant
+ (forall o: ref :: { h[q,footprint][o] } o != null && h[q,footprint][o] ==> h[o,alloc]) &&
+ h[q,footprint][q] &&
+ h[q,mynodes][h[q,head]] && h[q,mynodes][h[q,tail]] &&
+ (forall n: ref :: { h[q,mynodes][n] }
+ h[q,mynodes][n] ==>
+ n != null && h[n,alloc] && ValidNode(h, n) &&
+ SubSet(h[n,footprint], h[q,footprint]) &&
+ !h[n,footprint][q] &&
+ (h[n,next] == null ==> n == h[q,tail])
+ ) &&
+ (forall n: ref :: { h[n,next] }
+ h[q,mynodes][n] ==>
+ (h[n,next] != null ==> h[q,mynodes][h[n,next]])
+ ) &&
+ h[q,abstractValue] == h[h[q,head],abstractValue]
+ ));
+
+// frame axiom for ValidQueue
+axiom (forall h0: HeapType, h1: HeapType, n: ref ::
+ { ValidQueue(h0,n), ValidQueue(h1,n) }
+ (forall<alpha> o: ref, f: Field alpha :: o != null && h0[o,alloc] && h0[n,footprint][o]
+ ==> h0[o,f] == h1[o,f])
+ &&
+ (forall<alpha> o: ref, f: Field alpha :: o != null && h1[o,alloc] && h1[n,footprint][o]
+ ==> h0[o,f] == h1[o,f])
+ ==>
+ ValidQueue(h0,n) == ValidQueue(h1,n));
+
+function ValidNode(HeapType, ref) returns (bool);
+axiom (forall h: HeapType, n: ref ::
+ { ValidNode(h, n) }
+ n != null && h[n,alloc] ==>
+ (ValidNode(h, n) <==>
+ // The following line can be suppressed now that we have a ValidFootprint invariant
+ (forall o: ref :: { h[n,footprint][o] } o != null && h[n,footprint][o] ==> h[o,alloc]) &&
+ h[n,footprint][n] &&
+ (h[n,next] != null ==>
+ h[h[n,next],alloc] &&
+ SubSet(h[h[n,next], footprint], h[n,footprint]) &&
+ !h[h[n,next], footprint][n]) &&
+ (h[n,next] == null ==> EqualSeq(h[n,abstractValue], EmptySeq)) &&
+ (h[n,next] != null ==> EqualSeq(h[n,abstractValue],
+ Append(Singleton(h[h[n,next],data]), h[h[n,next],abstractValue])))
+ ));
+
+// frame axiom for ValidNode
+axiom (forall h0: HeapType, h1: HeapType, n: ref ::
+ { ValidNode(h0,n), ValidNode(h1,n) }
+ (forall<alpha> o: ref, f: Field alpha :: o != null && h0[o,alloc] && h0[n,footprint][o]
+ ==> h0[o,f] == h1[o,f])
+ &&
+ (forall<alpha> o: ref, f: Field alpha :: o != null && h1[o,alloc] && h1[n,footprint][o]
+ ==> h0[o,f] == h1[o,f])
+ ==>
+ ValidNode(h0,n) == ValidNode(h1,n));
+
+// ---------------------------------------------------------------
+
+procedure MakeQueue() returns (q: ref)
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, EmptySet);
+ ensures q != null && H[q,alloc];
+ ensures AllNewSet(old(H), H[q,footprint]);
+ ensures ValidQueue(H, q);
+ ensures Length(H[q,abstractValue]) == 0;
+{
+ var n: ref;
+
+ assume Fresh(H,q);
+ H[q,alloc] := true;
+
+ call n := MakeNode(NullT);
+ H[q,head] := n;
+ H[q,tail] := n;
+ H[q,mynodes] := SingletonSet(n);
+ H[q,footprint] := UnionSet(SingletonSet(q), H[n,footprint]);
+ H[q,abstractValue] := H[n,abstractValue];
+}
+
+procedure IsEmpty(q: ref) returns (isEmpty: bool)
+ requires ValidFootprints(H);
+ requires q != null && H[q,alloc] && ValidQueue(H, q);
+ ensures isEmpty <==> Length(H[q,abstractValue]) == 0;
+{
+ isEmpty := H[q,head] == H[q,tail];
+}
+
+procedure Enqueue(q: ref, t: T)
+ requires ValidFootprints(H);
+ requires q != null && H[q,alloc] && ValidQueue(H, q);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, old(H)[q,footprint]);
+ ensures DifferenceIsNew(old(H), old(H)[q,footprint], H[q,footprint]);
+ ensures ValidQueue(H, q);
+ ensures EqualSeq(H[q,abstractValue], Append(old(H)[q,abstractValue], Singleton(t)));
+{
+ var n: ref;
+
+ call n := MakeNode(t);
+
+ // foreach m in q.mynodes { m.footprint := m.footprint U n.footprint }
+ call BulkUpdateFootprint(H[q,mynodes], H[n,footprint]);
+ H[q,footprint] := UnionSet(H[q,footprint], H[n,footprint]);
+
+ // foreach m in q.mynodes { m.abstractValue := Append(m.abstractValue, Singleton(t)) }
+ call BulkUpdateAbstractValue(H[q,mynodes], t);
+ H[q,abstractValue] := H[H[q,head],abstractValue];
+
+ H[q,mynodes] := UnionSet(H[q,mynodes], SingletonSet(n));
+
+ H[H[q,tail], next] := n;
+ H[q,tail] := n;
+}
+
+procedure BulkUpdateFootprint(targetSet: [ref]bool, delta: [ref]bool);
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySetField(old(H), H, targetSet, footprint);
+ ensures (forall o: ref ::
+ o != null && old(H)[o,alloc] && targetSet[o]
+ ==> H[o,footprint] == UnionSet(old(H)[o,footprint], delta));
+
+procedure BulkUpdateAbstractValue(targetSet: [ref]bool, t: T);
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySetField(old(H), H, targetSet, abstractValue);
+ ensures (forall o: ref ::
+ o != null && old(H)[o,alloc] && targetSet[o]
+ ==> EqualSeq(H[o,abstractValue], Append(old(H)[o,abstractValue], Singleton(t))));
+
+procedure Front(q: ref) returns (t: T)
+ requires ValidFootprints(H);
+ requires q != null && H[q,alloc] && ValidQueue(H, q);
+ requires 0 < Length(H[q,abstractValue]);
+ ensures t == Index(H[q,abstractValue], 0);
+{
+ t := H[H[H[q,head], next], data];
+}
+
+procedure Dequeue(q: ref)
+ requires ValidFootprints(H);
+ requires q != null && H[q,alloc] && ValidQueue(H, q);
+ requires 0 < Length(H[q,abstractValue]);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, old(H)[q,footprint]);
+ ensures DifferenceIsNew(old(H), old(H)[q,footprint], H[q,footprint]);
+ ensures ValidQueue(H, q);
+ ensures EqualSeq(H[q,abstractValue], Drop(old(H)[q,abstractValue], 1));
+{
+ var n: ref;
+
+ n := H[H[q,head], next];
+ H[q,head] := n;
+ // we could also remove old(H)[q,head] from H[q,mynodes], and similar for the footprints
+ H[q,abstractValue] := H[n,abstractValue];
+}
+
+// --------------------------------------------------------------------------------
+
+procedure MakeNode(t: T) returns (n: ref)
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, EmptySet);
+ ensures n != null && H[n,alloc];
+ ensures AllNewSet(old(H), H[n,footprint]);
+ ensures ValidNode(H, n);
+ ensures H[n,data] == t && H[n,next] == null;
+{
+ assume Fresh(H,n);
+ H[n,alloc] := true;
+
+ H[n,next] := null;
+ H[n,data] := t;
+ H[n,footprint] := SingletonSet(n);
+ H[n,abstractValue] := EmptySeq;
+}
+
+// --------------------------------------------------------------------------------
+
+procedure Main(t: T, u: T, v: T)
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, EmptySet);
+{
+ var q0, q1: ref;
+ var w: T;
+
+ call q0 := MakeQueue();
+ call q1 := MakeQueue();
+
+ call Enqueue(q0, t);
+ call Enqueue(q0, u);
+
+ call Enqueue(q1, v);
+
+ assert Length(H[q0,abstractValue]) == 2;
+
+ call w := Front(q0);
+ assert w == t;
+ call Dequeue(q0);
+
+ call w := Front(q0);
+ assert w == u;
+
+ assert Length(H[q0,abstractValue]) == 1;
+ assert Length(H[q1,abstractValue]) == 1;
+}
+
+// --------------------------------------------------------------------------------
+
+procedure Main2(t: T, u: T, v: T, q0: ref, q1: ref)
+ requires q0 != null && H[q0,alloc] && ValidQueue(H, q0);
+ requires q1 != null && H[q1,alloc] && ValidQueue(H, q1);
+ requires DisjointSet(H[q0,footprint], H[q1,footprint]);
+ requires Length(H[q0,abstractValue]) == 0;
+
+ requires ValidFootprints(H);
+ modifies H;
+ ensures ValidFootprints(H);
+ ensures ModifiesOnlySet(old(H), H, UnionSet(old(H)[q0,footprint], old(H)[q1,footprint]));
+{
+ var w: T;
+
+ call Enqueue(q0, t);
+ call Enqueue(q0, u);
+
+ call Enqueue(q1, v);
+
+ assert Length(H[q0,abstractValue]) == 2;
+
+ call w := Front(q0);
+ assert w == t;
+ call Dequeue(q0);
+
+ call w := Front(q0);
+ assert w == u;
+
+ assert Length(H[q0,abstractValue]) == 1;
+ assert Length(H[q1,abstractValue]) == old(Length(H[q1,abstractValue])) + 1;
+}
+
+// ---------------------------------------------------------------
+
+// Helpful predicates used in specs
+
+function ModifiesOnlySet(oldHeap: HeapType, newHeap: HeapType, set: [ref]bool) returns (bool);
+axiom (forall oldHeap: HeapType, newHeap: HeapType, set: [ref]bool ::
+ { ModifiesOnlySet(oldHeap, newHeap, set) }
+ ModifiesOnlySet(oldHeap, newHeap, set) <==>
+ NoDeallocs(oldHeap, newHeap) &&
+ (forall<alpha> o: ref, f: Field alpha :: { newHeap[o,f] }
+ o != null && oldHeap[o,alloc] ==>
+ oldHeap[o,f] == newHeap[o,f] || set[o]));
+
+function ModifiesOnlySetField<alpha>(oldHeap: HeapType, newHeap: HeapType,
+ set: [ref]bool, field: Field alpha) returns (bool);
+axiom (forall<alpha> oldHeap: HeapType, newHeap: HeapType, set: [ref]bool, field: Field alpha ::
+ { ModifiesOnlySetField(oldHeap, newHeap, set, field) }
+ ModifiesOnlySetField(oldHeap, newHeap, set, field) <==>
+ NoDeallocs(oldHeap, newHeap) &&
+ (forall<beta> o: ref, f: Field beta :: { newHeap[o,f] }
+ o != null && oldHeap[o,alloc] ==>
+ oldHeap[o,f] == newHeap[o,f] || (set[o] && f == field)));
+
+function NoDeallocs(oldHeap: HeapType, newHeap: HeapType) returns (bool);
+axiom (forall oldHeap: HeapType, newHeap: HeapType ::
+ { NoDeallocs(oldHeap, newHeap) }
+ NoDeallocs(oldHeap, newHeap) <==>
+ (forall o: ref :: { newHeap[o,alloc] }
+ o != null && oldHeap[o,alloc] ==> newHeap[o,alloc]));
+
+function AllNewSet(oldHeap: HeapType, set: [ref]bool) returns (bool);
+axiom (forall oldHeap: HeapType, set: [ref]bool ::
+ { AllNewSet(oldHeap, set) }
+ AllNewSet(oldHeap, set) <==>
+ (forall o: ref :: { oldHeap[o,alloc] }
+ o != null && set[o] ==> !oldHeap[o,alloc]));
+
+function DifferenceIsNew(oldHeap: HeapType, oldSet: [ref]bool, newSet: [ref]bool) returns (bool);
+axiom (forall oldHeap: HeapType, oldSet: [ref]bool, newSet: [ref]bool ::
+ { DifferenceIsNew(oldHeap, oldSet, newSet) }
+ DifferenceIsNew(oldHeap, oldSet, newSet) <==>
+ (forall o: ref :: { oldHeap[o,alloc] }
+ o != null && !oldSet[o] && newSet[o] ==> !oldHeap[o,alloc]));
+
+function ValidFootprints(h: HeapType) returns (bool);
+axiom (forall h: HeapType ::
+ { ValidFootprints(h) }
+ ValidFootprints(h) <==>
+ (forall o: ref, r: ref :: { h[o,footprint][r] }
+ o != null && h[o,alloc] && r != null && h[o,footprint][r] ==> h[r,alloc]));
+
+function Fresh(h: HeapType, o: ref) returns (bool);
+axiom (forall h: HeapType, o: ref ::
+ { Fresh(h,o) }
+ Fresh(h,o) <==>
+ o != null && !h[o,alloc] && h[o,footprint] == SingletonSet(o));
+
+// ---------------------------------------------------------------
+
+const EmptySet: [ref]bool;
+axiom (forall o: ref :: { EmptySet[o] } !EmptySet[o]);
+
+function SingletonSet(ref) returns ([ref]bool);
+axiom (forall r: ref :: { SingletonSet(r) } SingletonSet(r)[r]);
+axiom (forall r: ref, o: ref :: { SingletonSet(r)[o] } SingletonSet(r)[o] <==> r == o);
+
+function UnionSet([ref]bool, [ref]bool) returns ([ref]bool);
+axiom (forall a: [ref]bool, b: [ref]bool, o: ref :: { UnionSet(a,b)[o] }
+ UnionSet(a,b)[o] <==> a[o] || b[o]);
+
+function SubSet([ref]bool, [ref]bool) returns (bool);
+axiom(forall a: [ref]bool, b: [ref]bool :: { SubSet(a,b) }
+ SubSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} a[o] ==> b[o]));
+
+function EqualSet([ref]bool, [ref]bool) returns (bool);
+axiom(forall a: [ref]bool, b: [ref]bool :: { EqualSet(a,b) }
+ EqualSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} a[o] <==> b[o]));
+
+function DisjointSet([ref]bool, [ref]bool) returns (bool);
+axiom (forall a: [ref]bool, b: [ref]bool :: { DisjointSet(a,b) }
+ DisjointSet(a,b) <==> (forall o: ref :: {a[o]} {b[o]} !a[o] || !b[o]));
+
+// ---------------------------------------------------------------
+
+// Sequence of T
+type Seq;
+
+function Length(Seq) returns (int);
+axiom (forall s: Seq :: { Length(s) } 0 <= Length(s));
+
+const EmptySeq: Seq;
+axiom Length(EmptySeq) == 0;
+axiom (forall s: Seq :: { Length(s) } Length(s) == 0 ==> s == EmptySeq);
+
+function Singleton(T) returns (Seq);
+axiom (forall t: T :: { Length(Singleton(t)) } Length(Singleton(t)) == 1);
+
+function Append(Seq, Seq) returns (Seq);
+axiom (forall s0: Seq, s1: Seq :: { Length(Append(s0,s1)) }
+ Length(Append(s0,s1)) == Length(s0) + Length(s1));
+
+function Index(Seq, int) returns (T);
+axiom (forall t: T :: { Index(Singleton(t), 0) } Index(Singleton(t), 0) == t);
+axiom (forall s0: Seq, s1: Seq, n: int :: { Index(Append(s0,s1), n) }
+ (n < Length(s0) ==> Index(Append(s0,s1), n) == Index(s0, n)) &&
+ (Length(s0) <= n ==> Index(Append(s0,s1), n) == Index(s1, n - Length(s0))));
+
+function EqualSeq(Seq, Seq) returns (bool);
+axiom (forall s0: Seq, s1: Seq :: { EqualSeq(s0,s1) }
+ EqualSeq(s0,s1) <==>
+ Length(s0) == Length(s1) &&
+ (forall j: int :: { Index(s0,j) } { Index(s1,j) }
+ 0 <= j && j < Length(s0) ==> Index(s0,j) == Index(s1,j)));
+
+function Take(s: Seq, howMany: int) returns (Seq);
+axiom (forall s: Seq, n: int :: { Length(Take(s,n)) }
+ 0 <= n ==>
+ (n <= Length(s) ==> Length(Take(s,n)) == n) &&
+ (Length(s) < n ==> Length(Take(s,n)) == Length(s)));
+axiom (forall s: Seq, n: int, j: int :: { Index(Take(s,n), j) }
+ 0 <= j && j < n && j < Length(s) ==>
+ Index(Take(s,n), j) == Index(s, j));
+
+function Drop(s: Seq, howMany: int) returns (Seq);
+axiom (forall s: Seq, n: int :: { Length(Drop(s,n)) }
+ 0 <= n ==>
+ (n <= Length(s) ==> Length(Drop(s,n)) == Length(s) - n) &&
+ (Length(s) < n ==> Length(Drop(s,n)) == 0));
+axiom (forall s: Seq, n: int, j: int :: { Index(Drop(s,n), j) }
+ 0 <= n && 0 <= j && j < Length(s)-n ==>
+ Index(Drop(s,n), j) == Index(s, j+n));
+
+// ---------------------------------------------------------------
diff --git a/Test/textbook/Bubble.bpl b/Test/textbook/Bubble.bpl
index 702b2cc9..a3f16baa 100644
--- a/Test/textbook/Bubble.bpl
+++ b/Test/textbook/Bubble.bpl
@@ -1,82 +1,82 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Bubble Sort, where the specification says the output is a permutation of
-// the input.
-
-// Introduce a constant 'N' and postulate that it is non-negative
-const N: int;
-axiom 0 <= N;
-
-// Declare a map from integers to integers. In the procedure below, 'a' will be
-// treated as an array of 'N' elements, indexed from 0 to less than 'N'.
-var a: [int]int;
-
-// This procedure implements Bubble Sort. One of the postconditions says that,
-// in the final state of the procedure, the array is sorted. The other
-// postconditions say that the final array is a permutation of the initial
-// array. To write that part of the specification, the procedure returns that
-// permutation mapping. That is, out-parameter 'perm' injectively maps the
-// numbers [0..N) to [0..N), as stated by the second and third postconditions.
-// The final postcondition says that 'perm' describes how the elements in
-// 'a' moved: what is now at index 'i' used to be at index 'perm[i]'.
-// Note, the specification says nothing about the elements of 'a' outside the
-// range [0..N). Moreover, Boogie does not prove that the program will terminate.
-
-procedure BubbleSort() returns (perm: [int]int)
- modifies a;
- // array is sorted
- ensures (forall i, j: int :: 0 <= i && i <= j && j < N ==> a[i] <= a[j]);
- // perm is a permutation
- ensures (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
- ensures (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
- // the final array is that permutation of the input array
- ensures (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
-{
- var n, p, tmp: int;
-
- n := 0;
- while (n < N)
- invariant n <= N;
- invariant (forall i: int :: 0 <= i && i < n ==> perm[i] == i);
- {
- perm[n] := n;
- n := n + 1;
- }
-
- while (true)
- invariant 0 <= n && n <= N;
- // array is sorted from n onwards
- invariant (forall i, k: int :: n <= i && i < N && 0 <= k && k < i ==> a[k] <= a[i]);
- // perm is a permutation
- invariant (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
- invariant (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
- // the current array is that permutation of the input array
- invariant (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
- {
- n := n - 1;
- if (n < 0) {
- break;
- }
-
- p := 0;
- while (p < n)
- invariant p <= n;
- // array is sorted from n+1 onwards
- invariant (forall i, k: int :: n+1 <= i && i < N && 0 <= k && k < i ==> a[k] <= a[i]);
- // perm is a permutation
- invariant (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
- invariant (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
- // the current array is that permutation of the input array
- invariant (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
- // a[p] is at least as large as any of the first p elements
- invariant (forall k: int :: 0 <= k && k < p ==> a[k] <= a[p]);
- {
- if (a[p+1] < a[p]) {
- tmp := a[p]; a[p] := a[p+1]; a[p+1] := tmp;
- tmp := perm[p]; perm[p] := perm[p+1]; perm[p+1] := tmp;
- }
-
- p := p + 1;
- }
- }
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Bubble Sort, where the specification says the output is a permutation of
+// the input.
+
+// Introduce a constant 'N' and postulate that it is non-negative
+const N: int;
+axiom 0 <= N;
+
+// Declare a map from integers to integers. In the procedure below, 'a' will be
+// treated as an array of 'N' elements, indexed from 0 to less than 'N'.
+var a: [int]int;
+
+// This procedure implements Bubble Sort. One of the postconditions says that,
+// in the final state of the procedure, the array is sorted. The other
+// postconditions say that the final array is a permutation of the initial
+// array. To write that part of the specification, the procedure returns that
+// permutation mapping. That is, out-parameter 'perm' injectively maps the
+// numbers [0..N) to [0..N), as stated by the second and third postconditions.
+// The final postcondition says that 'perm' describes how the elements in
+// 'a' moved: what is now at index 'i' used to be at index 'perm[i]'.
+// Note, the specification says nothing about the elements of 'a' outside the
+// range [0..N). Moreover, Boogie does not prove that the program will terminate.
+
+procedure BubbleSort() returns (perm: [int]int)
+ modifies a;
+ // array is sorted
+ ensures (forall i, j: int :: 0 <= i && i <= j && j < N ==> a[i] <= a[j]);
+ // perm is a permutation
+ ensures (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
+ ensures (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
+ // the final array is that permutation of the input array
+ ensures (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
+{
+ var n, p, tmp: int;
+
+ n := 0;
+ while (n < N)
+ invariant n <= N;
+ invariant (forall i: int :: 0 <= i && i < n ==> perm[i] == i);
+ {
+ perm[n] := n;
+ n := n + 1;
+ }
+
+ while (true)
+ invariant 0 <= n && n <= N;
+ // array is sorted from n onwards
+ invariant (forall i, k: int :: n <= i && i < N && 0 <= k && k < i ==> a[k] <= a[i]);
+ // perm is a permutation
+ invariant (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
+ invariant (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
+ // the current array is that permutation of the input array
+ invariant (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
+ {
+ n := n - 1;
+ if (n < 0) {
+ break;
+ }
+
+ p := 0;
+ while (p < n)
+ invariant p <= n;
+ // array is sorted from n+1 onwards
+ invariant (forall i, k: int :: n+1 <= i && i < N && 0 <= k && k < i ==> a[k] <= a[i]);
+ // perm is a permutation
+ invariant (forall i: int :: 0 <= i && i < N ==> 0 <= perm[i] && perm[i] < N);
+ invariant (forall i, j: int :: 0 <= i && i < j && j < N ==> perm[i] != perm[j]);
+ // the current array is that permutation of the input array
+ invariant (forall i: int :: 0 <= i && i < N ==> a[i] == old(a)[perm[i]]);
+ // a[p] is at least as large as any of the first p elements
+ invariant (forall k: int :: 0 <= k && k < p ==> a[k] <= a[p]);
+ {
+ if (a[p+1] < a[p]) {
+ tmp := a[p]; a[p] := a[p+1]; a[p+1] := tmp;
+ tmp := perm[p]; perm[p] := perm[p+1]; perm[p+1] := tmp;
+ }
+
+ p := p + 1;
+ }
+ }
+}
diff --git a/Test/textbook/DivMod.bpl b/Test/textbook/DivMod.bpl
index bdbc4f19..0af38ec8 100644
--- a/Test/textbook/DivMod.bpl
+++ b/Test/textbook/DivMod.bpl
@@ -1,65 +1,65 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// This file contains two definitions of integer div/mod (truncated division, as is
-// used in C, C#, Java, and several other languages, and Euclidean division, which
-// has mathematical appeal and is used by SMT Lib) and proves the correct
-// correspondence between the two.
-//
-// Rustan Leino, 23 Sep 2010
-
-function abs(x: int): int { if 0 <= x then x else -x }
-
-function divt(int, int): int;
-function modt(int, int): int;
-
-axiom (forall a,b: int :: divt(a,b)*b + modt(a,b) == a);
-axiom (forall a,b: int ::
- (0 <= a ==> 0 <= modt(a,b) && modt(a,b) < abs(b)) &&
- (a < 0 ==> -abs(b) < modt(a,b) && modt(a,b) <= 0));
-
-function dive(int, int): int;
-function mode(int, int): int;
-
-axiom (forall a,b: int :: dive(a,b)*b + mode(a,b) == a);
-axiom (forall a,b: int :: 0 <= mode(a,b) && mode(a,b) < abs(b));
-
-procedure T_from_E(a,b: int) returns (q,r: int)
- requires b != 0;
- // It would be nice to prove:
- // ensures q == divt(a,b);
- // ensures r == modt(a,b);
- // but since we know that the axioms about divt/modt have unique solutions (for
- // non-zero b), we just prove that the axioms hold.
- ensures q*b + r == a;
- ensures 0 <= a ==> 0 <= r && r < abs(b);
- ensures a < 0 ==> -abs(b) < r && r <= 0;
-{
- // note, this implementation uses only dive/mode
- var qq,rr: int;
- qq := dive(a,b);
- rr := mode(a,b);
-
- q := if 0 <= a || rr == 0 then qq else if 0 <= b then qq+1 else qq-1;
- r := if 0 <= a || rr == 0 then rr else if 0 <= b then rr-b else rr+b;
- assume {:captureState "end of T_from_E"} true;
-}
-
-procedure E_from_T(a,b: int) returns (q,r: int)
- requires b != 0;
- // It would be nice to prove:
- // ensures q == dive(a,b);
- // ensures r == mode(a,b);
- // but since we know that the axioms about dive/mode have unique solutions (for
- // non-zero b), we just prove that the axioms hold.
- ensures q*b + r == a;
- ensures 0 <= r;
- ensures r < abs(b);
-{
- // note, this implementation uses only divt/modt
- var qq,rr: int;
- qq := divt(a,b);
- rr := modt(a,b);
-
- q := if 0 <= rr then qq else if 0 < b then qq-1 else qq+1;
- r := if 0 <= rr then rr else if 0 < b then rr+b else rr-b;
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// This file contains two definitions of integer div/mod (truncated division, as is
+// used in C, C#, Java, and several other languages, and Euclidean division, which
+// has mathematical appeal and is used by SMT Lib) and proves the correct
+// correspondence between the two.
+//
+// Rustan Leino, 23 Sep 2010
+
+function abs(x: int): int { if 0 <= x then x else -x }
+
+function divt(int, int): int;
+function modt(int, int): int;
+
+axiom (forall a,b: int :: divt(a,b)*b + modt(a,b) == a);
+axiom (forall a,b: int ::
+ (0 <= a ==> 0 <= modt(a,b) && modt(a,b) < abs(b)) &&
+ (a < 0 ==> -abs(b) < modt(a,b) && modt(a,b) <= 0));
+
+function dive(int, int): int;
+function mode(int, int): int;
+
+axiom (forall a,b: int :: dive(a,b)*b + mode(a,b) == a);
+axiom (forall a,b: int :: 0 <= mode(a,b) && mode(a,b) < abs(b));
+
+procedure T_from_E(a,b: int) returns (q,r: int)
+ requires b != 0;
+ // It would be nice to prove:
+ // ensures q == divt(a,b);
+ // ensures r == modt(a,b);
+ // but since we know that the axioms about divt/modt have unique solutions (for
+ // non-zero b), we just prove that the axioms hold.
+ ensures q*b + r == a;
+ ensures 0 <= a ==> 0 <= r && r < abs(b);
+ ensures a < 0 ==> -abs(b) < r && r <= 0;
+{
+ // note, this implementation uses only dive/mode
+ var qq,rr: int;
+ qq := dive(a,b);
+ rr := mode(a,b);
+
+ q := if 0 <= a || rr == 0 then qq else if 0 <= b then qq+1 else qq-1;
+ r := if 0 <= a || rr == 0 then rr else if 0 <= b then rr-b else rr+b;
+ assume {:captureState "end of T_from_E"} true;
+}
+
+procedure E_from_T(a,b: int) returns (q,r: int)
+ requires b != 0;
+ // It would be nice to prove:
+ // ensures q == dive(a,b);
+ // ensures r == mode(a,b);
+ // but since we know that the axioms about dive/mode have unique solutions (for
+ // non-zero b), we just prove that the axioms hold.
+ ensures q*b + r == a;
+ ensures 0 <= r;
+ ensures r < abs(b);
+{
+ // note, this implementation uses only divt/modt
+ var qq,rr: int;
+ qq := divt(a,b);
+ rr := modt(a,b);
+
+ q := if 0 <= rr then qq else if 0 < b then qq-1 else qq+1;
+ r := if 0 <= rr then rr else if 0 < b then rr+b else rr-b;
+}
diff --git a/Test/textbook/DutchFlag.bpl b/Test/textbook/DutchFlag.bpl
index 8bac6aec..f5ee73bb 100644
--- a/Test/textbook/DutchFlag.bpl
+++ b/Test/textbook/DutchFlag.bpl
@@ -1,71 +1,71 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// The partition step of Quick Sort picks a 'pivot' element from a specified subsection
-// of a given integer array. It then partially sorts the elements of the array so that
-// elements smaller than the pivot end up to the left of the pivot and elements larger
-// than the pivot end up to the right of the pivot. Finally, the index of the pivot is
-// returned.
-// The procedure below always picks the first element of the subregion as the pivot.
-// The specification of the procedure talks about the ordering of the elements, but
-// does not say anything about keeping the multiset of elements the same.
-
-var A: [int]int;
-const N: int;
-
-procedure Partition(l: int, r: int) returns (result: int)
- requires 0 <= l && l+2 <= r && r <= N;
- modifies A;
- ensures l <= result && result < r;
- ensures (forall k: int, j: int :: l <= k && k < result && result <= j && j < r ==> A[k] <= A[j]);
- ensures (forall k: int :: l <= k && k < result ==> A[k] <= old(A)[l]);
- ensures (forall k: int :: result <= k && k < r ==> old(A)[l] <= A[k]);
-{
- var pv, i, j, tmp: int;
-
- pv := A[l];
- i := l;
- j := r-1;
- // swap A[l] and A[j]
- tmp := A[l];
- A[l] := A[j];
- A[j] := tmp;
- goto LoopHead;
-
- // The following loop iterates while 'i < j'. In each iteration,
- // one of the three alternatives (A, B, or C) is chosen in such
- // a way that the assume statements will evaluate to true.
- LoopHead:
- // The following the assert statements give the loop invariant
- assert (forall k: int :: l <= k && k < i ==> A[k] <= pv);
- assert (forall k: int :: j <= k && k < r ==> pv <= A[k]);
- assert l <= i && i <= j && j < r;
- goto A, B, C, exit;
-
- A:
- assume i < j;
- assume A[i] <= pv;
- i := i + 1;
- goto LoopHead;
-
- B:
- assume i < j;
- assume pv <= A[j-1];
- j := j - 1;
- goto LoopHead;
-
- C:
- assume i < j;
- assume A[j-1] < pv && pv < A[i];
- // swap A[j-1] and A[i]
- tmp := A[i];
- A[i] := A[j-1];
- A[j-1] := tmp;
- assert A[i] < pv && pv < A[j-1];
- i := i + 1;
- j := j - 1;
- goto LoopHead;
-
- exit:
- assume i == j;
- result := i;
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// The partition step of Quick Sort picks a 'pivot' element from a specified subsection
+// of a given integer array. It then partially sorts the elements of the array so that
+// elements smaller than the pivot end up to the left of the pivot and elements larger
+// than the pivot end up to the right of the pivot. Finally, the index of the pivot is
+// returned.
+// The procedure below always picks the first element of the subregion as the pivot.
+// The specification of the procedure talks about the ordering of the elements, but
+// does not say anything about keeping the multiset of elements the same.
+
+var A: [int]int;
+const N: int;
+
+procedure Partition(l: int, r: int) returns (result: int)
+ requires 0 <= l && l+2 <= r && r <= N;
+ modifies A;
+ ensures l <= result && result < r;
+ ensures (forall k: int, j: int :: l <= k && k < result && result <= j && j < r ==> A[k] <= A[j]);
+ ensures (forall k: int :: l <= k && k < result ==> A[k] <= old(A)[l]);
+ ensures (forall k: int :: result <= k && k < r ==> old(A)[l] <= A[k]);
+{
+ var pv, i, j, tmp: int;
+
+ pv := A[l];
+ i := l;
+ j := r-1;
+ // swap A[l] and A[j]
+ tmp := A[l];
+ A[l] := A[j];
+ A[j] := tmp;
+ goto LoopHead;
+
+ // The following loop iterates while 'i < j'. In each iteration,
+ // one of the three alternatives (A, B, or C) is chosen in such
+ // a way that the assume statements will evaluate to true.
+ LoopHead:
+ // The following the assert statements give the loop invariant
+ assert (forall k: int :: l <= k && k < i ==> A[k] <= pv);
+ assert (forall k: int :: j <= k && k < r ==> pv <= A[k]);
+ assert l <= i && i <= j && j < r;
+ goto A, B, C, exit;
+
+ A:
+ assume i < j;
+ assume A[i] <= pv;
+ i := i + 1;
+ goto LoopHead;
+
+ B:
+ assume i < j;
+ assume pv <= A[j-1];
+ j := j - 1;
+ goto LoopHead;
+
+ C:
+ assume i < j;
+ assume A[j-1] < pv && pv < A[i];
+ // swap A[j-1] and A[i]
+ tmp := A[i];
+ A[i] := A[j-1];
+ A[j-1] := tmp;
+ assert A[i] < pv && pv < A[j-1];
+ i := i + 1;
+ j := j - 1;
+ goto LoopHead;
+
+ exit:
+ assume i == j;
+ result := i;
+}
diff --git a/Test/textbook/Find.bpl b/Test/textbook/Find.bpl
index 5a77c621..758ba4cc 100644
--- a/Test/textbook/Find.bpl
+++ b/Test/textbook/Find.bpl
@@ -1,40 +1,40 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// Declare a constant 'K' and a function 'f' and postulate that 'K' is
-// in the image of 'f'
-const K: int;
-function f(int) returns (int);
-axiom (exists k: int :: f(k) == K);
-
-// This procedure will find a domain value 'k' that 'f' maps to 'K'. It will
-// do that by recursively enlarging the range where no such domain value exists.
-// Note, Boogie does not prove termination.
-procedure Find(a: int, b: int) returns (k: int)
- requires a <= b;
- requires (forall j: int :: a < j && j < b ==> f(j) != K);
- ensures f(k) == K;
-{
- goto A, B, C; // nondeterministically choose one of these 3 goto targets
-
- A:
- assume f(a) == K; // assume we get here only if 'f' maps 'a' to 'K'
- k := a;
- return;
-
- B:
- assume f(b) == K; // assume we get here only if 'f' maps 'b' to 'K'
- k := b;
- return;
-
- C:
- assume f(a) != K && f(b) != K; // neither of the two above
- call k := Find(a-1, b+1);
- return;
-}
-
-// This procedure shows one way to call 'Find'
-procedure Main() returns (k: int)
- ensures f(k) == K;
-{
- call k := Find(0, 0);
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// Declare a constant 'K' and a function 'f' and postulate that 'K' is
+// in the image of 'f'
+const K: int;
+function f(int) returns (int);
+axiom (exists k: int :: f(k) == K);
+
+// This procedure will find a domain value 'k' that 'f' maps to 'K'. It will
+// do that by recursively enlarging the range where no such domain value exists.
+// Note, Boogie does not prove termination.
+procedure Find(a: int, b: int) returns (k: int)
+ requires a <= b;
+ requires (forall j: int :: a < j && j < b ==> f(j) != K);
+ ensures f(k) == K;
+{
+ goto A, B, C; // nondeterministically choose one of these 3 goto targets
+
+ A:
+ assume f(a) == K; // assume we get here only if 'f' maps 'a' to 'K'
+ k := a;
+ return;
+
+ B:
+ assume f(b) == K; // assume we get here only if 'f' maps 'b' to 'K'
+ k := b;
+ return;
+
+ C:
+ assume f(a) != K && f(b) != K; // neither of the two above
+ call k := Find(a-1, b+1);
+ return;
+}
+
+// This procedure shows one way to call 'Find'
+procedure Main() returns (k: int)
+ ensures f(k) == K;
+{
+ call k := Find(0, 0);
+}
diff --git a/Test/textbook/McCarthy-91.bpl b/Test/textbook/McCarthy-91.bpl
index 6bfbcb04..b4244b8d 100644
--- a/Test/textbook/McCarthy-91.bpl
+++ b/Test/textbook/McCarthy-91.bpl
@@ -1,14 +1,14 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// McCarthy 91 function
-procedure F(n: int) returns (r: int)
- ensures 100 < n ==> r == n - 10;
- ensures n <= 100 ==> r == 91;
-{
- if (100 < n) {
- r := n - 10;
- } else {
- call r := F(n + 11);
- call r := F(r);
- }
-}
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// McCarthy 91 function
+procedure F(n: int) returns (r: int)
+ ensures 100 < n ==> r == n - 10;
+ ensures n <= 100 ==> r == 91;
+{
+ if (100 < n) {
+ r := n - 10;
+ } else {
+ call r := F(n + 11);
+ call r := F(r);
+ }
+}
diff --git a/Test/textbook/TuringFactorial.bpl b/Test/textbook/TuringFactorial.bpl
index dffc36ab..de00e3c0 100644
--- a/Test/textbook/TuringFactorial.bpl
+++ b/Test/textbook/TuringFactorial.bpl
@@ -1,35 +1,35 @@
-// RUN: %boogie "%s" > "%t"
-// RUN: %diff "%s.expect" "%t"
-// A Boogie version of Turing's additive factorial program, from "Checking a large routine"
-// published in the "Report of a Conference of High Speed Automatic Calculating Machines",
-// pp. 67-69, 1949.
-
-procedure ComputeFactorial(n: int) returns (u: int)
- requires 1 <= n;
- ensures u == Factorial(n);
-{
- var r, v, s: int;
- r, u := 1, 1;
-TOP: // B
- assert r <= n;
- assert u == Factorial(r);
- v := u;
- if (n <= r) { return; }
- s := 1;
-INNER: // E
- assert s <= r;
- assert v == Factorial(r) && u == s * Factorial(r);
- u := u + v;
- s := s + 1;
- assert s - 1 <= r;
- if (s <= r) { goto INNER; }
- r := r + 1;
- goto TOP;
-}
-
-function Factorial(int): int;
-axiom Factorial(0) == 1;
-axiom (forall n: int :: {Factorial(n)} 1 <= n ==> Factorial(n) == n * Factorial_Aux(n-1));
-
-function Factorial_Aux(int): int;
-axiom (forall n: int :: {Factorial(n)} Factorial(n) == Factorial_Aux(n));
+// RUN: %boogie "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+// A Boogie version of Turing's additive factorial program, from "Checking a large routine"
+// published in the "Report of a Conference of High Speed Automatic Calculating Machines",
+// pp. 67-69, 1949.
+
+procedure ComputeFactorial(n: int) returns (u: int)
+ requires 1 <= n;
+ ensures u == Factorial(n);
+{
+ var r, v, s: int;
+ r, u := 1, 1;
+TOP: // B
+ assert r <= n;
+ assert u == Factorial(r);
+ v := u;
+ if (n <= r) { return; }
+ s := 1;
+INNER: // E
+ assert s <= r;
+ assert v == Factorial(r) && u == s * Factorial(r);
+ u := u + v;
+ s := s + 1;
+ assert s - 1 <= r;
+ if (s <= r) { goto INNER; }
+ r := r + 1;
+ goto TOP;
+}
+
+function Factorial(int): int;
+axiom Factorial(0) == 1;
+axiom (forall n: int :: {Factorial(n)} 1 <= n ==> Factorial(n) == n * Factorial_Aux(n-1));
+
+function Factorial_Aux(int): int;
+axiom (forall n: int :: {Factorial(n)} Factorial(n) == Factorial_Aux(n));
diff --git a/Test/unnecessaryassumes/unnecessaryassumes0.bpl b/Test/unnecessaryassumes/unnecessaryassumes0.bpl
new file mode 100644
index 00000000..a955495a
--- /dev/null
+++ b/Test/unnecessaryassumes/unnecessaryassumes0.bpl
@@ -0,0 +1,13 @@
+// RUN: %boogie /printNecessaryAssumes "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0(n: int)
+{
+ assume {:id "s0"} 0 < n;
+ assume {:id "s0"} 0 < n;
+}
+
+procedure test1(n: int)
+{
+ assume {:id "s0"} 0 < n;
+}
diff --git a/Test/unnecessaryassumes/unnecessaryassumes0.bpl.expect b/Test/unnecessaryassumes/unnecessaryassumes0.bpl.expect
new file mode 100644
index 00000000..9e420fa7
--- /dev/null
+++ b/Test/unnecessaryassumes/unnecessaryassumes0.bpl.expect
@@ -0,0 +1,3 @@
+unnecessaryassumes0.bpl(7,4): Error: more than one statement with same id: s0
+unnecessaryassumes0.bpl(12,4): Error: more than one statement with same id: s0
+2 name resolution errors detected in unnecessaryassumes0.bpl
diff --git a/Test/unnecessaryassumes/unnecessaryassumes1.bpl b/Test/unnecessaryassumes/unnecessaryassumes1.bpl
new file mode 100644
index 00000000..04226dfd
--- /dev/null
+++ b/Test/unnecessaryassumes/unnecessaryassumes1.bpl
@@ -0,0 +1,23 @@
+// RUN: %boogie /printNecessaryAssumes "%s" > "%t"
+// RUN: %diff "%s.expect" "%t"
+
+procedure test0(n: int)
+{
+ assume {:id "s0"} 0 < n;
+ assert 0 <= n; // verified under s0
+}
+
+procedure test1(n: int)
+{
+ assume 0 < n;
+ assume {:id "s1"} n == 3;
+ assert 0 <= n; // verified under true
+}
+
+procedure test2(n: int)
+{
+ assume 0 < n;
+ assume {:id "s2"} n <= 42;
+ assume {:id "s3"} 42 <= n;
+ assert n == 42; // verified under s2 and s3
+}
diff --git a/Test/og/Program3.bpl.expect b/Test/unnecessaryassumes/unnecessaryassumes1.bpl.expect
index 5b2909f1..0d3aeca2 100644
--- a/Test/og/Program3.bpl.expect
+++ b/Test/unnecessaryassumes/unnecessaryassumes1.bpl.expect
@@ -1,2 +1,3 @@
-
-Boogie program verifier finished with 3 verified, 0 errors
+Necessary assume command(s): s0, s2, s3
+
+Boogie program verifier finished with 3 verified, 0 errors
diff --git a/Test/z3api/Answer b/Test/z3api/Answer
index d18f12ef..6fa628b0 100644
--- a/Test/z3api/Answer
+++ b/Test/z3api/Answer
@@ -1,259 +1,259 @@
-
--------------------- boog0.bpl --------------------
-boog0.bpl(49,1): Error BP5003: A postcondition might not hold on this return path.
-boog0.bpl(43,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog0.bpl(46,7): anon0
-
-Boogie program verifier finished with 1 verified, 1 error
-
--------------------- boog1.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog2.bpl --------------------
-boog2.bpl(24,1): Error BP5003: A postcondition might not hold on this return path.
-boog2.bpl(20,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog2.bpl(23,8): anon0
-
-Boogie program verifier finished with 1 verified, 1 error
-
--------------------- boog3.bpl --------------------
-boog3.bpl(7,3): Error BP5001: This assertion might not hold.
-Execution trace:
- boog3.bpl(7,3): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog4.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog5.bpl --------------------
-boog5.bpl(37,3): Error BP5003: A postcondition might not hold on this return path.
-boog5.bpl(30,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog5.bpl(33,3): anon0
- boog5.bpl(36,13): anon3_Else
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog6.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog7.bpl --------------------
-boog7.bpl(18,1): Error BP5003: A postcondition might not hold on this return path.
-boog7.bpl(14,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog7.bpl(17,11): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog8.bpl --------------------
-boog8.bpl(23,1): Error BP5003: A postcondition might not hold on this return path.
-boog8.bpl(19,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog8.bpl(22,11): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog9.bpl --------------------
-boog9.bpl(20,1): Error BP5003: A postcondition might not hold on this return path.
-boog9.bpl(16,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog9.bpl(19,11): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog10.bpl --------------------
-boog10.bpl(19,3): Error BP5001: This assertion might not hold.
-Execution trace:
- boog10.bpl(19,3): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog11.bpl --------------------
-boog11.bpl(15,1): Error BP5003: A postcondition might not hold on this return path.
-boog11.bpl(11,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog11.bpl(14,8): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog12.bpl --------------------
-boog12.bpl(19,1): Error BP5003: A postcondition might not hold on this return path.
-boog12.bpl(14,3): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog12.bpl(17,16): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog13.bpl --------------------
-boog13.bpl(10,18): Error: more than one declaration of variable name: v
-1 name resolution errors detected in boog13.bpl
-
--------------------- boog14.bpl --------------------
-boog14.bpl(12,1): Error BP5003: A postcondition might not hold on this return path.
-boog14.bpl(9,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog14.bpl(11,8): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog15.bpl --------------------
-boog15.bpl(11,1): Error BP5003: A postcondition might not hold on this return path.
-boog15.bpl(8,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog15.bpl(10,8): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog16.bpl --------------------
-boog16.bpl(12,1): Error BP5003: A postcondition might not hold on this return path.
-boog16.bpl(9,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog16.bpl(11,8): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog17.bpl --------------------
-boog17.bpl(26,3): Error BP5001: This assertion might not hold.
-Execution trace:
- boog17.bpl(17,1): start
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog18.bpl --------------------
-boog18.bpl(16,1): Error BP5003: A postcondition might not hold on this return path.
-boog18.bpl(13,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- boog18.bpl(15,4): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog19.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog20.bpl --------------------
-boog20.bpl(16,1): Error BP5001: This assertion might not hold.
-Execution trace:
- boog20.bpl(16,1): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog21.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog22.bpl --------------------
-boog22.bpl(5,9): Error: more than one declaration of function/procedure name: f1
-1 name resolution errors detected in boog22.bpl
-
--------------------- boog23.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog24.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog25.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog28.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog29.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog30.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog31.bpl --------------------
-boog31.bpl(13,1): Error BP5001: This assertion might not hold.
-Execution trace:
- boog31.bpl(13,1): anon0
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- boog34.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- boog35.bpl --------------------
-boog35.bpl(16,3): Error BP5001: This assertion might not hold.
-Execution trace:
- boog35.bpl(14,11): anon0
-
-Boogie program verifier finished with 1 verified, 1 error
-
--------------------- bar1.bpl --------------------
-bar1.bpl(25,1): Error BP5003: A postcondition might not hold on this return path.
-bar1.bpl(21,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- bar1.bpl(24,3): anon0
- Inlined call to procedure foo begins
- bar1.bpl(13,5): anon0
- Inlined call to procedure bar begins
- bar1.bpl(7,5): anon0
- Inlined call to procedure bar ends
- Inlined call to procedure bar begins
- bar1.bpl(7,5): anon0
- Inlined call to procedure bar ends
- Inlined call to procedure foo ends
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- bar2.bpl --------------------
-bar2.bpl(21,3): Error BP5001: This assertion might not hold.
-Execution trace:
- bar2.bpl(19,3): anon0
- Inlined call to procedure foo begins
- bar2.bpl(5,3): anon0
- bar2.bpl(9,7): anon3_Else
- Inlined call to procedure foo ends
- Inlined call to procedure foo begins
- bar2.bpl(5,3): anon0
- bar2.bpl(6,7): anon3_Then
- Inlined call to procedure foo ends
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- bar3.bpl --------------------
-bar3.bpl(41,1): Error BP5003: A postcondition might not hold on this return path.
-bar3.bpl(34,1): Related location: This is the postcondition that might not hold.
-Execution trace:
- bar3.bpl(38,3): anon0
- Inlined call to procedure foo begins
- bar3.bpl(18,3): anon0
- bar3.bpl(24,7): anon3_Else
- Inlined call to procedure bar begins
- bar3.bpl(7,3): anon0
- bar3.bpl(10,7): anon3_Else
- Inlined call to procedure bar ends
- Inlined call to procedure bar begins
- bar3.bpl(7,3): anon0
- bar3.bpl(10,7): anon3_Else
- Inlined call to procedure bar ends
- Inlined call to procedure foo ends
- Inlined call to procedure bar begins
- bar3.bpl(7,3): anon0
- bar3.bpl(10,7): anon3_Else
- Inlined call to procedure bar ends
-
-Boogie program verifier finished with 0 verified, 1 error
-
--------------------- bar4.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
-
--------------------- bar6.bpl --------------------
-
-Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog0.bpl --------------------
+boog0.bpl(49,1): Error BP5003: A postcondition might not hold on this return path.
+boog0.bpl(43,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog0.bpl(46,7): anon0
+
+Boogie program verifier finished with 1 verified, 1 error
+
+-------------------- boog1.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog2.bpl --------------------
+boog2.bpl(24,1): Error BP5003: A postcondition might not hold on this return path.
+boog2.bpl(20,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog2.bpl(23,8): anon0
+
+Boogie program verifier finished with 1 verified, 1 error
+
+-------------------- boog3.bpl --------------------
+boog3.bpl(7,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog3.bpl(7,3): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog4.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog5.bpl --------------------
+boog5.bpl(37,3): Error BP5003: A postcondition might not hold on this return path.
+boog5.bpl(30,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog5.bpl(33,3): anon0
+ boog5.bpl(36,13): anon3_Else
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog6.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog7.bpl --------------------
+boog7.bpl(18,1): Error BP5003: A postcondition might not hold on this return path.
+boog7.bpl(14,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog7.bpl(17,11): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog8.bpl --------------------
+boog8.bpl(23,1): Error BP5003: A postcondition might not hold on this return path.
+boog8.bpl(19,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog8.bpl(22,11): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog9.bpl --------------------
+boog9.bpl(20,1): Error BP5003: A postcondition might not hold on this return path.
+boog9.bpl(16,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog9.bpl(19,11): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog10.bpl --------------------
+boog10.bpl(19,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog10.bpl(19,3): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog11.bpl --------------------
+boog11.bpl(15,1): Error BP5003: A postcondition might not hold on this return path.
+boog11.bpl(11,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog11.bpl(14,8): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog12.bpl --------------------
+boog12.bpl(19,1): Error BP5003: A postcondition might not hold on this return path.
+boog12.bpl(14,3): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog12.bpl(17,16): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog13.bpl --------------------
+boog13.bpl(10,18): Error: more than one declaration of variable name: v
+1 name resolution errors detected in boog13.bpl
+
+-------------------- boog14.bpl --------------------
+boog14.bpl(12,1): Error BP5003: A postcondition might not hold on this return path.
+boog14.bpl(9,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog14.bpl(11,8): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog15.bpl --------------------
+boog15.bpl(11,1): Error BP5003: A postcondition might not hold on this return path.
+boog15.bpl(8,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog15.bpl(10,8): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog16.bpl --------------------
+boog16.bpl(12,1): Error BP5003: A postcondition might not hold on this return path.
+boog16.bpl(9,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog16.bpl(11,8): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog17.bpl --------------------
+boog17.bpl(26,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog17.bpl(17,1): start
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog18.bpl --------------------
+boog18.bpl(16,1): Error BP5003: A postcondition might not hold on this return path.
+boog18.bpl(13,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ boog18.bpl(15,4): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog19.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog20.bpl --------------------
+boog20.bpl(16,1): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog20.bpl(16,1): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog21.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog22.bpl --------------------
+boog22.bpl(5,9): Error: more than one declaration of function/procedure name: f1
+1 name resolution errors detected in boog22.bpl
+
+-------------------- boog23.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog24.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog25.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog28.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog29.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog30.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog31.bpl --------------------
+boog31.bpl(13,1): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog31.bpl(13,1): anon0
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- boog34.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- boog35.bpl --------------------
+boog35.bpl(16,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ boog35.bpl(14,11): anon0
+
+Boogie program verifier finished with 1 verified, 1 error
+
+-------------------- bar1.bpl --------------------
+bar1.bpl(25,1): Error BP5003: A postcondition might not hold on this return path.
+bar1.bpl(21,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ bar1.bpl(24,3): anon0
+ Inlined call to procedure foo begins
+ bar1.bpl(13,5): anon0
+ Inlined call to procedure bar begins
+ bar1.bpl(7,5): anon0
+ Inlined call to procedure bar ends
+ Inlined call to procedure bar begins
+ bar1.bpl(7,5): anon0
+ Inlined call to procedure bar ends
+ Inlined call to procedure foo ends
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- bar2.bpl --------------------
+bar2.bpl(21,3): Error BP5001: This assertion might not hold.
+Execution trace:
+ bar2.bpl(19,3): anon0
+ Inlined call to procedure foo begins
+ bar2.bpl(5,3): anon0
+ bar2.bpl(9,7): anon3_Else
+ Inlined call to procedure foo ends
+ Inlined call to procedure foo begins
+ bar2.bpl(5,3): anon0
+ bar2.bpl(6,7): anon3_Then
+ Inlined call to procedure foo ends
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- bar3.bpl --------------------
+bar3.bpl(41,1): Error BP5003: A postcondition might not hold on this return path.
+bar3.bpl(34,1): Related location: This is the postcondition that might not hold.
+Execution trace:
+ bar3.bpl(38,3): anon0
+ Inlined call to procedure foo begins
+ bar3.bpl(18,3): anon0
+ bar3.bpl(24,7): anon3_Else
+ Inlined call to procedure bar begins
+ bar3.bpl(7,3): anon0
+ bar3.bpl(10,7): anon3_Else
+ Inlined call to procedure bar ends
+ Inlined call to procedure bar begins
+ bar3.bpl(7,3): anon0
+ bar3.bpl(10,7): anon3_Else
+ Inlined call to procedure bar ends
+ Inlined call to procedure foo ends
+ Inlined call to procedure bar begins
+ bar3.bpl(7,3): anon0
+ bar3.bpl(10,7): anon3_Else
+ Inlined call to procedure bar ends
+
+Boogie program verifier finished with 0 verified, 1 error
+
+-------------------- bar4.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
+
+-------------------- bar6.bpl --------------------
+
+Boogie program verifier finished with 1 verified, 0 errors
diff --git a/Test/z3api/Boog24.bpl b/Test/z3api/Boog24.bpl
index d3da775d..05da0153 100644
--- a/Test/z3api/Boog24.bpl
+++ b/Test/z3api/Boog24.bpl
@@ -1,17 +1,17 @@
-type ref;
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-procedure main ( )
-
-{
-var a : int;
-var b : int;
-var c : int;
-
-c := LIFT (b < a) ;
-assert (c != 0 <==> b < a);
-
-}
-
+type ref;
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+procedure main ( )
+
+{
+var a : int;
+var b : int;
+var c : int;
+
+c := LIFT (b < a) ;
+assert (c != 0 <==> b < a);
+
+}
+
diff --git a/Test/z3api/bar1.bpl b/Test/z3api/bar1.bpl
index 845954d5..b22f0237 100644
--- a/Test/z3api/bar1.bpl
+++ b/Test/z3api/bar1.bpl
@@ -1,26 +1,26 @@
-var x: int;
-var y: int;
-
-procedure {:inline 1} bar()
-modifies y;
-{
- y := y + 1;
-}
-
-procedure {:inline 1} foo()
-modifies x, y;
-{
- x := x + 1;
- call bar();
- call bar();
- x := x + 1;
-}
-
-procedure main()
-requires x == y;
-ensures x != y;
-modifies x, y;
-{
- call foo();
-}
-
+var x: int;
+var y: int;
+
+procedure {:inline 1} bar()
+modifies y;
+{
+ y := y + 1;
+}
+
+procedure {:inline 1} foo()
+modifies x, y;
+{
+ x := x + 1;
+ call bar();
+ call bar();
+ x := x + 1;
+}
+
+procedure main()
+requires x == y;
+ensures x != y;
+modifies x, y;
+{
+ call foo();
+}
+
diff --git a/Test/z3api/bar2.bpl b/Test/z3api/bar2.bpl
index 76991a8f..6a7d4ed9 100644
--- a/Test/z3api/bar2.bpl
+++ b/Test/z3api/bar2.bpl
@@ -1,24 +1,24 @@
-
-procedure {:inline 1} foo() returns (x: bool)
-{
- var b: bool;
- if (b) {
- x := false;
- return;
- } else {
- x := true;
- return;
- }
-}
-
-procedure main()
-{
- var b1: bool;
- var b2: bool;
-
- call b1 := foo();
- call b2 := foo();
- assert b1 == b2;
-}
-
-
+
+procedure {:inline 1} foo() returns (x: bool)
+{
+ var b: bool;
+ if (b) {
+ x := false;
+ return;
+ } else {
+ x := true;
+ return;
+ }
+}
+
+procedure main()
+{
+ var b1: bool;
+ var b2: bool;
+
+ call b1 := foo();
+ call b2 := foo();
+ assert b1 == b2;
+}
+
+
diff --git a/Test/z3api/bar3.bpl b/Test/z3api/bar3.bpl
index 7bd91184..17fc79c3 100644
--- a/Test/z3api/bar3.bpl
+++ b/Test/z3api/bar3.bpl
@@ -1,41 +1,41 @@
-var y: int;
-var x: int;
-
-procedure {:inline 1} bar(b: bool)
-modifies y;
-{
- if (b) {
- y := y + 1;
- } else {
- y := y - 1;
- }
-}
-
-procedure {:inline 1} foo()
-modifies x, y;
-{
- var b: bool;
- if (b) {
- x := x + 1;
- call bar(true);
- call bar(true);
- x := x + 1;
- } else {
- x := x - 1;
- call bar(false);
- call bar(false);
- x := x - 1;
- }
-}
-
-
-procedure main()
-requires x == y;
-ensures x == y;
-modifies x, y;
-modifies y;
-{
- call foo();
- assert x == y;
- call bar(false);
-}
+var y: int;
+var x: int;
+
+procedure {:inline 1} bar(b: bool)
+modifies y;
+{
+ if (b) {
+ y := y + 1;
+ } else {
+ y := y - 1;
+ }
+}
+
+procedure {:inline 1} foo()
+modifies x, y;
+{
+ var b: bool;
+ if (b) {
+ x := x + 1;
+ call bar(true);
+ call bar(true);
+ x := x + 1;
+ } else {
+ x := x - 1;
+ call bar(false);
+ call bar(false);
+ x := x - 1;
+ }
+}
+
+
+procedure main()
+requires x == y;
+ensures x == y;
+modifies x, y;
+modifies y;
+{
+ call foo();
+ assert x == y;
+ call bar(false);
+}
diff --git a/Test/z3api/bar4.bpl b/Test/z3api/bar4.bpl
index 84640811..f13ce0dd 100644
--- a/Test/z3api/bar4.bpl
+++ b/Test/z3api/bar4.bpl
@@ -1,38 +1,38 @@
-var y: int;
-var x: int;
-
-procedure {:inline 1} bar() returns (b: bool)
-modifies y;
-{
- if (b) {
- y := y + 1;
- } else {
- y := y - 1;
- }
-}
-
-procedure {:inline 1} foo()
-modifies x, y;
-{
- var b: bool;
-
- call b := bar();
- if (b) {
- x := x + 1;
- } else {
- x := x - 1;
- }
-}
-
-
-procedure main() returns (b: bool)
-requires x == y;
-ensures !b ==> x == y+1;
-ensures b ==> x+1 == y;
-modifies x, y;
-modifies y;
-{
- call foo();
- assert x == y;
- call b := bar();
-}
+var y: int;
+var x: int;
+
+procedure {:inline 1} bar() returns (b: bool)
+modifies y;
+{
+ if (b) {
+ y := y + 1;
+ } else {
+ y := y - 1;
+ }
+}
+
+procedure {:inline 1} foo()
+modifies x, y;
+{
+ var b: bool;
+
+ call b := bar();
+ if (b) {
+ x := x + 1;
+ } else {
+ x := x - 1;
+ }
+}
+
+
+procedure main() returns (b: bool)
+requires x == y;
+ensures !b ==> x == y+1;
+ensures b ==> x+1 == y;
+modifies x, y;
+modifies y;
+{
+ call foo();
+ assert x == y;
+ call b := bar();
+}
diff --git a/Test/z3api/bar6.bpl b/Test/z3api/bar6.bpl
index e133aef7..b0f72767 100644
--- a/Test/z3api/bar6.bpl
+++ b/Test/z3api/bar6.bpl
@@ -1,36 +1,36 @@
-var M: [int]int;
-
-procedure {:inline 1} bar(y: int) returns (b: bool)
-modifies M;
-{
- if (b) {
- M[y] := M[y] + 1;
- } else {
- M[y] := M[y] - 1;
- }
-}
-
-procedure {:inline 1} foo(x: int, y: int)
-modifies M;
-{
- var b: bool;
-
- call b := bar(y);
- if (b) {
- M[x] := M[x] + 1;
- } else {
- M[x] := M[x] - 1;
- }
-}
-
-procedure main(x: int, y: int) returns (b: bool)
-requires x != y;
-requires M[x] == M[y];
-ensures !b ==> M[x] == M[y]+1;
-ensures b ==> M[x]+1 == M[y];
-modifies M;
-{
- call foo(x, y);
- assert M[x] == M[y];
- call b := bar(y);
-}
+var M: [int]int;
+
+procedure {:inline 1} bar(y: int) returns (b: bool)
+modifies M;
+{
+ if (b) {
+ M[y] := M[y] + 1;
+ } else {
+ M[y] := M[y] - 1;
+ }
+}
+
+procedure {:inline 1} foo(x: int, y: int)
+modifies M;
+{
+ var b: bool;
+
+ call b := bar(y);
+ if (b) {
+ M[x] := M[x] + 1;
+ } else {
+ M[x] := M[x] - 1;
+ }
+}
+
+procedure main(x: int, y: int) returns (b: bool)
+requires x != y;
+requires M[x] == M[y];
+ensures !b ==> M[x] == M[y]+1;
+ensures b ==> M[x]+1 == M[y];
+modifies M;
+{
+ call foo(x, y);
+ assert M[x] == M[y];
+ call b := bar(y);
+}
diff --git a/Test/z3api/boog0.bpl b/Test/z3api/boog0.bpl
index 4206152b..7681589a 100644
--- a/Test/z3api/boog0.bpl
+++ b/Test/z3api/boog0.bpl
@@ -1,49 +1,49 @@
-type ref;
-type Wicket;
-const w: Wicket;
-var favorite: Wicket;
-function age(Wicket) returns (int);
-
-axiom age(w)==7;
-
-procedure NewFavorite(p: Wicket);
- modifies favorite;
-
- ensures favorite==p;
-
-implementation NewFavorite(l: Wicket) {
- favorite:=l;
-}
-
-const myBool: bool;
-const myRef: ref;
-const v: Wicket;
-
-axiom 7 < 8;
-axiom 7 <= 8;
-axiom 8 > 7;
-axiom 8 >= 7;
-axiom 6 != 7;
-
-axiom 7+1==8;
-axiom 8-1==7;
-axiom 7/1==7;
-axiom 7%2==1;
-axiom 4*2==8;
-
-axiom ((7==7) || (8==8));
-axiom ((7==7) ==> (7<8));
-axiom ((7==7) <==> (10==10));
-axiom ((7==7) && (8==8));
-
-var favorite2: Wicket;
-procedure SwapFavorites()
- modifies favorite,favorite2;
-
- ensures (favorite==old(favorite2)) && (favorite2==old(favorite));
-{
- var temp: Wicket;
- temp:=favorite;
- favorite:=favorite2;
- // favorite2:=temp; // commenting this line seeds a bug
-}
+type ref;
+type Wicket;
+const w: Wicket;
+var favorite: Wicket;
+function age(Wicket) returns (int);
+
+axiom age(w)==7;
+
+procedure NewFavorite(p: Wicket);
+ modifies favorite;
+
+ ensures favorite==p;
+
+implementation NewFavorite(l: Wicket) {
+ favorite:=l;
+}
+
+const myBool: bool;
+const myRef: ref;
+const v: Wicket;
+
+axiom 7 < 8;
+axiom 7 <= 8;
+axiom 8 > 7;
+axiom 8 >= 7;
+axiom 6 != 7;
+
+axiom 7+1==8;
+axiom 8-1==7;
+axiom 7/1==7;
+axiom 7%2==1;
+axiom 4*2==8;
+
+axiom ((7==7) || (8==8));
+axiom ((7==7) ==> (7<8));
+axiom ((7==7) <==> (10==10));
+axiom ((7==7) && (8==8));
+
+var favorite2: Wicket;
+procedure SwapFavorites()
+ modifies favorite,favorite2;
+
+ ensures (favorite==old(favorite2)) && (favorite2==old(favorite));
+{
+ var temp: Wicket;
+ temp:=favorite;
+ favorite:=favorite2;
+ // favorite2:=temp; // commenting this line seeds a bug
+}
diff --git a/Test/z3api/boog1.bpl b/Test/z3api/boog1.bpl
index 9f4d2349..7fd54c4c 100644
--- a/Test/z3api/boog1.bpl
+++ b/Test/z3api/boog1.bpl
@@ -1,18 +1,18 @@
-type ref;
-type Wicket;
-const w: Wicket;
-var favorite: Wicket;
-
-function age(Wicket) returns (int);
-
-axiom age(w)==7;
-
-procedure NewFavorite(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation NewFavorite(l: Wicket) {
- favorite:=l;
+type ref;
+type Wicket;
+const w: Wicket;
+var favorite: Wicket;
+
+function age(Wicket) returns (int);
+
+axiom age(w)==7;
+
+procedure NewFavorite(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation NewFavorite(l: Wicket) {
+ favorite:=l;
} \ No newline at end of file
diff --git a/Test/z3api/boog10.bpl b/Test/z3api/boog10.bpl
index 075432d7..628d275f 100644
--- a/Test/z3api/boog10.bpl
+++ b/Test/z3api/boog10.bpl
@@ -1,24 +1,24 @@
-type ref;
-// types
-type Color;
-const unique red: Color;
-const unique blue: Color;
-const unique green: Color;
-
-axiom (forall ce:Color :: ce==red || ce==blue || ce==green);
-var myColor: Color;
-
-// procedure
-procedure SetTo(c: Color);
- modifies myColor
-;
-
- ensures myColor==c;
-
-implementation SetTo(c: Color) {
- assert (blue==green);
- myColor:=blue;
-}
-
-
-
+type ref;
+// types
+type Color;
+const unique red: Color;
+const unique blue: Color;
+const unique green: Color;
+
+axiom (forall ce:Color :: ce==red || ce==blue || ce==green);
+var myColor: Color;
+
+// procedure
+procedure SetTo(c: Color);
+ modifies myColor
+;
+
+ ensures myColor==c;
+
+implementation SetTo(c: Color) {
+ assert (blue==green);
+ myColor:=blue;
+}
+
+
+
diff --git a/Test/z3api/boog11.bpl b/Test/z3api/boog11.bpl
index 5b83de6a..c6e4f5f0 100644
--- a/Test/z3api/boog11.bpl
+++ b/Test/z3api/boog11.bpl
@@ -1,18 +1,18 @@
-type ref;
-// types
-const top: ref;
-var myRef: ref;
-
-// procedure
-procedure SetTo(r: ref);
- modifies myRef
-;
-
- ensures myRef==r;
-
-implementation SetTo(c: ref) {
- myRef:=top;
-}
-
-
-
+type ref;
+// types
+const top: ref;
+var myRef: ref;
+
+// procedure
+procedure SetTo(r: ref);
+ modifies myRef
+;
+
+ ensures myRef==r;
+
+implementation SetTo(c: ref) {
+ myRef:=top;
+}
+
+
+
diff --git a/Test/z3api/boog12.bpl b/Test/z3api/boog12.bpl
index c277a674..fe3803e9 100644
--- a/Test/z3api/boog12.bpl
+++ b/Test/z3api/boog12.bpl
@@ -1,22 +1,22 @@
-type ref;
-// types
-type Color;
-const blue: Color;
-
-var myArray:[int] Color;
-var myMatrix:[int,int] Color;
-
-// procedure
-procedure SetTo(c: Color);
- modifies myArray, myMatrix
-;
-
- ensures myArray[0]==c;
-
-implementation SetTo(c: Color) {
- myMatrix[0,1]:=c;
- myArray[0]:=blue;
-}
-
-
-
+type ref;
+// types
+type Color;
+const blue: Color;
+
+var myArray:[int] Color;
+var myMatrix:[int,int] Color;
+
+// procedure
+procedure SetTo(c: Color);
+ modifies myArray, myMatrix
+;
+
+ ensures myArray[0]==c;
+
+implementation SetTo(c: Color) {
+ myMatrix[0,1]:=c;
+ myArray[0]:=blue;
+}
+
+
+
diff --git a/Test/z3api/boog13.bpl b/Test/z3api/boog13.bpl
index 9cd873c6..3fe58fd7 100644
--- a/Test/z3api/boog13.bpl
+++ b/Test/z3api/boog13.bpl
@@ -1,28 +1,28 @@
-type ref;
-// types
-type Wicket;
-var favorite: Wicket;
-var v: Wicket;
-
-function age(w:Wicket) returns (int);
-
-axiom (exists v:Wicket :: age(v)<8 &&
- (forall v:Wicket
- :: age(v)==7)
-
- );
-
-
-// procedure
-procedure SetToSeven(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: Wicket) {
- favorite:=favorite;
-}
-
-
-
+type ref;
+// types
+type Wicket;
+var favorite: Wicket;
+var v: Wicket;
+
+function age(w:Wicket) returns (int);
+
+axiom (exists v:Wicket :: age(v)<8 &&
+ (forall v:Wicket
+ :: age(v)==7)
+
+ );
+
+
+// procedure
+procedure SetToSeven(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: Wicket) {
+ favorite:=favorite;
+}
+
+
+
diff --git a/Test/z3api/boog14.bpl b/Test/z3api/boog14.bpl
index 41450d85..40526011 100644
--- a/Test/z3api/boog14.bpl
+++ b/Test/z3api/boog14.bpl
@@ -1,12 +1,12 @@
-type ref;
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-
-
-var myInt:int;
-procedure main()
-modifies myInt;
-ensures myInt==5;
-{
- myInt:=4;
+type ref;
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+
+
+var myInt:int;
+procedure main()
+modifies myInt;
+ensures myInt==5;
+{
+ myInt:=4;
} \ No newline at end of file
diff --git a/Test/z3api/boog15.bpl b/Test/z3api/boog15.bpl
index 428c0f6e..5c3eb0e5 100644
--- a/Test/z3api/boog15.bpl
+++ b/Test/z3api/boog15.bpl
@@ -1,11 +1,11 @@
-type ref;
-function AtLeast(int, int) returns ([int]bool);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-
-var myInt:int;
-procedure main()
-modifies myInt;
-ensures myInt==5;
-{
- myInt:=4;
+type ref;
+function AtLeast(int, int) returns ([int]bool);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+
+var myInt:int;
+procedure main()
+modifies myInt;
+ensures myInt==5;
+{
+ myInt:=4;
} \ No newline at end of file
diff --git a/Test/z3api/boog16.bpl b/Test/z3api/boog16.bpl
index a002c166..6e741cbf 100644
--- a/Test/z3api/boog16.bpl
+++ b/Test/z3api/boog16.bpl
@@ -1,12 +1,12 @@
-type ref;
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int ::
- {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-var myInt:int;
-procedure main()
-modifies myInt;
-ensures myInt==5;
-{
- myInt:=4;
+type ref;
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int ::
+ {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+var myInt:int;
+procedure main()
+modifies myInt;
+ensures myInt==5;
+{
+ myInt:=4;
} \ No newline at end of file
diff --git a/Test/z3api/boog17.bpl b/Test/z3api/boog17.bpl
index 89159af1..24d87dc7 100644
--- a/Test/z3api/boog17.bpl
+++ b/Test/z3api/boog17.bpl
@@ -1,27 +1,27 @@
-type name;
-type ref;
-const unique g : int;
-axiom(g != 0);
-
-const unique PINT4_name:name;
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function HasType(v:int, t:name) returns (bool);
-
-
-procedure main ( ) returns ($result.main$11.5$1$:int) {
- var p : int;
-
-start:
- assume(HasType(p, PINT4_name));
- goto label_3;
-
-label_3:
- goto label_4;
-
-label_4:
- p := PLUS(g, 4, 55) ;
- assert(HasType(p, PINT4_name));
+type name;
+type ref;
+const unique g : int;
+axiom(g != 0);
+
+const unique PINT4_name:name;
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function HasType(v:int, t:name) returns (bool);
+
+
+procedure main ( ) returns ($result.main$11.5$1$:int) {
+ var p : int;
+
+start:
+ assume(HasType(p, PINT4_name));
+ goto label_3;
+
+label_3:
+ goto label_4;
+
+label_4:
+ p := PLUS(g, 4, 55) ;
+ assert(HasType(p, PINT4_name));
} \ No newline at end of file
diff --git a/Test/z3api/boog18.bpl b/Test/z3api/boog18.bpl
index 35f7d48a..996b502e 100644
--- a/Test/z3api/boog18.bpl
+++ b/Test/z3api/boog18.bpl
@@ -1,16 +1,16 @@
-type ref;
-const A100INT4_name:int;
-
-function Match(a:int, t:int) returns (int);
-function Array(int, int, int) returns (bool);
-
-axiom(forall a:int :: {Match(a, A100INT4_name)} Array(a, 4, 100));
-
-const myNull: int;
-var p: int;
-procedure main()
-modifies p;
-ensures p!=myNull;
-{
- p:=myNull;
+type ref;
+const A100INT4_name:int;
+
+function Match(a:int, t:int) returns (int);
+function Array(int, int, int) returns (bool);
+
+axiom(forall a:int :: {Match(a, A100INT4_name)} Array(a, 4, 100));
+
+const myNull: int;
+var p: int;
+procedure main()
+modifies p;
+ensures p!=myNull;
+{
+ p:=myNull;
} \ No newline at end of file
diff --git a/Test/z3api/boog19.bpl b/Test/z3api/boog19.bpl
index 178bb04f..6e4f47ac 100644
--- a/Test/z3api/boog19.bpl
+++ b/Test/z3api/boog19.bpl
@@ -1,230 +1,230 @@
-type name;
-type ref;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name) returns (bool);
-function Values(t:name) returns ([int]bool);
-
-axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
-axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
-
-// Field declarations
-
-
-// Type declarations
-
-const unique A100INT4_name:name;
-const unique INT4_name:name;
-const unique PA100INT4_name:name;
-const unique PINT4_name:name;
-const unique PPINT4_name:name;
-
-// Field definitions
-
-// Type definitions
-
-axiom(forall a:int :: {Match(a, A100INT4_name)} Subset(Empty(), Array(a, 4, 100)));
-axiom(forall a:int, e:int :: {Match(a, A100INT4_name), Array(a, 4, 100)[e]}
- Match(a, A100INT4_name) && Array(a, 4, 100)[e] ==> Match(e, INT4_name));
-
-axiom(forall a:int :: {Match(a, INT4_name)}
- Match(a, INT4_name) <==> Field(a) == INT4_name);
-axiom(forall v:int :: HasType(v, INT4_name));
-
-axiom(forall a:int :: {Match(a, PA100INT4_name)}
- Match(a, PA100INT4_name) <==> Field(a) == PA100INT4_name);
-axiom(forall v:int :: {HasType(v, PA100INT4_name)} {Match(v, A100INT4_name)}
- HasType(v, PA100INT4_name) <==> (v == 0 || (v > 0 && Match(v, A100INT4_name))));
-
-axiom(forall a:int :: {Match(a, PINT4_name)}
- Match(a, PINT4_name) <==> Field(a) == PINT4_name);
-axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
- HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
-
-axiom(forall a:int :: {Match(a, PPINT4_name)}
- Match(a, PPINT4_name) <==> Field(a) == PPINT4_name);
-axiom(forall v:int :: {HasType(v, PPINT4_name)} {Match(v, PINT4_name)}
- HasType(v, PPINT4_name) <==> (v == 0 || (v > 0 && Match(v, PINT4_name))));
-
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-/*
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-*/
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-const unique g : int;
-axiom(g != 0);
-
-
-procedure main ( ) returns ($result.main$11.5$1$:int)
-
-//TAG: requires __objectOf(g) != 0
-requires(Base(g) != 0);
-
-//TAG: requires __allocated(g)
-requires(alloc[Base(g)] == ALLOCATED);
-
-//TAG: requires __allocated(g + 55)
-requires(alloc[Base(PLUS(g, 4, 55))] == ALLOCATED);
-
-//TAG: Type Safety Precondition
-requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-requires(HasType(g, PA100INT4_name));
-
-{
-var p : int;
-
-assume(HasType(p, PINT4_name));
-p := PLUS(g, 4, 55) ;
-assert(HasType(p, PINT4_name));
-
-}
-
+type name;
+type ref;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name) returns (bool);
+function Values(t:name) returns ([int]bool);
+
+axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
+axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
+
+// Field declarations
+
+
+// Type declarations
+
+const unique A100INT4_name:name;
+const unique INT4_name:name;
+const unique PA100INT4_name:name;
+const unique PINT4_name:name;
+const unique PPINT4_name:name;
+
+// Field definitions
+
+// Type definitions
+
+axiom(forall a:int :: {Match(a, A100INT4_name)} Subset(Empty(), Array(a, 4, 100)));
+axiom(forall a:int, e:int :: {Match(a, A100INT4_name), Array(a, 4, 100)[e]}
+ Match(a, A100INT4_name) && Array(a, 4, 100)[e] ==> Match(e, INT4_name));
+
+axiom(forall a:int :: {Match(a, INT4_name)}
+ Match(a, INT4_name) <==> Field(a) == INT4_name);
+axiom(forall v:int :: HasType(v, INT4_name));
+
+axiom(forall a:int :: {Match(a, PA100INT4_name)}
+ Match(a, PA100INT4_name) <==> Field(a) == PA100INT4_name);
+axiom(forall v:int :: {HasType(v, PA100INT4_name)} {Match(v, A100INT4_name)}
+ HasType(v, PA100INT4_name) <==> (v == 0 || (v > 0 && Match(v, A100INT4_name))));
+
+axiom(forall a:int :: {Match(a, PINT4_name)}
+ Match(a, PINT4_name) <==> Field(a) == PINT4_name);
+axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
+ HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
+
+axiom(forall a:int :: {Match(a, PPINT4_name)}
+ Match(a, PPINT4_name) <==> Field(a) == PPINT4_name);
+axiom(forall v:int :: {HasType(v, PPINT4_name)} {Match(v, PINT4_name)}
+ HasType(v, PPINT4_name) <==> (v == 0 || (v > 0 && Match(v, PINT4_name))));
+
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+/*
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+*/
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+const unique g : int;
+axiom(g != 0);
+
+
+procedure main ( ) returns ($result.main$11.5$1$:int)
+
+//TAG: requires __objectOf(g) != 0
+requires(Base(g) != 0);
+
+//TAG: requires __allocated(g)
+requires(alloc[Base(g)] == ALLOCATED);
+
+//TAG: requires __allocated(g + 55)
+requires(alloc[Base(PLUS(g, 4, 55))] == ALLOCATED);
+
+//TAG: Type Safety Precondition
+requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+requires(HasType(g, PA100INT4_name));
+
+{
+var p : int;
+
+assume(HasType(p, PINT4_name));
+p := PLUS(g, 4, 55) ;
+assert(HasType(p, PINT4_name));
+
+}
+
diff --git a/Test/z3api/boog2.bpl b/Test/z3api/boog2.bpl
index 315c51af..812c4054 100644
--- a/Test/z3api/boog2.bpl
+++ b/Test/z3api/boog2.bpl
@@ -1,24 +1,24 @@
-type ref;
-type Wicket;
-
-var favorite: Wicket;
-var hate: Wicket;
-
-procedure NewFavorite(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation NewFavorite(l: Wicket) {
- favorite:=l;
-}
-
-
-procedure Swap();
- modifies favorite,hate;
- ensures favorite==old(hate);
-
-implementation Swap() {
- hate := favorite;
+type ref;
+type Wicket;
+
+var favorite: Wicket;
+var hate: Wicket;
+
+procedure NewFavorite(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation NewFavorite(l: Wicket) {
+ favorite:=l;
+}
+
+
+procedure Swap();
+ modifies favorite,hate;
+ ensures favorite==old(hate);
+
+implementation Swap() {
+ hate := favorite;
} \ No newline at end of file
diff --git a/Test/z3api/boog20.bpl b/Test/z3api/boog20.bpl
index 10181400..bfcf5f63 100644
--- a/Test/z3api/boog20.bpl
+++ b/Test/z3api/boog20.bpl
@@ -1,18 +1,18 @@
-type ref;
-
-function PLUS(int, int, int) returns (int);
-function Rep(int, int) returns (int);
-
-//PLUS(a,b,z)
-// ERROR
-
-axiom(forall a:int, b:int, z:int :: Rep(a,b) == Rep(a,0));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) == x));
-// END ERROR
-
-
-procedure main ( )
-{
-assert (PLUS(0, 4, 55)!=0);
-}
-
+type ref;
+
+function PLUS(int, int, int) returns (int);
+function Rep(int, int) returns (int);
+
+//PLUS(a,b,z)
+// ERROR
+
+axiom(forall a:int, b:int, z:int :: Rep(a,b) == Rep(a,0));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) == x));
+// END ERROR
+
+
+procedure main ( )
+{
+assert (PLUS(0, 4, 55)!=0);
+}
+
diff --git a/Test/z3api/boog21.bpl b/Test/z3api/boog21.bpl
index 8e3abde7..dd3ecc76 100644
--- a/Test/z3api/boog21.bpl
+++ b/Test/z3api/boog21.bpl
@@ -1,19 +1,19 @@
-type ref;
-
-function PLUS(int, int, int) returns (int);
-function Rep(int,int) returns (int);
-
-
-// ERROR
-
-axiom(forall a:int, b:int, z:int :: Rep(a,b) == PLUS(a,b,z
-));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) == x));
-// END ERROR
-
-
-procedure main ( )
-{
-assert (PLUS(0, 4, 55)!=0);
-}
-
+type ref;
+
+function PLUS(int, int, int) returns (int);
+function Rep(int,int) returns (int);
+
+
+// ERROR
+
+axiom(forall a:int, b:int, z:int :: Rep(a,b) == PLUS(a,b,z
+));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) == x));
+// END ERROR
+
+
+procedure main ( )
+{
+assert (PLUS(0, 4, 55)!=0);
+}
+
diff --git a/Test/z3api/boog22.bpl b/Test/z3api/boog22.bpl
index c255a3c5..d1b9bc0f 100644
--- a/Test/z3api/boog22.bpl
+++ b/Test/z3api/boog22.bpl
@@ -1,11 +1,11 @@
-type ref;
-type W;
-
-function f1(W,int) returns (int);
-function f1(W,int,int) returns (int);
-
-procedure main()
-{
- var w: W;
- assert(f1(w,0)==f1(w,0,0));
+type ref;
+type W;
+
+function f1(W,int) returns (int);
+function f1(W,int,int) returns (int);
+
+procedure main()
+{
+ var w: W;
+ assert(f1(w,0)==f1(w,0,0));
} \ No newline at end of file
diff --git a/Test/z3api/boog23.bpl b/Test/z3api/boog23.bpl
index 4e0fc4d0..346f39d9 100644
--- a/Test/z3api/boog23.bpl
+++ b/Test/z3api/boog23.bpl
@@ -1,412 +1,412 @@
-type name;
-type ref;
-type byte;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name) returns (bool);
-function Values(t:name) returns ([int]bool);
-
-axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
-axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
-
-// Field declarations
-
-
-// Type declarations
-
-const unique INT4_name:name;
-const unique PINT4_name:name;
-
-// Field definitions
-
-// Type definitions
-
-axiom(forall a:int :: {Match(a, INT4_name)}
- Match(a, INT4_name) <==> Field(a) == INT4_name);
-axiom(forall v:int :: HasType(v, INT4_name));
-
-axiom(forall a:int :: {Match(a, PINT4_name)}
- Match(a, PINT4_name) <==> Field(a) == PINT4_name);
-axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
- HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
-
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure nondet_choice() returns (x:int);
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-
-
-
-procedure main ( ) returns ($result.main$3.5$1$:int)
-
-modifies alloc;
-//TAG: no freed locations
-ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Mem;
-//TAG: no updated memory locations
-ensures(forall f: name, m:int :: {Mem[f][m]} Mem[f][m] == old(Mem[f])[m]);
-free ensures(Mem[Field(0)][0] == old(Mem[Field(0)])[0]);
-
-//TAG: Type Safety Precondition
-requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-//TAG: Type Safety Postcondition
-ensures(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-ensures(HasType($result.main$3.5$1$, INT4_name));
-{
-var havoc_stringTemp:int;
-var condVal:int;
-var $a$1$4.6$main : int;
-var b : int;
-var c : int;
-var flag : int;
-var tempBoogie0:int;
-var tempBoogie1:int;
-var tempBoogie2:int;
-var tempBoogie3:int;
-var tempBoogie4:int;
-var tempBoogie5:int;
-var tempBoogie6:int;
-var tempBoogie7:int;
-var tempBoogie8:int;
-var tempBoogie9:int;
-var tempBoogie10:int;
-var tempBoogie11:int;
-var tempBoogie12:int;
-var tempBoogie13:int;
-var tempBoogie14:int;
-var tempBoogie15:int;
-var tempBoogie16:int;
-var tempBoogie17:int;
-var tempBoogie18:int;
-var tempBoogie19:int;
-
-
-start:
-
-assume(HasType($a$1$4.6$main, INT4_name));
-assume(HasType(b, INT4_name));
-assume(HasType(c, INT4_name));
-assume(HasType(flag, INT4_name));
-assume(HasType($result.main$3.5$1$, INT4_name));
-goto label_3;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(20)
-label_1:
-assume (forall m:int :: {Mem[Field(m)][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[Field(m)][m] == old(Mem[Field(m)])[m]);
-return;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(20)
-label_2:
-assume false;
-return;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
-label_3:
-goto label_4;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
-label_4:
-goto label_5;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
-label_5:
-goto label_6;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(5)
-label_6:
-goto label_7;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(7)
-label_7:
-c := LIFT(b < $a$1$4.6$main) ;
-//TAG: Type Safety Assertion
-assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-assert(HasType($a$1$4.6$main, INT4_name));
-assert(HasType(b, INT4_name));
-assert(HasType(c, INT4_name));
-assert(HasType(flag, INT4_name));
-goto label_8;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(9)
-label_8:
-goto label_8_true , label_8_false ;
-
-
-label_8_true :
-assume (c != 0);
-goto label_10;
-
-
-label_8_false :
-assume (c == 0);
-goto label_9;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(12)
-label_9:
-flag := 0 ;
-//TAG: Type Safety Assertion
-assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-assert(HasType($a$1$4.6$main, INT4_name));
-assert(HasType(b, INT4_name));
-assert(HasType(c, INT4_name));
-assert(HasType(flag, INT4_name));
-goto label_11;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(10)
-label_10:
-flag := 1 ;
-//TAG: Type Safety Assertion
-assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-assert(HasType($a$1$4.6$main, INT4_name));
-assert(HasType(b, INT4_name));
-assert(HasType(c, INT4_name));
-assert(HasType(flag, INT4_name));
-goto label_11;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(15)
-label_11:
-goto label_11_true , label_11_false ;
-
-
-label_11_true :
-assume (b < $a$1$4.6$main);
-goto label_13;
-
-
-label_11_false :
-assume !(b < $a$1$4.6$main);
-goto label_12;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(18)
-label_12:
-//TAG: flag == 0
-assert (flag == 0);
-goto label_1;
-
-
-// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(16)
-label_13:
-//TAG: flag == 1
-assert (flag == 1);
-goto label_1;
-
-}
-
+type name;
+type ref;
+type byte;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name) returns (bool);
+function Values(t:name) returns ([int]bool);
+
+axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
+axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
+
+// Field declarations
+
+
+// Type declarations
+
+const unique INT4_name:name;
+const unique PINT4_name:name;
+
+// Field definitions
+
+// Type definitions
+
+axiom(forall a:int :: {Match(a, INT4_name)}
+ Match(a, INT4_name) <==> Field(a) == INT4_name);
+axiom(forall v:int :: HasType(v, INT4_name));
+
+axiom(forall a:int :: {Match(a, PINT4_name)}
+ Match(a, PINT4_name) <==> Field(a) == PINT4_name);
+axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
+ HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
+
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure nondet_choice() returns (x:int);
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+
+
+
+procedure main ( ) returns ($result.main$3.5$1$:int)
+
+modifies alloc;
+//TAG: no freed locations
+ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Mem;
+//TAG: no updated memory locations
+ensures(forall f: name, m:int :: {Mem[f][m]} Mem[f][m] == old(Mem[f])[m]);
+free ensures(Mem[Field(0)][0] == old(Mem[Field(0)])[0]);
+
+//TAG: Type Safety Precondition
+requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+//TAG: Type Safety Postcondition
+ensures(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+ensures(HasType($result.main$3.5$1$, INT4_name));
+{
+var havoc_stringTemp:int;
+var condVal:int;
+var $a$1$4.6$main : int;
+var b : int;
+var c : int;
+var flag : int;
+var tempBoogie0:int;
+var tempBoogie1:int;
+var tempBoogie2:int;
+var tempBoogie3:int;
+var tempBoogie4:int;
+var tempBoogie5:int;
+var tempBoogie6:int;
+var tempBoogie7:int;
+var tempBoogie8:int;
+var tempBoogie9:int;
+var tempBoogie10:int;
+var tempBoogie11:int;
+var tempBoogie12:int;
+var tempBoogie13:int;
+var tempBoogie14:int;
+var tempBoogie15:int;
+var tempBoogie16:int;
+var tempBoogie17:int;
+var tempBoogie18:int;
+var tempBoogie19:int;
+
+
+start:
+
+assume(HasType($a$1$4.6$main, INT4_name));
+assume(HasType(b, INT4_name));
+assume(HasType(c, INT4_name));
+assume(HasType(flag, INT4_name));
+assume(HasType($result.main$3.5$1$, INT4_name));
+goto label_3;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(20)
+label_1:
+assume (forall m:int :: {Mem[Field(m)][m]} alloc[Base(m)] != ALLOCATED && old(alloc)[Base(m)] != ALLOCATED ==> Mem[Field(m)][m] == old(Mem[Field(m)])[m]);
+return;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(20)
+label_2:
+assume false;
+return;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
+label_3:
+goto label_4;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
+label_4:
+goto label_5;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(4)
+label_5:
+goto label_6;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(5)
+label_6:
+goto label_7;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(7)
+label_7:
+c := LIFT(b < $a$1$4.6$main) ;
+//TAG: Type Safety Assertion
+assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+assert(HasType($a$1$4.6$main, INT4_name));
+assert(HasType(b, INT4_name));
+assert(HasType(c, INT4_name));
+assert(HasType(flag, INT4_name));
+goto label_8;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(9)
+label_8:
+goto label_8_true , label_8_false ;
+
+
+label_8_true :
+assume (c != 0);
+goto label_10;
+
+
+label_8_false :
+assume (c == 0);
+goto label_9;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(12)
+label_9:
+flag := 0 ;
+//TAG: Type Safety Assertion
+assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+assert(HasType($a$1$4.6$main, INT4_name));
+assert(HasType(b, INT4_name));
+assert(HasType(c, INT4_name));
+assert(HasType(flag, INT4_name));
+goto label_11;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(10)
+label_10:
+flag := 1 ;
+//TAG: Type Safety Assertion
+assert(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+assert(HasType($a$1$4.6$main, INT4_name));
+assert(HasType(b, INT4_name));
+assert(HasType(c, INT4_name));
+assert(HasType(flag, INT4_name));
+goto label_11;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(15)
+label_11:
+goto label_11_true , label_11_false ;
+
+
+label_11_true :
+assume (b < $a$1$4.6$main);
+goto label_13;
+
+
+label_11_false :
+assume !(b < $a$1$4.6$main);
+goto label_12;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(18)
+label_12:
+//TAG: flag == 0
+assert (flag == 0);
+goto label_1;
+
+
+// c:\espmain1\esp\tests\hvregr\split_memory\014\bool_vals_gt.c(16)
+label_13:
+//TAG: flag == 1
+assert (flag == 1);
+goto label_1;
+
+}
+
diff --git a/Test/z3api/boog25.bpl b/Test/z3api/boog25.bpl
index 0ee4163c..eff6e2fb 100644
--- a/Test/z3api/boog25.bpl
+++ b/Test/z3api/boog25.bpl
@@ -1,284 +1,284 @@
-type name;
-type ref;
-type byte;
-function OneByteToInt(byte) returns (int);
-function TwoBytesToInt(byte, byte) returns (int);
-function FourBytesToInt(byte, byte, byte, byte) returns (int);
-axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
-axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
-axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
-
-// Mutable
-var Mem_BYTE:[int]byte;
-var alloc:[int]name;
-
-
-function Field(int) returns (name);
-function Base(int) returns (int);
-
-// Constants
-const unique UNALLOCATED:name;
-const unique ALLOCATED: name;
-const unique FREED:name;
-
-const unique BYTE:name;
-
-function Equal([int]bool, [int]bool) returns (bool);
-function Subset([int]bool, [int]bool) returns (bool);
-function Disjoint([int]bool, [int]bool) returns (bool);
-
-function Empty() returns ([int]bool);
-function Singleton(int) returns ([int]bool);
-function Reachable([int,int]bool, int) returns ([int]bool);
-function Union([int]bool, [int]bool) returns ([int]bool);
-function Intersection([int]bool, [int]bool) returns ([int]bool);
-function Difference([int]bool, [int]bool) returns ([int]bool);
-function Dereference([int]bool, [int]int) returns ([int]bool);
-function Inverse(f:[int]int, x:int) returns ([int]bool);
-
-function AtLeast(int, int) returns ([int]bool);
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
-axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
-axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
-axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
-
-/*
-function AtLeast(int, int) returns ([int]bool);
-function ModEqual(int, int, int) returns (bool);
-axiom(forall n:int, x:int :: ModEqual(n,x,x));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
-axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
-axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
-axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
-axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
-axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
-*/
-
-function Array(int, int, int) returns ([int]bool);
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
-axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
-
-
-axiom(forall x:int :: !Empty()[x]);
-
-axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
-axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
-
-/* this formulation of Union IS more complete than the earlier one */
-/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
-
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
-
-axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
-axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
-axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
- S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
-
-axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
-axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
-axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
-
-axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
-axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
-axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
-axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
-
-function Unified([name][int]int) returns ([int]int);
-axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
-axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
-// Memory model
-
-var Mem: [name][int]int;
-
-function Match(a:int, t:name) returns (bool);
-function HasType(v:int, t:name) returns (bool);
-function Values(t:name) returns ([int]bool);
-
-axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
-axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
-
-// Field declarations
-
-
-// Type declarations
-
-const unique INT4_name:name;
-const unique PINT4_name:name;
-
-// Field definitions
-
-// Type definitions
-
-axiom(forall a:int :: {Match(a, INT4_name)}
- Match(a, INT4_name) <==> Field(a) == INT4_name);
-axiom(forall v:int :: HasType(v, INT4_name));
-
-axiom(forall a:int :: {Match(a, PINT4_name)}
- Match(a, PINT4_name) <==> Field(a) == PINT4_name);
-axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
- HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
-
-function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
-axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
-size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
-
-function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
-
-function PLUS(a:int, a_size:int, b:int) returns (int);
-axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
-
-function MULT(a:int, b:int) returns (int); // a*b
-axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
-
-function DIV(a:int, b:int) returns (int); // a/b
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
-);
-
-axiom(forall a:int, b:int :: {DIV(a,b)}
-a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
-);
-
-function BINARY_BOTH_INT(a:int, b:int) returns (int);
-
-function POW2(a:int) returns (bool);
-axiom POW2(1);
-axiom POW2(2);
-axiom POW2(4);
-axiom POW2(8);
-axiom POW2(16);
-axiom POW2(32);
-axiom POW2(64);
-axiom POW2(128);
-axiom POW2(256);
-axiom POW2(512);
-axiom POW2(1024);
-axiom POW2(2048);
-axiom POW2(4096);
-axiom POW2(8192);
-axiom POW2(16384);
-axiom POW2(32768);
-axiom POW2(65536);
-axiom POW2(131072);
-axiom POW2(262144);
-axiom POW2(524288);
-axiom POW2(1048576);
-axiom POW2(2097152);
-axiom POW2(4194304);
-axiom POW2(8388608);
-axiom POW2(16777216);
-axiom POW2(33554432);
-
-function choose(a:bool, b:int, c:int) returns (x:int);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
-axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
-
-function BIT_BAND(a:int, b:int) returns (x:int);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
-axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
-
-function BIT_BOR(a:int, b:int) returns (x:int);
-
-function BIT_BXOR(a:int, b:int) returns (x:int);
-
-function BIT_BNOT(a:int) returns (int);
-
-function LIFT(a:bool) returns (int);
-axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
-
-function NOT(a:int) returns (int);
-axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
-axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
-
-function NULL_CHECK(a:int) returns (int);
-axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
-axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
-
-procedure nondet_choice() returns (x:int);
-
-
-procedure havoc_assert(i:int);
-requires (i != 0);
-
-procedure havoc_assume(i:int);
-ensures (i != 0);
-
-procedure __HAVOC_free(a:int);
-modifies alloc;
-ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
-ensures (alloc[a] == FREED);
-// Additional checks guarded by tranlator flags
-// requires alloc[a] == ALLOCATED;
-// requires Base(a) == a;
-
-procedure __HAVOC_malloc(obj_size:int) returns (new:int);
-requires obj_size >= 0;
-modifies alloc;
-ensures (new > 0);
-ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
-ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
-ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
-
-procedure _strdup(str:int) returns (new:int);
-
-procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
-
-procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
-
-
-
-
-
-procedure main ( ) returns ($result.main$3.5$1$:int)
-
-modifies alloc;
-//TAG: no freed locations
-ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
-
-modifies Mem;
-//TAG: no updated memory locations
-ensures(forall f: name, m:int :: {Mem[f][m]} Mem[f][m] == old(Mem[f])[m]);
-free ensures(Mem[Field(0)][0] == old(Mem[Field(0)])[0]);
-
-//TAG: Type Safety Precondition
-requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-//TAG: Type Safety Postcondition
-ensures(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
-ensures(HasType($result.main$3.5$1$, INT4_name));
-{
-
-var a : int;
-var b : int;
-var c : int;
-
-c := LIFT (b < a) ;
-assert (c != 0 <==> b < a);
-
-
-}
-
+type name;
+type ref;
+type byte;
+function OneByteToInt(byte) returns (int);
+function TwoBytesToInt(byte, byte) returns (int);
+function FourBytesToInt(byte, byte, byte, byte) returns (int);
+axiom(forall b0:byte, c0:byte :: {OneByteToInt(b0), OneByteToInt(c0)} OneByteToInt(b0) == OneByteToInt(c0) ==> b0 == c0);
+axiom(forall b0:byte, b1: byte, c0:byte, c1:byte :: {TwoBytesToInt(b0, b1), TwoBytesToInt(c0, c1)} TwoBytesToInt(b0, b1) == TwoBytesToInt(c0, c1) ==> b0 == c0 && b1 == c1);
+axiom(forall b0:byte, b1: byte, b2:byte, b3:byte, c0:byte, c1:byte, c2:byte, c3:byte :: {FourBytesToInt(b0, b1, b2, b3), FourBytesToInt(c0, c1, c2, c3)} FourBytesToInt(b0, b1, b2, b3) == FourBytesToInt(c0, c1, c2, c3) ==> b0 == c0 && b1 == c1 && b2 == c2 && b3 == c3);
+
+// Mutable
+var Mem_BYTE:[int]byte;
+var alloc:[int]name;
+
+
+function Field(int) returns (name);
+function Base(int) returns (int);
+
+// Constants
+const unique UNALLOCATED:name;
+const unique ALLOCATED: name;
+const unique FREED:name;
+
+const unique BYTE:name;
+
+function Equal([int]bool, [int]bool) returns (bool);
+function Subset([int]bool, [int]bool) returns (bool);
+function Disjoint([int]bool, [int]bool) returns (bool);
+
+function Empty() returns ([int]bool);
+function Singleton(int) returns ([int]bool);
+function Reachable([int,int]bool, int) returns ([int]bool);
+function Union([int]bool, [int]bool) returns ([int]bool);
+function Intersection([int]bool, [int]bool) returns ([int]bool);
+function Difference([int]bool, [int]bool) returns ([int]bool);
+function Dereference([int]bool, [int]int) returns ([int]bool);
+function Inverse(f:[int]int, x:int) returns ([int]bool);
+
+function AtLeast(int, int) returns ([int]bool);
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x)[y]} AtLeast(n,x)[y] ==> x <= y && Rep(n,x) == Rep(n,y));
+axiom(forall n:int, x:int, y:int :: {AtLeast(n,x),Rep(n,x),Rep(n,y)} x <= y && Rep(n,x) == Rep(n,y) ==> AtLeast(n,x)[y]);
+axiom(forall n:int, x:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} Rep(n,x) == Rep(n,PLUS(x,n,z)));
+axiom(forall n:int, x:int :: {Rep(n,x)} (exists k:int :: Rep(n,x) - x == n*k));
+
+/*
+function AtLeast(int, int) returns ([int]bool);
+function ModEqual(int, int, int) returns (bool);
+axiom(forall n:int, x:int :: ModEqual(n,x,x));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> ModEqual(n,y,x));
+axiom(forall n:int, x:int, y:int, z:int :: {ModEqual(n,x,y), ModEqual(n,y,z)} ModEqual(n,x,y) && ModEqual(n,y,z) ==> ModEqual(n,x,z));
+axiom(forall n:int, x:int, z:int :: {PLUS(x,n,z)} ModEqual(n,x,PLUS(x,n,z)));
+axiom(forall n:int, x:int, y:int :: {ModEqual(n,x,y)} ModEqual(n,x,y) ==> (exists k:int :: x - y == n*k));
+axiom(forall x:int, n:int, y:int :: {AtLeast(n,x)[y]}{ModEqual(n,x,y)} AtLeast(n,x)[y] <==> x <= y && ModEqual(n,x,y));
+axiom(forall x:int, n:int :: {AtLeast(n,x)} AtLeast(n,x)[x]);
+*/
+
+function Array(int, int, int) returns ([int]bool);
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z <= 0 ==> Equal(Array(x,n,z), Empty()));
+axiom(forall x:int, n:int, z:int :: {Array(x,n,z)} z > 0 ==> Equal(Array(x,n,z), Difference(AtLeast(n,x),AtLeast(n,PLUS(x,n,z)))));
+
+
+axiom(forall x:int :: !Empty()[x]);
+
+axiom(forall x:int, y:int :: {Singleton(y)[x]} Singleton(y)[x] <==> x == y);
+axiom(forall y:int :: {Singleton(y)} Singleton(y)[y]);
+
+/* this formulation of Union IS more complete than the earlier one */
+/* (A U B)[e], A[d], A U B = Singleton(c), d != e */
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T)[x]} Union(S,T)[x] <==> S[x] || T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), S[x]} S[x] ==> Union(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Union(S,T), T[x]} T[x] ==> Union(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T)[x]} Intersection(S,T)[x] <==> S[x] && T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), S[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Intersection(S,T), T[x]} S[x] && T[x] ==> Intersection(S,T)[x]);
+
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T)[x]} Difference(S,T)[x] <==> S[x] && !T[x]);
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {Difference(S,T), S[x]} S[x] ==> Difference(S,T)[x] || T[x]);
+
+axiom(forall x:int, S:[int]bool, M:[int]int :: {Dereference(S,M)[x]} Dereference(S,M)[x] ==> (exists y:int :: x == M[y] && S[y]));
+axiom(forall x:int, S:[int]bool, M:[int]int :: {M[x], S[x], Dereference(S,M)} S[x] ==> Dereference(S,M)[M[x]]);
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])} !S[x] ==> Equal(Dereference(S,M[x := y]), Dereference(S,M)));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Difference(Dereference(S,M), Singleton(M[x])), Singleton(y))));
+axiom(forall x:int, y:int, S:[int]bool, M:[int]int :: {Dereference(S,M[x := y])}
+ S[x] && !Equal(Intersection(Inverse(M,M[x]), S), Singleton(x)) ==> Equal(Dereference(S,M[x := y]), Union(Dereference(S,M), Singleton(y))));
+
+axiom(forall f:[int]int, x:int :: {Inverse(f,f[x])} Inverse(f,f[x])[x]);
+axiom(forall f:[int]int, x:int, y:int :: {Inverse(f[x := y],y)} Equal(Inverse(f[x := y],y), Union(Inverse(f,y), Singleton(x))));
+axiom(forall f:[int]int, x:int, y:int, z:int :: {Inverse(f[x := y],z)} y == z || Equal(Inverse(f[x := y],z), Difference(Inverse(f,z), Singleton(x))));
+
+axiom(forall S:[int]bool, T:[int]bool :: {Equal(S,T)} Equal(S,T) <==> Subset(S,T) && Subset(T,S));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Subset(S,T)} S[x] && Subset(S,T) ==> T[x]);
+axiom(forall S:[int]bool, T:[int]bool :: {Subset(S,T)} Subset(S,T) || (exists x:int :: S[x] && !T[x]));
+axiom(forall x:int, S:[int]bool, T:[int]bool :: {S[x], Disjoint(S,T), T[x]} !(S[x] && Disjoint(S,T) && T[x]));
+axiom(forall S:[int]bool, T:[int]bool :: {Disjoint(S,T)} Disjoint(S,T) || (exists x:int :: S[x] && T[x]));
+
+function Unified([name][int]int) returns ([int]int);
+axiom(forall M:[name][int]int, x:int :: {Unified(M)[x]} Unified(M)[x] == M[Field(x)][x]);
+axiom(forall M:[name][int]int, x:int, y:int :: {Unified(M[Field(x) := M[Field(x)][x := y]])} Unified(M[Field(x) := M[Field(x)][x := y]]) == Unified(M)[x := y]);
+// Memory model
+
+var Mem: [name][int]int;
+
+function Match(a:int, t:name) returns (bool);
+function HasType(v:int, t:name) returns (bool);
+function Values(t:name) returns ([int]bool);
+
+axiom(forall v:int, t:name :: {Values(t)[v]} Values(t)[v] ==> HasType(v, t));
+axiom(forall v:int, t:name :: {HasType(v, t), Values(t)} HasType(v, t) ==> Values(t)[v]);
+
+// Field declarations
+
+
+// Type declarations
+
+const unique INT4_name:name;
+const unique PINT4_name:name;
+
+// Field definitions
+
+// Type definitions
+
+axiom(forall a:int :: {Match(a, INT4_name)}
+ Match(a, INT4_name) <==> Field(a) == INT4_name);
+axiom(forall v:int :: HasType(v, INT4_name));
+
+axiom(forall a:int :: {Match(a, PINT4_name)}
+ Match(a, PINT4_name) <==> Field(a) == PINT4_name);
+axiom(forall v:int :: {HasType(v, PINT4_name)} {Match(v, INT4_name)}
+ HasType(v, PINT4_name) <==> (v == 0 || (v > 0 && Match(v, INT4_name))));
+
+function MINUS_BOTH_PTR_OR_BOTH_INT(a:int, b:int, size:int) returns (int);
+axiom(forall a:int, b:int, size:int :: {MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size)}
+size * MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) <= a - b && a - b < size * (MINUS_BOTH_PTR_OR_BOTH_INT(a,b,size) + 1));
+
+function MINUS_LEFT_PTR(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {MINUS_LEFT_PTR(a,a_size,b)} MINUS_LEFT_PTR(a,a_size,b) == a - a_size * b);
+
+function PLUS(a:int, a_size:int, b:int) returns (int);
+axiom(forall a:int, a_size:int, b:int :: {PLUS(a,a_size,b)} PLUS(a,a_size,b) == a + a_size * b);
+
+function MULT(a:int, b:int) returns (int); // a*b
+axiom(forall a:int, b:int :: {MULT(a,b)} MULT(a,b) == a * b);
+
+function DIV(a:int, b:int) returns (int); // a/b
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b > 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) + 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a >= 0 && b < 0 ==> b * DIV(a,b) <= a && a < b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b > 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) - 1)
+);
+
+axiom(forall a:int, b:int :: {DIV(a,b)}
+a < 0 && b < 0 ==> b * DIV(a,b) >= a && a > b * (DIV(a,b) + 1)
+);
+
+function BINARY_BOTH_INT(a:int, b:int) returns (int);
+
+function POW2(a:int) returns (bool);
+axiom POW2(1);
+axiom POW2(2);
+axiom POW2(4);
+axiom POW2(8);
+axiom POW2(16);
+axiom POW2(32);
+axiom POW2(64);
+axiom POW2(128);
+axiom POW2(256);
+axiom POW2(512);
+axiom POW2(1024);
+axiom POW2(2048);
+axiom POW2(4096);
+axiom POW2(8192);
+axiom POW2(16384);
+axiom POW2(32768);
+axiom POW2(65536);
+axiom POW2(131072);
+axiom POW2(262144);
+axiom POW2(524288);
+axiom POW2(1048576);
+axiom POW2(2097152);
+axiom POW2(4194304);
+axiom POW2(8388608);
+axiom POW2(16777216);
+axiom POW2(33554432);
+
+function choose(a:bool, b:int, c:int) returns (x:int);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} a ==> choose(a,b,c) == b);
+axiom(forall a:bool, b:int, c:int :: {choose(a,b,c)} !a ==> choose(a,b,c) == c);
+
+function BIT_BAND(a:int, b:int) returns (x:int);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == b ==> BIT_BAND(a,b) == a);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} POW2(a) && POW2(b) && a != b ==> BIT_BAND(a,b) == 0);
+axiom(forall a:int, b:int :: {BIT_BAND(a,b)} a == 0 || b == 0 ==> BIT_BAND(a,b) == 0);
+
+function BIT_BOR(a:int, b:int) returns (x:int);
+
+function BIT_BXOR(a:int, b:int) returns (x:int);
+
+function BIT_BNOT(a:int) returns (int);
+
+function LIFT(a:bool) returns (int);
+axiom(forall a:bool :: {LIFT(a)} a <==> LIFT(a) != 0);
+
+function NOT(a:int) returns (int);
+axiom(forall a:int :: {NOT(a)} a == 0 ==> NOT(a) != 0);
+axiom(forall a:int :: {NOT(a)} a != 0 ==> NOT(a) == 0);
+
+function NULL_CHECK(a:int) returns (int);
+axiom(forall a:int :: {NULL_CHECK(a)} a == 0 ==> NULL_CHECK(a) != 0);
+axiom(forall a:int :: {NULL_CHECK(a)} a != 0 ==> NULL_CHECK(a) == 0);
+
+procedure nondet_choice() returns (x:int);
+
+
+procedure havoc_assert(i:int);
+requires (i != 0);
+
+procedure havoc_assume(i:int);
+ensures (i != 0);
+
+procedure __HAVOC_free(a:int);
+modifies alloc;
+ensures (forall x:int :: {alloc[x]} x == a || old(alloc)[x] == alloc[x]);
+ensures (alloc[a] == FREED);
+// Additional checks guarded by tranlator flags
+// requires alloc[a] == ALLOCATED;
+// requires Base(a) == a;
+
+procedure __HAVOC_malloc(obj_size:int) returns (new:int);
+requires obj_size >= 0;
+modifies alloc;
+ensures (new > 0);
+ensures (forall x:int :: {Base(x)} new <= x && x < new+obj_size ==> Base(x) == new);
+ensures (forall x:int :: {alloc[x]} x == new || old(alloc)[x] == alloc[x]);
+ensures old(alloc)[new] == UNALLOCATED && alloc[new] == ALLOCATED;
+
+procedure _strdup(str:int) returns (new:int);
+
+procedure _xstrcasecmp(a0:int, a1:int) returns (ret:int);
+
+procedure _xstrcmp(a0:int, a1:int) returns (ret:int);
+
+
+
+
+
+procedure main ( ) returns ($result.main$3.5$1$:int)
+
+modifies alloc;
+//TAG: no freed locations
+ensures(forall f:int :: {alloc[Base(f)]} old(alloc)[Base(f)] == UNALLOCATED || old(alloc)[Base(f)] == alloc[Base(f)]);
+
+modifies Mem;
+//TAG: no updated memory locations
+ensures(forall f: name, m:int :: {Mem[f][m]} Mem[f][m] == old(Mem[f])[m]);
+free ensures(Mem[Field(0)][0] == old(Mem[Field(0)])[0]);
+
+//TAG: Type Safety Precondition
+requires(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+//TAG: Type Safety Postcondition
+ensures(forall a:int :: {Mem[Field(a)][a]} HasType(Mem[Field(a)][a], Field(a)));
+ensures(HasType($result.main$3.5$1$, INT4_name));
+{
+
+var a : int;
+var b : int;
+var c : int;
+
+c := LIFT (b < a) ;
+assert (c != 0 <==> b < a);
+
+
+}
+
diff --git a/Test/z3api/boog28.bpl b/Test/z3api/boog28.bpl
index ab7f4ad2..d59d3630 100644
--- a/Test/z3api/boog28.bpl
+++ b/Test/z3api/boog28.bpl
@@ -1,17 +1,17 @@
-type ref;
-
-function LIFT(x:bool) returns (int);
-axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
-
-procedure main ( )
-
-{
-var a : int;
-var b : int;
-var c : int;
-
-c := LIFT (b == a) ;
-assert (c != 0 <==> b == a);
-
-}
-
+type ref;
+
+function LIFT(x:bool) returns (int);
+axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
+
+procedure main ( )
+
+{
+var a : int;
+var b : int;
+var c : int;
+
+c := LIFT (b == a) ;
+assert (c != 0 <==> b == a);
+
+}
+
diff --git a/Test/z3api/boog29.bpl b/Test/z3api/boog29.bpl
index 035e69fd..3320e0a8 100644
--- a/Test/z3api/boog29.bpl
+++ b/Test/z3api/boog29.bpl
@@ -1,20 +1,20 @@
-type ref;
-
-function LIFT(x:bool) returns (int);
-axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
-
-procedure main ( )
-
-{
-var c: int;
-c := LIFT(false);
-assert (c==0);
-
-c := LIFT(true);
-assert (c!=0);
-/*
-c := LIFT(1==5);
-assert (c==0);
-*/
-}
-
+type ref;
+
+function LIFT(x:bool) returns (int);
+axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
+
+procedure main ( )
+
+{
+var c: int;
+c := LIFT(false);
+assert (c==0);
+
+c := LIFT(true);
+assert (c!=0);
+/*
+c := LIFT(1==5);
+assert (c==0);
+*/
+}
+
diff --git a/Test/z3api/boog3.bpl b/Test/z3api/boog3.bpl
index 207ddbd0..48fae4a4 100644
--- a/Test/z3api/boog3.bpl
+++ b/Test/z3api/boog3.bpl
@@ -1,8 +1,8 @@
-type ref;
-type Wicket;
-
-procedure Dummy();
-implementation Dummy() {
- var x: Wicket;
- assert (x!=x);
+type ref;
+type Wicket;
+
+procedure Dummy();
+implementation Dummy() {
+ var x: Wicket;
+ assert (x!=x);
} \ No newline at end of file
diff --git a/Test/z3api/boog30.bpl b/Test/z3api/boog30.bpl
index 81e04f20..d26c617c 100644
--- a/Test/z3api/boog30.bpl
+++ b/Test/z3api/boog30.bpl
@@ -1,14 +1,14 @@
-type ref;
-
-function LIFT(x:bool) returns (int);
-axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
-
-procedure main ( )
-
-{
-var c: int;
-
-c := LIFT(1==5);
-assert (c==0);
-}
-
+type ref;
+
+function LIFT(x:bool) returns (int);
+axiom(forall x:bool :: {LIFT(x)} x <==> LIFT(x) != 0);
+
+procedure main ( )
+
+{
+var c: int;
+
+c := LIFT(1==5);
+assert (c==0);
+}
+
diff --git a/Test/z3api/boog31.bpl b/Test/z3api/boog31.bpl
index 86386a90..ca195c74 100644
--- a/Test/z3api/boog31.bpl
+++ b/Test/z3api/boog31.bpl
@@ -1,15 +1,15 @@
-type ref;
-
-const b1:bool;
-const b2:bool;
-const b3:bool;
-
-axiom (b1==true && b2==false && b3==true);
-
-procedure main ( )
-
-{
-var c: int;
-assert (c==0);
-}
-
+type ref;
+
+const b1:bool;
+const b2:bool;
+const b3:bool;
+
+axiom (b1==true && b2==false && b3==true);
+
+procedure main ( )
+
+{
+var c: int;
+assert (c==0);
+}
+
diff --git a/Test/z3api/boog34.bpl b/Test/z3api/boog34.bpl
index 88a587aa..f04bc46f 100644
--- a/Test/z3api/boog34.bpl
+++ b/Test/z3api/boog34.bpl
@@ -1,11 +1,11 @@
-type ref;
-
-function Rep(int, int) returns (int);
-axiom(forall n:int, x:int :: {Rep(n,x)}
- (exists k:int :: Rep(n,x) - x == n*k));
-
-procedure main(x:int)
-{
-assert((Rep(0,x)==x));
-return;
+type ref;
+
+function Rep(int, int) returns (int);
+axiom(forall n:int, x:int :: {Rep(n,x)}
+ (exists k:int :: Rep(n,x) - x == n*k));
+
+procedure main(x:int)
+{
+assert((Rep(0,x)==x));
+return;
} \ No newline at end of file
diff --git a/Test/z3api/boog35.bpl b/Test/z3api/boog35.bpl
index beae0c74..8b442ea3 100644
--- a/Test/z3api/boog35.bpl
+++ b/Test/z3api/boog35.bpl
@@ -1,17 +1,17 @@
-procedure foo1(x: int, y: int)
-{
- var a: [int][int]int;
-
- a[x][y] := 42;
-
- assert a[x][y] == 42;
-}
-
-procedure foo2(x: int, y: int)
-{
- var a: [int][int]int;
-
- a[x][y] := 42;
-
- assert a[x][y] == 43;
+procedure foo1(x: int, y: int)
+{
+ var a: [int][int]int;
+
+ a[x][y] := 42;
+
+ assert a[x][y] == 42;
+}
+
+procedure foo2(x: int, y: int)
+{
+ var a: [int][int]int;
+
+ a[x][y] := 42;
+
+ assert a[x][y] == 43;
} \ No newline at end of file
diff --git a/Test/z3api/boog4.bpl b/Test/z3api/boog4.bpl
index 95ec7011..ffb46f68 100644
--- a/Test/z3api/boog4.bpl
+++ b/Test/z3api/boog4.bpl
@@ -1,43 +1,43 @@
-type ref;
-type Wicket;
-
-const w: Wicket;
-const myBool: bool;
-const v: Wicket;
-
-var favorite: Wicket;
-
-function age(Wicket) returns (int);
-
-axiom age(w)==7;
-axiom 7 < 8;
-axiom 7 <= 8;
-
-axiom 8 > 7;
-axiom 8 >= 7;
-axiom 6 != 7;
-axiom 7+1==8;
-axiom 8-1==7;
-axiom 7/1==7;
-axiom 7%2==1;
-axiom 4*2==8;
-axiom ((7==7) || (8==8));
-axiom ((7==7) ==> (7<8));
-axiom ((7==7) <==> (10==10));
-axiom ((7==7) && (8==8));
-
-axiom 7!=7;
-
-
-procedure NewFavorite(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation NewFavorite(l: Wicket) {
- favorite:=l;
-}
-
-
-
+type ref;
+type Wicket;
+
+const w: Wicket;
+const myBool: bool;
+const v: Wicket;
+
+var favorite: Wicket;
+
+function age(Wicket) returns (int);
+
+axiom age(w)==7;
+axiom 7 < 8;
+axiom 7 <= 8;
+
+axiom 8 > 7;
+axiom 8 >= 7;
+axiom 6 != 7;
+axiom 7+1==8;
+axiom 8-1==7;
+axiom 7/1==7;
+axiom 7%2==1;
+axiom 4*2==8;
+axiom ((7==7) || (8==8));
+axiom ((7==7) ==> (7<8));
+axiom ((7==7) <==> (10==10));
+axiom ((7==7) && (8==8));
+
+axiom 7!=7;
+
+
+procedure NewFavorite(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation NewFavorite(l: Wicket) {
+ favorite:=l;
+}
+
+
+
diff --git a/Test/z3api/boog5.bpl b/Test/z3api/boog5.bpl
index 4b76fd22..fc81d266 100644
--- a/Test/z3api/boog5.bpl
+++ b/Test/z3api/boog5.bpl
@@ -1,43 +1,43 @@
-type ref;
-// types
-type Wicket;
-
-// consts
-const w: Wicket;
-const myBool: bool;
-const v: Wicket;
-const u: Wicket;
-const x: Wicket;
-
-
-// vars
-var favorite: Wicket;
-
-// functions
-function age(Wicket) returns (int);
-
-// axioms
-axiom age(w)==6;
-axiom age(u)==5;
-axiom age(x)==4;
-
-
-// procedure
-procedure SetToSeven(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: Wicket) {
- if (age(w)==7) {
- favorite:=l;
- } else {
- favorite:=v;
- }
-
-
-}
-
-
-
+type ref;
+// types
+type Wicket;
+
+// consts
+const w: Wicket;
+const myBool: bool;
+const v: Wicket;
+const u: Wicket;
+const x: Wicket;
+
+
+// vars
+var favorite: Wicket;
+
+// functions
+function age(Wicket) returns (int);
+
+// axioms
+axiom age(w)==6;
+axiom age(u)==5;
+axiom age(x)==4;
+
+
+// procedure
+procedure SetToSeven(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: Wicket) {
+ if (age(w)==7) {
+ favorite:=l;
+ } else {
+ favorite:=v;
+ }
+
+
+}
+
+
+
diff --git a/Test/z3api/boog6.bpl b/Test/z3api/boog6.bpl
index f6c3c23f..05a63440 100644
--- a/Test/z3api/boog6.bpl
+++ b/Test/z3api/boog6.bpl
@@ -1,24 +1,24 @@
-type ref;
-// types
-type Wicket;
-
-// consts
-var favorite: Wicket;
-
-// axioms
-const b: bool;
-axiom b==true;
-
-// procedure
-procedure SetToSeven(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: Wicket) {
- favorite:=l;
-}
-
-
-
+type ref;
+// types
+type Wicket;
+
+// consts
+var favorite: Wicket;
+
+// axioms
+const b: bool;
+axiom b==true;
+
+// procedure
+procedure SetToSeven(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: Wicket) {
+ favorite:=l;
+}
+
+
+
diff --git a/Test/z3api/boog7.bpl b/Test/z3api/boog7.bpl
index 78e5e3e6..abe0b17a 100644
--- a/Test/z3api/boog7.bpl
+++ b/Test/z3api/boog7.bpl
@@ -1,21 +1,21 @@
-type ref;
-// consts
-const w: int;
-
-
-// vars
-var favorite: int;
-
-// procedure
-procedure SetToSeven(p: int);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: int) {
- favorite:=w;
-}
-
-
-
+type ref;
+// consts
+const w: int;
+
+
+// vars
+var favorite: int;
+
+// procedure
+procedure SetToSeven(p: int);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: int) {
+ favorite:=w;
+}
+
+
+
diff --git a/Test/z3api/boog8.bpl b/Test/z3api/boog8.bpl
index 121f27cf..f027e9f6 100644
--- a/Test/z3api/boog8.bpl
+++ b/Test/z3api/boog8.bpl
@@ -1,26 +1,26 @@
-type ref;
-// types
-type Wicket;
-var favorite: Wicket;
-
-
-const myBv: bv5;
-axiom myBv==1bv2++2bv3;
-
-const myBool: bool;
-axiom myBool==true;
-
-
-// procedure
-procedure SetToSeven(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: Wicket) {
- favorite:=favorite;
-}
-
-
-
+type ref;
+// types
+type Wicket;
+var favorite: Wicket;
+
+
+const myBv: bv5;
+axiom myBv==1bv2++2bv3;
+
+const myBool: bool;
+axiom myBool==true;
+
+
+// procedure
+procedure SetToSeven(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: Wicket) {
+ favorite:=favorite;
+}
+
+
+
diff --git a/Test/z3api/boog9.bpl b/Test/z3api/boog9.bpl
index 3bd6ff63..7ba21eb3 100644
--- a/Test/z3api/boog9.bpl
+++ b/Test/z3api/boog9.bpl
@@ -1,23 +1,23 @@
-type ref;
-// types
-type Wicket;
-var favorite: Wicket;
-
-function age(w:Wicket) returns (int);
-axiom (forall v:Wicket :: age(v)==7);
-axiom (exists v:Wicket :: age(v)<8);
-
-
-// procedure
-procedure SetToSeven(p: Wicket);
- modifies favorite
-;
-
- ensures favorite==p;
-
-implementation SetToSeven(l: Wicket) {
- favorite:=favorite;
-}
-
-
-
+type ref;
+// types
+type Wicket;
+var favorite: Wicket;
+
+function age(w:Wicket) returns (int);
+axiom (forall v:Wicket :: age(v)==7);
+axiom (exists v:Wicket :: age(v)<8);
+
+
+// procedure
+procedure SetToSeven(p: Wicket);
+ modifies favorite
+;
+
+ ensures favorite==p;
+
+implementation SetToSeven(l: Wicket) {
+ favorite:=favorite;
+}
+
+
+
diff --git a/Test/z3api/runtest.bat b/Test/z3api/runtest.bat
index 6645667e..d74d3444 100644
--- a/Test/z3api/runtest.bat
+++ b/Test/z3api/runtest.bat
@@ -1,16 +1,16 @@
-@echo off
-setlocal
-
-set BGEXE=..\..\Binaries\Boogie.exe
-
-for %%f in (boog0.bpl boog1.bpl boog2.bpl boog3.bpl boog4.bpl boog5.bpl boog6.bpl boog7.bpl boog8.bpl boog9.bpl boog10.bpl boog11.bpl boog12.bpl boog13.bpl boog14.bpl boog15.bpl boog16.bpl boog17.bpl boog18.bpl boog19.bpl boog20.bpl boog21.bpl boog22.bpl boog23.bpl boog24.bpl boog25.bpl boog28.bpl boog29.bpl boog30.bpl boog31.bpl boog34.bpl boog35.bpl) do (
- echo.
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /typeEncoding:m /prover:z3api %%f
-)
-
-for %%f in (bar1.bpl bar2.bpl bar3.bpl bar4.bpl bar6.bpl) do (
- echo.
- echo -------------------- %%f --------------------
- %BGEXE% %* /nologo /noinfer /stratifiedInline:1 /prover:z3api %%f
+@echo off
+setlocal
+
+set BGEXE=..\..\Binaries\Boogie.exe
+
+for %%f in (boog0.bpl boog1.bpl boog2.bpl boog3.bpl boog4.bpl boog5.bpl boog6.bpl boog7.bpl boog8.bpl boog9.bpl boog10.bpl boog11.bpl boog12.bpl boog13.bpl boog14.bpl boog15.bpl boog16.bpl boog17.bpl boog18.bpl boog19.bpl boog20.bpl boog21.bpl boog22.bpl boog23.bpl boog24.bpl boog25.bpl boog28.bpl boog29.bpl boog30.bpl boog31.bpl boog34.bpl boog35.bpl) do (
+ echo.
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /typeEncoding:m /prover:z3api %%f
+)
+
+for %%f in (bar1.bpl bar2.bpl bar3.bpl bar4.bpl bar6.bpl) do (
+ echo.
+ echo -------------------- %%f --------------------
+ %BGEXE% %* /nologo /noinfer /stratifiedInline:1 /prover:z3api %%f
) \ No newline at end of file